repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/bd/block_design/ipshared/analogdeviceinc.com/axi_i2s_adi_v1_0/hdl/i2s_clkgen.vhd
|
8
|
4899
|
-- ***************************************************************************
-- ***************************************************************************
-- Copyright 2013(c) Analog Devices, Inc.
-- Author: Lars-Peter Clausen <[email protected]>
--
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
-- - Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- - Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- - Neither the name of Analog Devices, Inc. nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
-- - The use of this software may or may not infringe the patent rights
-- of one or more patent holders. This license does not release you
-- from the requirement that you obtain separate licenses from these
-- patent holders to use this software.
-- - Use of the software either in source or binary form, must be run
-- on or directly connected to an Analog Devices Inc. component.
--
-- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
-- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED.
--
-- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
-- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
-- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ***************************************************************************
-- ***************************************************************************
library ieee;
use ieee.std_logic_1164.all;
entity i2s_clkgen is
port(
clk : in std_logic; -- System clock
resetn : in std_logic; -- System reset
enable : in Boolean ; -- Enable clockgen
tick : in std_logic;
bclk_div_rate : in natural range 0 to 255;
lrclk_div_rate : in natural range 0 to 255;
bclk : out std_logic; -- Bit Clock
lrclk : out std_logic; -- Frame Clock
channel_sync : out std_logic;
frame_sync : out std_logic
);
end i2s_clkgen;
architecture Behavioral of i2s_clkgen is
signal reset_int : Boolean;
signal prev_bclk_div_rate : natural range 0 to 255;
signal prev_lrclk_div_rate : natural range 0 to 255;
signal bclk_count : natural range 0 to 255;
signal lrclk_count : natural range 0 to 255;
signal bclk_int : std_logic;
signal lrclk_int : std_logic;
signal lrclk_tick : Boolean;
begin
reset_int <= resetn = '0' or not enable;
bclk <= bclk_int;
lrclk <= lrclk_int;
-----------------------------------------------------------------------------------
-- Serial clock generation BCLK_O
-----------------------------------------------------------------------------------
bclk_gen: process(clk)
begin
if rising_edge(clk) then
prev_bclk_div_rate <= bclk_div_rate;
if reset_int then -- or (bclk_div_rate /= prev_bclk_div_rate) then
bclk_int <= '1';
bclk_count <= bclk_div_rate;
else
if tick = '1' then
if bclk_count = bclk_div_rate then
bclk_count <= 0;
bclk_int <= not bclk_int;
else
bclk_count <= bclk_count + 1;
end if;
end if;
end if;
end if;
end process bclk_gen;
lrclk_tick <= tick = '1' and bclk_count = bclk_div_rate and bclk_int = '1';
channel_sync <= '1' when lrclk_count = 1 else '0';
frame_sync <= '1' when lrclk_count = 1 and lrclk_int = '0' else '0';
-----------------------------------------------------------------------------------
-- Frame clock generator LRCLK_O
-----------------------------------------------------------------------------------
lrclk_gen: process(clk)
begin
if rising_edge(clk) then
prev_lrclk_div_rate <= lrclk_div_rate;
-- Reset
if reset_int then -- or lrclk_div_rate /= prev_lrclk_div_rate then
lrclk_int <= '1';
lrclk_count <= lrclk_div_rate;
else
if lrclk_tick then
if lrclk_count = lrclk_div_rate then
lrclk_count <= 0;
lrclk_int <= not lrclk_int;
else
lrclk_count <= lrclk_count + 1;
end if;
end if;
end if;
end if;
end process lrclk_gen;
end Behavioral;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_fifo.vhd
|
5
|
25002
|
-------------------------------------------------------------------------------
-- axi_datamover_fifo.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_fifo.vhd
-- Version: initial
-- Description:
-- This file is a wrapper file for the Synchronous FIFO used by the DataMover.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_11.axi_datamover_afifo_autord;
-------------------------------------------------------------------------------
entity axi_datamover_fifo is
generic (
C_DWIDTH : integer := 32 ;
-- Bit width of the FIFO
C_DEPTH : integer := 4 ;
-- Depth of the fifo in fifo width words
C_IS_ASYNC : Integer range 0 to 1 := 0 ;
-- 0 = Syncronous FIFO
-- 1 = Asynchronous (2 clock) FIFO
C_PRIM_TYPE : Integer range 0 to 2 := 2 ;
-- 0 = Register
-- 1 = Block Memory
-- 2 = SRL
C_FAMILY : String := "virtex7"
-- Specifies the Target FPGA device family
);
port (
-- Write Clock and reset -----------------
fifo_wr_reset : In std_logic; --
fifo_wr_clk : In std_logic; --
------------------------------------------
-- Write Side ------------------------------------------------------
fifo_wr_tvalid : In std_logic; --
fifo_wr_tready : Out std_logic; --
fifo_wr_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
fifo_wr_full : Out std_logic; --
--------------------------------------------------------------------
-- Read Clock and reset -----------------------------------------------
fifo_async_rd_reset : In std_logic; -- only used if C_IS_ASYNC = 1 --
fifo_async_rd_clk : In std_logic; -- only used if C_IS_ASYNC = 1 --
-----------------------------------------------------------------------
-- Read Side --------------------------------------------------------
fifo_rd_tvalid : Out std_logic; --
fifo_rd_tready : In std_logic; --
fifo_rd_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
fifo_rd_empty : Out std_logic --
---------------------------------------------------------------------
);
end entity axi_datamover_fifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_fifo is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_prim_type
--
-- Function Description:
-- Sorts out the FIFO Primitive type selection based on fifo
-- depth and original primitive choice.
--
-------------------------------------------------------------------
function funct_get_prim_type (depth : integer;
input_prim_type : integer) return integer is
Variable temp_prim_type : Integer := 0;
begin
If (depth > 64) Then
temp_prim_type := 1; -- use BRAM
Elsif (depth <= 64 and
input_prim_type = 0) Then
temp_prim_type := 0; -- use regiaters
else
temp_prim_type := 1; -- use BRAM
End if;
Return (temp_prim_type);
end function funct_get_prim_type;
-- Signal declarations
Signal sig_init_reg : std_logic := '0';
Signal sig_init_reg2 : std_logic := '0';
Signal sig_init_done : std_logic := '0';
signal sig_inhibit_rdy_n : std_logic := '0';
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_INIT_REG
--
-- Process Description:
-- Registers the reset signal input.
--
-------------------------------------------------------------
IMP_INIT_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_init_reg <= '1';
sig_init_reg2 <= '1';
else
sig_init_reg <= '0';
sig_init_reg2 <= sig_init_reg;
end if;
end if;
end process IMP_INIT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_INIT_DONE_REG
--
-- Process Description:
-- Create a 1 clock wide init done pulse.
--
-------------------------------------------------------------
IMP_INIT_DONE_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1' or
sig_init_done = '1') then
sig_init_done <= '0';
Elsif (sig_init_reg = '1' and
sig_init_reg2 = '1') Then
sig_init_done <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_INIT_DONE_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RDY_INHIBIT_REG
--
-- Process Description:
-- Implements a ready inhibit flop.
--
-------------------------------------------------------------
IMP_RDY_INHIBIT_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_inhibit_rdy_n <= '0';
Elsif (sig_init_done = '1') Then
sig_inhibit_rdy_n <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_RDY_INHIBIT_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SINGLE_REG
--
-- If Generate Description:
-- Implements a 1 deep register FIFO (synchronous mode only)
--
--
------------------------------------------------------------
USE_SINGLE_REG : if (C_IS_ASYNC = 0 and
C_DEPTH <= 1) generate
-- Local Constants
-- local signals
signal sig_data_in : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_regfifo_dout_reg : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_regfifo_full_reg : std_logic := '0';
signal sig_regfifo_empty_reg : std_logic := '0';
signal sig_push_regfifo : std_logic := '0';
signal sig_pop_regfifo : std_logic := '0';
begin
-- Internal signals
-- Write signals
fifo_wr_tready <= sig_regfifo_empty_reg;
fifo_wr_full <= sig_regfifo_full_reg ;
sig_push_regfifo <= fifo_wr_tvalid and
sig_regfifo_empty_reg;
sig_data_in <= fifo_wr_tdata ;
-- Read signals
fifo_rd_tdata <= sig_regfifo_dout_reg ;
fifo_rd_tvalid <= sig_regfifo_full_reg ;
fifo_rd_empty <= sig_regfifo_empty_reg;
sig_pop_regfifo <= sig_regfifo_full_reg and
fifo_rd_tready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_REG_FIFO
--
-- Process Description:
-- This process implements the data and full flag for the
-- register fifo.
--
-------------------------------------------------------------
IMP_REG_FIFO : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1' or
sig_pop_regfifo = '1') then
sig_regfifo_full_reg <= '0';
elsif (sig_push_regfifo = '1') then
sig_regfifo_full_reg <= '1';
else
null; -- don't change state
end if;
end if;
end process IMP_REG_FIFO;
IMP_REG_FIFO1 : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_regfifo_dout_reg <= (others => '0');
elsif (sig_push_regfifo = '1') then
sig_regfifo_dout_reg <= sig_data_in;
else
null; -- don't change state
end if;
end if;
end process IMP_REG_FIFO1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_REG_EMPTY_FLOP
--
-- Process Description:
-- This process implements the empty flag for the
-- register fifo.
--
-------------------------------------------------------------
IMP_REG_EMPTY_FLOP : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_regfifo_empty_reg <= '0'; -- since this is used for the ready (invertd)
-- it can't be asserted during reset
elsif (sig_pop_regfifo = '1' or
sig_init_done = '1') then
sig_regfifo_empty_reg <= '1';
elsif (sig_push_regfifo = '1') then
sig_regfifo_empty_reg <= '0';
else
null; -- don't change state
end if;
end if;
end process IMP_REG_EMPTY_FLOP;
end generate USE_SINGLE_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SRL_FIFO
--
-- If Generate Description:
-- Generates a fifo implementation usinf SRL based FIFOa
--
--
------------------------------------------------------------
USE_SRL_FIFO : if (C_IS_ASYNC = 0 and
C_DEPTH <= 64 and
C_DEPTH > 1 and
C_PRIM_TYPE = 2 ) generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant NEED_ALMOST_EMPTY : Integer := 0;
Constant NEED_ALMOST_FULL : Integer := 0;
-- local signals
signal sig_wr_full : std_logic := '0';
signal sig_wr_fifo : std_logic := '0';
signal sig_wr_ready : std_logic := '0';
signal sig_rd_fifo : std_logic := '0';
signal sig_rd_empty : std_logic := '0';
signal sig_rd_valid : std_logic := '0';
signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
begin
-- Write side signals
fifo_wr_tready <= sig_wr_ready;
fifo_wr_full <= sig_wr_full;
sig_wr_ready <= not(sig_wr_full) and
sig_inhibit_rdy_n;
sig_wr_fifo <= fifo_wr_tvalid and
sig_wr_ready;
sig_fifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_rd_valid;
sig_rd_valid <= not(sig_rd_empty);
fifo_rd_tdata <= sig_fifo_rd_data ;
fifo_rd_empty <= not(sig_rd_valid);
sig_rd_fifo <= sig_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_SYNC_FIFO
--
-- Description:
-- Implement the synchronous FIFO using SRL FIFO elements
--
------------------------------------------------------------
I_SYNC_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_FAMILY => C_FAMILY
)
port map (
Clk => fifo_wr_clk ,
Reset => fifo_wr_reset ,
FIFO_Write => sig_wr_fifo ,
Data_In => sig_fifo_wr_data ,
FIFO_Read => sig_rd_fifo ,
Data_Out => sig_fifo_rd_data ,
FIFO_Empty => sig_rd_empty ,
FIFO_Full => sig_wr_full ,
Addr => open
);
end generate USE_SRL_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SYNC_FIFO
--
-- If Generate Description:
-- Instantiates a synchronous FIFO design for use in the
-- synchronous operating mode.
--
------------------------------------------------------------
USE_SYNC_FIFO : if (C_IS_ASYNC = 0 and
(C_DEPTH > 64 or
(C_DEPTH > 1 and C_PRIM_TYPE < 2 )))
or
(C_IS_ASYNC = 0 and
C_DEPTH <= 64 and
C_DEPTH > 1 and
C_PRIM_TYPE = 0 )
generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant NEED_ALMOST_EMPTY : Integer := 0;
Constant NEED_ALMOST_FULL : Integer := 0;
Constant DATA_CNT_WIDTH : Integer := clog2(C_DEPTH)+1;
Constant PRIM_TYPE : Integer := funct_get_prim_type(C_DEPTH, C_PRIM_TYPE);
-- local signals
signal sig_wr_full : std_logic := '0';
signal sig_wr_fifo : std_logic := '0';
signal sig_wr_ready : std_logic := '0';
signal sig_rd_fifo : std_logic := '0';
signal sig_rd_valid : std_logic := '0';
signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
begin
-- Write side signals
fifo_wr_tready <= sig_wr_ready;
fifo_wr_full <= sig_wr_full;
sig_wr_ready <= not(sig_wr_full) and
sig_inhibit_rdy_n;
sig_wr_fifo <= fifo_wr_tvalid and
sig_wr_ready;
sig_fifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_rd_valid;
fifo_rd_tdata <= sig_fifo_rd_data ;
fifo_rd_empty <= not(sig_rd_valid);
sig_rd_fifo <= sig_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_SYNC_FIFO
--
-- Description:
-- Implement the synchronous FIFO
--
------------------------------------------------------------
I_SYNC_FIFO : entity axi_datamover_v5_1_11.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_DATA_CNT_WIDTH => DATA_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NEED_ALMOST_EMPTY ,
C_NEED_ALMOST_FULL => NEED_ALMOST_FULL ,
C_USE_BLKMEM => PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => fifo_wr_reset ,
SFIFO_Clk => fifo_wr_clk ,
SFIFO_Wr_en => sig_wr_fifo ,
SFIFO_Din => fifo_wr_tdata ,
SFIFO_Rd_en => sig_rd_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_rd_valid ,
SFIFO_Dout => sig_fifo_rd_data ,
SFIFO_Full => sig_wr_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => open ,
SFIFO_Rd_ack => open
);
end generate USE_SYNC_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_ASYNC_FIFO
--
-- If Generate Description:
-- Instantiates an asynchronous FIFO design for use in the
-- asynchronous operating mode.
--
------------------------------------------------------------
USE_ASYNC_FIFO : if (C_IS_ASYNC = 1) generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant CNT_WIDTH : Integer := clog2(C_DEPTH);
-- local signals
signal sig_async_wr_full : std_logic := '0';
signal sig_async_wr_fifo : std_logic := '0';
signal sig_async_wr_ready : std_logic := '0';
signal sig_async_rd_fifo : std_logic := '0';
signal sig_async_rd_valid : std_logic := '0';
signal sig_afifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0);
signal sig_afifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0);
signal sig_fifo_ainit : std_logic := '0';
Signal sig_init_reg : std_logic := '0';
begin
sig_fifo_ainit <= fifo_async_rd_reset or fifo_wr_reset;
-- Write side signals
fifo_wr_tready <= sig_async_wr_ready;
fifo_wr_full <= sig_async_wr_full;
sig_async_wr_ready <= not(sig_async_wr_full) and
sig_inhibit_rdy_n;
sig_async_wr_fifo <= fifo_wr_tvalid and
sig_async_wr_ready;
sig_afifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_async_rd_valid;
fifo_rd_tdata <= sig_afifo_rd_data ;
fifo_rd_empty <= not(sig_async_rd_valid);
sig_async_rd_fifo <= sig_async_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_ASYNC_FIFO
--
-- Description:
-- Implement the asynchronous FIFO
--
------------------------------------------------------------
I_ASYNC_FIFO : entity axi_datamover_v5_1_11.axi_datamover_afifo_autord
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_CNT_WIDTH => CNT_WIDTH ,
C_USE_BLKMEM => C_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
AFIFO_Ainit => sig_fifo_ainit ,
AFIFO_Ainit_Rd_clk => fifo_async_rd_reset ,
AFIFO_Wr_clk => fifo_wr_clk ,
AFIFO_Wr_en => sig_async_wr_fifo ,
AFIFO_Din => sig_afifo_wr_data ,
AFIFO_Rd_clk => fifo_async_rd_clk ,
AFIFO_Rd_en => sig_async_rd_fifo ,
AFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
AFIFO_DValid => sig_async_rd_valid,
AFIFO_Dout => sig_afifo_rd_data ,
AFIFO_Full => sig_async_wr_full ,
AFIFO_Empty => open ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
end generate USE_ASYNC_FIFO;
end imp;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_wr_sf.vhd
|
5
|
50564
|
-------------------------------------------------------------------------------
-- axi_datamover_wr_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_wr_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Write (S2MM) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. This module buffers write data and provides status and
-- control features such that the DataMover Write Master is only allowed
-- to post AXI WRite Requests if the associated write data needed to complete
-- the Write Data transfer is present in the Data FIFO. In addition, the Write
-- side logic is such that Write transfer requests can be pipelined to the
-- AXI4 bus based on the Data FIFO contents but ahead of the actual Write Data
-- transfers.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0_2;
library lib_srl_fifo_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_sfifo_autord;
-------------------------------------------------------------------------------
entity axi_datamover_wr_sf is
generic (
C_WR_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4;
-- This parameter indicates the depth of the DataMover
-- write address pipelining queues for the Main data transport
-- channels. The effective address pipelining on the AXI4
-- Write Address Channel will be the value assigned plus 2.
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
-- C_MAX_BURST_LEN : Integer range 16 to 256 := 16;
-- -- Indicates the max burst length being used by the external
-- -- AXI4 Master for each AXI4 transfer request.
-- C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- -- Indicates if the external Master is utilizing a DRE on
-- -- the stream input to this module.
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 16;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs -----------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
-------------------------------------------------------------------------
-- Slave Stream Input ------------------------------------------------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--
sin2sf_error : In std_logic; --
-- Stream Underrun/Overrun error input --
-----------------------------------------------------------------------------------
-- Starting Address Offset Input -------------------------------------------------
--
sin2sf_strt_addr_offset : In std_logic_vector(C_STRT_OFFSET_WIDTH-1 downto 0); --
-- Used by Packing logic to set the initial data slice position for the --
-- packing operation. Packing is only needed if the MMap and Stream Data --
-- widths do not match. --
-----------------------------------------------------------------------------------
-- DataMover Write Side Address Pipelining Control Interface ----------------------
--
ok_to_post_wr_addr : Out Std_logic; --
-- Indicates that the internal FIFO has enough data --
-- physically present to supply one more max length --
-- burst transfer or a completion burst --
-- (tlast asserted) --
--
wr_addr_posted : In std_logic; --
-- Indication that a write address has been posted to AXI4 --
--
--
wr_xfer_cmplt : In Std_logic; --
-- Indicates that the Datamover has completed a Write Data --
-- transfer on the AXI4 --
--
--
wr_ld_nxt_len : in std_logic; --
-- Active high pulse indicating a new transfer LEN qualifier --
-- has been queued to the DataMover Write Data Controller --
--
wr_len : in std_logic_vector(7 downto 0); --
-- The actual LEN qualifier value that has been queued to the --
-- DataMover Write Data Controller --
-----------------------------------------------------------------------------------
-- Write Side Stream Out to DataMover S2MM ----------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic; --
-- Write LAST output to the Stream Master --
--
sf2sout_error : Out std_logic --
-- Stream Underrun/Overrun error input --
-----------------------------------------------------------------------------------
);
end entity axi_datamover_wr_sf;
architecture implementation of axi_datamover_wr_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_pwr2_depth
--
-- Function Description:
-- Rounds up to the next power of 2 depth value in an input
-- range of 1 to 8192
--
-------------------------------------------------------------------
function funct_get_pwr2_depth (min_depth : integer) return integer is
Variable var_temp_depth : Integer := 16;
begin
if (min_depth = 1) then
var_temp_depth := 1;
elsif (min_depth = 2) then
var_temp_depth := 2;
elsif (min_depth <= 4) then
var_temp_depth := 4;
elsif (min_depth <= 8) then
var_temp_depth := 8;
elsif (min_depth <= 16) then
var_temp_depth := 16;
elsif (min_depth <= 32) then
var_temp_depth := 32;
elsif (min_depth <= 64) then
var_temp_depth := 64;
elsif (min_depth <= 128) then
var_temp_depth := 128;
elsif (min_depth <= 256) then
var_temp_depth := 256;
elsif (min_depth <= 512) then
var_temp_depth := 512;
elsif (min_depth <= 1024) then
var_temp_depth := 1024;
elsif (min_depth <= 2048) then
var_temp_depth := 2048;
elsif (min_depth <= 4096) then
var_temp_depth := 4096;
else -- assume 8192 depth
var_temp_depth := 8192;
end if;
Return (var_temp_depth);
end function funct_get_pwr2_depth;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- This function calculates the needed counter bit width from the
-- number of count sates needed (input).
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_cnt_values : integer) return integer is
Variable temp_cnt_width : Integer := 0;
begin
if (num_cnt_values <= 2) then
temp_cnt_width := 1;
elsif (num_cnt_values <= 4) then
temp_cnt_width := 2;
elsif (num_cnt_values <= 8) then
temp_cnt_width := 3;
elsif (num_cnt_values <= 16) then
temp_cnt_width := 4;
elsif (num_cnt_values <= 32) then
temp_cnt_width := 5;
elsif (num_cnt_values <= 64) then
temp_cnt_width := 6;
elsif (num_cnt_values <= 128) then
temp_cnt_width := 7;
else
temp_cnt_width := 8;
end if;
Return (temp_cnt_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant WSTB_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant EOP_ERR_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
-- Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
--WSTB_WIDTH +
TLAST_WIDTH +
EOP_ERR_WIDTH;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant DATA_OUT_LSB_INDEX : integer := 0;
-- Constant TSTRB_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
-- Constant TSTRB_OUT_MSB_INDEX : integer := (TSTRB_OUT_LSB_INDEX+WSTB_WIDTH)-1;
-- Constant TLAST_OUT_INDEX : integer := TSTRB_OUT_MSB_INDEX+1;
Constant TLAST_OUT_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant EOP_ERR_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant WR_LEN_FIFO_DWIDTH : integer := 8;
Constant WR_LEN_FIFO_DEPTH : integer := funct_get_pwr2_depth(C_WR_ADDR_PIPE_DEPTH + 2);
Constant LEN_CNTR_WIDTH : integer := 8;
Constant LEN_CNT_ZERO : Unsigned(LEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, LEN_CNTR_WIDTH);
Constant LEN_CNT_ONE : Unsigned(LEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, LEN_CNTR_WIDTH);
Constant WR_XFER_CNTR_WIDTH : integer := 8;
Constant WR_XFER_CNT_ZERO : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, WR_XFER_CNTR_WIDTH);
Constant WR_XFER_CNT_ONE : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, WR_XFER_CNTR_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector(WSTB_WIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_ok_to_post_wr_addr : std_logic := '0';
signal sig_wr_addr_posted : std_logic := '0';
signal sig_wr_xfer_cmplt : std_logic := '0';
signal sig_wr_ld_nxt_len : std_logic := '0';
signal sig_push_len_fifo : std_logic := '0';
signal sig_pop_len_fifo : std_logic := '0';
signal sig_len_fifo_full : std_logic := '0';
signal sig_len_fifo_empty : std_logic := '0';
signal sig_len_fifo_data_in : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0');
signal sig_len_fifo_data_out : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0');
signal sig_len_fifo_len_out_un : unsigned(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0');
signal sig_uncom_wrcnt : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_sub_len_uncom_wrcnt : std_logic := '0';
signal sig_incr_uncom_wrcnt : std_logic := '0';
signal sig_resized_fifo_len : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_num_wr_dbeats_needed : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_enough_dbeats_rcvd : std_logic := '0';
signal sig_sf2sout_eop_err_out : std_logic := '0';
signal sig_good_fifo_write : std_logic := '0';
begin --(architecture implementation)
-- Write Side (S2MM) Control Flags port connections
ok_to_post_wr_addr <= sig_ok_to_post_wr_addr ;
sig_wr_addr_posted <= wr_addr_posted ;
sig_wr_xfer_cmplt <= wr_xfer_cmplt ;
sig_wr_ld_nxt_len <= wr_ld_nxt_len ;
sig_len_fifo_data_in <= wr_len ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
sf2sout_error <= sig_sf2sout_eop_err_out ;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
----------------------------------------------------------------
-- Packing Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_PACKING
--
-- If Generate Description:
-- Omits any packing logic in the Store and Forward module.
-- The Stream and MMap data widths are the same.
--
------------------------------------------------------------
OMIT_PACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
begin
sig_good_fifo_write <= sig_good_sin_strm_dbeat;
sig_strm_sin_ready <= not(sig_data_fifo_full);
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= sin2sf_error &
sin2sf_tlast &
-- sin2sf_tkeep &
sin2sf_tdata;
end generate OMIT_PACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_PACKING
--
-- If Generate Description:
-- Includes packing logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_PACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH +
EOP_ERR_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_data_slice_reg : lsig_data_slice_type;
signal lsig_flag_slice_reg : lsig_flag_slice_type;
signal lsig_reg_segment : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) := (others => '0');
signal lsig_segment_ld : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_segment_clr : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_0ffset_to_to_use : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_combined_data : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal lsig_tlast_or : std_logic := '0';
signal lsig_eop_err_or : std_logic := '0';
signal lsig_partial_tlast_or : std_logic_vector(MMAP2STRM_WIDTH_RATO downto 0) := (others => '0');
signal lsig_partial_eop_err_or : std_logic_vector(MMAP2STRM_WIDTH_RATO downto 0) := (others => '0');
signal lsig_packer_full : std_logic := '0';
signal lsig_packer_empty : std_logic := '0';
signal lsig_set_packer_full : std_logic := '0';
signal lsig_good_push2fifo : std_logic := '0';
signal lsig_first_dbeat : std_logic := '0';
begin
-- Assign the flag indicating that a fifo write is going
-- to occur at the next rising clock edge.
sig_good_fifo_write <= lsig_good_push2fifo;
-- Generate the stream ready
sig_strm_sin_ready <= not(lsig_packer_full) or
lsig_good_push2fifo ;
-- Format the FIFO input data
sig_data_fifo_data_in <= lsig_eop_err_or & -- MS Bit
lsig_tlast_or &
lsig_combined_data ; -- LS Bits
-- Generate a write to the Data FIFO input
sig_push_data_fifo <= lsig_packer_full;
-- Generate a flag indicating a write to the DataFIFO
-- is going to complete
lsig_good_push2fifo <= lsig_packer_full and
not(sig_data_fifo_full);
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= lsig_first_dbeat and
sig_good_sin_strm_dbeat;
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sin_strm_dbeat;
-- Generate a flag indicating the packer input register
-- array is full or has loaded the last data beat of
-- the input paket
lsig_set_packer_full <= sig_good_sin_strm_dbeat and
(sin2sf_tlast or
lsig_offset_cntr_eq_max);
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
--when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
when (lsig_0ffset_to_to_use = OFFSET_CNT_MAX)
Else '0';
-- Mux between the input start offset and the offset counter
-- output to use for the packer slice load control.
lsig_0ffset_to_to_use <= UNSIGNED(sin2sf_strt_addr_offset)
when (lsig_first_dbeat = '1')
Else lsig_0ffset_cntr;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_LD_MARKER
--
-- Process Description:
-- Implements the flop indicating the first databeat of
-- an input data packet.
--
-------------------------------------------------------------
IMP_OFFSET_LD_MARKER : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_first_dbeat <= '1';
elsif (sig_good_sin_strm_dbeat = '1' and
sin2sf_tlast = '0') then
lsig_first_dbeat <= '0';
Elsif (sig_good_sin_strm_dbeat = '1' and
sin2sf_tlast = '1') Then
lsig_first_dbeat <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_LD_MARKER;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- steer the data loads into the packer register slices.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sin2sf_strt_addr_offset) + OFFSET_CNT_ONE;
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_PACK_REG_FULL
--
-- Process Description:
-- Implements the Packer Register full/empty flags
--
-------------------------------------------------------------
IMP_PACK_REG_FULL : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
Elsif (lsig_set_packer_full = '1' and
lsig_packer_full = '0') Then
lsig_packer_full <= '1';
lsig_packer_empty <= '0';
elsif (lsig_set_packer_full = '0' and
lsig_good_push2fifo = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_PACK_REG_FULL;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_REG_SLICES
--
-- For Generate Description:
--
-- Implements the Packng Register Slices
--
--
------------------------------------------------------------
DO_REG_SLICES : for slice_index in 0 to MMAP2STRM_WIDTH_RATO-1 generate
begin
-- generate the register load enable for each slice segment based
-- on the address offset count value
lsig_segment_ld(slice_index) <= '1'
when (sig_good_sin_strm_dbeat = '1' and
TO_INTEGER(lsig_0ffset_to_to_use) = slice_index)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DATA_SLICE
--
-- Process Description:
-- Implement a data register slice for the packer.
--
-------------------------------------------------------------
IMP_DATA_SLICE : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_data_slice_reg(slice_index) <= sin2sf_tdata;
-- optional clear of slice reg
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_DATA_SLICE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FLAG_SLICE
--
-- Process Description:
-- Implement a flag register slice for the packer.
--
-------------------------------------------------------------
IMP_FLAG_SLICE : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_flag_slice_reg(slice_index) <= sin2sf_tlast & -- bit 1
sin2sf_error; -- bit 0
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_FLAG_SLICE;
end generate DO_REG_SLICES;
-- Do the OR functions of the Flags -------------------------------------
lsig_tlast_or <= lsig_partial_tlast_or(MMAP2STRM_WIDTH_RATO-1) ;
lsig_eop_err_or <= lsig_partial_eop_err_or(MMAP2STRM_WIDTH_RATO-1);
lsig_partial_tlast_or(0) <= lsig_flag_slice_reg(0)(1);
lsig_partial_eop_err_or(0) <= lsig_flag_slice_reg(0)(0);
------------------------------------------------------------
-- For Generate
--
-- Label: DO_FLAG_OR
--
-- For Generate Description:
-- Implement the OR of the TLAST and EOP Error flags.
--
--
--
------------------------------------------------------------
DO_FLAG_OR : for slice_index in 1 to MMAP2STRM_WIDTH_RATO-1 generate
begin
lsig_partial_tlast_or(slice_index) <= lsig_partial_tlast_or(slice_index-1) or
--lsig_partial_tlast_or(slice_index);
lsig_flag_slice_reg(slice_index)(1);
lsig_partial_eop_err_or(slice_index) <= lsig_partial_eop_err_or(slice_index-1) or
--lsig_partial_eop_err_or(slice_index);
lsig_flag_slice_reg(slice_index)(0);
end generate DO_FLAG_OR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_COMBINER
--
-- For Generate Description:
-- Combines the Data Slice register outputs into a single
-- vector for input to the Data FIFO.
--
--
------------------------------------------------------------
DO_DATA_COMBINER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_combined_data((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH) <=
lsig_data_slice_reg(slice_index-1);
end generate DO_DATA_COMBINER;
end generate INCLUDE_PACKING;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
-- FIFO Input attachments
-- sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- -- Concatonate the Stream inputs into the single FIFO data in value
-- sig_data_fifo_data_in <= sin2sf_error &
-- sin2sf_tlast &
-- sin2sf_tkeep &
-- sin2sf_tdata;
-- FIFO Output to output stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid ;
sig_sf2sout_tdata <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
-- sig_sf2sout_tkeep <= sig_data_fifo_data_out(TSTRB_OUT_MSB_INDEX downto
-- TSTRB_OUT_LSB_INDEX);
-- When this Store and Forward is enabled, the Write Data Controller ignores the
-- TKEEP input so this is not sent through the FIFO.
sig_sf2sout_tkeep <= (others => '1');
sig_sf2sout_tlast <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_sf2sout_eop_err_out <= sig_data_fifo_data_out(EOP_ERR_OUT_INDEX) ;
-- FIFO Rd/WR Controls
sig_pop_data_fifo <= sig_sout2sf_tready and
sig_data_fifo_dvalid;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1_11.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => open ,
SFIFO_Rd_ack => open
);
--------------------------------------------------------------------
-- Write Side Control Logic
--------------------------------------------------------------------
-- Convert the LEN fifo data output to unsigned
sig_len_fifo_len_out_un <= unsigned(sig_len_fifo_data_out);
-- Resize the unsigned LEN output to the Data FIFO writecount width
sig_resized_fifo_len <= RESIZE(sig_len_fifo_len_out_un , DATA_FIFO_CNT_WIDTH);
-- The actual number of databeats needed for the queued write transfer
-- is the current LEN fifo output plus 1.
sig_num_wr_dbeats_needed <= sig_resized_fifo_len + UNCOM_WRCNT_1;
-- Compare the uncommited receved data beat count to that needed
-- for the next queued write request.
sig_enough_dbeats_rcvd <= '1'
When (sig_num_wr_dbeats_needed <= sig_uncom_wrcnt)
else '0';
-- Increment the uncommited databeat counter on a good input
-- stream databeat (Read Side of SF)
-- sig_incr_uncom_wrcnt <= sig_good_sin_strm_dbeat;
sig_incr_uncom_wrcnt <= sig_good_fifo_write;
-- Subtract the current number of databeats needed from the
-- uncommited databeat counter when the associated transfer
-- address/qualifiers have been posted to the AXI Write
-- Address Channel
sig_sub_len_uncom_wrcnt <= sig_wr_addr_posted;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_UNCOM_DBEAT_CNTR
--
-- Process Description:
-- Implements the counter that keeps track of the received read
-- data beat count that has not been commited to a transfer on
-- the write side with a Write Address posting.
--
-------------------------------------------------------------
IMP_UNCOM_DBEAT_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_uncom_wrcnt <= UNCOM_WRCNT_0;
elsif (sig_incr_uncom_wrcnt = '1' and
sig_sub_len_uncom_wrcnt = '1') then
sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_resized_fifo_len;
elsif (sig_incr_uncom_wrcnt = '1' and
sig_sub_len_uncom_wrcnt = '0') then
sig_uncom_wrcnt <= sig_uncom_wrcnt + UNCOM_WRCNT_1;
elsif (sig_incr_uncom_wrcnt = '0' and
sig_sub_len_uncom_wrcnt = '1') then
sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_num_wr_dbeats_needed;
else
null; -- hold current value
end if;
end if;
end process IMP_UNCOM_DBEAT_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_WR_ADDR_POST_FLAG
--
-- Process Description:
-- Implements the flag indicating that the pending write
-- transfer's data beat count has been received on the input
-- side of the Data FIFO. This means the Write side can post
-- the associated write address to the AXI4 bus and the
-- associated write data transfer can complete without CDMA
-- throttling the Write Data Channel.
--
-- The flag is cleared immediately after an address is posted
-- to prohibit a second unauthorized posting while the control
-- logic stabilizes to the next LEN FIFO value
--.
-------------------------------------------------------------
IMP_WR_ADDR_POST_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_wr_addr_posted = '1') then
sig_ok_to_post_wr_addr <= '0';
else
sig_ok_to_post_wr_addr <= not(sig_len_fifo_empty) and
sig_enough_dbeats_rcvd;
end if;
end if;
end process IMP_WR_ADDR_POST_FLAG;
-------------------------------------------------------------
-- LEN FIFO logic
-- The LEN FIFO stores the xfer lengths needed for each queued
-- write transfer in the DataMover S2MM Write Data Controller.
sig_push_len_fifo <= sig_wr_ld_nxt_len and
not(sig_len_fifo_full);
sig_pop_len_fifo <= wr_addr_posted and
not(sig_len_fifo_empty);
------------------------------------------------------------
-- Instance: I_WR_LEN_FIFO
--
-- Description:
-- Implement the LEN FIFO using SRL FIFO elements
--
------------------------------------------------------------
I_WR_LEN_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => WR_LEN_FIFO_DWIDTH ,
C_DEPTH => WR_LEN_FIFO_DEPTH ,
C_FAMILY => C_FAMILY
)
port map (
Clk => aclk ,
Reset => reset ,
FIFO_Write => sig_push_len_fifo ,
Data_In => sig_len_fifo_data_in ,
FIFO_Read => sig_pop_len_fifo ,
Data_Out => sig_len_fifo_data_out ,
FIFO_Empty => sig_len_fifo_empty ,
FIFO_Full => sig_len_fifo_full ,
Addr => open
);
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_piano/ip_repo/axi_i2s_adi_1.0/hdl/adi_common/axi_ctrlif.vhd
|
7
|
5573
|
-- ***************************************************************************
-- ***************************************************************************
-- Copyright 2013(c) Analog Devices, Inc.
-- Author: Lars-Peter Clausen <[email protected]>
--
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
-- - Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- - Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- - Neither the name of Analog Devices, Inc. nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
-- - The use of this software may or may not infringe the patent rights
-- of one or more patent holders. This license does not release you
-- from the requirement that you obtain separate licenses from these
-- patent holders to use this software.
-- - Use of the software either in source or binary form, must be run
-- on or directly connected to an Analog Devices Inc. component.
--
-- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
-- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED.
--
-- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
-- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
-- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ***************************************************************************
-- ***************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity axi_ctrlif is
generic
(
C_NUM_REG : integer := 32;
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_FAMILY : string := "virtex6"
);
port
(
-- AXI bus interface
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
rd_addr : out integer range 0 to C_NUM_REG - 1;
rd_data : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
rd_ack : out std_logic;
rd_stb : in std_logic;
wr_addr : out integer range 0 to C_NUM_REG - 1;
wr_data : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
wr_ack : in std_logic;
wr_stb : out std_logic
);
end entity axi_ctrlif;
architecture Behavioral of axi_ctrlif is
type state_type is (IDLE, RESP, ACK);
signal rd_state : state_type;
signal wr_state : state_type;
begin
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
rd_state <= IDLE;
else
case rd_state is
when IDLE =>
if S_AXI_ARVALID = '1' then
rd_state <= RESP;
rd_addr <= to_integer(unsigned(S_AXI_ARADDR(31 downto 2)));
end if;
when RESP =>
if rd_stb = '1' and S_AXI_RREADY = '1' then
rd_state <= IDLE;
end if;
when others => null;
end case;
end if;
end if;
end process;
S_AXI_ARREADY <= '1' when rd_state = IDLE else '0';
S_AXI_RVALID <= '1' when rd_state = RESP and rd_stb = '1' else '0';
S_AXI_RRESP <= "00";
rd_ack <= '1' when rd_state = RESP and S_AXI_RREADY = '1' else '0';
S_AXI_RDATA <= rd_data;
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
wr_state <= IDLE;
else
case wr_state is
when IDLE =>
if S_AXI_AWVALID = '1' and S_AXI_WVALID = '1' and wr_ack = '1' then
wr_state <= ACK;
end if;
when ACK =>
wr_state <= RESP;
when RESP =>
if S_AXI_BREADY = '1' then
wr_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
wr_stb <= '1' when S_AXI_AWVALID = '1' and S_AXI_WVALID = '1' and wr_state = IDLE else '0';
wr_data <= S_AXI_WDATA;
wr_addr <= to_integer(unsigned(S_AXI_AWADDR(31 downto 2)));
S_AXI_AWREADY <= '1' when wr_state = ACK else '0';
S_AXI_WREADY <= '1' when wr_state = ACK else '0';
S_AXI_BRESP <= "00";
S_AXI_BVALID <= '1' when wr_state = RESP else '0';
end;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_piano/zybo_petalinux_piano.ip_user_files/bd/block_design/ip/block_design_axi_i2s_adi_0_0/sim/block_design_axi_i2s_adi_0_0.vhd
|
2
|
13502
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: analogdeviceinc.com:adi:axi_i2s_adi:1.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY adi_common_v1_00_a;
USE adi_common_v1_00_a.axi_i2s_adi;
ENTITY block_design_axi_i2s_adi_0_0 IS
PORT (
DATA_CLK_I : IN STD_LOGIC;
BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
MUTEN_O : OUT STD_LOGIC;
DMA_REQ_TX_ACLK : IN STD_LOGIC;
DMA_REQ_TX_RSTN : IN STD_LOGIC;
DMA_REQ_TX_DAVALID : IN STD_LOGIC;
DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DAREADY : OUT STD_LOGIC;
DMA_REQ_TX_DRVALID : OUT STD_LOGIC;
DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DRLAST : OUT STD_LOGIC;
DMA_REQ_TX_DRREADY : IN STD_LOGIC;
DMA_REQ_RX_ACLK : IN STD_LOGIC;
DMA_REQ_RX_RSTN : IN STD_LOGIC;
DMA_REQ_RX_DAVALID : IN STD_LOGIC;
DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DAREADY : OUT STD_LOGIC;
DMA_REQ_RX_DRVALID : OUT STD_LOGIC;
DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DRLAST : OUT STD_LOGIC;
DMA_REQ_RX_DRREADY : IN STD_LOGIC;
S_AXI_ACLK : IN STD_LOGIC;
S_AXI_ARESETN : IN STD_LOGIC;
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_WREADY : INOUT STD_LOGIC;
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : INOUT STD_LOGIC;
S_AXI_AWREADY : INOUT STD_LOGIC
);
END block_design_axi_i2s_adi_0_0;
ARCHITECTURE block_design_axi_i2s_adi_0_0_arch OF block_design_axi_i2s_adi_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_axi_i2s_adi_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_i2s_adi IS
GENERIC (
C_SLOT_WIDTH : INTEGER;
C_LRCLK_POL : INTEGER;
C_BCLK_POL : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_DMA_TYPE : INTEGER;
C_NUM_CH : INTEGER;
C_HAS_TX : INTEGER;
C_HAS_RX : INTEGER
);
PORT (
DATA_CLK_I : IN STD_LOGIC;
BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
MUTEN_O : OUT STD_LOGIC;
S_AXIS_ACLK : IN STD_LOGIC;
S_AXIS_ARESETN : IN STD_LOGIC;
S_AXIS_TREADY : OUT STD_LOGIC;
S_AXIS_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXIS_TLAST : IN STD_LOGIC;
S_AXIS_TVALID : IN STD_LOGIC;
M_AXIS_ACLK : IN STD_LOGIC;
M_AXIS_TREADY : IN STD_LOGIC;
M_AXIS_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
M_AXIS_TLAST : OUT STD_LOGIC;
M_AXIS_TVALID : OUT STD_LOGIC;
M_AXIS_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
DMA_REQ_TX_ACLK : IN STD_LOGIC;
DMA_REQ_TX_RSTN : IN STD_LOGIC;
DMA_REQ_TX_DAVALID : IN STD_LOGIC;
DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DAREADY : OUT STD_LOGIC;
DMA_REQ_TX_DRVALID : OUT STD_LOGIC;
DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DRLAST : OUT STD_LOGIC;
DMA_REQ_TX_DRREADY : IN STD_LOGIC;
DMA_REQ_RX_ACLK : IN STD_LOGIC;
DMA_REQ_RX_RSTN : IN STD_LOGIC;
DMA_REQ_RX_DAVALID : IN STD_LOGIC;
DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DAREADY : OUT STD_LOGIC;
DMA_REQ_RX_DRVALID : OUT STD_LOGIC;
DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DRLAST : OUT STD_LOGIC;
DMA_REQ_RX_DRREADY : IN STD_LOGIC;
S_AXI_ACLK : IN STD_LOGIC;
S_AXI_ARESETN : IN STD_LOGIC;
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_WREADY : INOUT STD_LOGIC;
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : INOUT STD_LOGIC;
S_AXI_AWREADY : INOUT STD_LOGIC
);
END COMPONENT axi_i2s_adi;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_TX_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_TX_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TLAST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_RX_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_RX_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TLAST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARESETN: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
BEGIN
U0 : axi_i2s_adi
GENERIC MAP (
C_SLOT_WIDTH => 24,
C_LRCLK_POL => 0,
C_BCLK_POL => 0,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ADDR_WIDTH => 32,
C_DMA_TYPE => 1,
C_NUM_CH => 1,
C_HAS_TX => 1,
C_HAS_RX => 1
)
PORT MAP (
DATA_CLK_I => DATA_CLK_I,
BCLK_O => BCLK_O,
LRCLK_O => LRCLK_O,
SDATA_O => SDATA_O,
SDATA_I => SDATA_I,
MUTEN_O => MUTEN_O,
S_AXIS_ACLK => '0',
S_AXIS_ARESETN => '0',
S_AXIS_TDATA => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
S_AXIS_TLAST => '0',
S_AXIS_TVALID => '0',
M_AXIS_ACLK => '0',
M_AXIS_TREADY => '0',
DMA_REQ_TX_ACLK => DMA_REQ_TX_ACLK,
DMA_REQ_TX_RSTN => DMA_REQ_TX_RSTN,
DMA_REQ_TX_DAVALID => DMA_REQ_TX_DAVALID,
DMA_REQ_TX_DATYPE => DMA_REQ_TX_DATYPE,
DMA_REQ_TX_DAREADY => DMA_REQ_TX_DAREADY,
DMA_REQ_TX_DRVALID => DMA_REQ_TX_DRVALID,
DMA_REQ_TX_DRTYPE => DMA_REQ_TX_DRTYPE,
DMA_REQ_TX_DRLAST => DMA_REQ_TX_DRLAST,
DMA_REQ_TX_DRREADY => DMA_REQ_TX_DRREADY,
DMA_REQ_RX_ACLK => DMA_REQ_RX_ACLK,
DMA_REQ_RX_RSTN => DMA_REQ_RX_RSTN,
DMA_REQ_RX_DAVALID => DMA_REQ_RX_DAVALID,
DMA_REQ_RX_DATYPE => DMA_REQ_RX_DATYPE,
DMA_REQ_RX_DAREADY => DMA_REQ_RX_DAREADY,
DMA_REQ_RX_DRVALID => DMA_REQ_RX_DRVALID,
DMA_REQ_RX_DRTYPE => DMA_REQ_RX_DRTYPE,
DMA_REQ_RX_DRLAST => DMA_REQ_RX_DRLAST,
DMA_REQ_RX_DRREADY => DMA_REQ_RX_DRREADY,
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY
);
END block_design_axi_i2s_adi_0_0_arch;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_s2mm_linebuf.vhd
|
4
|
141497
|
-------------------------------------------------------------------------------
-- axi_vdma_s2mm_linebuf
-------------------------------------------------------------------------------
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_s2mm_linebuf.vhd
-- Description: This entity encompases the line buffer logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_cdc_v1_0_2;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_s2mm_linebuf is
generic (
C_DATA_WIDTH : integer range 8 to 1024 := 32;
-- Line Buffer Data Width
C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0;
C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0;
-- Enable/Disable start of frame generation on tuser(0). This
-- is only valid for external frame sync (C_USE_FSYNC = 1)
-- 0 = disable SOF
-- 1 = enable SOF
C_S_AXIS_S2MM_TUSER_BITS : integer range 1 to 1 := 1;
-- Slave AXI Stream User Width for S2MM Channel
C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142
-- Depth as set by user at top level parameter
C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512;
-- Linebuffer depth in Bytes. Must be a power of 2
C_LINEBUFFER_AF_THRESH : integer range 1 to 65536 := 1;
-- Linebuffer almost full threshold in Bytes. Must be a power of 2
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_USE_S2MM_FSYNC : integer range 0 to 2 := 2; --2013.1
C_USE_FSYNC : integer range 0 to 1 := 0;
C_INCLUDE_MM2S : integer range 0 to 1 := 0 ;
C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1;
-- Setting this make core backward compatible to 2012.4 version in terms of ports and registers
--C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 --
--C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 --
C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1;
-- Enable debug information bit 0
C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1;
-- Enable debug information bit 1
C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1;
-- Enable debug information bit 2
C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1;
-- Enable debug information bit 3
C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1;
-- Enable debug information bit 4
C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1;
-- Enable debug information bit 5
C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1;
-- Enable debug information bit 6
C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1;
-- Enable debug information bit 7
C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1;
-- Enable debug information bit 8
C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1;
-- Enable debug information bit 9
C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1;
-- Enable debug information bit 10
C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1;
-- Enable debug information bit 11
C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1;
-- Enable debug information bit 12
C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1;
-- Enable debug information bit 13
C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1;
-- Enable debug information bit 14
C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1;
-- Enable debug information bit 15
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
s_axis_aclk : in std_logic ; --
s_axis_resetn : in std_logic ; --
--
m_axis_aclk : in std_logic ; --
m_axis_resetn : in std_logic ; --
--
s2mm_axis_linebuf_reset_out : out std_logic ; --
--
strm_not_finished : in std_logic ; --
-- Graceful shut down control --
run_stop : in std_logic ; --
dm_halt : in std_logic ; -- CR591965
dm_halt_cmplt : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err_s : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err : in std_logic ; -- CR591965
--
-- Line Tracking Control --
crnt_vsize : in std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
crnt_vsize_d2_s : out std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
chnl_ready_external : in std_logic ; -- CR575884
s2mm_fsync_core : out std_logic ; -- CR575884
s2mm_fsync : in std_logic ; -- CR575884
s2mm_tuser_fsync_top : in std_logic ; -- CR575884
mm2s_axis_resetn : in std_logic := '1' ; --
m_axis_mm2s_aclk : in std_logic := '0' ; --
mm2s_fsync : in std_logic ; --
fsync_src_select : in std_logic_vector(1 downto 0) ; --
fsync_src_select_s : out std_logic_vector(1 downto 0) ; --
drop_fsync_d_pulse_gen_fsize_less_err : out std_logic ; --
hold_dummy_tready_low : out std_logic ; --
hold_dummy_tready_low2 : out std_logic ; --
s2mm_dmasr_fsize_less_err : in std_logic ; --
no_fsync_before_vsize_sel_00_01 : in std_logic ; -- CR575884
s2mm_fsize_mismatch_err_flag : in std_logic ; -- CR575884
fsync_out_m : out std_logic ; -- CR575884
fsync_out : in std_logic ; -- CR575884
frame_sync : in std_logic ; -- CR575884
--
-- Line Buffer Threshold --
linebuf_threshold : in std_logic_vector --
(LINEBUFFER_THRESH_WIDTH-1 downto 0); --
-- Stream In --
s_axis_tdata : in std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
s_axis_tkeep : in std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
s_axis_tlast : in std_logic ; --
s_axis_tvalid : in std_logic ; --
s_axis_tready : out std_logic ; --
s_axis_tuser : in std_logic_vector --
(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0); --
capture_dm_done_vsize_counter : out std_logic_vector(12 downto 0); --
-- Stream Out --
m_axis_tdata : out std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
m_axis_tkeep : out std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
m_axis_tlast : out std_logic ; --
m_axis_tvalid : out std_logic ; --
m_axis_tready : in std_logic ; --
--
-- Fifo Status Flags --
s2mm_fifo_full : out std_logic ; --
s2mm_fifo_almost_full : out std_logic ; --
s2mm_all_lines_xfred : out std_logic ; -- CR591965
all_lasts_rcvd : out std_logic ;
s2mm_tuser_fsync : out std_logic
);
end axi_vdma_s2mm_linebuf;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_s2mm_linebuf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Bufer depth
--constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8));
constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH;
-- Buffer width is data width + strobe width + 1 bit for tlast
constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8)*C_INCLUDE_S2MM_DRE + 1; --tkeep
-- Buffer data count width
constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH);
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_TWO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0');
-- Linebuffer threshold support
constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0');
signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0):= (others => '0');
signal fifo_wren : std_logic := '0';
signal fifo_rden : std_logic := '0';
signal fifo_empty_i : std_logic := '0';
signal fifo_full_i : std_logic := '0';
signal fifo_ainit : std_logic := '0';
signal fifo_wrcount : std_logic_vector(DATACOUNT_WIDTH-1 downto 0);
signal fifo_almost_full_i : std_logic := '0'; -- CR604273/CR604272
signal s_axis_tready_i : std_logic := '0';
signal s_axis_tvalid_i : std_logic := '0';
signal s_axis_tlast_i : std_logic := '0';
signal s_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0');
signal s_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tuser_i : std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0');
signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
signal chnl_ready : std_logic := '0';
signal s_axis_tready_out : std_logic := '0';
signal slv2skid_s_axis_tvalid : std_logic := '0';
signal data_count_af_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal s_data_count_af_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal dm_halt_reg : std_logic := '0'; -- CR591965
signal run_stop_reg : std_logic := '0'; -- CR591965
signal s_axis_fifo_ainit : std_logic := '0';
signal s_axis_tuser_d1 : std_logic := '0';
signal tuser_fsync : std_logic := '0';
signal m_axis_fifo_ainit : std_logic := '0'; -- CR623449
signal done_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR623449
signal m_axis_tlast_i : std_logic := '0'; -- CR623449
signal m_axis_tvalid_i : std_logic := '0'; -- CR623449
signal done_decr_vcount : std_logic := '0'; -- CR623449
signal p_fsync_out : std_logic := '0';
-- Added for CR626585
signal s2mm_all_lines_xfred_i : std_logic := '0';
signal s_axis_fifo_ainit_nosync : std_logic := '0';
signal s_axis_fifo_ainit_nosync_reg : std_logic := '0';
signal m_axis_fifo_ainit_nosync : std_logic := '0';
signal s2mm_axis_linebuf_reset_out_inv : std_logic := '0';
signal s2mm_tuser_fsync_sig : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_d1 : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_fe : std_logic := '0';
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_d1 : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
fsync_out_m <= p_fsync_out;
s2mm_axis_linebuf_reset_out_inv <= s_axis_fifo_ainit_nosync ;
s2mm_tuser_fsync <= s2mm_tuser_fsync_sig ;
crnt_vsize_d2_s <= crnt_vsize_d2 ;
s2mm_axis_linebuf_reset_out <= not(s2mm_axis_linebuf_reset_out_inv) ;
s_axis_fifo_ainit_nosync <= '1' when (s_axis_resetn = '0')
or (dm_halt_reg = '1')
else '0';
process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
s_axis_fifo_ainit_nosync_reg <= s_axis_fifo_ainit_nosync;
end if;
end process ;
m_axis_fifo_ainit_nosync <= '1' when (m_axis_resetn = '0')
or (dm_halt = '1')
else '0';
-- fifo ainit in the S_AXIS clock domain
s_axis_fifo_ainit <= '1' when (s_axis_resetn = '0')
or (fsync_out = '1') -- CR591965
or (dm_halt_reg = '1') -- CR591965
else '0';
m_axis_fifo_ainit <= '1' when (m_axis_resetn = '0')
or (frame_sync = '1') -- CR623449
or (dm_halt = '1') -- CR623449
else '0'; -- CR623449
GEN_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 1 and (C_ENABLE_DEBUG_INFO_12 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate
begin
S2MM_DMASR_BIT7_D1 : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0')then
s2mm_dmasr_fsize_less_err_d1 <= '0';
else
s2mm_dmasr_fsize_less_err_d1 <= s2mm_dmasr_fsize_less_err;
end if;
end if;
end process S2MM_DMASR_BIT7_D1;
s2mm_dmasr_fsize_less_err_fe <= s2mm_dmasr_fsize_less_err_d1 and not s2mm_dmasr_fsize_less_err;
DM_VSIZE_AT_FSIZE_LESS_ERR : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' or s2mm_dmasr_fsize_less_err_fe = '1')then
capture_dm_done_vsize_counter <= (others => '0');
elsif (s2mm_fsize_mismatch_err = '1' and s2mm_dmasr_fsize_less_err = '0')then
capture_dm_done_vsize_counter <= done_vsize_counter;
end if;
end if;
end process DM_VSIZE_AT_FSIZE_LESS_ERR;
end generate GEN_VSIZE_SNAPSHOT_LOGIC;
GEN_NO_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 0 or (C_ENABLE_DEBUG_INFO_12 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate
begin
capture_dm_done_vsize_counter <= (others => '0');
end generate GEN_NO_VSIZE_SNAPSHOT_LOGIC;
GEN_S2MM_DRE_ON : if C_INCLUDE_S2MM_DRE = 1 generate
begin
m_axis_tkeep <= m_axis_tkeep_signal;
s_axis_tkeep_signal <= s_axis_tkeep;
end generate GEN_S2MM_DRE_ON;
GEN_S2MM_DRE_OFF : if C_INCLUDE_S2MM_DRE = 0 generate
begin
m_axis_tkeep <= (others => '1');
s_axis_tkeep_signal <= (others => '1');
end generate GEN_S2MM_DRE_OFF;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_FSYNC_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 0) generate
type STRM_WR_SM_TYPE is (STRM_WR_IDLE,
STRM_WR_START,
STRM_WR_RUNNING,
STRM_WR_LAST
);
signal strm_write_ns : STRM_WR_SM_TYPE;
signal strm_write_cs : STRM_WR_SM_TYPE;
type FIFO_RD_SM_TYPE is (FIFO_RD_IDLE,
-- FIFO_RD_START,
FIFO_RD_RUNNING,
FIFO_RD_FSYNC,
FIFO_RD_FSYNC_LAST,
FIFO_RD_LAST
);
signal fifo_read_ns : FIFO_RD_SM_TYPE;
signal fifo_read_cs : FIFO_RD_SM_TYPE;
signal load_counter : std_logic := '0';
signal load_counter_sm : std_logic := '0';
signal strm_write_pending_sm : std_logic := '0';
signal strm_write_pending : std_logic := '0';
signal fifo_rd_pending_sm : std_logic := '0';
signal fifo_rd_pending : std_logic := '0';
signal stop_tready_sm : std_logic := '0';
signal stop_tready : std_logic := '0';
signal strm_write_pending_m_axi : std_logic := '0';
signal stop_tready_s_axi : std_logic := '0';
signal dm_halt_frame : std_logic := '0';
begin
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
------ GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
------ begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig ;
----- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
-----
-----
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
----- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
----
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
----
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
----
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
----
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
----- end generate GEN_MSTR_SKID_NO_SOF;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--s_axis_tready <= s_axis_tready_out and not chnl_fsync ;
s_axis_tready <= s_axis_tready_out and chnl_ready and
not stop_tready_s_axi ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi ;
-- Generate start of frame fsync
------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
------- begin
-------
------- TUSER_RE_PROCESS : process(s_axis_aclk)
------- begin
------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
------- if(s_axis_fifo_ainit_nosync = '1')then
------- s_axis_tuser_d1 <= '0';
------- else
------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
------- end if;
------- end if;
------- end process TUSER_RE_PROCESS;
-------
------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-------
------- end generate GEN_SOF_FSYNC;
-------
------- -- Do not generate start of frame fsync
------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
------- begin
tuser_fsync <= '0';
------- end generate GEN_NO_SOF_FSYNC;
-------
-------
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready_out <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
----- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
----- begin
-----
----- TUSER_RE_PROCESS : process(s_axis_aclk)
----- begin
----- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
----- if(s_axis_fifo_ainit_nosync = '1')then
----- s_axis_tuser_d1 <= '0';
----- else
----- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
----- end if;
----- end if;
----- end process TUSER_RE_PROCESS;
-----
----- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-----
----- end generate GEN_SOF_FSYNC;
-----
----- -- Do not generate start of frame fsync
----- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
tuser_fsync <= '0';
----- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_1_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_1_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
-- WR_PENDING_P_S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
-- generic map(
-- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
-- C_VECTOR_WIDTH => DATACOUNT_WIDTH
-- )
-- port map (
-- prmry_aclk => m_axis_aclk ,
-- prmry_resetn => m_axis_resetn ,
-- scndry_aclk => s_axis_aclk ,
-- scndry_resetn => s_axis_resetn ,
-- scndry_in => '0' ,
-- prmry_out => open ,
-- prmry_in => stop_tready ,
-- scndry_out => stop_tready_s_axi ,
-- scndry_vect_s_h => '0' ,
-- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
-- prmry_vect_out => open ,
-- prmry_vect_s_h => '1' ,
-- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
-- scndry_vect_out => open
-- );
--
WR_PENDING_P_S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => stop_tready,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => stop_tready_s_axi,
scndry_vect_out => open
);
---- WR_PENDING_S_P_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P ,
---- C_VECTOR_WIDTH => DATACOUNT_WIDTH
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => strm_write_pending ,
---- prmry_out => strm_write_pending_m_axi ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '1' ,
---- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
---- scndry_vect_out => open
---- );
----
WR_PENDING_S_P_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => strm_write_pending,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => strm_write_pending_m_axi,
scndry_vect_out => open
);
--GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
--begin
FIFO_SIDE_DM_HALT_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' and p_fsync_out = '0')then
dm_halt_frame <= '0';
elsif (p_fsync_out = '1') then
dm_halt_frame <= '0';
elsif (dm_halt = '1') then
dm_halt_frame <= '1';
end if;
end if;
end process FIFO_SIDE_DM_HALT_REG;
--end generate GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF;
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
dm_halt_frame <= dm_halt;
s2mm_tuser_fsync_sig <= tuser_fsync;
p_fsync_out <= fsync_out;
--s2mm_all_lines_xfred <= all_lines_xfred; -- CR591965/CR623449
s_data_count_af_thresh <= data_count_af_threshold;
strm_write_pending_m_axi <= strm_write_pending;
stop_tready_s_axi <= stop_tready;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
----GEN_NO_SOF_SM : if C_S2MM_SOF_ENABLE = 0 generate
----begin
STRM_SIDE_SM: process (strm_write_cs,
fsync_out,
decr_vcount,
vsize_counter)is
begin
strm_write_pending_sm <= '0';
strm_write_ns <= strm_write_cs;
case strm_write_cs is
when STRM_WR_IDLE =>
if(fsync_out = '1') then
strm_write_ns <= STRM_WR_RUNNING;
strm_write_pending_sm <= '1';
end if;
when STRM_WR_RUNNING =>
if (decr_vcount = '1' and
vsize_counter = VSIZE_ONE_VALUE) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
elsif (decr_vcount = '1' and
vsize_counter = VSIZE_TWO_VALUE) then
strm_write_ns <= STRM_WR_LAST;
end if;
strm_write_pending_sm <= '1';
when STRM_WR_LAST =>
if (decr_vcount = '1' ) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
end if;
strm_write_pending_sm <= '1';
-- coverage off
when others =>
strm_write_ns <= STRM_WR_IDLE;
-- coverage on
end case;
end process STRM_SIDE_SM;
STRM_SIDE_SM_REG : process(s_axis_aclk) is
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1' and fsync_out = '0')then
strm_write_cs <= STRM_WR_IDLE;
strm_write_pending <= '0';
else
strm_write_cs <= strm_write_ns;
strm_write_pending <= strm_write_pending_sm;
end if;
end if;
end process STRM_SIDE_SM_REG;
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
elsif(load_counter = '1')then
done_vsize_counter <= crnt_vsize;
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
end if;
end if;
end process DONE_VERT_COUNTER;
FIFO_SIDE_SM: process (fifo_read_cs,
done_decr_vcount,
p_fsync_out,
done_vsize_counter,
strm_write_pending_m_axi,
crnt_vsize)is
begin
fifo_read_ns <= fifo_read_cs;
load_counter_sm <= '0';
fifo_rd_pending_sm <= '0';
stop_tready_sm <= '0';
case fifo_read_cs is
when FIFO_RD_IDLE =>
if(p_fsync_out = '1') then
fifo_rd_pending_sm <= '1';
load_counter_sm <= '1';
if (crnt_vsize = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
else
fifo_read_ns <= FIFO_RD_RUNNING;
end if;
end if;
when FIFO_RD_RUNNING =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
else
fifo_read_ns <= FIFO_RD_FSYNC;
end if;
else
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
end if;
end if;
fifo_rd_pending_sm <= '1';
when FIFO_RD_FSYNC =>
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_FSYNC_LAST =>
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
stop_tready_sm <= '0';
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_LAST =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
else
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
else
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_IDLE;
fifo_rd_pending_sm <= '0';
end if;
end if;
fifo_rd_pending_sm <= '1';
-- coverage off
when others =>
fifo_read_ns <= FIFO_RD_IDLE;
-- coverage on
end case;
end process FIFO_SIDE_SM;
FIFO_SIDE_SM_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0' ) or
dm_halt_frame = '1')then
fifo_read_cs <= FIFO_RD_IDLE;
load_counter <= '0';
fifo_rd_pending <= '0';
stop_tready <= '0';
else
fifo_read_cs <= fifo_read_ns;
load_counter <= load_counter_sm;
fifo_rd_pending <= fifo_rd_pending_sm;
stop_tready <= stop_tready_sm;
end if;
end if;
end process FIFO_SIDE_SM_REG;
DONE_XFER_SIG : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
s2mm_all_lines_xfred_i <= '1';
elsif(load_counter = '1' )then
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_XFER_SIG;
----end generate GEN_NO_SOF_SM;
all_lasts_rcvd <= not strm_write_pending_m_axi;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_NO_FSYNC_LOGIC : if ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
----
---- GEN_SYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
---- end generate GEN_SYNC_FIFO_NO_SOF;
----
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
----
---- GEN_ASYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
----
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
---- end generate GEN_ASYNC_FIFO_NO_SOF;
----
----
----
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
---- GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
---- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
---- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
s_axis_tready <= s_axis_tready_out and chnl_ready;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready;
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready;
s_axis_tready_out <= m_axis_tready and chnl_ready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Generate vertical size counter for case when SOF not used
GEN_NO_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 0 generate
begin
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_NO_SOF_VCOUNT;
----
----
----
------ Generate vertical size counter for case when SOF is used
GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
begin
chnl_ready <= run_stop_reg;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_SOF_VCOUNT;
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
all_lasts_rcvd <= s2mm_all_lines_xfred_i;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_NO_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_S2MM_FLUSH_SOF_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1) generate
signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0');
signal mmap_not_finished : std_logic := '0';
signal mmap_not_finished_s : std_logic := '0';
signal mm2s_fsync_s2mm_s : std_logic := '0';
signal s2mm_fsync_int : std_logic := '0';
signal s2mm_fsync_d_pulse : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag_d1 : std_logic := '0';
signal sig_drop_fsync_d_pulse_gen_fsize_less_err : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0';
signal dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0';
signal d_fsync_halt_cmplt_s : std_logic := '0';
signal fsize_err_to_dm_halt_flag : std_logic := '0';
signal fsize_err_to_dm_halt_flag_ored : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true";
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER_FLUSH_SOF : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO_FLUSH_SOF;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ((C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO_FLUSH_SOF;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
end generate GEN_THRESHOLD_ENABLED_FLUSH_SOF;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED_FLUSH_SOF;
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
-- I_MSTR_SKID_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
-- generic map(
-- C_WDATA_WIDTH => C_DATA_WIDTH ,
-- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
-- )
-- port map(
-- -- System Ports
-- ACLK => s_axis_aclk ,
-- ARST => s_axis_fifo_ainit ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => '0' ,
--
-- -- Slave Side (Stream Data Input)
-- S_VALID => slv2skid_s_axis_tvalid ,
-- S_READY => s_axis_tready_out ,
-- S_Data => s_axis_tdata ,
-- S_STRB => s_axis_tkeep ,
-- S_Last => s_axis_tlast ,
-- S_User => s_axis_tuser ,
--
-- -- Master Side (Stream Data Output)
-- M_VALID => s_axis_tvalid_i ,
-- M_READY => s_axis_tready_i ,
-- M_Data => s_axis_tdata_i ,
-- M_STRB => s_axis_tkeep_i ,
-- M_Last => s_axis_tlast_i ,
-- M_User => s_axis_tuser_i
-- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--------s_axis_tready <= s_axis_tready_out and chnl_ready_external;
s_axis_tready <= s_axis_tready_out ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
------slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready_external;
slv2skid_s_axis_tvalid <= s_axis_tvalid ;
end generate GEN_LINEBUFFER_FLUSH_SOF;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER_FLUSH_SOF : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid;
--------------------m_axis_tvalid_i <= s_axis_tvalid and chnl_ready_external;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
----------s_axis_tready_i <= m_axis_tready and chnl_ready_external;
s_axis_tready_i <= m_axis_tready;
---------s_axis_tready_out <= m_axis_tready and chnl_ready_external;
s_axis_tready_out <= m_axis_tready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-------------------------- -- Generate start of frame fsync
-------------------------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
-------------------------- begin
--------------------------
-------------------------- TUSER_RE_PROCESS : process(s_axis_aclk)
-------------------------- begin
-------------------------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
-------------------------- if(s_axis_fifo_ainit_nosync = '1')then
-------------------------- s_axis_tuser_d1 <= '0';
-------------------------- else
-------------------------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
-------------------------- end if;
-------------------------- end if;
-------------------------- end process TUSER_RE_PROCESS;
--------------------------
-------------------------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
--------------------------
-------------------------- end generate GEN_SOF_FSYNC;
--------------------------
-------------------------- -- Do not generate start of frame fsync
-------------------------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
-------------------------- begin
-------------------------- tuser_fsync <= '0';
-------------------------- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER_FLUSH_SOF;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
TUSER_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
---- MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mmap_not_finished ,
---- scndry_out => mmap_not_finished_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => mmap_not_finished,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mmap_not_finished_s,
scndry_vect_out => open
);
GEN_FSYNC_SEL_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
fsync_src_select_cdc_tig <= fsync_src_select;
fsync_src_select_d1 <= fsync_src_select_cdc_tig;
end if;
end process GEN_FSYNC_SEL_CROSSING;
fsync_src_select_s_int <= fsync_src_select_d1;
GEN_FOR_ASYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
---- CROSS_FSYNC_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_mm2s_aclk ,
---- prmry_resetn => mm2s_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mm2s_fsync ,
---- scndry_out => mm2s_fsync_s2mm_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
CROSS_FSYNC_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_mm2s_aclk,
prmry_resetn => mm2s_axis_resetn,
prmry_in => mm2s_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mm2s_fsync_s2mm_s,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC_CROSS_FSYNC;
GEN_FOR_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_ASYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_ASYNC_FLUSH_SOF;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
mmap_not_finished_s <= mmap_not_finished;
fsync_src_select_s_int <= fsync_src_select;
dm_halt_reg <= dm_halt;
--dm_halt_cmplt_s <= dm_halt_cmplt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
GEN_FOR_SYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
mm2s_fsync_s2mm_s <= mm2s_fsync;
end generate GEN_FOR_SYNC_CROSS_FSYNC;
GEN_FOR_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_SYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_SYNC_FLUSH_SOF;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-----------------------GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
-----------------------begin
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER_FLUSH_SOF : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit = '1' and p_fsync_out = '0') or s2mm_fsize_mismatch_err_flag = '1')then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
mmap_not_finished <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
mmap_not_finished <= '1';
end if;
end if;
end process DONE_VERT_COUNTER_FLUSH_SOF;
delay_s2mm_fsync_core_till_mmap_done <= '1' when mmap_not_finished_s = '1' and strm_not_finished = '0' and s2mm_fsync_int = '1' and delay_s2mm_fsync_core_till_mmap_done_flag = '0'
else '0';
hold_dummy_tready_low <= delay_s2mm_fsync_core_till_mmap_done or delay_s2mm_fsync_core_till_mmap_done_flag;
HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or mmap_not_finished_s = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '0';
elsif(delay_s2mm_fsync_core_till_mmap_done = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '1';
end if;
end if;
end process HOLD_DELAY_FSYNC_IN_FLAG;
D1_HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= '0';
else
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= delay_s2mm_fsync_core_till_mmap_done_flag;
end if;
end if;
end process D1_HOLD_DELAY_FSYNC_IN_FLAG;
s2mm_fsync_d_pulse <= delay_s2mm_fsync_core_till_mmap_done_flag_d1 and (not delay_s2mm_fsync_core_till_mmap_done_flag) ;
s2mm_fsync_core <= (s2mm_fsync_int and not (delay_s2mm_fsync_core_till_mmap_done) and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or s2mm_fsync_d_pulse or d_fsync_halt_cmplt_s;
sig_drop_fsync_d_pulse_gen_fsize_less_err <= '1' when delay_s2mm_fsync_core_till_mmap_done_flag = '1' and s2mm_fsync_int = '1'
else '0';
GEN_FOR_C_USE_S2MM_FSYNC_1 : if C_USE_S2MM_FSYNC = 1 generate
begin
s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
end generate GEN_FOR_C_USE_S2MM_FSYNC_1;
GEN_FOR_C_USE_S2MM_FSYNC_2 : if C_USE_S2MM_FSYNC = 2 generate
begin
s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
end generate GEN_FOR_C_USE_S2MM_FSYNC_2;
-- Frame sync cross bar
------ FSYNC_CROSSBAR_S2MM_S : process(fsync_src_select_s_int,
------ run_stop_reg,
------ s2mm_fsync,
------ mm2s_fsync_s2mm_s, no_fsync_before_vsize_sel_00_01,
------ s2mm_tuser_fsync_top)
------ begin
------ case fsync_src_select_s_int is
------
------ when "00" => -- primary fsync (default)
------ s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "01" => -- other channel fsync
------ s2mm_fsync_int <= mm2s_fsync_s2mm_s and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "10" => -- s2mm_tuser_fsync_top fsync (used only by s2mm)
------ s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
------ when others =>
------ s2mm_fsync_int <= '0';
------ end case;
------ end process FSYNC_CROSSBAR_S2MM_S;
------
-----------------------end generate GEN_SOF_VCOUNT;
S2MM_FSIZE_ERR_TO_DM_HALT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or dm_halt_reg = '1')then
fsize_err_to_dm_halt_flag <= '0';
elsif(s2mm_fsize_mismatch_err_s = '1')then
fsize_err_to_dm_halt_flag <= '1';
end if;
end if;
end process S2MM_FSIZE_ERR_TO_DM_HALT_FLAG;
fsize_err_to_dm_halt_flag_ored <= s2mm_fsize_mismatch_err_s or fsize_err_to_dm_halt_flag or dm_halt_reg;
delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and s2mm_fsync_int = '1'
else '0';
FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0';
elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1';
end if;
end if;
end process FSIZE_LESS_DM_HALT_CMPLT_FLAG;
REG_D_FSYNC : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0';
else
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
end if;
end if;
end process REG_D_FSYNC;
d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
hold_dummy_tready_low2 <= delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s or delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
s2mm_all_lines_xfred <= '0';
all_lasts_rcvd <= '0';
tuser_fsync <= '0';
fsync_src_select_s <= fsync_src_select_s_int;
drop_fsync_d_pulse_gen_fsize_less_err <= sig_drop_fsync_d_pulse_gen_fsize_less_err;
end generate GEN_S2MM_FLUSH_SOF_LOGIC;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_s2mm_linebuf.vhd
|
4
|
141497
|
-------------------------------------------------------------------------------
-- axi_vdma_s2mm_linebuf
-------------------------------------------------------------------------------
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_s2mm_linebuf.vhd
-- Description: This entity encompases the line buffer logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_cdc_v1_0_2;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_s2mm_linebuf is
generic (
C_DATA_WIDTH : integer range 8 to 1024 := 32;
-- Line Buffer Data Width
C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0;
C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0;
-- Enable/Disable start of frame generation on tuser(0). This
-- is only valid for external frame sync (C_USE_FSYNC = 1)
-- 0 = disable SOF
-- 1 = enable SOF
C_S_AXIS_S2MM_TUSER_BITS : integer range 1 to 1 := 1;
-- Slave AXI Stream User Width for S2MM Channel
C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142
-- Depth as set by user at top level parameter
C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512;
-- Linebuffer depth in Bytes. Must be a power of 2
C_LINEBUFFER_AF_THRESH : integer range 1 to 65536 := 1;
-- Linebuffer almost full threshold in Bytes. Must be a power of 2
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_USE_S2MM_FSYNC : integer range 0 to 2 := 2; --2013.1
C_USE_FSYNC : integer range 0 to 1 := 0;
C_INCLUDE_MM2S : integer range 0 to 1 := 0 ;
C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1;
-- Setting this make core backward compatible to 2012.4 version in terms of ports and registers
--C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 --
--C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 --
C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1;
-- Enable debug information bit 0
C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1;
-- Enable debug information bit 1
C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1;
-- Enable debug information bit 2
C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1;
-- Enable debug information bit 3
C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1;
-- Enable debug information bit 4
C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1;
-- Enable debug information bit 5
C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1;
-- Enable debug information bit 6
C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1;
-- Enable debug information bit 7
C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1;
-- Enable debug information bit 8
C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1;
-- Enable debug information bit 9
C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1;
-- Enable debug information bit 10
C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1;
-- Enable debug information bit 11
C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1;
-- Enable debug information bit 12
C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1;
-- Enable debug information bit 13
C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1;
-- Enable debug information bit 14
C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1;
-- Enable debug information bit 15
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
s_axis_aclk : in std_logic ; --
s_axis_resetn : in std_logic ; --
--
m_axis_aclk : in std_logic ; --
m_axis_resetn : in std_logic ; --
--
s2mm_axis_linebuf_reset_out : out std_logic ; --
--
strm_not_finished : in std_logic ; --
-- Graceful shut down control --
run_stop : in std_logic ; --
dm_halt : in std_logic ; -- CR591965
dm_halt_cmplt : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err_s : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err : in std_logic ; -- CR591965
--
-- Line Tracking Control --
crnt_vsize : in std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
crnt_vsize_d2_s : out std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
chnl_ready_external : in std_logic ; -- CR575884
s2mm_fsync_core : out std_logic ; -- CR575884
s2mm_fsync : in std_logic ; -- CR575884
s2mm_tuser_fsync_top : in std_logic ; -- CR575884
mm2s_axis_resetn : in std_logic := '1' ; --
m_axis_mm2s_aclk : in std_logic := '0' ; --
mm2s_fsync : in std_logic ; --
fsync_src_select : in std_logic_vector(1 downto 0) ; --
fsync_src_select_s : out std_logic_vector(1 downto 0) ; --
drop_fsync_d_pulse_gen_fsize_less_err : out std_logic ; --
hold_dummy_tready_low : out std_logic ; --
hold_dummy_tready_low2 : out std_logic ; --
s2mm_dmasr_fsize_less_err : in std_logic ; --
no_fsync_before_vsize_sel_00_01 : in std_logic ; -- CR575884
s2mm_fsize_mismatch_err_flag : in std_logic ; -- CR575884
fsync_out_m : out std_logic ; -- CR575884
fsync_out : in std_logic ; -- CR575884
frame_sync : in std_logic ; -- CR575884
--
-- Line Buffer Threshold --
linebuf_threshold : in std_logic_vector --
(LINEBUFFER_THRESH_WIDTH-1 downto 0); --
-- Stream In --
s_axis_tdata : in std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
s_axis_tkeep : in std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
s_axis_tlast : in std_logic ; --
s_axis_tvalid : in std_logic ; --
s_axis_tready : out std_logic ; --
s_axis_tuser : in std_logic_vector --
(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0); --
capture_dm_done_vsize_counter : out std_logic_vector(12 downto 0); --
-- Stream Out --
m_axis_tdata : out std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
m_axis_tkeep : out std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
m_axis_tlast : out std_logic ; --
m_axis_tvalid : out std_logic ; --
m_axis_tready : in std_logic ; --
--
-- Fifo Status Flags --
s2mm_fifo_full : out std_logic ; --
s2mm_fifo_almost_full : out std_logic ; --
s2mm_all_lines_xfred : out std_logic ; -- CR591965
all_lasts_rcvd : out std_logic ;
s2mm_tuser_fsync : out std_logic
);
end axi_vdma_s2mm_linebuf;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_s2mm_linebuf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Bufer depth
--constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8));
constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH;
-- Buffer width is data width + strobe width + 1 bit for tlast
constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8)*C_INCLUDE_S2MM_DRE + 1; --tkeep
-- Buffer data count width
constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH);
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_TWO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0');
-- Linebuffer threshold support
constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0');
signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0):= (others => '0');
signal fifo_wren : std_logic := '0';
signal fifo_rden : std_logic := '0';
signal fifo_empty_i : std_logic := '0';
signal fifo_full_i : std_logic := '0';
signal fifo_ainit : std_logic := '0';
signal fifo_wrcount : std_logic_vector(DATACOUNT_WIDTH-1 downto 0);
signal fifo_almost_full_i : std_logic := '0'; -- CR604273/CR604272
signal s_axis_tready_i : std_logic := '0';
signal s_axis_tvalid_i : std_logic := '0';
signal s_axis_tlast_i : std_logic := '0';
signal s_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0');
signal s_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tuser_i : std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0');
signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
signal chnl_ready : std_logic := '0';
signal s_axis_tready_out : std_logic := '0';
signal slv2skid_s_axis_tvalid : std_logic := '0';
signal data_count_af_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal s_data_count_af_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal dm_halt_reg : std_logic := '0'; -- CR591965
signal run_stop_reg : std_logic := '0'; -- CR591965
signal s_axis_fifo_ainit : std_logic := '0';
signal s_axis_tuser_d1 : std_logic := '0';
signal tuser_fsync : std_logic := '0';
signal m_axis_fifo_ainit : std_logic := '0'; -- CR623449
signal done_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR623449
signal m_axis_tlast_i : std_logic := '0'; -- CR623449
signal m_axis_tvalid_i : std_logic := '0'; -- CR623449
signal done_decr_vcount : std_logic := '0'; -- CR623449
signal p_fsync_out : std_logic := '0';
-- Added for CR626585
signal s2mm_all_lines_xfred_i : std_logic := '0';
signal s_axis_fifo_ainit_nosync : std_logic := '0';
signal s_axis_fifo_ainit_nosync_reg : std_logic := '0';
signal m_axis_fifo_ainit_nosync : std_logic := '0';
signal s2mm_axis_linebuf_reset_out_inv : std_logic := '0';
signal s2mm_tuser_fsync_sig : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_d1 : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_fe : std_logic := '0';
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_d1 : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
fsync_out_m <= p_fsync_out;
s2mm_axis_linebuf_reset_out_inv <= s_axis_fifo_ainit_nosync ;
s2mm_tuser_fsync <= s2mm_tuser_fsync_sig ;
crnt_vsize_d2_s <= crnt_vsize_d2 ;
s2mm_axis_linebuf_reset_out <= not(s2mm_axis_linebuf_reset_out_inv) ;
s_axis_fifo_ainit_nosync <= '1' when (s_axis_resetn = '0')
or (dm_halt_reg = '1')
else '0';
process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
s_axis_fifo_ainit_nosync_reg <= s_axis_fifo_ainit_nosync;
end if;
end process ;
m_axis_fifo_ainit_nosync <= '1' when (m_axis_resetn = '0')
or (dm_halt = '1')
else '0';
-- fifo ainit in the S_AXIS clock domain
s_axis_fifo_ainit <= '1' when (s_axis_resetn = '0')
or (fsync_out = '1') -- CR591965
or (dm_halt_reg = '1') -- CR591965
else '0';
m_axis_fifo_ainit <= '1' when (m_axis_resetn = '0')
or (frame_sync = '1') -- CR623449
or (dm_halt = '1') -- CR623449
else '0'; -- CR623449
GEN_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 1 and (C_ENABLE_DEBUG_INFO_12 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate
begin
S2MM_DMASR_BIT7_D1 : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0')then
s2mm_dmasr_fsize_less_err_d1 <= '0';
else
s2mm_dmasr_fsize_less_err_d1 <= s2mm_dmasr_fsize_less_err;
end if;
end if;
end process S2MM_DMASR_BIT7_D1;
s2mm_dmasr_fsize_less_err_fe <= s2mm_dmasr_fsize_less_err_d1 and not s2mm_dmasr_fsize_less_err;
DM_VSIZE_AT_FSIZE_LESS_ERR : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' or s2mm_dmasr_fsize_less_err_fe = '1')then
capture_dm_done_vsize_counter <= (others => '0');
elsif (s2mm_fsize_mismatch_err = '1' and s2mm_dmasr_fsize_less_err = '0')then
capture_dm_done_vsize_counter <= done_vsize_counter;
end if;
end if;
end process DM_VSIZE_AT_FSIZE_LESS_ERR;
end generate GEN_VSIZE_SNAPSHOT_LOGIC;
GEN_NO_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 0 or (C_ENABLE_DEBUG_INFO_12 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate
begin
capture_dm_done_vsize_counter <= (others => '0');
end generate GEN_NO_VSIZE_SNAPSHOT_LOGIC;
GEN_S2MM_DRE_ON : if C_INCLUDE_S2MM_DRE = 1 generate
begin
m_axis_tkeep <= m_axis_tkeep_signal;
s_axis_tkeep_signal <= s_axis_tkeep;
end generate GEN_S2MM_DRE_ON;
GEN_S2MM_DRE_OFF : if C_INCLUDE_S2MM_DRE = 0 generate
begin
m_axis_tkeep <= (others => '1');
s_axis_tkeep_signal <= (others => '1');
end generate GEN_S2MM_DRE_OFF;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_FSYNC_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 0) generate
type STRM_WR_SM_TYPE is (STRM_WR_IDLE,
STRM_WR_START,
STRM_WR_RUNNING,
STRM_WR_LAST
);
signal strm_write_ns : STRM_WR_SM_TYPE;
signal strm_write_cs : STRM_WR_SM_TYPE;
type FIFO_RD_SM_TYPE is (FIFO_RD_IDLE,
-- FIFO_RD_START,
FIFO_RD_RUNNING,
FIFO_RD_FSYNC,
FIFO_RD_FSYNC_LAST,
FIFO_RD_LAST
);
signal fifo_read_ns : FIFO_RD_SM_TYPE;
signal fifo_read_cs : FIFO_RD_SM_TYPE;
signal load_counter : std_logic := '0';
signal load_counter_sm : std_logic := '0';
signal strm_write_pending_sm : std_logic := '0';
signal strm_write_pending : std_logic := '0';
signal fifo_rd_pending_sm : std_logic := '0';
signal fifo_rd_pending : std_logic := '0';
signal stop_tready_sm : std_logic := '0';
signal stop_tready : std_logic := '0';
signal strm_write_pending_m_axi : std_logic := '0';
signal stop_tready_s_axi : std_logic := '0';
signal dm_halt_frame : std_logic := '0';
begin
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
------ GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
------ begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig ;
----- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
-----
-----
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
----- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
----
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
----
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
----
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
----
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
----- end generate GEN_MSTR_SKID_NO_SOF;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--s_axis_tready <= s_axis_tready_out and not chnl_fsync ;
s_axis_tready <= s_axis_tready_out and chnl_ready and
not stop_tready_s_axi ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi ;
-- Generate start of frame fsync
------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
------- begin
-------
------- TUSER_RE_PROCESS : process(s_axis_aclk)
------- begin
------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
------- if(s_axis_fifo_ainit_nosync = '1')then
------- s_axis_tuser_d1 <= '0';
------- else
------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
------- end if;
------- end if;
------- end process TUSER_RE_PROCESS;
-------
------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-------
------- end generate GEN_SOF_FSYNC;
-------
------- -- Do not generate start of frame fsync
------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
------- begin
tuser_fsync <= '0';
------- end generate GEN_NO_SOF_FSYNC;
-------
-------
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready_out <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
----- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
----- begin
-----
----- TUSER_RE_PROCESS : process(s_axis_aclk)
----- begin
----- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
----- if(s_axis_fifo_ainit_nosync = '1')then
----- s_axis_tuser_d1 <= '0';
----- else
----- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
----- end if;
----- end if;
----- end process TUSER_RE_PROCESS;
-----
----- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-----
----- end generate GEN_SOF_FSYNC;
-----
----- -- Do not generate start of frame fsync
----- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
tuser_fsync <= '0';
----- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_1_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_1_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
-- WR_PENDING_P_S_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
-- generic map(
-- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
-- C_VECTOR_WIDTH => DATACOUNT_WIDTH
-- )
-- port map (
-- prmry_aclk => m_axis_aclk ,
-- prmry_resetn => m_axis_resetn ,
-- scndry_aclk => s_axis_aclk ,
-- scndry_resetn => s_axis_resetn ,
-- scndry_in => '0' ,
-- prmry_out => open ,
-- prmry_in => stop_tready ,
-- scndry_out => stop_tready_s_axi ,
-- scndry_vect_s_h => '0' ,
-- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
-- prmry_vect_out => open ,
-- prmry_vect_s_h => '1' ,
-- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
-- scndry_vect_out => open
-- );
--
WR_PENDING_P_S_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => stop_tready,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => stop_tready_s_axi,
scndry_vect_out => open
);
---- WR_PENDING_S_P_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P ,
---- C_VECTOR_WIDTH => DATACOUNT_WIDTH
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => strm_write_pending ,
---- prmry_out => strm_write_pending_m_axi ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '1' ,
---- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
---- scndry_vect_out => open
---- );
----
WR_PENDING_S_P_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => strm_write_pending,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => strm_write_pending_m_axi,
scndry_vect_out => open
);
--GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
--begin
FIFO_SIDE_DM_HALT_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' and p_fsync_out = '0')then
dm_halt_frame <= '0';
elsif (p_fsync_out = '1') then
dm_halt_frame <= '0';
elsif (dm_halt = '1') then
dm_halt_frame <= '1';
end if;
end if;
end process FIFO_SIDE_DM_HALT_REG;
--end generate GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF;
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
dm_halt_frame <= dm_halt;
s2mm_tuser_fsync_sig <= tuser_fsync;
p_fsync_out <= fsync_out;
--s2mm_all_lines_xfred <= all_lines_xfred; -- CR591965/CR623449
s_data_count_af_thresh <= data_count_af_threshold;
strm_write_pending_m_axi <= strm_write_pending;
stop_tready_s_axi <= stop_tready;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
----GEN_NO_SOF_SM : if C_S2MM_SOF_ENABLE = 0 generate
----begin
STRM_SIDE_SM: process (strm_write_cs,
fsync_out,
decr_vcount,
vsize_counter)is
begin
strm_write_pending_sm <= '0';
strm_write_ns <= strm_write_cs;
case strm_write_cs is
when STRM_WR_IDLE =>
if(fsync_out = '1') then
strm_write_ns <= STRM_WR_RUNNING;
strm_write_pending_sm <= '1';
end if;
when STRM_WR_RUNNING =>
if (decr_vcount = '1' and
vsize_counter = VSIZE_ONE_VALUE) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
elsif (decr_vcount = '1' and
vsize_counter = VSIZE_TWO_VALUE) then
strm_write_ns <= STRM_WR_LAST;
end if;
strm_write_pending_sm <= '1';
when STRM_WR_LAST =>
if (decr_vcount = '1' ) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
end if;
strm_write_pending_sm <= '1';
-- coverage off
when others =>
strm_write_ns <= STRM_WR_IDLE;
-- coverage on
end case;
end process STRM_SIDE_SM;
STRM_SIDE_SM_REG : process(s_axis_aclk) is
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1' and fsync_out = '0')then
strm_write_cs <= STRM_WR_IDLE;
strm_write_pending <= '0';
else
strm_write_cs <= strm_write_ns;
strm_write_pending <= strm_write_pending_sm;
end if;
end if;
end process STRM_SIDE_SM_REG;
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
elsif(load_counter = '1')then
done_vsize_counter <= crnt_vsize;
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
end if;
end if;
end process DONE_VERT_COUNTER;
FIFO_SIDE_SM: process (fifo_read_cs,
done_decr_vcount,
p_fsync_out,
done_vsize_counter,
strm_write_pending_m_axi,
crnt_vsize)is
begin
fifo_read_ns <= fifo_read_cs;
load_counter_sm <= '0';
fifo_rd_pending_sm <= '0';
stop_tready_sm <= '0';
case fifo_read_cs is
when FIFO_RD_IDLE =>
if(p_fsync_out = '1') then
fifo_rd_pending_sm <= '1';
load_counter_sm <= '1';
if (crnt_vsize = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
else
fifo_read_ns <= FIFO_RD_RUNNING;
end if;
end if;
when FIFO_RD_RUNNING =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
else
fifo_read_ns <= FIFO_RD_FSYNC;
end if;
else
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
end if;
end if;
fifo_rd_pending_sm <= '1';
when FIFO_RD_FSYNC =>
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_FSYNC_LAST =>
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
stop_tready_sm <= '0';
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_LAST =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
else
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
else
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_IDLE;
fifo_rd_pending_sm <= '0';
end if;
end if;
fifo_rd_pending_sm <= '1';
-- coverage off
when others =>
fifo_read_ns <= FIFO_RD_IDLE;
-- coverage on
end case;
end process FIFO_SIDE_SM;
FIFO_SIDE_SM_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0' ) or
dm_halt_frame = '1')then
fifo_read_cs <= FIFO_RD_IDLE;
load_counter <= '0';
fifo_rd_pending <= '0';
stop_tready <= '0';
else
fifo_read_cs <= fifo_read_ns;
load_counter <= load_counter_sm;
fifo_rd_pending <= fifo_rd_pending_sm;
stop_tready <= stop_tready_sm;
end if;
end if;
end process FIFO_SIDE_SM_REG;
DONE_XFER_SIG : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
s2mm_all_lines_xfred_i <= '1';
elsif(load_counter = '1' )then
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_XFER_SIG;
----end generate GEN_NO_SOF_SM;
all_lasts_rcvd <= not strm_write_pending_m_axi;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_NO_FSYNC_LOGIC : if ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
----
---- GEN_SYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
---- end generate GEN_SYNC_FIFO_NO_SOF;
----
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
----
---- GEN_ASYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
----
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
---- end generate GEN_ASYNC_FIFO_NO_SOF;
----
----
----
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
---- GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
---- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
---- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
s_axis_tready <= s_axis_tready_out and chnl_ready;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready;
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready;
s_axis_tready_out <= m_axis_tready and chnl_ready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Generate vertical size counter for case when SOF not used
GEN_NO_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 0 generate
begin
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_NO_SOF_VCOUNT;
----
----
----
------ Generate vertical size counter for case when SOF is used
GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
begin
chnl_ready <= run_stop_reg;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_SOF_VCOUNT;
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
all_lasts_rcvd <= s2mm_all_lines_xfred_i;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_NO_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_S2MM_FLUSH_SOF_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1) generate
signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0');
signal mmap_not_finished : std_logic := '0';
signal mmap_not_finished_s : std_logic := '0';
signal mm2s_fsync_s2mm_s : std_logic := '0';
signal s2mm_fsync_int : std_logic := '0';
signal s2mm_fsync_d_pulse : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag_d1 : std_logic := '0';
signal sig_drop_fsync_d_pulse_gen_fsize_less_err : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0';
signal dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0';
signal d_fsync_halt_cmplt_s : std_logic := '0';
signal fsize_err_to_dm_halt_flag : std_logic := '0';
signal fsize_err_to_dm_halt_flag_ored : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true";
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER_FLUSH_SOF : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO_FLUSH_SOF;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ((C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2_8.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync_reg ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO_FLUSH_SOF;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
end generate GEN_THRESHOLD_ENABLED_FLUSH_SOF;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED_FLUSH_SOF;
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
-- I_MSTR_SKID_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_skid_buf
-- generic map(
-- C_WDATA_WIDTH => C_DATA_WIDTH ,
-- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
-- )
-- port map(
-- -- System Ports
-- ACLK => s_axis_aclk ,
-- ARST => s_axis_fifo_ainit ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => '0' ,
--
-- -- Slave Side (Stream Data Input)
-- S_VALID => slv2skid_s_axis_tvalid ,
-- S_READY => s_axis_tready_out ,
-- S_Data => s_axis_tdata ,
-- S_STRB => s_axis_tkeep ,
-- S_Last => s_axis_tlast ,
-- S_User => s_axis_tuser ,
--
-- -- Master Side (Stream Data Output)
-- M_VALID => s_axis_tvalid_i ,
-- M_READY => s_axis_tready_i ,
-- M_Data => s_axis_tdata_i ,
-- M_STRB => s_axis_tkeep_i ,
-- M_Last => s_axis_tlast_i ,
-- M_User => s_axis_tuser_i
-- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--------s_axis_tready <= s_axis_tready_out and chnl_ready_external;
s_axis_tready <= s_axis_tready_out ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
------slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready_external;
slv2skid_s_axis_tvalid <= s_axis_tvalid ;
end generate GEN_LINEBUFFER_FLUSH_SOF;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER_FLUSH_SOF : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid;
--------------------m_axis_tvalid_i <= s_axis_tvalid and chnl_ready_external;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
----------s_axis_tready_i <= m_axis_tready and chnl_ready_external;
s_axis_tready_i <= m_axis_tready;
---------s_axis_tready_out <= m_axis_tready and chnl_ready_external;
s_axis_tready_out <= m_axis_tready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-------------------------- -- Generate start of frame fsync
-------------------------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
-------------------------- begin
--------------------------
-------------------------- TUSER_RE_PROCESS : process(s_axis_aclk)
-------------------------- begin
-------------------------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
-------------------------- if(s_axis_fifo_ainit_nosync = '1')then
-------------------------- s_axis_tuser_d1 <= '0';
-------------------------- else
-------------------------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
-------------------------- end if;
-------------------------- end if;
-------------------------- end process TUSER_RE_PROCESS;
--------------------------
-------------------------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
--------------------------
-------------------------- end generate GEN_SOF_FSYNC;
--------------------------
-------------------------- -- Do not generate start of frame fsync
-------------------------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
-------------------------- begin
-------------------------- tuser_fsync <= '0';
-------------------------- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER_FLUSH_SOF;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
TUSER_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
---- MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mmap_not_finished ,
---- scndry_out => mmap_not_finished_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => mmap_not_finished,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mmap_not_finished_s,
scndry_vect_out => open
);
GEN_FSYNC_SEL_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
fsync_src_select_cdc_tig <= fsync_src_select;
fsync_src_select_d1 <= fsync_src_select_cdc_tig;
end if;
end process GEN_FSYNC_SEL_CROSSING;
fsync_src_select_s_int <= fsync_src_select_d1;
GEN_FOR_ASYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
---- CROSS_FSYNC_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_mm2s_aclk ,
---- prmry_resetn => mm2s_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mm2s_fsync ,
---- scndry_out => mm2s_fsync_s2mm_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
CROSS_FSYNC_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_mm2s_aclk,
prmry_resetn => mm2s_axis_resetn,
prmry_in => mm2s_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mm2s_fsync_s2mm_s,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC_CROSS_FSYNC;
GEN_FOR_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_ASYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_ASYNC_FLUSH_SOF;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
mmap_not_finished_s <= mmap_not_finished;
fsync_src_select_s_int <= fsync_src_select;
dm_halt_reg <= dm_halt;
--dm_halt_cmplt_s <= dm_halt_cmplt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
GEN_FOR_SYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
mm2s_fsync_s2mm_s <= mm2s_fsync;
end generate GEN_FOR_SYNC_CROSS_FSYNC;
GEN_FOR_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_SYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_SYNC_FLUSH_SOF;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-----------------------GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
-----------------------begin
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER_FLUSH_SOF : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit = '1' and p_fsync_out = '0') or s2mm_fsize_mismatch_err_flag = '1')then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
mmap_not_finished <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
mmap_not_finished <= '1';
end if;
end if;
end process DONE_VERT_COUNTER_FLUSH_SOF;
delay_s2mm_fsync_core_till_mmap_done <= '1' when mmap_not_finished_s = '1' and strm_not_finished = '0' and s2mm_fsync_int = '1' and delay_s2mm_fsync_core_till_mmap_done_flag = '0'
else '0';
hold_dummy_tready_low <= delay_s2mm_fsync_core_till_mmap_done or delay_s2mm_fsync_core_till_mmap_done_flag;
HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or mmap_not_finished_s = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '0';
elsif(delay_s2mm_fsync_core_till_mmap_done = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '1';
end if;
end if;
end process HOLD_DELAY_FSYNC_IN_FLAG;
D1_HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= '0';
else
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= delay_s2mm_fsync_core_till_mmap_done_flag;
end if;
end if;
end process D1_HOLD_DELAY_FSYNC_IN_FLAG;
s2mm_fsync_d_pulse <= delay_s2mm_fsync_core_till_mmap_done_flag_d1 and (not delay_s2mm_fsync_core_till_mmap_done_flag) ;
s2mm_fsync_core <= (s2mm_fsync_int and not (delay_s2mm_fsync_core_till_mmap_done) and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or s2mm_fsync_d_pulse or d_fsync_halt_cmplt_s;
sig_drop_fsync_d_pulse_gen_fsize_less_err <= '1' when delay_s2mm_fsync_core_till_mmap_done_flag = '1' and s2mm_fsync_int = '1'
else '0';
GEN_FOR_C_USE_S2MM_FSYNC_1 : if C_USE_S2MM_FSYNC = 1 generate
begin
s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
end generate GEN_FOR_C_USE_S2MM_FSYNC_1;
GEN_FOR_C_USE_S2MM_FSYNC_2 : if C_USE_S2MM_FSYNC = 2 generate
begin
s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
end generate GEN_FOR_C_USE_S2MM_FSYNC_2;
-- Frame sync cross bar
------ FSYNC_CROSSBAR_S2MM_S : process(fsync_src_select_s_int,
------ run_stop_reg,
------ s2mm_fsync,
------ mm2s_fsync_s2mm_s, no_fsync_before_vsize_sel_00_01,
------ s2mm_tuser_fsync_top)
------ begin
------ case fsync_src_select_s_int is
------
------ when "00" => -- primary fsync (default)
------ s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "01" => -- other channel fsync
------ s2mm_fsync_int <= mm2s_fsync_s2mm_s and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "10" => -- s2mm_tuser_fsync_top fsync (used only by s2mm)
------ s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
------ when others =>
------ s2mm_fsync_int <= '0';
------ end case;
------ end process FSYNC_CROSSBAR_S2MM_S;
------
-----------------------end generate GEN_SOF_VCOUNT;
S2MM_FSIZE_ERR_TO_DM_HALT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or dm_halt_reg = '1')then
fsize_err_to_dm_halt_flag <= '0';
elsif(s2mm_fsize_mismatch_err_s = '1')then
fsize_err_to_dm_halt_flag <= '1';
end if;
end if;
end process S2MM_FSIZE_ERR_TO_DM_HALT_FLAG;
fsize_err_to_dm_halt_flag_ored <= s2mm_fsize_mismatch_err_s or fsize_err_to_dm_halt_flag or dm_halt_reg;
delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and s2mm_fsync_int = '1'
else '0';
FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0';
elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1';
end if;
end if;
end process FSIZE_LESS_DM_HALT_CMPLT_FLAG;
REG_D_FSYNC : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0';
else
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
end if;
end if;
end process REG_D_FSYNC;
d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
hold_dummy_tready_low2 <= delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s or delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
s2mm_all_lines_xfred <= '0';
all_lasts_rcvd <= '0';
tuser_fsync <= '0';
fsync_src_select_s <= fsync_src_select_s_int;
drop_fsync_d_pulse_gen_fsize_less_err <= sig_drop_fsync_d_pulse_gen_fsize_less_err;
end generate GEN_S2MM_FLUSH_SOF_LOGIC;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_reg_mux.vhd
|
4
|
592541
|
-------------------------------------------------------------------------------
-- axi_vdma_reg_mux
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_reg_mux.vhd
-- Description: This entity is AXI VDMA Register Module Top Level
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdmantrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_regf.vhd
-- | |- axi_vdma_litef.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sgf.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdstsf.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sgf.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdstsf.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_reg_mux is
generic (
C_TOTAL_NUM_REGISTER : integer := 8 ;
-- Total number of defined registers for AXI VDMA. Used
-- to determine wrce and rdce vector widths.
C_INCLUDE_SG : integer range 0 to 1 := 1 ;
-- Include or Exclude Scatter Gather Engine
-- 0 = Exclude Scatter Gather Engine (Enables Register Direct Mode)
-- 1 = Include Scatter Gather Engine
C_CHANNEL_IS_MM2S : integer range 0 to 1 := 1 ;
-- Channel type for Read Mux
-- 0 = Channel is S2MM
-- 1 = Channel is MM2S
C_NUM_FSTORES : integer range 1 to 32 := 3 ;
-- Number of Frame Stores
C_NUM_FSTORES_64 : integer range 1 to 32 := 3 ;
-- Number of Frame Stores
C_ENABLE_VIDPRMTR_READS : integer range 0 to 1 := 1 ;
-- Specifies whether video parameters are readable by axi_lite interface
-- when configure for Register Direct Mode
-- 0 = Disable Video Parameter Reads
-- 1 = Enable Video Parameter Reads
C_S_AXI_LITE_ADDR_WIDTH : integer range 9 to 9 := 9 ;
-- AXI Lite interface address width
C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ;
-- AXI Lite interface data width
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ;
-- Scatter Gather engine Address Width
C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32
-- Master AXI Memory Map Address Width for MM2S Write Port
);
port (
-----------------------------------------------------------------------
-- AXI Lite Control Interface
-----------------------------------------------------------------------
axi2ip_rdaddr : in std_logic_vector --
(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) ; --
axi2ip_rden : in std_logic ; --
ip2axi_rddata : out std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
ip2axi_rddata_valid : out std_logic ; --
reg_index : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dmacr : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dmasr : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
dma_irq_mask : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
curdesc_lsb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
curdesc_msb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
taildesc_lsb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
taildesc_msb : in std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
num_frame_store : in std_logic_vector --
(NUM_FRM_STORE_WIDTH-1 downto 0) ; --
linebuf_threshold : in std_logic_vector --
(THRESH_MSB_BIT downto 0) ; --
-- Register Direct Support --
reg_module_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
reg_module_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
reg_module_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
reg_module_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
reg_module_start_address1 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address2 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address3 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address4 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address5 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address6 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address7 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address8 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address9 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address10 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address11 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address12 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address13 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address14 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address15 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address16 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address17 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address18 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address19 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address20 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address21 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address22 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address23 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address24 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address25 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address26 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address27 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address28 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address29 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address30 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address31 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) ; --
reg_module_start_address32 : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_reg_mux;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_reg_mux is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
ATTRIBUTE DONT_TOUCH : STRING;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant VSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-VSIZE_DWIDTH;
constant VSIZE_PAD : std_logic_vector(VSIZE_PAD_WIDTH-1 downto 0) := (others => '0');
constant HSIZE_PAD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH-HSIZE_DWIDTH;
constant HSIZE_PAD : std_logic_vector(HSIZE_PAD_WIDTH-1 downto 0) := (others => '0');
constant FRMSTORE_ZERO_PAD : std_logic_vector
(C_S_AXI_LITE_DATA_WIDTH - 1
downto FRMSTORE_MSB_BIT+1) := (others => '0');
constant THRESH_ZERO_PAD : std_logic_vector
(C_S_AXI_LITE_DATA_WIDTH - 1
downto THRESH_MSB_BIT+1) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal read_addr_ri : std_logic_vector(8 downto 0) := (others => '0');
signal read_addr : std_logic_vector(7 downto 0) := (others => '0');
signal read_addr_sg_1 : std_logic_vector(7 downto 0) := (others => '0');
signal ip2axi_rddata_int : std_logic_vector --
(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; --
ATTRIBUTE DONT_TOUCH OF ip2axi_rddata_int : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ip2axi_rddata <= ip2axi_rddata_int;
--*****************************************************************************
-- AXI LITE READ MUX
--*****************************************************************************
-- Register module is for MM2S Channel therefore look at
-- MM2S Register offsets
GEN_READ_MUX_FOR_MM2S : if C_CHANNEL_IS_MM2S = 1 generate
begin
-- Scatter Gather Mode Read MUX
GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate
begin
--read_addr <= axi2ip_rdaddr(9 downto 0);
read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr_sg_1 ,
axi2ip_rden ,
dmacr ,
dmasr ,
curdesc_lsb ,
curdesc_msb ,
taildesc_lsb ,
taildesc_msb ,
num_frame_store,
linebuf_threshold)
begin
case read_addr_sg_1 is
when MM2S_DMACR_OFFSET_SG =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_SG =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_CURDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_CURDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_TAILDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_TAILDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_SG =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_SG =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_SG;
-- Register Direct Mode Read MUX
GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0);
-- 1 start addresses
GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_1;
-- 2 start addresses
GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_2;
-- 3 start addresses
GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_3;
-- 4 start addresses
GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_4;
-- 5 start addresses
GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_5;
-- 6 start addresses
GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_6;
-- 7 start addresses
GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_7;
-- 8 start addresses
GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_8;
-- 9 start addresses
GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_9;
-- 10 start addresses
GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_10;
-- 11 start addresses
GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_11;
-- 12 start addresses
GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_12;
-- 13 start addresses
GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_13;
-- 14 start addresses
GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_14;
-- 15 start addresses
GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_15;
-- 16 start addresses
GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_16;
-- 17 start addresses
GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_17;
-- 18 start addresses
GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_18;
-- 19 start addresses
GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_19;
-- 20 start addresses
GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_20;
-- 21 start addresses
GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_21;
-- 22 start addresses
GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_22;
-- 23 start addresses
GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_23;
-- 24 start addresses
GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_24;
-- 25 start addresses
GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_25;
-- 26 start addresses
GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_26;
-- 27 start addresses
GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_27;
-- 28 start addresses
GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_28;
-- 29 start addresses
GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_29;
-- 30 start addresses
GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_30;
-- 31 start addresses
GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_31;
-- 32 start addresses
GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31 ,
reg_module_start_address32)
begin
case read_addr_ri is
when MM2S_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_STARTADDR32_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address32;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_32;
end generate GEN_READ_MUX_REG_DIRECT;
-- Register Direct Mode Read MUX
GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
reg_index ,
dmasr ,
num_frame_store ,
linebuf_threshold)
begin
case read_addr is
when MM2S_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_REG_INDEX_OFFSET_8 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when MM2S_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_LITE_REG_DIRECT;
end generate GEN_READ_MUX_FOR_MM2S;
-- Register module is for S2MM Channel therefore look at
-- S2MM Register offsets
GEN_READ_MUX_FOR_S2MM : if C_CHANNEL_IS_MM2S = 0 generate
begin
-- Scatter Gather Mode Read MUX
GEN_READ_MUX_SG : if C_INCLUDE_SG = 1 generate
begin
--read_addr <= axi2ip_rdaddr(9 downto 0);
read_addr_sg_1 <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr_sg_1 ,
axi2ip_rden ,
dmacr ,
dmasr ,
curdesc_lsb ,
dma_irq_mask ,
taildesc_lsb ,
taildesc_msb ,
num_frame_store,
linebuf_threshold)
begin
case read_addr_sg_1 is
when S2MM_DMACR_OFFSET_SG =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_SG =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_CURDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= curdesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_SG =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_TAILDESC_LSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_lsb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_TAILDESC_MSB_OFFSET_SG =>
ip2axi_rddata_int <= taildesc_msb;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_SG =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_SG =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_SG;
-- Register Direct Mode Read MUX
GEN_READ_MUX_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 1 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
read_addr_ri <= reg_index(0) & axi2ip_rdaddr(7 downto 0);
-- 17 start addresses
-- 1 start addresses
GEN_FSTORES_1 : if C_NUM_FSTORES = 1 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_1;
-- 2 start addresses
GEN_FSTORES_2 : if C_NUM_FSTORES = 2 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_2;
-- 3 start addresses
GEN_FSTORES_3 : if C_NUM_FSTORES = 3 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_3;
-- 4 start addresses
GEN_FSTORES_4 : if C_NUM_FSTORES = 4 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_4;
-- 5 start addresses
GEN_FSTORES_5 : if C_NUM_FSTORES = 5 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_5;
-- 6 start addresses
GEN_FSTORES_6 : if C_NUM_FSTORES = 6 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_6;
-- 7 start addresses
GEN_FSTORES_7 : if C_NUM_FSTORES = 7 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_7;
-- 8 start addresses
GEN_FSTORES_8 : if C_NUM_FSTORES = 8 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_8;
-- 9 start addresses
GEN_FSTORES_9 : if C_NUM_FSTORES = 9 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_9;
-- 10 start addresses
GEN_FSTORES_10 : if C_NUM_FSTORES = 10 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_10;
-- 11 start addresses
GEN_FSTORES_11 : if C_NUM_FSTORES = 11 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_11;
-- 12 start addresses
GEN_FSTORES_12 : if C_NUM_FSTORES = 12 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_12;
-- 13 start addresses
GEN_FSTORES_13 : if C_NUM_FSTORES = 13 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_13;
-- 14 start addresses
GEN_FSTORES_14 : if C_NUM_FSTORES = 14 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_14;
-- 15 start addresses
GEN_FSTORES_15 : if C_NUM_FSTORES = 15 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_15;
-- 16 start addresses
GEN_FSTORES_16 : if C_NUM_FSTORES = 16 generate
begin
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_8 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_8 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_8 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_8 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_16;
-- 17 start addresses
GEN_FSTORES_17 : if C_NUM_FSTORES = 17 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_17;
-- 18 start addresses
GEN_FSTORES_18 : if C_NUM_FSTORES = 18 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_18;
-- 19 start addresses
GEN_FSTORES_19 : if C_NUM_FSTORES = 19 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_19;
-- 20 start addresses
GEN_FSTORES_20 : if C_NUM_FSTORES = 20 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_20;
-- 21 start addresses
GEN_FSTORES_21 : if C_NUM_FSTORES = 21 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_21;
-- 22 start addresses
GEN_FSTORES_22 : if C_NUM_FSTORES = 22 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_22;
-- 23 start addresses
GEN_FSTORES_23 : if C_NUM_FSTORES = 23 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_23;
-- 24 start addresses
GEN_FSTORES_24 : if C_NUM_FSTORES = 24 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_24;
-- 25 start addresses
GEN_FSTORES_25 : if C_NUM_FSTORES = 25 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_25;
-- 26 start addresses
GEN_FSTORES_26 : if C_NUM_FSTORES = 26 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_26;
-- 27 start addresses
GEN_FSTORES_27 : if C_NUM_FSTORES = 27 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_27;
-- 28 start addresses
GEN_FSTORES_28 : if C_NUM_FSTORES = 28 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_28;
-- 29 start addresses
GEN_FSTORES_29 : if C_NUM_FSTORES = 29 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_29;
-- 30 start addresses
GEN_FSTORES_30 : if C_NUM_FSTORES = 30 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_30;
-- 31 start addresses
GEN_FSTORES_31 : if C_NUM_FSTORES = 31 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_31;
-- 32 start addresses
GEN_FSTORES_32 : if C_NUM_FSTORES = 32 generate
begin
AXI_LITE_READ_MUX : process(read_addr_ri ,
axi2ip_rden ,
dmacr ,
dmasr , reg_index ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold ,
reg_module_vsize ,
reg_module_hsize ,
reg_module_stride ,
reg_module_frmdly ,
reg_module_start_address1 ,
reg_module_start_address2 ,
reg_module_start_address3 ,
reg_module_start_address4 ,
reg_module_start_address5 ,
reg_module_start_address6 ,
reg_module_start_address7 ,
reg_module_start_address8 ,
reg_module_start_address9 ,
reg_module_start_address10 ,
reg_module_start_address11 ,
reg_module_start_address12 ,
reg_module_start_address13 ,
reg_module_start_address14 ,
reg_module_start_address15 ,
reg_module_start_address16 ,
reg_module_start_address17 ,
reg_module_start_address18 ,
reg_module_start_address19 ,
reg_module_start_address20 ,
reg_module_start_address21 ,
reg_module_start_address22 ,
reg_module_start_address23 ,
reg_module_start_address24 ,
reg_module_start_address25 ,
reg_module_start_address26 ,
reg_module_start_address27 ,
reg_module_start_address28 ,
reg_module_start_address29 ,
reg_module_start_address30 ,
reg_module_start_address31 ,
reg_module_start_address32)
begin
case read_addr_ri is
when S2MM_DMACR_OFFSET_90 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_90 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_90 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_90 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_90 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_90 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_90 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_90 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_90 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMACR_OFFSET_91 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_91 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_OFFSET_91 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_91 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_91 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_91 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_VSIZE_OFFSET_91 =>
ip2axi_rddata_int <= VSIZE_PAD & reg_module_vsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_HSIZE_OFFSET_91 =>
ip2axi_rddata_int <= HSIZE_PAD & reg_module_hsize;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DLYSTRD_OFFSET_91 =>
ip2axi_rddata_int <= RSVD_BITS_31TO29
& reg_module_frmdly
& RSVD_BITS_23TO16
& reg_module_stride;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR1_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address1;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR2_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address2;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR3_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address3;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR4_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address4;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR5_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address5;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR6_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address6;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR7_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address7;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR8_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address8;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR9_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address9;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR10_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address10;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR11_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address11;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR12_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address12;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR13_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address13;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR14_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address14;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR15_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address15;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR16_OFFSET_90 =>
ip2axi_rddata_int <= reg_module_start_address16;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR17_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address17;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR18_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address18;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR19_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address19;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR20_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address20;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR21_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address21;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR22_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address22;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR23_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address23;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR24_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address24;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR25_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address25;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR26_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address26;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR27_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address27;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR28_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address28;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR29_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address29;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR30_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address30;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR31_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address31;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_STARTADDR32_OFFSET_91 =>
ip2axi_rddata_int <= reg_module_start_address32;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_FSTORES_32;
end generate GEN_READ_MUX_REG_DIRECT;
-- Register Direct Mode Read MUX
GEN_READ_MUX_LITE_REG_DIRECT : if C_INCLUDE_SG = 0 and C_ENABLE_VIDPRMTR_READS = 0 generate
begin
read_addr <= axi2ip_rdaddr(7 downto 0);
AXI_LITE_READ_MUX : process(read_addr ,
axi2ip_rden ,
dmacr ,
reg_index ,
dmasr ,
dma_irq_mask ,
num_frame_store ,
linebuf_threshold)
begin
case read_addr is
when S2MM_DMACR_OFFSET_8 =>
ip2axi_rddata_int <= dmacr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMASR_OFFSET_8 =>
ip2axi_rddata_int <= dmasr;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_DMA_IRQ_MASK_8 =>
ip2axi_rddata_int <= dma_irq_mask;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_REG_INDEX_OFFSET_8 =>
ip2axi_rddata_int <= reg_index;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_FRAME_STORE_OFFSET_8 =>
ip2axi_rddata_int <= FRMSTORE_ZERO_PAD
& num_frame_store;
ip2axi_rddata_valid <= axi2ip_rden;
when S2MM_THRESHOLD_OFFSET_8 =>
ip2axi_rddata_int <= THRESH_ZERO_PAD
& linebuf_threshold;
ip2axi_rddata_valid <= axi2ip_rden;
when others =>
ip2axi_rddata_int <= (others => '0');
ip2axi_rddata_valid <= '0';
end case;
end process AXI_LITE_READ_MUX;
end generate GEN_READ_MUX_LITE_REG_DIRECT;
end generate GEN_READ_MUX_FOR_S2MM;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/bd/block_design/ipshared/digilent/axi_dispctrl_v1_0/hdl/axi_dispctrl_v1_0.vhd
|
7
|
18671
|
--------------------------------------------------------------------------------
--
-- File:
-- axi_dispctrl_v1_0.vhd
--
-- Module:
-- AXIS Display Controller
--
-- Author:
-- Tinghui Wang (Steve)
-- Sam Bobrowicz
--
-- Description:
-- Wrapper for AXI Display Controller
--
-- Additional Notes:
-- TODO - 1) Add Parameter to select whether to use a PLL or MMCM
-- 2) Add Parameter to use external pixel clock (no MMCM or PLL)
-- 3) Add Hot-plug detect and EDID control, selectable with parameter
-- 4) Add feature detect register, for determining enabled parameters from software
--
-- Copyright notice:
-- Copyright (C) 2014 Digilent Inc.
--
-- License:
-- This program is free software; distributed under the terms of
-- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
-- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
-- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
-- OF THE POSSIBILITY OF SUCH DAMAGE.
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library UNISIM;
use UNISIM.VComponents.all;
entity axi_dispctrl_v1_0 is
generic (
-- Users to add parameters here
C_USE_BUFR_DIV5 : integer := 0;
C_RED_WIDTH : integer := 8;
C_GREEN_WIDTH : integer := 8;
C_BLUE_WIDTH : integer := 8;
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S_AXI
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6;
-- Parameters of Axi Slave Bus Interface S_AXIS_MM2S
C_S_AXIS_MM2S_TDATA_WIDTH : integer := 32
);
port (
-- Users to add ports here
-- Clock Signals
REF_CLK_I : in std_logic;
PXL_CLK_O : out std_logic;
PXL_CLK_5X_O : out std_logic;
LOCKED_O : out std_logic;
-- Display Signals
FSYNC_O : out std_logic;
HSYNC_O : out std_logic;
VSYNC_O : out std_logic;
DE_O : out std_logic;
RED_O : out std_logic_vector(C_RED_WIDTH-1 downto 0);
GREEN_O : out std_logic_vector(C_GREEN_WIDTH-1 downto 0);
BLUE_O : out std_logic_vector(C_BLUE_WIDTH-1 downto 0);
-- Debug Signals
DEBUG_O : out std_logic_vector(31 downto 0);
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S_AXI
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_awprot : in std_logic_vector(2 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
s_axi_arprot : in std_logic_vector(2 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic;
-- Ports of Axi Slave Bus Interface S_AXIS_MM2S
s_axis_mm2s_aclk : in std_logic;
s_axis_mm2s_aresetn : in std_logic;
s_axis_mm2s_tready : out std_logic;
s_axis_mm2s_tdata : in std_logic_vector(C_S_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
s_axis_mm2s_tstrb : in std_logic_vector((C_S_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0);
s_axis_mm2s_tlast : in std_logic;
s_axis_mm2s_tvalid : in std_logic
);
end axi_dispctrl_v1_0;
architecture arch_imp of axi_dispctrl_v1_0 is
-- component declaration
component axi_dispctrl_v1_0_S_AXI is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
CTRL_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
STAT_REG :in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
FRAME_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
HPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
HPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
VPARAM1_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
VPARAM2_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_O_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FB_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FRAC_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_DIV_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_LOCK_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FLTR_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic
);
end component axi_dispctrl_v1_0_S_AXI;
component mmcme2_drp
generic (
DIV_F : integer
);
port(
SEN : in std_logic;
SCLK : in std_logic;
RST : in std_logic;
S1_CLKOUT0 : in std_logic_vector(35 downto 0);
S1_CLKFBOUT : in std_logic_vector(35 downto 0);
S1_DIVCLK : in std_logic_vector(13 downto 0);
S1_LOCK : in std_logic_vector(39 downto 0);
S1_DIGITAL_FILT : in std_logic_vector(9 downto 0);
REF_CLK : in std_logic;
CLKFBOUT_I : in std_logic;
CLKFBOUT_O : out std_logic;
SRDY : out std_logic;
PXL_CLK : out std_logic;
LOCKED_O : out std_logic
);
end component;
component vdma_to_vga
generic (
C_RED_WIDTH : integer := 8;
C_GREEN_WIDTH : integer := 8;
C_BLUE_WIDTH : integer := 8;
C_S_AXIS_TDATA_WIDTH : integer := 32
);
port(
LOCKED_I : in std_logic;
ENABLE_I : in std_logic;
S_AXIS_ACLK : in std_logic;
S_AXIS_ARESETN : in std_logic;
S_AXIS_TREADY : out std_logic;
S_AXIS_TDATA : in std_logic_vector(C_S_AXIS_TDATA_WIDTH-1 downto 0);
S_AXIS_TSTRB : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0);
S_AXIS_TLAST : in std_logic;
S_AXIS_TVALID : in std_logic;
DEBUG_O : out std_logic_vector(31 downto 0);
USR_WIDTH_I : in std_logic_vector(11 downto 0);
USR_HEIGHT_I : in std_logic_vector(11 downto 0);
USR_HPS_I : in std_logic_vector(11 downto 0);
USR_HPE_I : in std_logic_vector(11 downto 0);
USR_HPOL_I : in std_logic;
USR_HMAX_I : in std_logic_vector(11 downto 0);
USR_VPS_I : in std_logic_vector(11 downto 0);
USR_VPE_I : in std_logic_vector(11 downto 0);
USR_VPOL_I : in std_logic;
USR_VMAX_I : in std_logic_vector(11 downto 0);
RUNNING_O : out std_logic;
FSYNC_O : out std_logic;
HSYNC_O : out std_logic;
VSYNC_O : out std_logic;
DE_O : out std_logic;
RED_O : out std_logic_vector(C_RED_WIDTH-1 downto 0);
GREEN_O : out std_logic_vector(C_GREEN_WIDTH-1 downto 0);
BLUE_O : out std_logic_vector(C_BLUE_WIDTH-1 downto 0)
);
end component;
signal CTRL_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal STAT_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal FRAME_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal HPARAM1_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal HPARAM2_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal VPARAM1_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal VPARAM2_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_O_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_FB_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_FRAC_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_DIV_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_LOCK_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal CLK_FLTR_REG : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
type CLK_STATE_TYPE is (RESET, WAIT_LOCKED, WAIT_EN, WAIT_SRDY, WAIT_RUN, ENABLED, WAIT_FRAME_DONE);
signal clk_state : CLK_STATE_TYPE := RESET;
signal srdy : std_logic;
signal enable_reg : std_logic := '0';
signal sen_reg : std_logic := '0';
signal pxl_clk : std_logic;
signal locked : std_logic;
signal locked_n : std_logic;
signal mmcm_fbclk_in : std_logic;
signal mmcm_fbclk_out : std_logic;
signal mmcm_clk : std_logic;
signal vga_running : std_logic;
begin
-- Instantiation of Axi Bus Interface S_AXI
axi_dispctrl_v1_0_S_AXI_inst : axi_dispctrl_v1_0_S_AXI
generic map (
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH
)
port map (
CTRL_REG => CTRL_REG,
STAT_REG => STAT_REG,
FRAME_REG => FRAME_REG,
HPARAM1_REG => HPARAM1_REG,
HPARAM2_REG => HPARAM2_REG,
VPARAM1_REG => VPARAM1_REG,
VPARAM2_REG => VPARAM2_REG,
CLK_O_REG => CLK_O_REG,
CLK_FB_REG => CLK_FB_REG,
CLK_FRAC_REG => CLK_FRAC_REG,
CLK_DIV_REG => CLK_DIV_REG,
CLK_LOCK_REG => CLK_LOCK_REG,
CLK_FLTR_REG => CLK_FLTR_REG,
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWPROT => s_axi_awprot,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARPROT => s_axi_arprot,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready
);
USE_BUFR_DIV5 : if C_USE_BUFR_DIV5 = 1 generate
BUFIO_inst : BUFIO
port map (
O => PXL_CLK_5X_O, -- 1-bit output: Clock output (connect to I/O clock loads).
I => mmcm_clk -- 1-bit input: Clock input (connect to an IBUF or BUFMR).
);
BUFR_inst : BUFR
generic map (
BUFR_DIVIDE => "5", -- Values: "BYPASS, 1, 2, 3, 4, 5, 6, 7, 8"
SIM_DEVICE => "7SERIES" -- Must be set to "7SERIES"
)
port map (
O => pxl_clk, -- 1-bit output: Clock output port
CE => '1', -- 1-bit input: Active high, clock enable (Divided modes only)
CLR => locked_n, -- 1-bit input: Active high, asynchronous clear (Divided modes only)
I => mmcm_clk -- 1-bit input: Clock buffer input driven by an IBUF, MMCM or local interconnect
);
locked_n <= not(locked);
Inst_mmcme2_drp: mmcme2_drp
GENERIC MAP(
DIV_F => 2
)
PORT MAP(
SEN => sen_reg,
SCLK => s_axi_aclk,
RST => not(s_axi_aresetn),
SRDY => srdy,
S1_CLKOUT0 => CLK_FRAC_REG(3 downto 0) & CLK_O_REG,
S1_CLKFBOUT => CLK_FRAC_REG(19 downto 16) & CLK_FB_REG,
S1_DIVCLK => CLK_DIV_REG(13 downto 0),
S1_LOCK => CLK_FLTR_REG(7 downto 0) & CLK_LOCK_REG,
S1_DIGITAL_FILT => CLK_FLTR_REG(25 downto 16),
REF_CLK => REF_CLK_I,
PXL_CLK => mmcm_clk,
CLKFBOUT_O => mmcm_fbclk_out,
CLKFBOUT_I => mmcm_fbclk_in,
LOCKED_O => locked
);
end generate;
DONT_USE_BUFR_DIV5 : if C_USE_BUFR_DIV5 /= 1 generate
PXL_CLK_5X_O <= '0';
BUFG_inst : BUFG
port map (
O => pxl_clk, -- 1-bit output: Clock output
I => mmcm_clk -- 1-bit input: Clock input
);
Inst_mmcme2_drp: mmcme2_drp
GENERIC MAP(
DIV_F => 10
)
PORT MAP(
SEN => sen_reg,
SCLK => s_axi_aclk,
RST => not(s_axi_aresetn),
SRDY => srdy,
S1_CLKOUT0 => CLK_FRAC_REG(3 downto 0) & CLK_O_REG,
S1_CLKFBOUT => CLK_FRAC_REG(19 downto 16) & CLK_FB_REG,
S1_DIVCLK => CLK_DIV_REG(13 downto 0),
S1_LOCK => CLK_FLTR_REG(7 downto 0) & CLK_LOCK_REG,
S1_DIGITAL_FILT => CLK_FLTR_REG(25 downto 16),
REF_CLK => REF_CLK_I,
PXL_CLK => mmcm_clk,
CLKFBOUT_O => mmcm_fbclk_out,
CLKFBOUT_I => mmcm_fbclk_in,
LOCKED_O => locked
);
end generate;
mmcm_fbclk_in <= mmcm_fbclk_out; --Don't bother compensating for any delay, because we don't need a phase relationship between
--REF_CLK and PXL_CLK
PXL_CLK_O <= pxl_clk;
LOCKED_O <= locked;
process (s_axi_aclk)
begin
if (rising_edge(s_axi_aclk)) then
if (s_axi_aresetn = '0') then
clk_state <= RESET;
else
case clk_state is
when RESET =>
clk_state <= WAIT_LOCKED;
when WAIT_LOCKED =>
-- This state ensures that the initial SRDY pulse
-- doesnt interfere with the WAIT_SRDY state
if (locked = '1') then
clk_state <= WAIT_EN;
end if;
when WAIT_EN =>
if (CTRL_REG(0) = '1') then
clk_state <= WAIT_SRDY;
end if;
when WAIT_SRDY =>
if (srdy = '1') then
clk_state <= WAIT_RUN;
end if;
when WAIT_RUN =>
if (STAT_REG(0) = '1') then
clk_state <= ENABLED;
end if;
when ENABLED =>
if (CTRL_REG(0) = '0') then
clk_state <= WAIT_FRAME_DONE;
end if;
when WAIT_FRAME_DONE =>
if (STAT_REG(0) = '0') then
clk_state <= WAIT_EN;
end if;
when others => --Never reached
clk_state <= RESET;
end case;
end if;
end if;
end process;
process (s_axi_aclk)
begin
if (rising_edge(s_axi_aclk)) then
if (s_axi_aresetn = '0') then
enable_reg <= '0';
sen_reg <= '0';
else
if (clk_state = WAIT_EN and CTRL_REG(0) = '1') then
sen_reg <= '1';
else
sen_reg <= '0';
end if;
if (clk_state = WAIT_RUN or clk_state = ENABLED) then
enable_reg <= '1';
else
enable_reg <= '0';
end if;
end if;
end if;
end process;
Inst_vdma_to_vga: vdma_to_vga
generic map (
C_RED_WIDTH => C_RED_WIDTH,
C_GREEN_WIDTH => C_GREEN_WIDTH,
C_BLUE_WIDTH => C_BLUE_WIDTH,
C_S_AXIS_TDATA_WIDTH => C_S_AXIS_MM2S_TDATA_WIDTH
)
PORT MAP(
LOCKED_I => locked,
ENABLE_I => enable_reg,
RUNNING_O => vga_running,
S_AXIS_ACLK => s_axis_mm2s_aclk,
S_AXIS_ARESETN => s_axis_mm2s_aresetn,
S_AXIS_TREADY => s_axis_mm2s_tready,
S_AXIS_TDATA => s_axis_mm2s_tdata,
S_AXIS_TSTRB => s_axis_mm2s_tstrb,
S_AXIS_TLAST => s_axis_mm2s_tlast,
S_AXIS_TVALID => s_axis_mm2s_tvalid,
FSYNC_O => FSYNC_O,
HSYNC_O => HSYNC_O,
VSYNC_O => VSYNC_O,
DEBUG_O => DEBUG_O,
DE_O => DE_O,
RED_O => RED_O,
GREEN_O => GREEN_O,
BLUE_O => BLUE_O,
USR_WIDTH_I => FRAME_REG(27 downto 16),
USR_HEIGHT_I => FRAME_REG(11 downto 0),
USR_HPS_I => HPARAM1_REG(27 downto 16),
USR_HPE_I => HPARAM1_REG(11 downto 0),
USR_HPOL_I => HPARAM2_REG(16),
USR_HMAX_I => HPARAM2_REG(11 downto 0),
USR_VPS_I => VPARAM1_REG(27 downto 16),
USR_VPE_I => VPARAM1_REG(11 downto 0),
USR_VPOL_I => VPARAM2_REG(16),
USR_VMAX_I => VPARAM2_REG(11 downto 0)
);
STAT_REG(C_S_AXI_DATA_WIDTH-1 downto 1) <= (others => '0');
process (s_axi_aclk)
begin
if (rising_edge(s_axi_aclk)) then
if (s_axi_aresetn = '0') then
STAT_REG(0) <= '0';
else
STAT_REG(0) <= vga_running;
end if;
end if;
end process;
-- User logic ends
end arch_imp;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_slice.vhd
|
19
|
4781
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
entity axi_datamover_slice is
generic (
C_DATA_WIDTH : Integer range 1 to 200 := 64
);
port (
ACLK : in std_logic;
ARESET : in std_logic;
-- Slave side
S_PAYLOAD_DATA : in std_logic_vector (C_DATA_WIDTH-1 downto 0);
S_VALID : in std_logic;
S_READY : out std_logic;
-- Master side
M_PAYLOAD_DATA : out std_logic_vector (C_DATA_WIDTH-1 downto 0);
M_VALID : out std_logic;
M_READY : in std_logic
);
end entity axi_datamover_slice;
architecture working of axi_datamover_slice is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of working : architecture is "yes";
signal storage_data : std_logic_vector (C_DATA_WIDTH-1 downto 0);
signal s_ready_i : std_logic;
signal m_valid_i : std_logic;
signal areset_d : std_logic_vector (1 downto 0);
begin
-- assign local signal to its output signal
S_READY <= s_ready_i;
M_VALID <= m_valid_i;
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
areset_d(0) <= ARESET;
areset_d(1) <= areset_d(0);
end if;
end process;
-- Save payload data whenever we have a transaction on the slave side
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
if (S_VALID = '1' and s_ready_i = '1') then
storage_data <= S_PAYLOAD_DATA;
else
storage_data <= storage_data;
end if;
end if;
end process;
M_PAYLOAD_DATA <= storage_data;
-- M_Valid set to high when we have a completed transfer on slave side
-- Is removed on a M_READY except if we have a new transfer on the slave side
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
if (areset_d (1) = '1') then
m_valid_i <= '0';
elsif (S_VALID = '1') then
m_valid_i <= '1';
elsif (M_READY = '1') then
m_valid_i <= '0';
else
m_valid_i <= m_valid_i;
end if;
end if;
end process;
-- Slave Ready is either when Master side drives M_Ready or we have space in our storage data
s_ready_i <= (M_READY or (not m_valid_i)) and not (areset_d(1) or areset_d(0));
end working;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_piano/zybo_petalinux_piano.srcs/sources_1/bd/block_design/ip/block_design_axi_i2s_adi_0_0/synth/block_design_axi_i2s_adi_0_0.vhd
|
1
|
13817
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: analogdeviceinc.com:adi:axi_i2s_adi:1.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY adi_common_v1_00_a;
USE adi_common_v1_00_a.axi_i2s_adi;
ENTITY block_design_axi_i2s_adi_0_0 IS
PORT (
DATA_CLK_I : IN STD_LOGIC;
BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
MUTEN_O : OUT STD_LOGIC;
DMA_REQ_TX_ACLK : IN STD_LOGIC;
DMA_REQ_TX_RSTN : IN STD_LOGIC;
DMA_REQ_TX_DAVALID : IN STD_LOGIC;
DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DAREADY : OUT STD_LOGIC;
DMA_REQ_TX_DRVALID : OUT STD_LOGIC;
DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DRLAST : OUT STD_LOGIC;
DMA_REQ_TX_DRREADY : IN STD_LOGIC;
DMA_REQ_RX_ACLK : IN STD_LOGIC;
DMA_REQ_RX_RSTN : IN STD_LOGIC;
DMA_REQ_RX_DAVALID : IN STD_LOGIC;
DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DAREADY : OUT STD_LOGIC;
DMA_REQ_RX_DRVALID : OUT STD_LOGIC;
DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DRLAST : OUT STD_LOGIC;
DMA_REQ_RX_DRREADY : IN STD_LOGIC;
S_AXI_ACLK : IN STD_LOGIC;
S_AXI_ARESETN : IN STD_LOGIC;
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_WREADY : INOUT STD_LOGIC;
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : INOUT STD_LOGIC;
S_AXI_AWREADY : INOUT STD_LOGIC
);
END block_design_axi_i2s_adi_0_0;
ARCHITECTURE block_design_axi_i2s_adi_0_0_arch OF block_design_axi_i2s_adi_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF block_design_axi_i2s_adi_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_i2s_adi IS
GENERIC (
C_SLOT_WIDTH : INTEGER;
C_LRCLK_POL : INTEGER;
C_BCLK_POL : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_DMA_TYPE : INTEGER;
C_NUM_CH : INTEGER;
C_HAS_TX : INTEGER;
C_HAS_RX : INTEGER
);
PORT (
DATA_CLK_I : IN STD_LOGIC;
BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
MUTEN_O : OUT STD_LOGIC;
S_AXIS_ACLK : IN STD_LOGIC;
S_AXIS_ARESETN : IN STD_LOGIC;
S_AXIS_TREADY : OUT STD_LOGIC;
S_AXIS_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXIS_TLAST : IN STD_LOGIC;
S_AXIS_TVALID : IN STD_LOGIC;
M_AXIS_ACLK : IN STD_LOGIC;
M_AXIS_TREADY : IN STD_LOGIC;
M_AXIS_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
M_AXIS_TLAST : OUT STD_LOGIC;
M_AXIS_TVALID : OUT STD_LOGIC;
M_AXIS_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
DMA_REQ_TX_ACLK : IN STD_LOGIC;
DMA_REQ_TX_RSTN : IN STD_LOGIC;
DMA_REQ_TX_DAVALID : IN STD_LOGIC;
DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DAREADY : OUT STD_LOGIC;
DMA_REQ_TX_DRVALID : OUT STD_LOGIC;
DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_TX_DRLAST : OUT STD_LOGIC;
DMA_REQ_TX_DRREADY : IN STD_LOGIC;
DMA_REQ_RX_ACLK : IN STD_LOGIC;
DMA_REQ_RX_RSTN : IN STD_LOGIC;
DMA_REQ_RX_DAVALID : IN STD_LOGIC;
DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DAREADY : OUT STD_LOGIC;
DMA_REQ_RX_DRVALID : OUT STD_LOGIC;
DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
DMA_REQ_RX_DRLAST : OUT STD_LOGIC;
DMA_REQ_RX_DRREADY : IN STD_LOGIC;
S_AXI_ACLK : IN STD_LOGIC;
S_AXI_ARESETN : IN STD_LOGIC;
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_WREADY : INOUT STD_LOGIC;
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : INOUT STD_LOGIC;
S_AXI_AWREADY : INOUT STD_LOGIC
);
END COMPONENT axi_i2s_adi;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF block_design_axi_i2s_adi_0_0_arch: ARCHITECTURE IS "axi_i2s_adi,Vivado 2016.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF block_design_axi_i2s_adi_0_0_arch : ARCHITECTURE IS "block_design_axi_i2s_adi_0_0,axi_i2s_adi,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_TX_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_TX_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_ACK TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TLAST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_TX_REQ TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_RX_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_RX_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_ACK TREADY";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TVALID";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TUSER";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TLAST";
ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_RX_REQ TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_CLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARESETN: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
BEGIN
U0 : axi_i2s_adi
GENERIC MAP (
C_SLOT_WIDTH => 24,
C_LRCLK_POL => 0,
C_BCLK_POL => 0,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ADDR_WIDTH => 32,
C_DMA_TYPE => 1,
C_NUM_CH => 1,
C_HAS_TX => 1,
C_HAS_RX => 1
)
PORT MAP (
DATA_CLK_I => DATA_CLK_I,
BCLK_O => BCLK_O,
LRCLK_O => LRCLK_O,
SDATA_O => SDATA_O,
SDATA_I => SDATA_I,
MUTEN_O => MUTEN_O,
S_AXIS_ACLK => '0',
S_AXIS_ARESETN => '0',
S_AXIS_TDATA => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
S_AXIS_TLAST => '0',
S_AXIS_TVALID => '0',
M_AXIS_ACLK => '0',
M_AXIS_TREADY => '0',
DMA_REQ_TX_ACLK => DMA_REQ_TX_ACLK,
DMA_REQ_TX_RSTN => DMA_REQ_TX_RSTN,
DMA_REQ_TX_DAVALID => DMA_REQ_TX_DAVALID,
DMA_REQ_TX_DATYPE => DMA_REQ_TX_DATYPE,
DMA_REQ_TX_DAREADY => DMA_REQ_TX_DAREADY,
DMA_REQ_TX_DRVALID => DMA_REQ_TX_DRVALID,
DMA_REQ_TX_DRTYPE => DMA_REQ_TX_DRTYPE,
DMA_REQ_TX_DRLAST => DMA_REQ_TX_DRLAST,
DMA_REQ_TX_DRREADY => DMA_REQ_TX_DRREADY,
DMA_REQ_RX_ACLK => DMA_REQ_RX_ACLK,
DMA_REQ_RX_RSTN => DMA_REQ_RX_RSTN,
DMA_REQ_RX_DAVALID => DMA_REQ_RX_DAVALID,
DMA_REQ_RX_DATYPE => DMA_REQ_RX_DATYPE,
DMA_REQ_RX_DAREADY => DMA_REQ_RX_DAREADY,
DMA_REQ_RX_DRVALID => DMA_REQ_RX_DRVALID,
DMA_REQ_RX_DRTYPE => DMA_REQ_RX_DRTYPE,
DMA_REQ_RX_DRLAST => DMA_REQ_RX_DRLAST,
DMA_REQ_RX_DRREADY => DMA_REQ_RX_DRREADY,
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY
);
END block_design_axi_i2s_adi_0_0_arch;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_vdma_sm.vhd
|
4
|
49804
|
-------------------------------------------------------------------------------
-- axi_vdma_sm
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sm.vhd
-- Description: This entity contains the DMA Controller State Machine and
-- manages primary data transfers.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
library lib_cdc_v1_0_2;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_pkg_v1_0_2.lib_pkg.max2;
-------------------------------------------------------------------------------
entity axi_vdma_sm is
generic (
C_INCLUDE_SF : integer range 0 to 1 := 0;
-- Include or exclude store and forward module
-- 0 = excluded
-- 1 = included
C_USE_FSYNC : integer range 0 to 1 := 0; -- CR591965
-- Specifies VDMA operation synchronized to frame sync input
-- 0 = Free running
-- 1 = Fsync synchronous
C_ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0; -- CR591965
-- Specifies VDMA Flush on Frame sync enabled
-- 0 = Disabled
-- 1 = Enabled
C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for MM2S Read Port
C_EXTEND_DM_COMMAND : integer range 0 to 1 := 0;
-- Extend datamover command by padding BTT with 1's for
-- indeterminate BTT mode
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ;
C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0;
C_MM2S_SOF_ENABLE : integer range 0 to 1 := 0;
C_INCLUDE_MM2S : integer range 0 to 1 := 1;
-- Include or exclude MM2S primary data path
-- 0 = Exclude MM2S primary data path
-- 1 = Include MM2S primary data path
C_INCLUDE_S2MM : integer range 0 to 1 := 1
-- Include or exclude S2MM primary data path
-- 0 = Exclude S2MM primary data path
-- 1 = Include S2MM primary data path
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
scndry_aclk : in std_logic ; --
scndry_resetn : in std_logic ; --
--
-- Control and Status --
frame_sync : in std_logic ; --
video_prmtrs_valid : in std_logic ; --
packet_sof : in std_logic ; --
run_stop : in std_logic ; --
stop : in std_logic ; --
halt : in std_logic ; --
--
-- sm status --
cmnd_idle : out std_logic ; --
sts_idle : out std_logic ; --
zero_size_err : out std_logic ; -- CR579593/CR579597
fsize_mismatch_err_flag : out std_logic ; -- CR591965
fsize_mismatch_err : out std_logic ; -- CR591965
s2mm_fsize_mismatch_err_s : out std_logic ; -- CR591965
mm2s_fsize_mismatch_err_s : in std_logic ;
mm2s_fsize_mismatch_err_m : in std_logic ;
all_lines_xfred : in std_logic ; -- CR616211
all_lasts_rcvd : in std_logic ; -- --
s2mm_strm_all_lines_rcvd : in std_logic ; --
drop_fsync_d_pulse_gen_fsize_less_err : in std_logic ; --
s2mm_fsync_core : in std_logic ;
s2mm_fsync_out_m : in std_logic ;
mm2s_fsync_out_m : in std_logic ;
-- DataMover Command --
cmnd_wr : out std_logic ; --
cmnd_data : out std_logic_vector --
((C_M_AXI_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
cmnd_pending : in std_logic ; --
sts_received : in std_logic ; --
--
-- Descriptor Fields --
crnt_start_address : in std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); --
--
crnt_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
crnt_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
crnt_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) --
);
end axi_vdma_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Command Destination Stream Offset
constant CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := 8;
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
constant PAD_VALUE : std_logic_vector(22 - HSIZE_DWIDTH downto 0)
:= (others => '0');
constant ONES_PAD_VALUE : std_logic_vector(22 - HSIZE_DWIDTH downto 0)
:= (others => '1');
constant ZERO_VCOUNT : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
constant EXTND_STRIDE_PAD : std_logic_vector((C_M_AXI_ADDR_WIDTH - STRIDE_DWIDTH) - 1 downto 0)
:= (others => '0');
-- Zero HSIZE Constant for error check
constant ZERO_HSIZE : std_logic_vector(HSIZE_DWIDTH-1 downto 0) := (others => '0');
-- Zero VSIZE Constant for error check
constant ZERO_VSIZE : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_STATE_TYPE is (
IDLE,
WAIT_PIPE1,
WAIT_PIPE2,
CALC_CMD_ADDR,
EXECUTE_XFER,
CHECK_DONE
);
signal dmacntrl_cs : SG_STATE_TYPE;
signal dmacntrl_ns : SG_STATE_TYPE;
-- State Machine Signals
signal calc_new_addr : std_logic := '0';
signal load_new_addr : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal cmnd_wr_i : std_logic := '0';
signal cmnd_idle_i : std_logic := '0';
-- address calc signals
signal extend_crnt_stride : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal dm_address : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal vert_count : std_logic_vector(VSIZE_DWIDTH - 1 downto 0)
:= (others => '0');
signal horz_count : std_logic_vector(HSIZE_DWIDTH - 1 downto 0)
:= (others => '0');
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal frame_sync_d1 : std_logic := '0';
signal frame_sync_d2 : std_logic := '0';
signal frame_sync_d3 : std_logic := '0';
signal frame_sync_reg : std_logic := '0';
signal axis_data_available : std_logic := '0';
signal zero_vsize_err : std_logic := '0'; -- CR579593/CR579597
signal zero_hsize_err : std_logic := '0'; -- CR579593/CR579597
signal xfers_done : std_logic := '0'; -- CR616211
signal all_lines_xfred_d1 : std_logic := '0';
signal all_lines_xfred_fe : std_logic := '0';
signal xfred_started : std_logic := '0';
signal mm2s_fsize_mismatch_err_int : std_logic := '0';
signal fsize_mismatch_err_int : std_logic := '0';
signal fsize_mismatch_err_flag_int : std_logic := '0';
signal fsize_mismatch_err_flag_int_d1 : std_logic := '0';
signal fsize_mismatch_err_flag_int_d2 : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
cmnd_wr <= cmnd_wr_i;
cmnd_idle <= cmnd_idle_i;
REG_FRAME_SYCN : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
frame_sync_d1 <= '0';
frame_sync_d2 <= '0';
frame_sync_d3 <= '0';
frame_sync_reg <= '0';
else
frame_sync_d1 <= frame_sync;
frame_sync_d2 <= frame_sync_d1;
frame_sync_d3 <= frame_sync_d2;
frame_sync_reg <= frame_sync_d3;
end if;
end if;
end process REG_FRAME_SYCN;
-------------------------------------------------------------------------------
-- Stream Data Started
-- On S2MM, this is used to prevent issuing CMDs to DataMover until axi_stream
-- data is present on the S2MM interface. This prevents write requests
-- from being issued out to axi_interconnect when no data available to write.
-------------------------------------------------------------------------------
GEN_NO_STORE_AND_FORWARD : if C_INCLUDE_SF = 0 generate
begin
STM_DATA_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
axis_data_available <= '0';
elsif(cmnd_idle_i = '1' and run_stop = '0')then
axis_data_available <= '0';
-- Set if sof detected on axi stream
elsif(packet_sof = '1')then
axis_data_available <= '1';
-- New set of video parameters, therefore clear flag
-- New s2mm packets for new parameters must come after
-- sync.
elsif(frame_sync = '1' )then
axis_data_available <= '0';
end if;
end if;
end process STM_DATA_PROCESS;
end generate GEN_NO_STORE_AND_FORWARD;
-- with store and forward then store-and-forward logic will
-- regulate datamover requests.
GEN_STORE_AND_FORWARD : if C_INCLUDE_SF = 1 generate
begin
axis_data_available <= '1';
end generate GEN_STORE_AND_FORWARD;
-------------------------------------------------------------------------------
-- Transfer State Machine
-------------------------------------------------------------------------------
DMA_CNTRL_MACHINE : process(dmacntrl_cs,
frame_sync_reg,
video_prmtrs_valid,
cmnd_pending,
run_stop,fsize_mismatch_err_flag_int_d2,
stop,
halt,
vert_count,
axis_data_available) -- CR579593/CR579597
begin
-- Default signal assignment
calc_new_addr <= '0';
load_new_addr <= '0';
write_cmnd_cmb <= '0';
cmnd_idle_i <= '0';
dmacntrl_ns <= dmacntrl_cs;
case dmacntrl_cs is
-------------------------------------------------------------------
when IDLE =>
-- If video parameters are valid and at frame sync and no errors
-- then start
if( video_prmtrs_valid = '1' and frame_sync_reg = '1'
and stop = '0' and halt = '0' and run_stop = '1' and fsize_mismatch_err_flag_int_d2 = '0') then
dmacntrl_ns <= WAIT_PIPE1;
else
cmnd_idle_i <= '1';
end if;
-------------------------------------------------------------------
-- pipeline delay for valid address from vidreg_module
when WAIT_PIPE1 =>
-- CR589083 need to also look at run_Stop to compensate for
-- pipeline delays when in frame count enable mode
-- CR591965 need to reset to idle on frame sync
--if(stop = '1' or halt = '1' or run_stop = '0')then
if(stop = '1' or halt = '1' or run_stop = '0' or frame_sync_reg='1' or fsize_mismatch_err_flag_int_d2 = '1')then
dmacntrl_ns <= IDLE;
else
dmacntrl_ns <= WAIT_PIPE2;
end if;
-------------------------------------------------------------------
-- pipeline delay for valid address from vidreg_module
when WAIT_PIPE2 =>
-- CR589083 need to also look at run_Stop to compensate for
-- pipeline delays when in frame count enable mode
-- CR591965 need to reset to idle on frame sync
--if(stop = '1' or halt = '1' or run_stop = '0')then
if(stop = '1' or halt = '1' or run_stop = '0' or frame_sync_reg='1' or fsize_mismatch_err_flag_int_d2 = '1')then
dmacntrl_ns <= IDLE;
else
load_new_addr <= '1';
dmacntrl_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
when CALC_CMD_ADDR =>
-- CR591965 need to reset to idle on frame sync
--if(stop = '1' or halt = '1')then
if(stop = '1' or halt = '1' or frame_sync_reg='1' or fsize_mismatch_err_flag_int_d2 = '1')then
dmacntrl_ns <= IDLE;
else
calc_new_addr <= '1';
dmacntrl_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
when EXECUTE_XFER =>
-- error detected
-- CR591965 need to reset to idle on frame sync
--if(stop = '1' or halt = '1'
--or zero_hsize_err = '1' or zero_vsize_err = '1')then -- CR579593/CR579597
if(stop = '1' or halt = '1' or frame_sync_reg='1' or fsize_mismatch_err_flag_int_d2 = '1') then -- CR591965
dmacntrl_ns <= IDLE;
-- Write another command if there is not one already pending
-- and data available on stream (used for s2mm only)
elsif(cmnd_pending = '0'
and axis_data_available = '1')then
write_cmnd_cmb <= '1';
dmacntrl_ns <= CHECK_DONE;
else
dmacntrl_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
when CHECK_DONE =>
-- VSIZE commands issued to datamover then done
-- CR591965 need to reset to idle on frame sync
--if(vert_count = ZERO_VCOUNT or stop = '1' or halt = '1')then
if(vert_count = ZERO_VCOUNT or stop = '1'
or halt = '1' or frame_sync_reg='1' or fsize_mismatch_err_flag_int_d2 = '1')then
dmacntrl_ns <= IDLE;
else
dmacntrl_ns <= CALC_CMD_ADDR;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
dmacntrl_ns <= IDLE;
-- coverage on
end case;
end process DMA_CNTRL_MACHINE;
-------------------------------------------------------------------------------
-- register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
dmacntrl_cs <= IDLE;
else
dmacntrl_cs <= dmacntrl_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Stride Holding Register
-------------------------------------------------------------------------------
----STRIDE_REGISTER : process(prmry_aclk)
---- begin
---- if(prmry_aclk'EVENT and prmry_aclk = '1')then
---- if(prmry_resetn = '0')then
---- extend_crnt_stride <= (others => '0');
----
---- elsif(load_new_addr = '1')then
---- -- 0 extend stride to match addr width
---- extend_crnt_stride <= EXTND_STRIDE_PAD & crnt_stride;
---- end if;
---- end if;
---- end process STRIDE_REGISTER;
extend_crnt_stride <= EXTND_STRIDE_PAD & crnt_stride;
-------------------------------------------------------------------------------
-- Command Address Calculator
-------------------------------------------------------------------------------
ADDRESS_CALC : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
dm_address <= (others => '0');
elsif(load_new_addr = '1')then
dm_address <= crnt_start_address;
elsif(calc_new_addr = '1')then
dm_address <= std_logic_vector(unsigned(dm_address) + unsigned(extend_crnt_stride));
end if;
end if;
end process ADDRESS_CALC;
-------------------------------------------------------------------------------
-- Vertical Line Counter
-------------------------------------------------------------------------------
VERT_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vert_count <= (others => '0');
elsif(load_new_addr = '1')then
vert_count <= crnt_vsize;
elsif(write_cmnd_cmb = '1')then
vert_count <= std_logic_vector(unsigned(vert_count) - 1);
end if;
end if;
end process VERT_COUNTER;
-------------------------------------------------------------------------------
-- Horizontal Holding Register
-------------------------------------------------------------------------------
----HORZ_REGISTER : process(prmry_aclk)
---- begin
---- if(prmry_aclk'EVENT and prmry_aclk = '1')then
---- if(prmry_resetn = '0')then
---- horz_count <= (others => '0');
---- elsif(load_new_addr = '1')then
---- horz_count <= crnt_hsize;
---- end if;
---- end if;
---- end process HORZ_REGISTER;
horz_count <= crnt_hsize;
-------------------------------------------------------------------------------
-- HSIZE Zero Error
-- If hsize is set to zero on address load then flag an internal error
-- CR579593/CR579597
-------------------------------------------------------------------------------
CHECK_ZERO_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
zero_hsize_err <= '0';
elsif(load_new_addr = '1' and crnt_hsize = ZERO_HSIZE)then
zero_hsize_err <= '1';
else
zero_hsize_err <= '0'; -- CR591965
end if;
end if;
end process CHECK_ZERO_HSIZE;
-------------------------------------------------------------------------------
-- VSIZE Zero Error
-- If vsize is set to zero on address load then flag an internal error
-- CR579593/CR579597
-------------------------------------------------------------------------------
CHECK_ZERO_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
zero_vsize_err <= '0';
elsif(load_new_addr = '1' and crnt_vsize = ZERO_VSIZE)then
zero_vsize_err <= '1';
else
zero_vsize_err <= '0'; -- CR591965
end if;
end if;
end process CHECK_ZERO_VSIZE;
-- Drive out for register status bit setting
zero_size_err <= zero_vsize_err or zero_hsize_err;
-- For MM2S and for S2MM when not in Store-And-Forward Mode
GEN_NORMAL_DM_COMMAND : if C_EXTEND_DM_COMMAND = 0 generate
begin
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to cmdsts_if
GEN_DATAMOVER_CMND : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- Fetch SM issued a command write
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in sg_if.
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- modified for video
& '1' -- not used by video
-- Command
& dm_address -- Calculate address
& '1' -- CMD DRR modified for video
& '1' -- CMD EOF modified for video
& CMD_DSA -- No Destination stream offset
& '1' -- Type no longer used
& PAD_VALUE
& horz_count;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_NORMAL_DM_COMMAND;
-- For S2MM in Store-And-Forward Mode and DRE turned off
-- Need to set the BTT to a greater value than hsize. This will allow
-- the indeterminate BTT mode of the datamover to not generate a bus error
-- on overflow when the hsize values are not stream data width aligned
GEN_EXTENDED_DM_COMMAND : if C_EXTEND_DM_COMMAND = 1 generate
begin
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to cmdsts_if
GEN_DATAMOVER_CMND : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- Fetch SM issued a command write
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in sg_if.
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- modified for video
& '1' -- not used by video
-- Command
& dm_address -- Calculate address
& '1' -- CMD DRR modified for video
& '1' -- CMD EOF modified for video
& CMD_DSA -- No Destination stream offset
& '1' -- Type no longer used
& ONES_PAD_VALUE -- pad with 1's - want greater than hsize btt
& horz_count;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_EXTENDED_DM_COMMAND;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for mm2s is Idle.
-------------------------------------------------------------------------------
-- increment with each command written
count_incr <= '1' when cmnd_wr_i = '1' and sts_received = '0'
else '0';
-- decrement with each status received
count_decr <= '1' when cmnd_wr_i = '0' and sts_received = '1'
else '0';
-- count number of queued commands to keep track of what datamover is still
-- working on
CMD2STS_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or stop = '1' or halt = '1')then
cmnds_queued <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
elsif(count_decr = '1')then
cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
end if;
end if;
end process CMD2STS_COUNTER;
-- Indicate status is idle when no cmnd/sts queued
sts_idle <= '1' when cmnds_queued = ZERO_COUNT and xfers_done = '1'
else '0';
-- CR616211
-- For store-and-foward need to keep track of when
-- AXIS stream is actually complete (For MM2S)
GEN_DONE_FOR_SNF : if C_INCLUDE_SF = 1 generate
begin
-- In free run then condition xfers done with a indication
-- transfers have started. This fixes issue with double
-- frame sync.
GEN_FOR_FREE_RUN : if C_USE_FSYNC = 0 generate
begin
REG_ALLL_XFRED : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
all_lines_xfred_d1 <= '0';
else
all_lines_xfred_d1 <= all_lines_xfred;
end if;
end if;
end process REG_ALLL_XFRED;
all_lines_xfred_fe <= not all_lines_xfred and all_lines_xfred_d1;
-- Flag when a transfer as started
REG_XFRED_STARTED : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
-- at start want to be able to gen first fsync so
-- intially set flag to 1 so sts_idle will assert
-- gen first fsync
if(prmry_resetn = '0' or run_stop = '0')then
xfred_started <= '1';
-- when running then utilize frame_sync to clear flag
elsif(frame_sync = '1')then
xfred_started <= '0';
-- set flag whith each falling edge
elsif(all_lines_xfred_fe='1')then
xfred_started <= '1';
end if;
end if;
end process REG_XFRED_STARTED;
end generate GEN_FOR_FREE_RUN;
-- Not in free run so logic not needed
GEN_FOR_XTERN_FSYNC : if C_USE_FSYNC = 1 generate
begin
xfred_started <= '1';
end generate GEN_FOR_XTERN_FSYNC;
xfers_done <= '1' when (xfred_started='1' and all_lines_xfred = '1')
or stop = '1'
or halt = '1'
or run_stop = '0' --CR622584
else '0';
end generate GEN_DONE_FOR_SNF;
-- If store-and-foward off then do not need to keep track
GEN_DONE_NO_SNF : if C_INCLUDE_SF = 0 generate
begin
xfers_done <= '1';
end generate GEN_DONE_NO_SNF;
-------------------------------------------------------------------------------
-- Frame Size MisMatch (CR591965)
-------------------------------------------------------------------------------
-- Frame size mismatch for external frame sync
GEN_FSIZE_MISMATCH : if C_USE_FSYNC = 1 generate
begin
GEN_S2MM_MISMATCH_NON_FLUSH : if C_INCLUDE_S2MM = 1 and C_ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
-- Frame Size Mismatch Detection - Frame size mismatch error detection to detect when
-- VDMA channel configured for more lines than what could fit in a frame.
FSIZE_MISMATCH : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk='1')then
if(prmry_resetn = '0')then
fsize_mismatch_err_int <= '0';
-- frame sync occurred when not all lines transferred
-- elsif(frame_sync = '1' and all_lines_xfred = '0')then
elsif(frame_sync = '1' and all_lasts_rcvd = '0')then
fsize_mismatch_err_int <= '1';
else
fsize_mismatch_err_int <= '0';
end if;
end if;
end process FSIZE_MISMATCH;
fsize_mismatch_err_flag_int_d2 <= '0';
s2mm_fsize_mismatch_err_s <= '0';
end generate GEN_S2MM_MISMATCH_NON_FLUSH;
GEN_S2MM_MISMATCH_FLUSH_NON_SOF : if C_INCLUDE_S2MM = 1 and C_ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 0 generate
begin
-- Frame Size Mismatch Detection - Frame size mismatch error detection to detect when
-- VDMA channel configured for more lines than what could fit in a frame.
FSIZE_MISMATCH : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk='1')then
if(prmry_resetn = '0')then
fsize_mismatch_err_int <= '0';
-- frame sync occurred when not all lines transferred
-- elsif(frame_sync = '1' and all_lines_xfred = '0')then
elsif(frame_sync = '1' and all_lasts_rcvd = '0')then
fsize_mismatch_err_int <= '1';
else
fsize_mismatch_err_int <= '0';
end if;
end if;
end process FSIZE_MISMATCH;
fsize_mismatch_err_flag_int_d2 <= '0';
s2mm_fsize_mismatch_err_s <= '0';
end generate GEN_S2MM_MISMATCH_FLUSH_NON_SOF;
GEN_S2MM_MISMATCH_FLUSH_SOF : if C_INCLUDE_S2MM = 1 and C_ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1 generate
constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0');
signal s2mm_fsync_out_m_d1 : std_logic := '0';
signal fsize_mismatch_err_s1 : std_logic := '0';
signal fsize_mismatch_err_s : std_logic := '0';
signal drop_fsync_d_pulse_gen_fsize_less_err_d1 : std_logic := '0';
begin
-- Frame Size Mismatch Detection - Frame size mismatch error detection to detect when
-- VDMA channel configured for more lines than what could fit in a frame.
FSIZE_MISMATCH_STRM_FLUSH_SOF : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk='1')then
if(scndry_resetn = '0')then
fsize_mismatch_err_s1 <= '0';
-- frame sync occurred when not all lines transferred
-- elsif(frame_sync = '1' and all_lines_xfred = '0')then
--elsif(s2mm_fsync_core = '1' and (s2mm_strm_all_lines_rcvd = '0' or drop_fsync_d_pulse_gen_fsize_less_err = '1'))then
elsif(s2mm_fsync_core = '1' and s2mm_strm_all_lines_rcvd = '0')then
fsize_mismatch_err_s1 <= '1';
else
fsize_mismatch_err_s1 <= '0';
end if;
end if;
end process FSIZE_MISMATCH_STRM_FLUSH_SOF;
D1_DROP_DELAY_FSYNC : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk = '1')then
if(scndry_resetn = '0')then
drop_fsync_d_pulse_gen_fsize_less_err_d1 <= '0';
else
drop_fsync_d_pulse_gen_fsize_less_err_d1 <= drop_fsync_d_pulse_gen_fsize_less_err;
end if;
end if;
end process D1_DROP_DELAY_FSYNC;
fsize_mismatch_err_s <= fsize_mismatch_err_s1 or drop_fsync_d_pulse_gen_fsize_less_err_d1 ;
s2mm_fsize_mismatch_err_s <= fsize_mismatch_err_s;
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
---- FSIZE_MISMATCH_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2_8.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => prmry_aclk ,
---- prmry_resetn => prmry_resetn ,
---- scndry_aclk => scndry_aclk ,
---- scndry_resetn => scndry_resetn ,
---- scndry_in => fsize_mismatch_err_s , -- Not Used
---- prmry_out => fsize_mismatch_err_int , -- Not Used
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
FSIZE_MISMATCH_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => scndry_aclk,
prmry_resetn => scndry_resetn,
prmry_in => fsize_mismatch_err_s,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => prmry_aclk,
scndry_resetn => prmry_resetn,
scndry_out => fsize_mismatch_err_int,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC;
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
fsize_mismatch_err_int <= fsize_mismatch_err_s;
end generate GEN_FOR_SYNC;
D1_S2MM_FSYNC_OUT_M : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
s2mm_fsync_out_m_d1 <= '0';
else
s2mm_fsync_out_m_d1 <= s2mm_fsync_out_m;
end if;
end if;
end process D1_S2MM_FSYNC_OUT_M;
FSIZE_LESS_ERR_FLAG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or s2mm_fsync_out_m_d1 = '1')then
fsize_mismatch_err_flag_int <= '0';
elsif(fsize_mismatch_err_int = '1')then
fsize_mismatch_err_flag_int <= '1';
end if;
end if;
end process FSIZE_LESS_ERR_FLAG;
fsize_mismatch_err_flag_int_d2 <= fsize_mismatch_err_flag_int or fsize_mismatch_err_int;
end generate GEN_S2MM_MISMATCH_FLUSH_SOF;
GEN_MM2S_MISMATCH_NO_FLUSH_SOF : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_FLUSH_ON_FSYNC = 0 or C_MM2S_SOF_ENABLE = 0)) generate
begin
-- Frame Size Mismatch Detection - Frame size mismatch error detection to detect when
-- VDMA channel configured for more lines than what could fit in a frame.
FSIZE_MISMATCH : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk='1')then
if(prmry_resetn = '0')then
mm2s_fsize_mismatch_err_int <= '0';
-- frame sync occurred when not all lines transferred
elsif(frame_sync = '1' and all_lines_xfred = '0')then
mm2s_fsize_mismatch_err_int <= '1';
else
mm2s_fsize_mismatch_err_int <= '0';
end if;
end if;
end process FSIZE_MISMATCH;
s2mm_fsize_mismatch_err_s <= '0';
fsize_mismatch_err_flag_int_d2 <= '0';
fsize_mismatch_err_int <= mm2s_fsize_mismatch_err_int;
end generate GEN_MM2S_MISMATCH_NO_FLUSH_SOF;
GEN_MM2S_MISMATCH_FLUSH_SOF : if (C_INCLUDE_MM2S = 1 and (C_ENABLE_FLUSH_ON_FSYNC = 1 and C_MM2S_SOF_ENABLE = 1)) generate
signal mm2s_fsync_out_m_d1 : std_logic := '0';
begin
fsize_mismatch_err_int <= mm2s_fsize_mismatch_err_m;
D1_MM2S_FSYNC_OUT_M : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
mm2s_fsync_out_m_d1 <= '0';
else
mm2s_fsync_out_m_d1 <= mm2s_fsync_out_m;
end if;
end if;
end process D1_MM2S_FSYNC_OUT_M;
MM2S_FSIZE_LESS_ERR_FLAG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or mm2s_fsync_out_m_d1 = '1')then
fsize_mismatch_err_flag_int_d1 <= '0';
elsif(mm2s_fsize_mismatch_err_m = '1')then
fsize_mismatch_err_flag_int_d1 <= '1';
end if;
end if;
end process MM2S_FSIZE_LESS_ERR_FLAG;
fsize_mismatch_err_flag_int_d2 <= fsize_mismatch_err_flag_int_d1 or mm2s_fsize_mismatch_err_m;
s2mm_fsize_mismatch_err_s <= '0';
end generate GEN_MM2S_MISMATCH_FLUSH_SOF;
end generate GEN_FSIZE_MISMATCH;
-- No frame size mismatch if in free run mode
GEN_NO_FSIZE_MISMATCH : if C_USE_FSYNC = 0 generate
begin
fsize_mismatch_err_int <= '0';
fsize_mismatch_err_flag_int_d2 <= '0';
s2mm_fsize_mismatch_err_s <= '0';
end generate GEN_NO_FSIZE_MISMATCH;
fsize_mismatch_err_flag <= fsize_mismatch_err_flag_int_d2;
fsize_mismatch_err <= fsize_mismatch_err_int;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_ftch_sm.vhd
|
4
|
48085
|
-------------------------------------------------------------------------------
-- axi_sg_ftch_sm
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_sm.vhd
-- Description: This entity manages fetching of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 6/10/10 v1_00_a
-- ^^^^^^
-- Fixed issue with fetch idle asserting too soon when simultaneous update
-- decode error and stale descriptor error detected. This fixes CR564855.
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Rolled axi_sg library version to version v2_00_a
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 12/07/10 v4_03
-- ^^^^^^
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under
-- associated generate
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_sg_ftch_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
updt_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --
ch1_updt_done : in std_logic ; --
ch1_sg_idle : in std_logic ; --
ch1_tailpntr_enabled : in std_logic ; --
ch1_ftch_queue_full : in std_logic ; --
ch1_ftch_queue_empty : in std_logic ; --
ch1_ftch_pause : in std_logic ; --
ch1_fetch_address : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_ftch_active : out std_logic ; --
ch1_ftch_idle : out std_logic ; --
ch1_ftch_interr_set : out std_logic ; --
ch1_ftch_slverr_set : out std_logic ; --
ch1_ftch_decerr_set : out std_logic ; --
ch1_ftch_err_early : out std_logic ; --
ch1_ftch_stale_desc : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_run_stop : in std_logic ; --
ch2_desc_flush : in std_logic ; --
ch2_updt_done : in std_logic ; --
ch2_sg_idle : in std_logic ; --
ch2_tailpntr_enabled : in std_logic ; --
ch2_ftch_queue_full : in std_logic ; --
ch2_ftch_queue_empty : in std_logic ; --
ch2_ftch_pause : in std_logic ; --
ch2_fetch_address : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_ftch_active : out std_logic ; --
ch2_ftch_idle : out std_logic ; --
ch2_ftch_interr_set : out std_logic ; --
ch2_ftch_slverr_set : out std_logic ; --
ch2_ftch_decerr_set : out std_logic ; --
ch2_ftch_err_early : out std_logic ; --
ch2_ftch_stale_desc : out std_logic ; --
--
-- DataMover Command --
ftch_cmnd_wr : out std_logic ; --
ftch_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
-- DataMover Status --
ftch_done : in std_logic ; --
ftch_error : in std_logic ; --
ftch_interr : in std_logic ; --
ftch_slverr : in std_logic ; --
ftch_decerr : in std_logic ; --
ftch_stale_desc : in std_logic ; --
ftch_error_early : in std_logic ; --
ftch_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_ftch_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant FETCH_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
constant FETCH_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant FETCH_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant FETCH_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant FETCH_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_FETCH*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant FETCH_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_FETCH*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant FETCH_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate
-- Required width in bits for C_SG_FTCH_DESC2QUEUE
--constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
--
---- Vector version of C_SG_FTCH_DESC2QUEUE
--constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_FTCH_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
FETCH_STATUS,
FETCH_ERROR
);
signal ftch_cs : SG_FTCH_STATE_TYPE;
signal ftch_ns : SG_FTCH_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_ftch_sm_idle : std_logic := '0';
signal ch2_ftch_sm_idle : std_logic := '0';
signal ch1_pause_fetch : std_logic := '0';
signal ch2_pause_fetch : std_logic := '0';
-- Misc Signals
signal fetch_cmd_addr : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
signal fetch_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal ch1_stale_descriptor : std_logic := '0';
signal ch2_stale_descriptor : std_logic := '0';
signal ch1_ftch_interr_set_i : std_logic := '0';
signal ch2_ftch_interr_set_i : std_logic := '0';
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate
-- counts for keeping track of queue descriptors to prevent
-- fifo fill
--signal ch1_desc_ftched_count : std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
--signal ch2_desc_ftched_count : std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_ftch_active <= ch1_active_i;
ch2_ftch_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_FTCH_MACHINE : process(ftch_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ftch_error,
ftch_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_ftch_sm_idle <= '0';
ch2_ftch_sm_idle <= '0';
ftch_ns <= ftch_cs;
case ftch_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_ftch_sm_idle <= not service_ch1;
ch2_ftch_sm_idle <= not service_ch2;
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
else
ftch_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
else
ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1;
ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
ftch_ns <= FETCH_STATUS;
end if;
-------------------------------------------------------------------
when FETCH_STATUS =>
ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1;
ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2;
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
elsif(ftch_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, fetch descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Else if channel 2 still ready then fetch
-- another descriptor for channel 2
elsif(service_ch2 = '1')then
ch1_ftch_sm_idle <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Otherwise return to IDLE
else
ftch_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, fetch descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Else if channel 1 still ready then fetch
-- another descriptor for channel 1
elsif(service_ch1 = '1')then
ch2_ftch_sm_idle <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Otherwise return to IDLE
else
ftch_ns <= IDLE;
end if;
else
ftch_ns <= IDLE;
end if;
else
ftch_ns <= FETCH_STATUS;
end if;
-------------------------------------------------------------------
when FETCH_ERROR =>
ch1_ftch_sm_idle <= '1';
ch2_ftch_sm_idle <= '1';
ftch_ns <= FETCH_ERROR;
-------------------------------------------------------------------
when others =>
ftch_ns <= IDLE;
end case;
end process SG_FTCH_MACHINE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_cs <= IDLE;
else
ftch_cs <= ftch_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_FETCH : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_active_set = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 IDLE process. Indicates channel 1 fetch process is IDLE
-- This is 1 part of determining IDLE for a channel
-------------------------------------------------------------------------------
CH1_IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_idle <= '1';
-- SG Error therefore force IDLE
-- CR564855 - fetch idle asserted too soon when update error occured.
-- fetch idle does not need to be concerned with updt_error. This is
-- because on going fetch is guarentteed to complete regardless of dma
-- controller or sg update engine.
--elsif(updt_error = '1' or ftch_error = '1'
elsif(ftch_error = '1'
or ch1_ftch_interr_set_i = '1')then
ch1_ftch_idle <= '1';
-- When SG Fetch no longer idle then clear fetch idle
elsif(ch1_sg_idle = '0')then
ch1_ftch_idle <= '0';
-- If tail = cur and fetch queue is empty then
elsif(ch1_sg_idle = '1' and ch1_ftch_queue_empty = '1' and ch1_ftch_sm_idle = '1')then
ch1_ftch_idle <= '1';
end if;
end if;
end process CH1_IDLE_PROCESS;
-------------------------------------------------------------------------------
-- For No Fetch Queue, generate pause logic to prevent partial descriptor from
-- being fetched and then endless throttle on AXI read bus
-------------------------------------------------------------------------------
GEN_CH1_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
REG_PAUSE_FETCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- On descriptor update done clear pause
if(m_axi_sg_aresetn = '0' or ch1_updt_done = '1')then
ch1_pause_fetch <= '0';
-- If channel active and command written then pause
elsif(ch1_active_i='1' and write_cmnd_cmb = '1')then
ch1_pause_fetch <= '1';
end if;
end if;
end process REG_PAUSE_FETCH;
end generate GEN_CH1_FETCH_PAUSE;
-- Fetch queues so do not need to pause
GEN_CH1_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
-- -- CR585958
-- -- Required width in bits for C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
-- -- Vector version of C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-- signal desc_queued_incr : std_logic := '0';
-- signal desc_queued_decr : std_logic := '0';
--
-- -- CR585958
-- signal ch1_desc_ftched_count: std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
-- begin
--
-- desc_queued_incr <= '1' when ch1_active_i = '1'
-- and write_cmnd_cmb = '1'
-- and ch1_ftch_descpulled = '0'
-- else '0';
--
-- desc_queued_decr <= '1' when ch1_ftch_descpulled = '1'
-- and not (ch1_active_i = '1' and write_cmnd_cmb = '1')
-- else '0';
--
-- -- Keep track of descriptors queued version descriptors updated
-- DESC_FETCHED_CNTR : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch1_desc_ftched_count <= (others => '0');
-- elsif(desc_queued_incr = '1')then
-- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) + 1);
-- elsif(desc_queued_decr = '1')then
-- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) - 1);
-- end if;
-- end if;
-- end process DESC_FETCHED_CNTR;
--
-- REG_PAUSE_FETCH : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch1_pause_fetch <= '0';
-- elsif(ch1_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then
-- ch1_pause_fetch <= '1';
-- else
-- ch1_pause_fetch <= '0';
-- end if;
-- end if;
-- end process REG_PAUSE_FETCH;
--
--
--
ch1_pause_fetch <= ch1_ftch_pause;
end generate GEN_CH1_NO_FETCH_PAUSE;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_run_stop = '1' -- Channel running
and ch1_sg_idle = '0' -- SG Engine running
and ch1_ftch_queue_full = '0' -- Queue not full
and updt_error = '0' -- No SG Update error
and ch1_stale_descriptor = '0' -- No Stale Descriptors
and ch1_desc_flush = '0' -- Not flushing desc
and ch1_pause_fetch = '0' -- Not pausing
else '0';
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
INT_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_interr_set_i <= '0';
-- Channel active and datamover int error or fetch done and descriptor stale
elsif((ch1_active_i = '1' and ftch_interr = '1')
or ((ftch_done = '1' or ftch_error = '1') and ch1_stale_descriptor = '1'))then
ch1_ftch_interr_set_i <= '1';
end if;
end if;
end process INT_ERROR_PROCESS;
ch1_ftch_interr_set <= ch1_ftch_interr_set_i;
SLV_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_slverr_set <= '0';
elsif(ch1_active_i = '1' and ftch_slverr = '1')then
ch1_ftch_slverr_set <= '1';
end if;
end if;
end process SLV_ERROR_PROCESS;
DEC_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_decerr_set <= '0';
elsif(ch1_active_i = '1' and ftch_decerr = '1')then
ch1_ftch_decerr_set <= '1';
end if;
end if;
end process DEC_ERROR_PROCESS;
-- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor
-- from being used by dma controller
ch1_ftch_err_early <= '1' when ftch_error_early = '1' and ch1_active_i = '1'
else '0';
-- Enable stale descriptor check
GEN_CH1_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 generate
begin
-----------------------------------------------------------------------
-- Stale Descriptor Error
-----------------------------------------------------------------------
CH1_STALE_DESC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset then clear flag
if(m_axi_sg_aresetn = '0')then
ch1_stale_descriptor <= '0';
elsif(ftch_stale_desc = '1' and ch1_active_i = '1' )then
ch1_stale_descriptor <= '1';
end if;
end if;
end process CH1_STALE_DESC;
end generate GEN_CH1_STALE_CHECK;
-- Disable stale descriptor check
GEN_CH1_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 generate
begin
ch1_stale_descriptor <= '0';
end generate GEN_CH1_NO_STALE_CHECK;
-- Early detection of Stale Descriptor (valid only in tailpntr mode) used
-- to prevent error'ed descriptor from being used.
ch1_ftch_stale_desc <= ch1_stale_descriptor;
end generate GEN_CH1_FETCH;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_FETCH : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_ftch_idle <= '0';
ch1_ftch_interr_set <= '0';
ch1_ftch_slverr_set <= '0';
ch1_ftch_decerr_set <= '0';
ch1_ftch_err_early <= '0';
ch1_ftch_stale_desc <= '0';
end generate GEN_NO_CH1_FETCH;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_FETCH : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch1_active_set = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 IDLE process. Indicates channel 2 fetch process is IDLE
-- This is 1 part of determining IDLE for a channel
-------------------------------------------------------------------------------
CH2_IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_idle <= '1';
-- SG Error therefore force IDLE
-- CR564855 - fetch idle asserted too soon when update error occured.
-- fetch idle does not need to be concerned with updt_error. This is
-- because on going fetch is guarentteed to complete regardless of dma
-- controller or sg update engine.
-- elsif(updt_error = '1' or ftch_error = '1'
elsif(ftch_error = '1'
or ch2_ftch_interr_set_i = '1')then
ch2_ftch_idle <= '1';
-- When SG Fetch no longer idle then clear fetch idle
elsif(ch2_sg_idle = '0')then
ch2_ftch_idle <= '0';
-- If tail = cur and fetch queue is empty then
elsif(ch2_sg_idle = '1' and ch2_ftch_queue_empty = '1' and ch2_ftch_sm_idle = '1')then
ch2_ftch_idle <= '1';
end if;
end if;
end process CH2_IDLE_PROCESS;
-------------------------------------------------------------------------------
-- For No Fetch Queue, generate pause logic to prevent partial descriptor from
-- being fetched and then endless throttle on AXI read bus
-------------------------------------------------------------------------------
GEN_CH2_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
REG_PAUSE_FETCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- On descriptor update done clear pause
if(m_axi_sg_aresetn = '0' or ch2_updt_done = '1')then
ch2_pause_fetch <= '0';
-- If channel active and command written then pause
elsif(ch2_active_i='1' and write_cmnd_cmb = '1')then
ch2_pause_fetch <= '1';
end if;
end if;
end process REG_PAUSE_FETCH;
end generate GEN_CH2_FETCH_PAUSE;
-- Fetch queues so do not need to pause
GEN_CH2_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
-- -- CR585958
-- -- Required width in bits for C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
-- -- Vector version of C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-- signal desc_queued_incr : std_logic := '0';
-- signal desc_queued_decr : std_logic := '0';
--
-- -- CR585958
-- signal ch2_desc_ftched_count: std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
--
-- begin
--
-- desc_queued_incr <= '1' when ch2_active_i = '1'
-- and write_cmnd_cmb = '1'
-- and ch2_ftch_descpulled = '0'
-- else '0';
--
-- desc_queued_decr <= '1' when ch2_ftch_descpulled = '1'
-- and not (ch2_active_i = '1' and write_cmnd_cmb = '1')
-- else '0';
--
-- -- Keep track of descriptors queued version descriptors updated
-- DESC_FETCHED_CNTR : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch2_desc_ftched_count <= (others => '0');
-- elsif(desc_queued_incr = '1')then
-- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) + 1);
-- elsif(desc_queued_decr = '1')then
-- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) - 1);
-- end if;
-- end if;
-- end process DESC_FETCHED_CNTR;
--
-- REG_PAUSE_FETCH : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch2_pause_fetch <= '0';
-- elsif(ch2_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then
-- ch2_pause_fetch <= '1';
-- else
-- ch2_pause_fetch <= '0';
-- end if;
-- end if;
-- end process REG_PAUSE_FETCH;
--
ch2_pause_fetch <= ch2_ftch_pause;
end generate GEN_CH2_NO_FETCH_PAUSE;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running
and ch2_sg_idle = '0' -- SG Engine running
and ch2_ftch_queue_full = '0' -- Queue not full
and updt_error = '0' -- No SG Update error
and ch2_stale_descriptor = '0' -- No Stale Descriptors
and ch2_desc_flush = '0' -- Not flushing desc
and ch2_pause_fetch = '0' -- No fetch pause
else '0';
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
INT_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_interr_set_i <= '0';
-- Channel active and datamover int error or fetch done and descriptor stale
elsif((ch2_active_i = '1' and ftch_interr = '1')
or ((ftch_done = '1' or ftch_error = '1') and ch2_stale_descriptor = '1'))then
ch2_ftch_interr_set_i <= '1';
end if;
end if;
end process INT_ERROR_PROCESS;
ch2_ftch_interr_set <= ch2_ftch_interr_set_i;
SLV_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_slverr_set <= '0';
elsif(ch2_active_i = '1' and ftch_slverr = '1')then
ch2_ftch_slverr_set <= '1';
end if;
end if;
end process SLV_ERROR_PROCESS;
DEC_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_decerr_set <= '0';
elsif(ch2_active_i = '1' and ftch_decerr = '1')then
ch2_ftch_decerr_set <= '1';
end if;
end if;
end process DEC_ERROR_PROCESS;
-- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor
-- from being used by dma controller
ch2_ftch_err_early <= '1' when ftch_error_early = '1' and ch2_active_i = '1'
else '0';
-- Enable stale descriptor check
GEN_CH2_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 1 generate
begin
-----------------------------------------------------------------------
-- Stale Descriptor Error
-----------------------------------------------------------------------
CH2_STALE_DESC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset then clear flag
if(m_axi_sg_aresetn = '0')then
ch2_stale_descriptor <= '0';
elsif(ftch_stale_desc = '1' and ch2_active_i = '1' )then
ch2_stale_descriptor <= '1';
end if;
end if;
end process CH2_STALE_DESC;
end generate GEN_CH2_STALE_CHECK;
-- Disable stale descriptor check
GEN_CH2_NO_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 0 generate
begin
ch2_stale_descriptor <= '0';
end generate GEN_CH2_NO_STALE_CHECK;
-- Early detection of Stale Descriptor (valid only in tailpntr mode) used
-- to prevent error'ed descriptor from being used.
ch2_ftch_stale_desc <= ch2_stale_descriptor;
end generate GEN_CH2_FETCH;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_FETCH : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_ftch_idle <= '0';
ch2_ftch_interr_set <= '0';
ch2_ftch_slverr_set <= '0';
ch2_ftch_decerr_set <= '0';
ch2_ftch_err_early <= '0';
ch2_ftch_stale_desc <= '0';
end generate GEN_NO_CH2_FETCH;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- Assign fetch address
fetch_cmd_addr <= ch1_fetch_address when ch1_active_i = '1'
else ch2_fetch_address;
-- Assign bytes to transfer (BTT)
fetch_cmd_btt <= FETCH_CH1_CMD_BTT when ch1_active_i = '1'
else FETCH_CH2_CMD_BTT;
-- When command by sm, drive command to ftch_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_cmnd_wr <= '0';
ftch_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
ftch_cmnd_wr <= '1';
ftch_cmnd_data <= FETCH_CMD_RSVD
& FETCH_CMD_TAG
& fetch_cmd_addr
& FETCH_MSB_IGNORED
& FETCH_CMD_TYPE
& FETCH_LSB_IGNORED
& fetch_cmd_btt;
else
ftch_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_error_addr <= (others => '0');
elsif(write_cmnd_cmb = '1')then
ftch_error_addr <= fetch_cmd_addr;
end if;
end if;
end process LOG_ERROR_ADDR;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_ftch_sm.vhd
|
4
|
48085
|
-------------------------------------------------------------------------------
-- axi_sg_ftch_sm
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_sm.vhd
-- Description: This entity manages fetching of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 6/10/10 v1_00_a
-- ^^^^^^
-- Fixed issue with fetch idle asserting too soon when simultaneous update
-- decode error and stale descriptor error detected. This fixes CR564855.
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Rolled axi_sg library version to version v2_00_a
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 12/07/10 v4_03
-- ^^^^^^
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under
-- associated generate
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_sg_ftch_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
updt_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --
ch1_updt_done : in std_logic ; --
ch1_sg_idle : in std_logic ; --
ch1_tailpntr_enabled : in std_logic ; --
ch1_ftch_queue_full : in std_logic ; --
ch1_ftch_queue_empty : in std_logic ; --
ch1_ftch_pause : in std_logic ; --
ch1_fetch_address : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_ftch_active : out std_logic ; --
ch1_ftch_idle : out std_logic ; --
ch1_ftch_interr_set : out std_logic ; --
ch1_ftch_slverr_set : out std_logic ; --
ch1_ftch_decerr_set : out std_logic ; --
ch1_ftch_err_early : out std_logic ; --
ch1_ftch_stale_desc : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_run_stop : in std_logic ; --
ch2_desc_flush : in std_logic ; --
ch2_updt_done : in std_logic ; --
ch2_sg_idle : in std_logic ; --
ch2_tailpntr_enabled : in std_logic ; --
ch2_ftch_queue_full : in std_logic ; --
ch2_ftch_queue_empty : in std_logic ; --
ch2_ftch_pause : in std_logic ; --
ch2_fetch_address : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_ftch_active : out std_logic ; --
ch2_ftch_idle : out std_logic ; --
ch2_ftch_interr_set : out std_logic ; --
ch2_ftch_slverr_set : out std_logic ; --
ch2_ftch_decerr_set : out std_logic ; --
ch2_ftch_err_early : out std_logic ; --
ch2_ftch_stale_desc : out std_logic ; --
--
-- DataMover Command --
ftch_cmnd_wr : out std_logic ; --
ftch_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
-- DataMover Status --
ftch_done : in std_logic ; --
ftch_error : in std_logic ; --
ftch_interr : in std_logic ; --
ftch_slverr : in std_logic ; --
ftch_decerr : in std_logic ; --
ftch_stale_desc : in std_logic ; --
ftch_error_early : in std_logic ; --
ftch_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_ftch_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant FETCH_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
constant FETCH_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant FETCH_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant FETCH_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant FETCH_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_FETCH*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant FETCH_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_FETCH*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant FETCH_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate
-- Required width in bits for C_SG_FTCH_DESC2QUEUE
--constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
--
---- Vector version of C_SG_FTCH_DESC2QUEUE
--constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_FTCH_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
FETCH_STATUS,
FETCH_ERROR
);
signal ftch_cs : SG_FTCH_STATE_TYPE;
signal ftch_ns : SG_FTCH_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_ftch_sm_idle : std_logic := '0';
signal ch2_ftch_sm_idle : std_logic := '0';
signal ch1_pause_fetch : std_logic := '0';
signal ch2_pause_fetch : std_logic := '0';
-- Misc Signals
signal fetch_cmd_addr : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
signal fetch_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal ch1_stale_descriptor : std_logic := '0';
signal ch2_stale_descriptor : std_logic := '0';
signal ch1_ftch_interr_set_i : std_logic := '0';
signal ch2_ftch_interr_set_i : std_logic := '0';
-- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate
-- counts for keeping track of queue descriptors to prevent
-- fifo fill
--signal ch1_desc_ftched_count : std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
--signal ch2_desc_ftched_count : std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_ftch_active <= ch1_active_i;
ch2_ftch_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_FTCH_MACHINE : process(ftch_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ftch_error,
ftch_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_ftch_sm_idle <= '0';
ch2_ftch_sm_idle <= '0';
ftch_ns <= ftch_cs;
case ftch_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_ftch_sm_idle <= not service_ch1;
ch2_ftch_sm_idle <= not service_ch2;
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
else
ftch_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
else
ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1;
ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
ftch_ns <= FETCH_STATUS;
end if;
-------------------------------------------------------------------
when FETCH_STATUS =>
ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1;
ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2;
-- sg error during fetch - shut down
if(ftch_error = '1')then
ftch_ns <= FETCH_ERROR;
elsif(ftch_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, fetch descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Else if channel 2 still ready then fetch
-- another descriptor for channel 2
elsif(service_ch2 = '1')then
ch1_ftch_sm_idle <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Otherwise return to IDLE
else
ftch_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, fetch descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Else if channel 1 still ready then fetch
-- another descriptor for channel 1
elsif(service_ch1 = '1')then
ch2_ftch_sm_idle <= '1';
ftch_ns <= FETCH_DESCRIPTOR;
-- Otherwise return to IDLE
else
ftch_ns <= IDLE;
end if;
else
ftch_ns <= IDLE;
end if;
else
ftch_ns <= FETCH_STATUS;
end if;
-------------------------------------------------------------------
when FETCH_ERROR =>
ch1_ftch_sm_idle <= '1';
ch2_ftch_sm_idle <= '1';
ftch_ns <= FETCH_ERROR;
-------------------------------------------------------------------
when others =>
ftch_ns <= IDLE;
end case;
end process SG_FTCH_MACHINE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_cs <= IDLE;
else
ftch_cs <= ftch_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_FETCH : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_active_set = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 IDLE process. Indicates channel 1 fetch process is IDLE
-- This is 1 part of determining IDLE for a channel
-------------------------------------------------------------------------------
CH1_IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_idle <= '1';
-- SG Error therefore force IDLE
-- CR564855 - fetch idle asserted too soon when update error occured.
-- fetch idle does not need to be concerned with updt_error. This is
-- because on going fetch is guarentteed to complete regardless of dma
-- controller or sg update engine.
--elsif(updt_error = '1' or ftch_error = '1'
elsif(ftch_error = '1'
or ch1_ftch_interr_set_i = '1')then
ch1_ftch_idle <= '1';
-- When SG Fetch no longer idle then clear fetch idle
elsif(ch1_sg_idle = '0')then
ch1_ftch_idle <= '0';
-- If tail = cur and fetch queue is empty then
elsif(ch1_sg_idle = '1' and ch1_ftch_queue_empty = '1' and ch1_ftch_sm_idle = '1')then
ch1_ftch_idle <= '1';
end if;
end if;
end process CH1_IDLE_PROCESS;
-------------------------------------------------------------------------------
-- For No Fetch Queue, generate pause logic to prevent partial descriptor from
-- being fetched and then endless throttle on AXI read bus
-------------------------------------------------------------------------------
GEN_CH1_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
REG_PAUSE_FETCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- On descriptor update done clear pause
if(m_axi_sg_aresetn = '0' or ch1_updt_done = '1')then
ch1_pause_fetch <= '0';
-- If channel active and command written then pause
elsif(ch1_active_i='1' and write_cmnd_cmb = '1')then
ch1_pause_fetch <= '1';
end if;
end if;
end process REG_PAUSE_FETCH;
end generate GEN_CH1_FETCH_PAUSE;
-- Fetch queues so do not need to pause
GEN_CH1_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
-- -- CR585958
-- -- Required width in bits for C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
-- -- Vector version of C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-- signal desc_queued_incr : std_logic := '0';
-- signal desc_queued_decr : std_logic := '0';
--
-- -- CR585958
-- signal ch1_desc_ftched_count: std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
-- begin
--
-- desc_queued_incr <= '1' when ch1_active_i = '1'
-- and write_cmnd_cmb = '1'
-- and ch1_ftch_descpulled = '0'
-- else '0';
--
-- desc_queued_decr <= '1' when ch1_ftch_descpulled = '1'
-- and not (ch1_active_i = '1' and write_cmnd_cmb = '1')
-- else '0';
--
-- -- Keep track of descriptors queued version descriptors updated
-- DESC_FETCHED_CNTR : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch1_desc_ftched_count <= (others => '0');
-- elsif(desc_queued_incr = '1')then
-- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) + 1);
-- elsif(desc_queued_decr = '1')then
-- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) - 1);
-- end if;
-- end if;
-- end process DESC_FETCHED_CNTR;
--
-- REG_PAUSE_FETCH : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch1_pause_fetch <= '0';
-- elsif(ch1_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then
-- ch1_pause_fetch <= '1';
-- else
-- ch1_pause_fetch <= '0';
-- end if;
-- end if;
-- end process REG_PAUSE_FETCH;
--
--
--
ch1_pause_fetch <= ch1_ftch_pause;
end generate GEN_CH1_NO_FETCH_PAUSE;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_run_stop = '1' -- Channel running
and ch1_sg_idle = '0' -- SG Engine running
and ch1_ftch_queue_full = '0' -- Queue not full
and updt_error = '0' -- No SG Update error
and ch1_stale_descriptor = '0' -- No Stale Descriptors
and ch1_desc_flush = '0' -- Not flushing desc
and ch1_pause_fetch = '0' -- Not pausing
else '0';
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
INT_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_interr_set_i <= '0';
-- Channel active and datamover int error or fetch done and descriptor stale
elsif((ch1_active_i = '1' and ftch_interr = '1')
or ((ftch_done = '1' or ftch_error = '1') and ch1_stale_descriptor = '1'))then
ch1_ftch_interr_set_i <= '1';
end if;
end if;
end process INT_ERROR_PROCESS;
ch1_ftch_interr_set <= ch1_ftch_interr_set_i;
SLV_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_slverr_set <= '0';
elsif(ch1_active_i = '1' and ftch_slverr = '1')then
ch1_ftch_slverr_set <= '1';
end if;
end if;
end process SLV_ERROR_PROCESS;
DEC_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch1_ftch_decerr_set <= '0';
elsif(ch1_active_i = '1' and ftch_decerr = '1')then
ch1_ftch_decerr_set <= '1';
end if;
end if;
end process DEC_ERROR_PROCESS;
-- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor
-- from being used by dma controller
ch1_ftch_err_early <= '1' when ftch_error_early = '1' and ch1_active_i = '1'
else '0';
-- Enable stale descriptor check
GEN_CH1_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 generate
begin
-----------------------------------------------------------------------
-- Stale Descriptor Error
-----------------------------------------------------------------------
CH1_STALE_DESC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset then clear flag
if(m_axi_sg_aresetn = '0')then
ch1_stale_descriptor <= '0';
elsif(ftch_stale_desc = '1' and ch1_active_i = '1' )then
ch1_stale_descriptor <= '1';
end if;
end if;
end process CH1_STALE_DESC;
end generate GEN_CH1_STALE_CHECK;
-- Disable stale descriptor check
GEN_CH1_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 generate
begin
ch1_stale_descriptor <= '0';
end generate GEN_CH1_NO_STALE_CHECK;
-- Early detection of Stale Descriptor (valid only in tailpntr mode) used
-- to prevent error'ed descriptor from being used.
ch1_ftch_stale_desc <= ch1_stale_descriptor;
end generate GEN_CH1_FETCH;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_FETCH : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_ftch_idle <= '0';
ch1_ftch_interr_set <= '0';
ch1_ftch_slverr_set <= '0';
ch1_ftch_decerr_set <= '0';
ch1_ftch_err_early <= '0';
ch1_ftch_stale_desc <= '0';
end generate GEN_NO_CH1_FETCH;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_FETCH : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch1_active_set = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 IDLE process. Indicates channel 2 fetch process is IDLE
-- This is 1 part of determining IDLE for a channel
-------------------------------------------------------------------------------
CH2_IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_idle <= '1';
-- SG Error therefore force IDLE
-- CR564855 - fetch idle asserted too soon when update error occured.
-- fetch idle does not need to be concerned with updt_error. This is
-- because on going fetch is guarentteed to complete regardless of dma
-- controller or sg update engine.
-- elsif(updt_error = '1' or ftch_error = '1'
elsif(ftch_error = '1'
or ch2_ftch_interr_set_i = '1')then
ch2_ftch_idle <= '1';
-- When SG Fetch no longer idle then clear fetch idle
elsif(ch2_sg_idle = '0')then
ch2_ftch_idle <= '0';
-- If tail = cur and fetch queue is empty then
elsif(ch2_sg_idle = '1' and ch2_ftch_queue_empty = '1' and ch2_ftch_sm_idle = '1')then
ch2_ftch_idle <= '1';
end if;
end if;
end process CH2_IDLE_PROCESS;
-------------------------------------------------------------------------------
-- For No Fetch Queue, generate pause logic to prevent partial descriptor from
-- being fetched and then endless throttle on AXI read bus
-------------------------------------------------------------------------------
GEN_CH2_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate
begin
REG_PAUSE_FETCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- On descriptor update done clear pause
if(m_axi_sg_aresetn = '0' or ch2_updt_done = '1')then
ch2_pause_fetch <= '0';
-- If channel active and command written then pause
elsif(ch2_active_i='1' and write_cmnd_cmb = '1')then
ch2_pause_fetch <= '1';
end if;
end if;
end process REG_PAUSE_FETCH;
end generate GEN_CH2_FETCH_PAUSE;
-- Fetch queues so do not need to pause
GEN_CH2_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate
-- -- CR585958
-- -- Required width in bits for C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1);
-- -- Vector version of C_SG_FTCH_DESC2QUEUE
-- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned
-- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH));
-- signal desc_queued_incr : std_logic := '0';
-- signal desc_queued_decr : std_logic := '0';
--
-- -- CR585958
-- signal ch2_desc_ftched_count: std_logic_vector
-- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0');
--
-- begin
--
-- desc_queued_incr <= '1' when ch2_active_i = '1'
-- and write_cmnd_cmb = '1'
-- and ch2_ftch_descpulled = '0'
-- else '0';
--
-- desc_queued_decr <= '1' when ch2_ftch_descpulled = '1'
-- and not (ch2_active_i = '1' and write_cmnd_cmb = '1')
-- else '0';
--
-- -- Keep track of descriptors queued version descriptors updated
-- DESC_FETCHED_CNTR : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch2_desc_ftched_count <= (others => '0');
-- elsif(desc_queued_incr = '1')then
-- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) + 1);
-- elsif(desc_queued_decr = '1')then
-- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) - 1);
-- end if;
-- end if;
-- end process DESC_FETCHED_CNTR;
--
-- REG_PAUSE_FETCH : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- ch2_pause_fetch <= '0';
-- elsif(ch2_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then
-- ch2_pause_fetch <= '1';
-- else
-- ch2_pause_fetch <= '0';
-- end if;
-- end if;
-- end process REG_PAUSE_FETCH;
--
ch2_pause_fetch <= ch2_ftch_pause;
end generate GEN_CH2_NO_FETCH_PAUSE;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running
and ch2_sg_idle = '0' -- SG Engine running
and ch2_ftch_queue_full = '0' -- Queue not full
and updt_error = '0' -- No SG Update error
and ch2_stale_descriptor = '0' -- No Stale Descriptors
and ch2_desc_flush = '0' -- Not flushing desc
and ch2_pause_fetch = '0' -- No fetch pause
else '0';
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
INT_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_interr_set_i <= '0';
-- Channel active and datamover int error or fetch done and descriptor stale
elsif((ch2_active_i = '1' and ftch_interr = '1')
or ((ftch_done = '1' or ftch_error = '1') and ch2_stale_descriptor = '1'))then
ch2_ftch_interr_set_i <= '1';
end if;
end if;
end process INT_ERROR_PROCESS;
ch2_ftch_interr_set <= ch2_ftch_interr_set_i;
SLV_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_slverr_set <= '0';
elsif(ch2_active_i = '1' and ftch_slverr = '1')then
ch2_ftch_slverr_set <= '1';
end if;
end if;
end process SLV_ERROR_PROCESS;
DEC_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset or stopped then clear idle bit
if(m_axi_sg_aresetn = '0')then
ch2_ftch_decerr_set <= '0';
elsif(ch2_active_i = '1' and ftch_decerr = '1')then
ch2_ftch_decerr_set <= '1';
end if;
end if;
end process DEC_ERROR_PROCESS;
-- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor
-- from being used by dma controller
ch2_ftch_err_early <= '1' when ftch_error_early = '1' and ch2_active_i = '1'
else '0';
-- Enable stale descriptor check
GEN_CH2_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 1 generate
begin
-----------------------------------------------------------------------
-- Stale Descriptor Error
-----------------------------------------------------------------------
CH2_STALE_DESC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- If reset then clear flag
if(m_axi_sg_aresetn = '0')then
ch2_stale_descriptor <= '0';
elsif(ftch_stale_desc = '1' and ch2_active_i = '1' )then
ch2_stale_descriptor <= '1';
end if;
end if;
end process CH2_STALE_DESC;
end generate GEN_CH2_STALE_CHECK;
-- Disable stale descriptor check
GEN_CH2_NO_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 0 generate
begin
ch2_stale_descriptor <= '0';
end generate GEN_CH2_NO_STALE_CHECK;
-- Early detection of Stale Descriptor (valid only in tailpntr mode) used
-- to prevent error'ed descriptor from being used.
ch2_ftch_stale_desc <= ch2_stale_descriptor;
end generate GEN_CH2_FETCH;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_FETCH : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_ftch_idle <= '0';
ch2_ftch_interr_set <= '0';
ch2_ftch_slverr_set <= '0';
ch2_ftch_decerr_set <= '0';
ch2_ftch_err_early <= '0';
ch2_ftch_stale_desc <= '0';
end generate GEN_NO_CH2_FETCH;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- Assign fetch address
fetch_cmd_addr <= ch1_fetch_address when ch1_active_i = '1'
else ch2_fetch_address;
-- Assign bytes to transfer (BTT)
fetch_cmd_btt <= FETCH_CH1_CMD_BTT when ch1_active_i = '1'
else FETCH_CH2_CMD_BTT;
-- When command by sm, drive command to ftch_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_cmnd_wr <= '0';
ftch_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
ftch_cmnd_wr <= '1';
ftch_cmnd_data <= FETCH_CMD_RSVD
& FETCH_CMD_TAG
& fetch_cmd_addr
& FETCH_MSB_IGNORED
& FETCH_CMD_TYPE
& FETCH_LSB_IGNORED
& fetch_cmd_btt;
else
ftch_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_error_addr <= (others => '0');
elsif(write_cmnd_cmb = '1')then
ftch_error_addr <= fetch_cmd_addr;
end if;
end if;
end process LOG_ERROR_ADDR;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_scatter.vhd
|
5
|
69152
|
-------------------------------------------------------------------------------
-- axi_datamover_s2mm_scatter.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_s2mm_scatter.vhd
--
-- Description:
-- This file implements the S2MM Scatter support module. Scatter requires
-- the input Stream to be stopped and disected at command boundaries. The
-- Scatter module splits the input stream data at the command boundaries
-- and force feeds the S2MM DRE with data and source alignment.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_strb_gen2;
use axi_datamover_v5_1_11.axi_datamover_mssai_skid_buf;
use axi_datamover_v5_1_11.axi_datamover_fifo;
use axi_datamover_v5_1_11.axi_datamover_slice;
-------------------------------------------------------------------------------
entity axi_datamover_s2mm_scatter is
generic (
C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0;
-- Indicates if the IBTT Indeterminate BTT is enabled
-- (external to this module)
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the S2MM DRE alignment control ports
C_BTT_USED : Integer range 8 to 23 := 16;
-- Sets the width of the BTT input port
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the input and output data streams
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA device family
);
port (
-- Clock and Reset inputs --------------------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
----------------------------------------------------------------------------
-- DRE Realign Controller I/O ----------------------------------------------
--
scatter2drc_cmd_ready : Out std_logic; --
-- Indicates the Scatter Engine is ready to accept a new command --
--
drc2scatter_push_cmd : In std_logic; --
-- Indicates a new command is being read from the command que --
--
drc2scatter_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- Indicates the new command's BTT value --
--
drc2scatter_eof : In std_logic; --
-- Indicates that the input command is also the last of a packet --
-- This input is ignored when C_ENABLE_INDET_BTT = 1 --
----------------------------------------------------------------------------
-- DRE Source Alignment ---------------------------------------------------------
--
scatter2drc_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Indicates the next source alignment to the DRE control --
--------------------------------------------------------------------------------
-- AXI Slave Stream In ----------------------------------------------------------
--
s2mm_strm_tready : Out Std_logic; --
-- AXI Stream READY input --
--
s2mm_strm_tvalid : In std_logic; --
-- AXI Stream VALID Output --
--
s2mm_strm_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- AXI Stream data output --
--
s2mm_strm_tstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- AXI Stream STRB output --
--
s2mm_strm_tlast : In std_logic; --
-- AXI Stream LAST output --
--------------------------------------------------------------------------------
-- Stream Out to S2MM DRE -------------------------------------------------------
--
drc2scatter_tready : In Std_logic; --
-- S2MM DRE Stream READY input --
--
scatter2drc_tvalid : Out std_logic; --
-- S2MM DRE VALID Output --
--
scatter2drc_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- S2MM DRE data output --
--
scatter2drc_tstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- S2MM DRE STRB output --
--
scatter2drc_tlast : Out std_logic; --
-- S2MM DRE LAST output --
--
scatter2drc_flush : Out std_logic; --
-- S2MM DRE LAST output --
--
scatter2drc_eop : Out std_logic; --
-- S2MM DRE End of Packet marker --
--------------------------------------------------------------------------------
-- Premature TLAST assertion error flag ---------------------------------------
--
scatter2drc_tlast_error : Out std_logic --
-- When asserted, this indicates the scatter Engine detected --
-- a Early/Late TLAST assertion on the incoming data stream --
-- relative to the commands given to the DataMover Cmd FIFO. --
-------------------------------------------------------------------------------
);
end entity axi_datamover_s2mm_scatter;
architecture implementation of axi_datamover_s2mm_scatter is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declaration ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_num_offset_bits
--
-- Function Description:
-- This function calculates the number of bits needed for specifying
-- a byte lane offset for the input transfer data width.
--
-------------------------------------------------------------------
function func_num_offset_bits (stream_dwidth_value : integer) return integer is
Variable num_offset_bits_needed : Integer range 1 to 7 := 1;
begin
case stream_dwidth_value is
when 8 => -- 1 byte lanes
num_offset_bits_needed := 1;
when 16 => -- 2 byte lanes
num_offset_bits_needed := 1;
when 32 => -- 4 byte lanes
num_offset_bits_needed := 2;
when 64 => -- 8 byte lanes
num_offset_bits_needed := 3;
when 128 => -- 16 byte lanes
num_offset_bits_needed := 4;
when 256 => -- 32 byte lanes
num_offset_bits_needed := 5;
when 512 => -- 64 byte lanes
num_offset_bits_needed := 6;
when others => -- 1024 bits with 128 byte lanes
num_offset_bits_needed := 7;
end case;
Return (num_offset_bits_needed);
end function func_num_offset_bits;
function func_fifo_prim (stream_dwidth_value : integer) return integer is
Variable prim_needed : Integer range 0 to 2 := 1;
begin
case stream_dwidth_value is
when 8 => -- 1 byte lanes
prim_needed := 2;
when 16 => -- 2 byte lanes
prim_needed := 2;
when 32 => -- 4 byte lanes
prim_needed := 2;
when 64 => -- 8 byte lanes
prim_needed := 2;
when 128 => -- 16 byte lanes
prim_needed := 0;
when others => -- 256 bits and above
prim_needed := 0;
end case;
Return (prim_needed);
end function func_fifo_prim;
-- Constant Declarations -------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '0';
Constant BYTE_WIDTH : integer := 8; -- bits
Constant STRM_NUM_BYTE_LANES : integer := C_STREAM_DWIDTH/BYTE_WIDTH;
Constant STRM_STRB_WIDTH : integer := STRM_NUM_BYTE_LANES;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant CMD_BTT_WIDTH : Integer := C_BTT_USED;
Constant BTT_OF_ZERO : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant MAX_BTT_INCR : integer := C_STREAM_DWIDTH/8;
Constant NUM_OFFSET_BITS : integer := func_num_offset_bits(C_STREAM_DWIDTH);
-- Minimum Number of bits needed to represent the byte lane position within the Stream Data
Constant NUM_INCR_BITS : integer := NUM_OFFSET_BITS+1;
-- Minimum Number of bits needed to represent the maximum per dbeat increment value
Constant OFFSET_ONE : unsigned(NUM_OFFSET_BITS-1 downto 0) := TO_UNSIGNED(1 , NUM_OFFSET_BITS);
Constant OFFSET_MAX : unsigned(NUM_OFFSET_BITS-1 downto 0) := TO_UNSIGNED(STRM_STRB_WIDTH - 1 , NUM_OFFSET_BITS);
Constant INCR_MAX : unsigned(NUM_INCR_BITS-1 downto 0) := TO_UNSIGNED(MAX_BTT_INCR , NUM_INCR_BITS);
Constant MSSAI_INDEX_WIDTH : integer := NUM_OFFSET_BITS;
Constant TSTRB_FIFO_DEPTH : integer := 16;
Constant TSTRB_FIFO_DWIDTH : integer := 1 + -- TLAST Bit
1 + -- EOF Bit
1 + -- Freeze Bit
MSSAI_INDEX_WIDTH + -- MSSAI Value
STRM_STRB_WIDTH*C_ENABLE_S2MM_TKEEP ; -- Strobe Value
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM : integer := func_fifo_prim(C_STREAM_DWIDTH);
Constant FIFO_TLAST_INDEX : integer := TSTRB_FIFO_DWIDTH-1;
Constant FIFO_EOF_INDEX : integer := FIFO_TLAST_INDEX-1;
Constant FIFO_FREEZE_INDEX : integer := FIFO_EOF_INDEX-1;
Constant FIFO_MSSAI_MS_INDEX : integer := FIFO_FREEZE_INDEX-1;
Constant FIFO_MSSAI_LS_INDEX : integer := FIFO_MSSAI_MS_INDEX - (MSSAI_INDEX_WIDTH-1);
Constant FIFO_TSTRB_MS_INDEX : integer := FIFO_MSSAI_LS_INDEX-1;
Constant FIFO_TSTRB_LS_INDEX : integer := 0;
-- Types ------------------------------------------------------------------
type byte_lane_type is array(STRM_NUM_BYTE_LANES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signal Declarations ---------------------------------------------------
signal sig_good_strm_dbeat : std_logic := '0';
signal sig_strm_tready : std_logic := '0';
signal sig_strm_tvalid : std_logic := '0';
signal sig_strm_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_strm_tstrb : std_logic_vector(STRM_NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_strm_tlast : std_logic := '0';
signal sig_drc2scatter_tready : std_logic := '0';
signal sig_scatter2drc_tvalid : std_logic := '0';
signal sig_scatter2drc_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_scatter2drc_tstrb : std_logic_vector(STRM_NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_scatter2drc_tlast : std_logic := '0';
signal sig_scatter2drc_flush : std_logic := '0';
signal sig_valid_dre_output_dbeat : std_logic := '0';
signal sig_ld_cmd : std_logic := '0';
signal sig_cmd_full : std_logic := '0';
signal sig_cmd_empty : std_logic := '0';
signal sig_drc2scatter_push_cmd : std_logic := '0';
signal sig_drc2scatter_btt : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_drc2scatter_eof : std_logic := '0';
signal sig_btt_offset_slice : unsigned(NUM_OFFSET_BITS-1 downto 0) := (others => '0');
signal sig_curr_strt_offset : unsigned(NUM_OFFSET_BITS-1 downto 0) := (others => '0');
signal sig_next_strt_offset : unsigned(NUM_OFFSET_BITS-1 downto 0) := (others => '0');
signal sig_next_dre_src_align : std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dbeat_offset : std_logic_vector(NUM_OFFSET_BITS-1 downto 0) := (others => '0');
signal sig_cmd_sof : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_btt_cntr : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_cntr_dup : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_btt_cntr_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_btt_cntr_dup : signal is "no";
signal sig_ld_btt_cntr : std_logic := '0';
signal sig_decr_btt_cntr : std_logic := '0';
signal sig_btt_cntr_decr_value : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_stb_gen_slice : std_logic_vector(NUM_INCR_BITS-1 downto 0) := (others => '0');
signal sig_btt_eq_0 : std_logic := '0';
signal sig_btt_lteq_max_first_incr : std_logic := '0';
signal sig_btt_gteq_max_incr : std_logic := '0';
signal sig_max_first_increment : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_cntr_prv : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_eq_0_pre_reg : std_logic := '0';
signal sig_set_tlast_error : std_logic := '0';
signal sig_tlast_error_over : std_logic := '0';
signal sig_tlast_error_under : std_logic := '0';
signal sig_tlast_error_exact : std_logic := '0';
signal sig_tlast_error_reg : std_logic := '0';
signal sig_stbgen_tstrb : std_logic_vector(STRM_NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_tlast_error_out : std_logic := '0';
signal sig_freeze_it : std_logic := '0';
signal sig_tstrb_fifo_data_in : std_logic_vector(TSTRB_FIFO_DWIDTH-1 downto 0);
signal sig_tstrb_fifo_data_out : std_logic_vector(TSTRB_FIFO_DWIDTH-1 downto 0);
signal slice_insert_data : std_logic_vector(TSTRB_FIFO_DWIDTH-1 downto 0);
signal slice_insert_ready : std_logic := '0';
signal slice_insert_valid : std_logic := '0';
signal sig_tstrb_fifo_rdy : std_logic := '0';
signal sig_tstrb_fifo_valid : std_logic := '0';
signal sig_valid_fifo_ld : std_logic := '0';
signal sig_fifo_tlast_out : std_logic := '0';
signal sig_fifo_eof_out : std_logic := '0';
signal sig_fifo_freeze_out : std_logic := '0';
signal sig_fifo_tstrb_out : std_logic_vector(STRM_STRB_WIDTH-1 downto 0);
signal sig_tstrb_valid : std_logic := '0';
signal sig_get_tstrb : std_logic := '0';
signal sig_tstrb_fifo_empty : std_logic := '0';
signal sig_clr_fifo_ld_regs : std_logic := '0';
signal ld_btt_cntr_reg1 : std_logic := '0';
signal ld_btt_cntr_reg2 : std_logic := '0';
signal ld_btt_cntr_reg3 : std_logic := '0';
signal sig_btt_eq_0_reg : std_logic := '0';
signal sig_tlast_ld_beat : std_logic := '0';
signal sig_eof_ld_dbeat : std_logic := '0';
signal sig_strb_error : std_logic := '0';
signal sig_mssa_index : std_logic_vector(MSSAI_INDEX_WIDTH-1 downto 0) := (others => '0');
signal sig_tstrb_fifo_mssai_in : std_logic_vector(MSSAI_INDEX_WIDTH-1 downto 0);
signal sig_tstrb_fifo_mssai_out : std_logic_vector(MSSAI_INDEX_WIDTH-1 downto 0);
signal sig_fifo_mssai : unsigned(NUM_OFFSET_BITS-1 downto 0) := (others => '0');
signal sig_clr_tstrb_fifo : std_logic := '0';
signal sig_eop_sent : std_logic := '0';
signal sig_eop_sent_reg : std_logic := '0';
signal sig_scatter2drc_eop : std_logic := '0';
signal sig_set_packet_done : std_logic := '0';
signal sig_tlast_sent : std_logic := '0';
signal sig_gated_fifo_freeze_out : std_logic := '0';
signal sig_cmd_side_ready : std_logic := '0';
signal sig_eop_halt_xfer : std_logic := '0';
signal sig_err_underflow_reg : std_logic := '0';
signal sig_assert_valid_out : std_logic := '0';
-- Attribute KEEP : string; -- declaration
-- Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
-- Attribute KEEP of sig_btt_cntr_dup : signal is "TRUE"; -- definition
-- Attribute EQUIVALENT_REGISTER_REMOVAL of sig_btt_cntr_dup : signal is "no";
begin --(architecture implementation)
-- Output stream assignments (to DRE) -----------------
sig_drc2scatter_tready <= drc2scatter_tready ;
scatter2drc_tvalid <= sig_scatter2drc_tvalid ;
scatter2drc_tdata <= sig_scatter2drc_tdata ;
scatter2drc_tstrb <= sig_scatter2drc_tstrb ;
scatter2drc_tlast <= sig_scatter2drc_tlast ;
scatter2drc_flush <= sig_scatter2drc_flush ;
scatter2drc_eop <= sig_scatter2drc_eop ;
-- DRC Control ----------------------------------------
scatter2drc_cmd_ready <= sig_cmd_empty;
sig_drc2scatter_push_cmd <= drc2scatter_push_cmd ;
sig_drc2scatter_btt <= drc2scatter_btt ;
sig_drc2scatter_eof <= drc2scatter_eof ;
-- Next source alignment control to the S2Mm DRE ------
scatter2drc_src_align <= sig_next_dre_src_align;
-- TLAST error flag output ----------------------------
scatter2drc_tlast_error <= sig_tlast_error_out;
-- Data to DRE output ---------------------------------
sig_scatter2drc_tdata <= sig_strm_tdata ;
sig_scatter2drc_tvalid <= sig_assert_valid_out and -- Asserting the valid output
sig_cmd_side_ready; -- and the tstrb fifo has an entry pending
-- Create flag indicating a qualified output stream data beat to the DRE
sig_valid_dre_output_dbeat <= sig_drc2scatter_tready and
sig_scatter2drc_tvalid;
-- Databeat DRE FLUSH output --------------------------
sig_scatter2drc_flush <= '0';
sig_ld_cmd <= sig_drc2scatter_push_cmd and
not(sig_cmd_full);
sig_next_dre_src_align <= STD_LOGIC_VECTOR(RESIZE(sig_next_strt_offset,
C_DRE_ALIGN_WIDTH));
sig_good_strm_dbeat <= sig_strm_tready and
sig_assert_valid_out ;
-- Set the valid out flag
sig_assert_valid_out <= (sig_strm_tvalid or -- there is valid data in the Skid buffer output register
sig_err_underflow_reg); -- or an underflow error has been detected and needs to flush
--- Input Stream Skid Buffer with Special Functions ------------------------------
------------------------------------------------------------
-- Instance: I_MSSAI_SKID_BUF
--
-- Description:
-- Instance for the MSSAI Skid Buffer needed for Fmax
-- closure when the Scatter Module is included in the DataMover
-- S2MM.
--
------------------------------------------------------------
I_MSSAI_SKID_BUF : entity axi_datamover_v5_1_11.axi_datamover_mssai_skid_buf
generic map (
C_WDATA_WIDTH => C_STREAM_DWIDTH ,
C_INDEX_WIDTH => MSSAI_INDEX_WIDTH
)
port map (
-- System Ports
aclk => primary_aclk ,
arst => mmap_reset ,
-- Shutdown control (assert for 1 clk pulse)
skid_stop => LOGIC_LOW ,
-- Slave Side (Stream Data Input)
s_valid => s2mm_strm_tvalid ,
s_ready => s2mm_strm_tready ,
s_data => s2mm_strm_tdata ,
s_strb => s2mm_strm_tstrb ,
s_last => s2mm_strm_tlast ,
-- Master Side (Stream Data Output
m_valid => sig_strm_tvalid ,
m_ready => sig_strm_tready ,
m_data => sig_strm_tdata ,
m_strb => sig_strm_tstrb ,
m_last => sig_strm_tlast ,
m_mssa_index => sig_mssa_index ,
m_strb_error => sig_strb_error
);
-------------------------------------------------------------
-- packet Done Logic
-------------------------------------------------------------
sig_set_packet_done <= sig_eop_sent_reg;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_FLAG_REG
--
-- Process Description:
-- Implement the Scatter transfer command full/empty tracking
-- flops
--
-------------------------------------------------------------
IMP_CMD_FLAG_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_tlast_sent = '1') then
sig_cmd_full <= '0';
sig_cmd_empty <= '1';
elsif (sig_ld_cmd = '1') then
sig_cmd_full <= '1';
sig_cmd_empty <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_CMD_FLAG_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CURR_OFFSET_REG
--
-- Process Description:
-- Implements the register holding the current starting
-- byte position offset of the first byte of the current
-- command. This implementation assumes that only the first
-- databeat can be unaligned from Byte position 0.
--
-------------------------------------------------------------
IMP_CURR_OFFSET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_set_packet_done = '1' or
sig_valid_fifo_ld = '1') then
sig_curr_strt_offset <= (others => '0');
elsif (sig_ld_cmd = '1') then
sig_curr_strt_offset <= sig_next_strt_offset;
else
null; -- Hold current state
end if;
end if;
end process IMP_CURR_OFFSET_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_NEXT_OFFSET_REG
--
-- Process Description:
-- Implements the register holding the predicted byte position
-- offset of the first byte of the next command. If the current
-- command has EOF set, then the next command's first data input
-- byte offset must be at byte lane 0 in the input stream.
--
-------------------------------------------------------------
IMP_NEXT_OFFSET_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_set_packet_done = '1' or
STRM_NUM_BYTE_LANES = 1) then
sig_next_strt_offset <= (others => '0');
elsif (sig_ld_cmd = '1') then
sig_next_strt_offset <= sig_next_strt_offset + sig_btt_offset_slice;
else
null; -- Hold current state
end if;
end if;
end process IMP_NEXT_OFFSET_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIFO_MSSAI_REG
--
-- Process Description:
-- Implements the register holding the predicted byte position
-- offset of the last valid byte defined by the current command.
--
-------------------------------------------------------------
IMP_FIFO_MSSAI_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_set_packet_done = '1' or
STRM_NUM_BYTE_LANES = 1 ) then
sig_fifo_mssai <= (others => '0');
elsif (ld_btt_cntr_reg1 = '1' and
ld_btt_cntr_reg2 = '0') then
sig_fifo_mssai <= sig_next_strt_offset - OFFSET_ONE;
else
null; -- Hold current state
end if;
end if;
end process IMP_FIFO_MSSAI_REG;
-- Strobe Generation Logic ------------------------------------------------
sig_curr_dbeat_offset <= STD_LOGIC_VECTOR(sig_curr_strt_offset);
------------------------------------------------------------
-- Instance: I_SCATTER_STROBE_GEN
--
-- Description:
-- Strobe generator instance. Generates strobe bits for
-- a designated starting byte lane and the number of bytes
-- to be transfered (for that data beat).
--
------------------------------------------------------------
I_SCATTER_STROBE_GEN : entity axi_datamover_v5_1_11.axi_datamover_strb_gen2
generic map (
C_OP_MODE => 0 , -- 0 = Offset/Length mode
C_STRB_WIDTH => STRM_NUM_BYTE_LANES ,
C_OFFSET_WIDTH => NUM_OFFSET_BITS ,
C_NUM_BYTES_WIDTH => NUM_INCR_BITS
)
port map (
start_addr_offset => sig_curr_dbeat_offset ,
end_addr_offset => sig_curr_dbeat_offset , -- not used in op mode 0
num_valid_bytes => sig_btt_stb_gen_slice , -- not used in op mode 1
strb_out => sig_stbgen_tstrb
);
-- BTT Counter stuff ------------------------------------------------------
sig_btt_stb_gen_slice <= STD_LOGIC_VECTOR(INCR_MAX)
when (sig_btt_gteq_max_incr = '1')
else '0' & STD_LOGIC_VECTOR(sig_btt_cntr(NUM_OFFSET_BITS-1 downto 0));
sig_btt_offset_slice <= UNSIGNED(sig_drc2scatter_btt(NUM_OFFSET_BITS-1 downto 0));
sig_btt_lteq_max_first_incr <= '1'
when (sig_btt_cntr_dup <= RESIZE(sig_max_first_increment, CMD_BTT_WIDTH)) -- more timing improv
Else '0'; -- more timing improv
-- more timing improv
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_MAX_FIRST_INCR_REG
--
-- Process Description:
-- Implements the Max first increment register value.
--
-------------------------------------------------------------
IMP_MAX_FIRST_INCR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_max_first_increment <= (others => '0');
Elsif (sig_ld_cmd = '1') Then
sig_max_first_increment <= RESIZE(TO_UNSIGNED(MAX_BTT_INCR,NUM_INCR_BITS) -
RESIZE(sig_next_strt_offset,NUM_INCR_BITS),
CMD_BTT_WIDTH);
Elsif (sig_valid_fifo_ld = '1') Then
sig_max_first_increment <= RESIZE(TO_UNSIGNED(MAX_BTT_INCR,NUM_INCR_BITS), CMD_BTT_WIDTH);
else
null; -- hold current value
end if;
end if;
end process IMP_MAX_FIRST_INCR_REG;
sig_btt_cntr_decr_value <= sig_btt_cntr
When (sig_btt_lteq_max_first_incr = '1')
Else sig_max_first_increment;
sig_ld_btt_cntr <= sig_ld_cmd ;
sig_decr_btt_cntr <= not(sig_btt_eq_0) and
sig_valid_fifo_ld;
-- New intermediate value for reduced Timing path
sig_btt_cntr_prv <= UNSIGNED(sig_drc2scatter_btt)
when (sig_ld_btt_cntr = '1')
-- Else sig_btt_cntr_dup-sig_btt_cntr_decr_value;
Else sig_btt_cntr_dup-sig_btt_cntr_decr_value;
sig_btt_eq_0_pre_reg <= '1'
when (sig_btt_cntr_prv = BTT_OF_ZERO)
Else '0';
-- sig_btt_eq_0 <= '1'
-- when (sig_btt_cntr = BTT_OF_ZERO)
-- Else '0';
sig_btt_gteq_max_incr <= '1'
when (sig_btt_cntr >= TO_UNSIGNED(MAX_BTT_INCR, CMD_BTT_WIDTH))
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BTT_CNTR_REG
--
-- Process Description:
-- Implements the registered portion of the BTT Counter. The
-- BTT Counter has been recoded this way to minimize long
-- timing paths in the btt -> strobgen-> EOP Demux path.
--
-------------------------------------------------------------
IMP_BTT_CNTR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_eop_sent = '1') then
sig_btt_cntr <= (others => '0');
sig_btt_cntr_dup <= (others => '0');
sig_btt_eq_0 <= '1';
elsif (sig_ld_btt_cntr = '1' or
sig_decr_btt_cntr = '1') then
sig_btt_cntr <= sig_btt_cntr_prv;
sig_btt_cntr_dup <= sig_btt_cntr_prv;
sig_btt_eq_0 <= sig_btt_eq_0_pre_reg;
else
Null; -- Hold current state
end if;
end if;
end process IMP_BTT_CNTR_REG;
-- IMP_BTT_CNTR_REG : process (primary_aclk)
-- begin
-- if (primary_aclk'event and primary_aclk = '1') then
-- if (mmap_reset = '1' or
-- sig_eop_sent = '1') then
-- sig_btt_cntr <= (others => '0');
---- sig_btt_eq_0 <= '1';
-- elsif (sig_ld_btt_cntr = '1') then
-- sig_btt_cntr <= UNSIGNED(sig_drc2scatter_btt); --sig_btt_cntr_prv;
---- sig_btt_eq_0 <= sig_btt_eq_0_pre_reg;
-- elsif (sig_decr_btt_cntr = '1') then
-- sig_btt_cntr <= sig_btt_cntr-sig_btt_cntr_decr_value; --sig_btt_cntr_prv;
---- sig_btt_eq_0 <= sig_btt_eq_0_pre_reg;
-- else
-- Null; -- Hold current state
-- end if;
-- end if;
-- end process IMP_BTT_CNTR_REG;
------------------------------------------------------------------------
-- DRE TVALID Gating logic
------------------------------------------------------------------------
sig_cmd_side_ready <= not(sig_tstrb_fifo_empty) and
not(sig_eop_halt_xfer);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_EOP_HALT_FLOP
--
-- Process Description:
-- Implements a flag that is set when an end of packet is sent
-- to the DRE and cleared after the TSTRB FIFO has been reset.
-- This flag inhibits the TVALID sent to the DRE.
-------------------------------------------------------------
IMP_EOP_HALT_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_eop_sent = '1') then
sig_eop_halt_xfer <= '1';
Elsif (sig_valid_fifo_ld = '1') Then
sig_eop_halt_xfer <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_EOP_HALT_FLOP;
------------------------------------------------------------------------
-- TSTRB FIFO Logic
------------------------------------------------------------------------
sig_tlast_ld_beat <= sig_btt_lteq_max_first_incr;
sig_eof_ld_dbeat <= sig_curr_eof_reg and sig_tlast_ld_beat;
-- Set the MSSAI offset value to the maximum for non-tlast dbeat
-- case, otherwise use the calculated value for the TLSAT case.
sig_tstrb_fifo_mssai_in <= STD_LOGIC_VECTOR(sig_fifo_mssai)
when (sig_tlast_ld_beat = '1')
else STD_LOGIC_VECTOR(OFFSET_MAX);
GEN_S2MM_TKEEP_ENABLE3 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Merge the various pieces to go through the TSTRB FIFO into a single vector
sig_tstrb_fifo_data_in <= sig_tlast_ld_beat & -- the last beat of this sub-packet
sig_eof_ld_dbeat & -- the end of the whole packet
sig_freeze_it & -- A sub-packet boundary
sig_tstrb_fifo_mssai_in & -- the index of EOF byte position
sig_stbgen_tstrb; -- The calculated strobes
end generate GEN_S2MM_TKEEP_ENABLE3;
GEN_S2MM_TKEEP_DISABLE3 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Merge the various pieces to go through the TSTRB FIFO into a single vector
sig_tstrb_fifo_data_in <= sig_tlast_ld_beat & -- the last beat of this sub-packet
sig_eof_ld_dbeat & -- the end of the whole packet
sig_freeze_it & -- A sub-packet boundary
sig_tstrb_fifo_mssai_in; --& -- the index of EOF byte position
--sig_stbgen_tstrb; -- The calculated strobes
end generate GEN_S2MM_TKEEP_DISABLE3;
-- FIFO Load control
sig_valid_fifo_ld <= sig_tstrb_fifo_valid and
sig_tstrb_fifo_rdy;
GEN_S2MM_TKEEP_ENABLE4 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Rip the various pieces from the FIFO output
sig_fifo_tlast_out <= sig_tstrb_fifo_data_out(FIFO_TLAST_INDEX) ;
sig_fifo_eof_out <= sig_tstrb_fifo_data_out(FIFO_EOF_INDEX) ;
sig_fifo_freeze_out <= sig_tstrb_fifo_data_out(FIFO_FREEZE_INDEX);
sig_tstrb_fifo_mssai_out <= sig_tstrb_fifo_data_out(FIFO_MSSAI_MS_INDEX downto FIFO_MSSAI_LS_INDEX);
sig_fifo_tstrb_out <= sig_tstrb_fifo_data_out(FIFO_TSTRB_MS_INDEX downto FIFO_TSTRB_LS_INDEX);
end generate GEN_S2MM_TKEEP_ENABLE4;
GEN_S2MM_TKEEP_DISABLE4 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Rip the various pieces from the FIFO output
sig_fifo_tlast_out <= sig_tstrb_fifo_data_out(FIFO_TLAST_INDEX) ;
sig_fifo_eof_out <= sig_tstrb_fifo_data_out(FIFO_EOF_INDEX) ;
sig_fifo_freeze_out <= sig_tstrb_fifo_data_out(FIFO_FREEZE_INDEX);
sig_tstrb_fifo_mssai_out <= sig_tstrb_fifo_data_out(FIFO_MSSAI_MS_INDEX downto FIFO_MSSAI_LS_INDEX);
sig_fifo_tstrb_out <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE4;
-- FIFO Read Control
sig_get_tstrb <= sig_valid_dre_output_dbeat ;
sig_tstrb_fifo_valid <= ld_btt_cntr_reg2 or
(ld_btt_cntr_reg3 and
not(sig_btt_eq_0));
sig_clr_fifo_ld_regs <= (sig_tlast_ld_beat and
sig_valid_fifo_ld) or
sig_eop_sent;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIFO_LD_1
--
-- Process Description:
-- Implements the fifo loading control flop stage 1
--
-------------------------------------------------------------
IMP_FIFO_LD_1 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_fifo_ld_regs = '1') then
ld_btt_cntr_reg1 <= '0';
Elsif (sig_ld_btt_cntr = '1') Then
ld_btt_cntr_reg1 <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_FIFO_LD_1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FIFO_LD_2
--
-- Process Description:
-- Implements special fifo loading control flops
--
-------------------------------------------------------------
IMP_FIFO_LD_2 : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_fifo_ld_regs = '1') then
ld_btt_cntr_reg2 <= '0';
ld_btt_cntr_reg3 <= '0';
Elsif (sig_tstrb_fifo_rdy = '1') Then
ld_btt_cntr_reg2 <= ld_btt_cntr_reg1;
ld_btt_cntr_reg3 <= ld_btt_cntr_reg2 or
ld_btt_cntr_reg3; -- once set, keep it set until cleared
else
null; -- Hold current state
end if;
end if;
end process IMP_FIFO_LD_2;
--HIGHER_DATAWIDTH : if TSTRB_FIFO_DWIDTH > 40 generate
--begin
SLICE_INSERTION : entity axi_datamover_v5_1_11.axi_datamover_slice
generic map (
C_DATA_WIDTH => TSTRB_FIFO_DWIDTH
)
port map (
ACLK => primary_aclk,
ARESET => mmap_reset,
-- Slave side
S_PAYLOAD_DATA => sig_tstrb_fifo_data_in,
S_VALID => sig_tstrb_fifo_valid,
S_READY => sig_tstrb_fifo_rdy,
-- Master side
M_PAYLOAD_DATA => slice_insert_data,
M_VALID => slice_insert_valid,
M_READY => slice_insert_ready
);
------------------------------------------------------------
-- Instance: I_TSTRB_FIFO
--
-- Description:
-- Instance for the TSTRB FIFO
--
------------------------------------------------------------
I_TSTRB_FIFO : entity axi_datamover_v5_1_11.axi_datamover_fifo
generic map (
C_DWIDTH => TSTRB_FIFO_DWIDTH ,
C_DEPTH => TSTRB_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => sig_clr_tstrb_fifo ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => slice_insert_valid, --sig_tstrb_fifo_valid ,
fifo_wr_tready => slice_insert_ready, --sig_tstrb_fifo_rdy ,
fifo_wr_tdata => slice_insert_data, --sig_tstrb_fifo_data_in,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_tstrb_valid ,
fifo_rd_tready => sig_get_tstrb ,
fifo_rd_tdata => sig_tstrb_fifo_data_out ,
fifo_rd_empty => sig_tstrb_fifo_empty
);
--end generate HIGHER_DATAWIDTH;
--LOWER_DATAWIDTH : if TSTRB_FIFO_DWIDTH <= 40 generate
--begin
------------------------------------------------------------
-- Instance: I_TSTRB_FIFO
--
-- Description:
-- Instance for the TSTRB FIFO
--
------------------------------------------------------------
-- I_TSTRB_FIFO : entity axi_datamover_v5_1_11.axi_datamover_fifo
-- generic map (
--
-- C_DWIDTH => TSTRB_FIFO_DWIDTH ,
-- C_DEPTH => TSTRB_FIFO_DEPTH ,
-- C_IS_ASYNC => USE_SYNC_FIFO ,
-- C_PRIM_TYPE => FIFO_PRIM ,
-- C_FAMILY => C_FAMILY
--
-- )
-- port map (
--
-- -- Write Clock and reset
-- fifo_wr_reset => sig_clr_tstrb_fifo ,
-- fifo_wr_clk => primary_aclk ,
--
-- -- Write Side
-- fifo_wr_tvalid => sig_tstrb_fifo_valid ,
-- fifo_wr_tready => sig_tstrb_fifo_rdy ,
-- fifo_wr_tdata => sig_tstrb_fifo_data_in,
-- fifo_wr_full => open ,
--
--
-- -- Read Clock and reset
-- fifo_async_rd_reset => mmap_reset ,
-- fifo_async_rd_clk => primary_aclk ,
--
-- -- Read Side
-- fifo_rd_tvalid => sig_tstrb_valid ,
-- fifo_rd_tready => sig_get_tstrb ,
-- fifo_rd_tdata => sig_tstrb_fifo_data_out ,
-- fifo_rd_empty => sig_tstrb_fifo_empty
--
-- );
--
--
--end generate LOWER_DATAWIDTH;
------------------------------------------------------------
-- TSTRB FIFO Clear Logic
------------------------------------------------------------
-- Special TSTRB FIFO Clear Logic to clean out any residue
-- once EOP has been sent out to DRE. This is primarily
-- needed in Indeterminate BTT mode but is also included in
-- the non-Indeterminate BTT mode for a more robust design.
sig_clr_tstrb_fifo <= mmap_reset or
sig_set_packet_done;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_EOP_SENT_REG
--
-- Process Description:
-- Register the EOP being sent out to the DRE stage. This
-- is used to clear the TSTRB FIFO of any residue.
--
-------------------------------------------------------------
IMP_EOP_SENT_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_eop_sent_reg = '1') then
sig_eop_sent_reg <= '0';
else
sig_eop_sent_reg <= sig_eop_sent;
end if;
end if;
end process IMP_EOP_SENT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_EOF_REG
--
-- Process Description:
-- Implement a sample and hold flop for the command EOF
-- The Commanded EOF is used when C_ENABLE_INDET_BTT = 0.
-------------------------------------------------------------
IMP_EOF_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_set_packet_done = '1') then
sig_curr_eof_reg <= '0';
elsif (sig_ld_cmd = '1') then
sig_curr_eof_reg <= sig_drc2scatter_eof;
else
null; -- hold current state
end if;
end if;
end process IMP_EOF_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_OMIT_INDET_BTT
--
-- If Generate Description:
-- Implements the Scatter Freeze Register Controls plus
-- other logic needed when Indeterminate BTT Mode is not enabled.
--
--
--
------------------------------------------------------------
GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate
signal lsig_eop_matches_ms_strb : std_logic := '0';
begin
sig_eop_sent <= sig_scatter2drc_eop and
sig_valid_dre_output_dbeat;
sig_tlast_sent <= sig_scatter2drc_tlast and
sig_valid_dre_output_dbeat;
sig_freeze_it <= not(sig_stbgen_tstrb(STRM_NUM_BYTE_LANES-1)) and -- ms strobe not set
sig_valid_fifo_ld and -- tstrb fifo being loaded
not(sig_curr_eof_reg); -- Current input cmd does not have eof set
-- Assign the TREADY out to the Stream In
sig_strm_tready <= '0'
when (sig_gated_fifo_freeze_out = '1' or
sig_cmd_side_ready = '0')
Else sig_drc2scatter_tready;
-- Without Indeterminate BTT, FIFO Freeze does not
-- need to be gated.
sig_gated_fifo_freeze_out <= sig_fifo_freeze_out;
-- Strobe outputs are always generated from the input command
-- with Indeterminate BTT omitted. Stream input Strobes are not
-- sent to output.
sig_scatter2drc_tstrb <= sig_fifo_tstrb_out;
-- The EOF marker is generated from the input command
-- with Indeterminate BTT omitted. Stream input TLAST is monitored
-- but not sent to output to DRE.
sig_scatter2drc_eop <= sig_fifo_eof_out and
sig_scatter2drc_tvalid;
-- TLast output marker always generated from the input command
sig_scatter2drc_tlast <= sig_fifo_tlast_out and
sig_scatter2drc_tvalid;
--- TLAST Error Detection -------------------------------------------------
sig_tlast_error_out <= sig_set_tlast_error or
sig_tlast_error_reg;
-- Compare the Most significant Asserted TSTRB from the TSTRB FIFO
-- with that from the Input Skid Buffer
lsig_eop_matches_ms_strb <= '1'
when (sig_tstrb_fifo_mssai_out = sig_mssa_index)
Else '0';
-- Detect the case when the calculated end of packet
-- marker preceeds the received end of packet marker
-- and a freeze condition is not enabled
sig_tlast_error_over <= '1'
when (sig_valid_dre_output_dbeat = '1' and
sig_fifo_freeze_out = '0' and
sig_fifo_eof_out = '1' and
sig_strm_tlast = '0')
Else '0';
-- Detect the case when the received end of packet marker preceeds
-- the calculated end of packet
-- and a freeze condition is not enabled
sig_tlast_error_under <= '1'
when (sig_valid_dre_output_dbeat = '1' and
sig_fifo_freeze_out = '0' and
sig_fifo_eof_out = '0' and
sig_strm_tlast = '1')
Else '0';
-- Detect the case when the received end of packet marker occurs
-- in the same beat as the calculated end of packet but the most
-- significant received strobe that is asserted does not match
-- the most significant calcualted strobe that is asserted.
-- Also, a freeze condition is not enabled
sig_tlast_error_exact <= '1'
When (sig_valid_dre_output_dbeat = '1' and
sig_fifo_freeze_out = '0' and
sig_fifo_eof_out = '1' and
sig_strm_tlast = '1' and
lsig_eop_matches_ms_strb = '0')
Else '0';
-- Combine all of the possible error conditions
sig_set_tlast_error <= sig_tlast_error_over or
sig_tlast_error_under or
sig_tlast_error_exact;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TLAST_ERROR_REG
--
-- Process Description:
--
--
-------------------------------------------------------------
IMP_TLAST_ERROR_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_tlast_error_reg <= '0';
elsif (sig_set_tlast_error = '1') then
sig_tlast_error_reg <= '1';
else
Null; -- Hold current State
end if;
end if;
end process IMP_TLAST_ERROR_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TLAST_ERROR_UNDER_REG
--
-- Process Description:
-- Sample and Hold flop for the case when an underrun is
-- detected. This flag is used to force a a tvalid output.
--
-------------------------------------------------------------
IMP_TLAST_ERROR_UNDER_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_err_underflow_reg <= '0';
elsif (sig_tlast_error_under = '1') then
sig_err_underflow_reg <= '1';
else
Null; -- Hold current State
end if;
end if;
end process IMP_TLAST_ERROR_UNDER_REG;
end generate GEN_OMIT_INDET_BTT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INDET_BTT
--
-- If Generate Description:
-- Implements the Scatter Freeze Register and Controls plus
-- other logic needed to support the Indeterminate BTT Mode
-- of Operation.
--
--
------------------------------------------------------------
GEN_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate
-- local signals
-- signal lsig_valid_eop_dbeat : std_logic := '0';
signal lsig_strm_eop_asserted : std_logic := '0';
signal lsig_absorb2tlast : std_logic := '0';
signal lsig_set_absorb2tlast : std_logic := '0';
signal lsig_clr_absorb2tlast : std_logic := '0';
begin
-- Detect an end of packet condition. This is an EOP sent to the DRE or
-- an overflow data absorption condition
sig_eop_sent <= (sig_scatter2drc_eop and
sig_valid_dre_output_dbeat) or
(lsig_set_absorb2tlast and
not(lsig_absorb2tlast));
sig_tlast_sent <= (sig_scatter2drc_tlast and --
sig_valid_dre_output_dbeat and -- Normal Tlast Sent condition
not(lsig_set_absorb2tlast)) or --
(lsig_absorb2tlast and
lsig_clr_absorb2tlast); -- Overflow absorbion condition
-- TStrb FIFO Input Stream Freeze control
sig_freeze_it <= not(sig_stbgen_tstrb(STRM_NUM_BYTE_LANES-1)) and -- ms strobe not set
-- not(sig_curr_eof_reg) and -- tstrb fifo being loaded
sig_valid_fifo_ld ; -- Current input cmd has eof set
-- Stream EOP assertion is caused when the stream input TLAST
-- is asserted and the most significant strobe bit asserted in
-- the input stream data beat is less than or equal to the most
-- significant calculated asserted strobe bit for the data beat.
lsig_strm_eop_asserted <= '1'
when (sig_mssa_index <= sig_tstrb_fifo_mssai_out) and
(sig_strm_tlast = '1' and
sig_strm_tvalid = '1')
else '0';
-- Must not freeze the Stream input skid buffer if an EOF
-- condition exists on the Stream input (skid buf output)
sig_gated_fifo_freeze_out <= sig_fifo_freeze_out and
not(lsig_strm_eop_asserted) and
sig_strm_tvalid; -- CR617164
-- Databeat DRE EOP output ---------------------------
sig_scatter2drc_eop <= (--sig_fifo_eof_out or
lsig_strm_eop_asserted) and
sig_scatter2drc_tvalid;
-- Databeat DRE Last output ---------------------------
sig_scatter2drc_tlast <= (sig_fifo_tlast_out or
lsig_strm_eop_asserted) and
sig_scatter2drc_tvalid;
-- Formulate the output TSTRB vector. It is an AND of the command
-- generated TSTRB and the actual TSTRB received from the Stream input.
sig_scatter2drc_tstrb <= sig_fifo_tstrb_out and
sig_strm_tstrb;
sig_tlast_error_over <= '0'; -- no tlast error in Indeterminate BTT
sig_tlast_error_under <= '0'; -- no tlast error in Indeterminate BTT
sig_tlast_error_exact <= '0'; -- no tlast error in Indeterminate BTT
sig_set_tlast_error <= '0'; -- no tlast error in Indeterminate BTT
sig_tlast_error_reg <= '0'; -- no tlast error in Indeterminate BTT
sig_tlast_error_out <= '0'; -- no tlast error in Indeterminate BTT
------------------------------------------------
-- Data absorption to TLAST logic
-- This is used for the Stream Input overflow case. In this case, the
-- input stream data is absorbed (thrown away) until the TLAST databeat
-- is received (also thrown away). However, data is only absorbed if
-- the EOP bit from the TSTRB FIFO is encountered before the TLST from
-- the Stream input.
-- In addition, the scatter2drc_eop assertion is suppressed from the output
-- to the DRE.
-- Assign the TREADY out to the Stream In with Overflow data absorption
-- case added.
sig_strm_tready <= '0'
when (lsig_absorb2tlast = '0' and
(sig_gated_fifo_freeze_out = '1' or -- Normal case
sig_cmd_side_ready = '0'))
Else '1'
When (lsig_absorb2tlast = '1') -- Absorb overflow case
Else sig_drc2scatter_tready;
-- Check for the condition for absorbing overflow data. The start of new input
-- packet cannot reside in the same databeat as the end of the previous
-- packet. Thus anytime an EOF is encountered from the TSTRB FIFO output, the
-- entire databeat needs to be discarded after transfer to the DRE of the
-- appropriate data.
lsig_set_absorb2tlast <= '1'
when (sig_fifo_eof_out = '1' and
sig_tstrb_fifo_empty = '0' and -- CR617164
(sig_strm_tlast = '0' and
sig_strm_tvalid = '1'))
Else '1'
When (sig_gated_fifo_freeze_out = '1' and
sig_fifo_eof_out = '1' and
sig_tstrb_fifo_empty = '0') -- CR617164
else '0';
lsig_clr_absorb2tlast <= '1'
when lsig_absorb2tlast = '1' and
(sig_strm_tlast = '1' and
sig_strm_tvalid = '1')
else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ABSORB_FLOP
--
-- Process Description:
-- Implements the flag for indicating a overflow absorption
-- case is active.
--
-------------------------------------------------------------
IMP_ABSORB_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
lsig_clr_absorb2tlast = '1') then
lsig_absorb2tlast <= '0';
elsif (lsig_set_absorb2tlast = '1') then
lsig_absorb2tlast <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_ABSORB_FLOP;
end generate GEN_INDET_BTT;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_vga/zybo_petalinux_vga.ip_user_files/ipstatic/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_updt_noqueue.vhd
|
4
|
24781
|
-------------------------------------------------------------------------------
-- axi_sg_updt_noqueue
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_noqueue.vhd
-- Description: This entity provides the descriptor update for the No Queue mode
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Seperated update queues into two seperate files, no queue and queue to
-- simplify maintainance.
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 11/15/10 v2_01_a
-- ^^^^^^
-- CR582800
-- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_noqueue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33
-- 1 IOC bit + 32 Update Status Bits
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
--
--*********************************-- --
--** Channel Update Interface In **-- --
--*********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
--
--*********************************-- --
--** Channel Update Interface Out**-- --
--*********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_noqueue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_noqueue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Contstants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal writing_curdesc : std_logic := '0';
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
signal writing_status : std_logic := '0';
signal curdesc_tready : std_logic := '0';
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- the channel
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re <= updt_active and not updt_active_d1;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active,
s_axis_updtptr_tvalid,
s_axis_updtsts_tvalid,
s_axis_updtsts_tlast,
m_axis_updt_tready)
begin
write_curdesc_lsb <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
writing_curdesc <= '0';
curdesc_tready <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then
writing_curdesc <= '1';
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor
when READ_CURDESC_LSB =>
curdesc_tready <= '1';
writing_curdesc <= '1';
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then
write_curdesc_lsb <= '1';
pntr_ns <= READ_CURDESC_MSB;
else
pntr_ns <= READ_CURDESC_LSB;
end if;
---------------------------------------------------------------
-- Get upper current descriptor
when READ_CURDESC_MSB =>
curdesc_tready <= '1';
writing_curdesc <= '1';
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
if(s_axis_updtptr_tvalid = '1')then
write_curdesc_msb <= '1';
pntr_ns <= WRITE_STATUS;
else
pntr_ns <= READ_CURDESC_MSB;
end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
writing_status <= s_axis_updtsts_tvalid;
if(s_axis_updtsts_tvalid = '1' and m_axis_updt_tready = '1'
and s_axis_updtsts_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
when others =>
pntr_ns <= IDLE;
end case;
end process CURDESC_PNTR_STATE;
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
-- Status stream signals
m_axis_updt_tdata <= s_axis_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0);
m_axis_updt_tvalid <= s_axis_updtsts_tvalid and writing_status;
m_axis_updt_tlast <= s_axis_updtsts_tlast and writing_status;
s_axis_updtsts_tready <= m_axis_updt_tready and writing_status;
-- Pointer stream signals
s_axis_updtptr_tready <= curdesc_tready;
-- Indicate need for channel service for update state machine
updt_queue_empty <= not s_axis_updtsts_tvalid;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1')then
updt_curdesc(31 downto 0) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(63 downto 32) <= (others => '0');
updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_msb = '1')then
updt_curdesc(63 downto 32) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0);
updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_msb = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_NO_UPR_MSB_CURDESC;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
---------------------------------------------------------------------------
-- Caputure IOC begin set
---------------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re = '1')then
updt_ioc <= s_axis_updtsts_tdata(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re = '1')then
dma_interr <= s_axis_updtsts_tdata(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re = '1')then
dma_slverr <= s_axis_updtsts_tdata(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re = '1')then
dma_decerr <= s_axis_updtsts_tdata(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_vdma_v6_2/hdl/src/vhdl/axi_sg_updt_noqueue.vhd
|
4
|
24781
|
-------------------------------------------------------------------------------
-- axi_sg_updt_noqueue
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_noqueue.vhd
-- Description: This entity provides the descriptor update for the No Queue mode
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0_2.sync_fifo_fg.vhd
-- | |- proc_common_v4_0_2.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Seperated update queues into two seperate files, no queue and queue to
-- simplify maintainance.
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 11/15/10 v2_01_a
-- ^^^^^^
-- CR582800
-- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_sg_pkg.all;
library lib_pkg_v1_0_2;
library lib_fifo_v1_0_5;
use lib_fifo_v1_0_5.sync_fifo_fg;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_noqueue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33
-- 1 IOC bit + 32 Update Status Bits
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
--
--*********************************-- --
--** Channel Update Interface In **-- --
--*********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
--
--*********************************-- --
--** Channel Update Interface Out**-- --
--*********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_noqueue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_noqueue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Contstants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal writing_curdesc : std_logic := '0';
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
signal writing_status : std_logic := '0';
signal curdesc_tready : std_logic := '0';
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- the channel
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re <= updt_active and not updt_active_d1;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active,
s_axis_updtptr_tvalid,
s_axis_updtsts_tvalid,
s_axis_updtsts_tlast,
m_axis_updt_tready)
begin
write_curdesc_lsb <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
writing_curdesc <= '0';
curdesc_tready <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then
writing_curdesc <= '1';
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor
when READ_CURDESC_LSB =>
curdesc_tready <= '1';
writing_curdesc <= '1';
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then
write_curdesc_lsb <= '1';
pntr_ns <= READ_CURDESC_MSB;
else
pntr_ns <= READ_CURDESC_LSB;
end if;
---------------------------------------------------------------
-- Get upper current descriptor
when READ_CURDESC_MSB =>
curdesc_tready <= '1';
writing_curdesc <= '1';
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
if(s_axis_updtptr_tvalid = '1')then
write_curdesc_msb <= '1';
pntr_ns <= WRITE_STATUS;
else
pntr_ns <= READ_CURDESC_MSB;
end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
writing_status <= s_axis_updtsts_tvalid;
if(s_axis_updtsts_tvalid = '1' and m_axis_updt_tready = '1'
and s_axis_updtsts_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
when others =>
pntr_ns <= IDLE;
end case;
end process CURDESC_PNTR_STATE;
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
-- Status stream signals
m_axis_updt_tdata <= s_axis_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0);
m_axis_updt_tvalid <= s_axis_updtsts_tvalid and writing_status;
m_axis_updt_tlast <= s_axis_updtsts_tlast and writing_status;
s_axis_updtsts_tready <= m_axis_updt_tready and writing_status;
-- Pointer stream signals
s_axis_updtptr_tready <= curdesc_tready;
-- Indicate need for channel service for update state machine
updt_queue_empty <= not s_axis_updtsts_tvalid;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1')then
updt_curdesc(31 downto 0) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(63 downto 32) <= (others => '0');
updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_msb = '1')then
updt_curdesc(63 downto 32) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0);
updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate
begin
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_msb = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_NO_UPR_MSB_CURDESC;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
---------------------------------------------------------------------------
-- Caputure IOC begin set
---------------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re = '1')then
updt_ioc <= s_axis_updtsts_tdata(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re = '1')then
dma_interr <= s_axis_updtsts_tdata(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re = '1')then
dma_slverr <= s_axis_updtsts_tdata(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re = '1')then
dma_decerr <= s_axis_updtsts_tdata(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
end implementation;
|
gpl-3.0
|
andrewandrepowell/zybo_petalinux
|
zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_skid_buf.vhd
|
18
|
18443
|
-------------------------------------------------------------------------------
-- axi_datamover_skid_buf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_skid_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_skid_buf is
generic (
C_WDATA_WIDTH : INTEGER := 32
-- Width of the Stream Data bus (in bits)
);
port (
-- Clock and Reset Inputs ---------------------------------------------
aclk : In std_logic ; --
arst : In std_logic ; --
-----------------------------------------------------------------------
-- Shutdown control (assert for 1 clk pulse) --------------------------
--
skid_stop : In std_logic ; --
-----------------------------------------------------------------------
-- Slave Side (Stream Data Input) -------------------------------------
s_valid : In std_logic ; --
s_ready : Out std_logic ; --
s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); --
s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); --
s_last : In std_logic ; --
-----------------------------------------------------------------------
-- Master Side (Stream Data Output ------------------------------------
m_valid : Out std_logic ; --
m_ready : In std_logic ; --
m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); --
m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); --
m_last : Out std_logic --
-----------------------------------------------------------------------
);
end entity axi_datamover_skid_buf;
architecture implementation of axi_datamover_skid_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_stop_request : std_logic := '0';
signal sig_stopped : std_logic := '0';
signal sig_sready_stop : std_logic := '0';
signal sig_sready_early_stop : std_logic := '0';
signal sig_sready_stop_set : std_logic := '0';
signal sig_sready_stop_reg : std_logic := '0';
signal sig_mvalid_stop_reg : std_logic := '0';
signal sig_mvalid_stop : std_logic := '0';
signal sig_mvalid_early_stop : std_logic := '0';
signal sig_mvalid_stop_set : std_logic := '0';
signal sig_slast_with_stop : std_logic := '0';
signal sig_sstrb_stop_mask : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_sstrb_with_stop : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
m_valid <= sig_m_valid_out;
s_ready <= sig_s_ready_out;
m_strb <= sig_strb_reg_out;
m_last <= sig_last_reg_out;
m_data <= sig_data_reg_out;
-- Special shutdown logic version od Slast.
-- A halt request forces a tlast through the skig buffer
sig_slast_with_stop <= s_last or sig_stop_request;
sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask;
-- Assign the special s_ready FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup);
-- Generate the skid input register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else s_data;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_sstrb_with_stop;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_slast_with_stop;
-- m_valid combinational logic
sig_m_valid_comb <= s_valid or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(m_ready)));
-- s_ready combinational logic
sig_s_ready_comb <= m_ready or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(s_valid)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
sig_reset_reg <= ARST;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: S_READY_FLOP
--
-- Process Description:
-- Registers s_ready handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
S_READY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_sready_stop = '1' or
sig_sready_early_stop = '1') then -- Special stop condition
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process S_READY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: M_VALID_FLOP
--
-- Process Description:
-- Registers m_valid handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
M_VALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA
sig_mvalid_stop = '1' or
sig_mvalid_stop_set = '1') then -- Special stop condition
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process M_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_REG
--
-- Process Description:
-- This process implements the output registers for the
-- Skid Buffer Data signals
--
-------------------------------------------------------------
SKID_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_data_skid_reg <= (others => '0');
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_data_skid_reg <= s_data;
sig_strb_skid_reg <= sig_sstrb_with_stop;
sig_last_skid_reg <= sig_slast_with_stop;
else
null; -- hold current state
end if;
end if;
end process SKID_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_REG
--
-- Process Description:
-- This process implements the output registers for the
-- Skid Buffer Data signals
--
-------------------------------------------------------------
OUTPUT_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_mvalid_stop_reg = '1') then
sig_data_reg_out <= (others => '0');
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_REG;
-------- Special Stop Logic --------------------------------------
sig_sready_stop <= sig_sready_stop_reg;
sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately
sig_sready_stop_set <= sig_sready_early_stop;
sig_mvalid_stop <= sig_mvalid_stop_reg;
sig_mvalid_early_stop <= sig_m_valid_dup and
m_ready and
skid_stop;
sig_mvalid_stop_set <= sig_mvalid_early_stop or
(sig_stop_request and
not(sig_m_valid_dup)) or
(sig_m_valid_dup and
m_ready and
sig_stop_request);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_STOP_REQ_FLOP
--
-- Process Description:
-- This process implements the Stop request flop. It is a
-- sample and hold register that can only be cleared by reset.
--
-------------------------------------------------------------
IMP_STOP_REQ_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_stop_request <= '0';
sig_sstrb_stop_mask <= (others => '0');
elsif (skid_stop = '1') then
sig_stop_request <= '1';
sig_sstrb_stop_mask <= (others => '1');
else
null; -- hold current state
end if;
end if;
end process IMP_STOP_REQ_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_SREADY_FLOP
--
-- Process Description:
-- This process implements the flag to clear the s_ready
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_SREADY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_sready_stop_reg <= '0';
elsif (sig_sready_stop_set = '1') then
sig_sready_stop_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_SREADY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_MVALID_FLOP
--
-- Process Description:
-- This process implements the flag to clear the m_valid
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_MVALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_mvalid_stop_reg <= '0';
elsif (sig_mvalid_stop_set = '1') then
sig_mvalid_stop_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_MVALID_FLOP;
end implementation;
|
gpl-3.0
|
David-Estevez/spaceinvaders
|
src/edgeDetector_tb.vhd
|
1
|
2031
|
----------------------------------------------------------------------------------
--
-- Lab session #2: edge detector testbench
--
-- Detects raising edges and ouputs a one-period pulse.
--
-- Authors:
-- David Estévez Fernández
-- Sergio Vilches Expósito
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity edgeDetector_tb is
end edgeDetector_tb;
architecture Behavioral of edgeDetector_tb is
-- Declare component:
component edgeDetector
port(
clk: in STD_LOGIC;
reset: in STD_LOGIC;
enable: in STD_LOGIC;
input: in STD_LOGIC;
detected: out STD_LOGIC
);
end component;
-- Inputs
signal clk: std_logic := '0';
signal reset: std_logic := '0';
signal enable: std_logic := '0';
signal input: std_logic := '0';
-- Outputs
signal detected: std_logic;
-- clk period
constant clk_period: time := 20 ns;
begin
-- Instantiation of edgeDetector:
uut: edgeDetector
port map(
clk => clk,
reset => reset,
enable => enable,
input => input,
detected => detected
);
-- Clock signal
clk_process : process
begin
clk <= '0';
wait for clk_period / 2;
clk <= '1';
wait for clk_period / 2;
end process;
-- Other stimulus
stim_process : process
begin
-- Reset circuit:
reset <= '0';
wait for 80 ns;
reset <= '1';
wait for 20 ns;
-- Test circuit with enable disabled:
enable <= '0';
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
-- Test circuit with enable enabled:
enable <= '1';
wait for 2*clk_period;
input <= '1';
wait for 2*clk_period;
input <= '0';
wait for 2*clk_period;
-- Test circuit with enable disabled again:
enable <= '0';
input <= '1';
wait for 3*clk_period;
input <= '0';
wait for clk_period;
wait;
end process;
end Behavioral;
|
gpl-3.0
|
viniciussmello/SistemasDigitais
|
Trabalho 2/Principal/MaquinaDeDividir.vhd
|
1
|
3063
|
--Bibliotecas Utilizadas
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
--Declaração de entidade
entity MaquinaDeDividir is
GENERIC
(
n : NATURAL := 7 --Indica número de bits [0 --> 7]
);
port
(
clock: in std_logic; --Sinal de clock da Spartan3E
dividendo: in std_logic_vector(n downto 0); --Operador
divisor: in std_logic_vector(n downto 0); --Operador
resto: out std_logic_vector(n downto 0); --Resultado
quociente: out std_logic_vector(n downto 0); --Resultado
enable: in std_logic; --Habilita o funcionamento do módulo
finish: out std_logic;
reset: in std_logic
-- codigoErro: out integer --Flag de erro
);
end MaquinaDeDividir;
--Arquitetura da entidade BlocoDivisor
architecture ArcMaquinaDeDividir of MaquinaDeDividir is
begin
--Processo no qual a operação de divisão é realizada
Operacao : process (Dividendo, Divisor, clock)
--Declaração de variáveis
variable inicio: natural:= 0; --Marca o estágio da operação de divisão
variable numDiv: std_logic_vector(n downto 0);
variable UM : std_logic_vector(n downto 0) ;
variable Pquociente : std_logic_vector(n downto 0); --Resultado interno ao processo
variable Pdivisor : std_logic_vector(n downto 0); --Operador interno ao processo
variable Pdividendo : std_logic_vector(n downto 0); --Operador interno ao processo
variable Presto : std_logic_vector(n downto 0); --Resultado interno ao processo
variable numeroDividendo : std_logic_vector(n downto 0); --Vetor auxiliar
variable numeroQuociente: std_logic_vector(n downto 0);
variable t: std_logic_vector(n downto 0);
variable i: integer := n;
variable finishAuxiliar : std_logic := '0';
begin
if (rising_edge(clock) and enable = '1') then
if (inicio = 0) then
finishAuxiliar := '0';
Pdividendo := Dividendo;
Pdivisor := Divisor;
Pquociente := "00000000";
UM := "00000001"; --Variável que armazena o valor 1, em binário
inicio := 1;
elsif (inicio = 1) then
if (Pdivisor = "00000000") then
Resto <= "00000000";
Quociente <= "00000000";
inicio := 2;
else
varredorNumero: for i in n downto 0 loop
numeroDividendo := to_stdlogicvector(to_bitvector(pDividendo) srl i);
if (unsigned(numeroDividendo) >= unsigned(pDivisor))then
numeroQuociente := to_stdlogicvector(to_bitvector(um) sll i);
pQuociente := std_logic_vector(unsigned(pQuociente) + unsigned(numeroQuociente));
numDiv := to_stdlogicvector(to_bitvector(pDivisor) sll i);
t := pDividendo;
pDividendo := std_logic_vector(unsigned(t) - unsigned(numDiv));
end if;
end loop varredorNumero;
Resto <= pDividendo;
Quociente <= pQuociente;
inicio := 3;
end if;
end if;
if inicio = 3 then
finishAuxiliar := '1';
if (reset='1') then
inicio:=0;
end if;
else
finishAuxiliar := '0';
end if;
finish <= finishAuxiliar;
end if;
end process Operacao;
end ArcMaquinaDeDividir;
|
gpl-3.0
|
stefanct/aua
|
hw/io/sc_dummy/sim/dummy_tb.vhd
|
1
|
2407
|
library ieee;
use ieee.std_logic_1164.all;
use work.aua_types.all;
entity dummy_tb is
end dummy_tb;
architecture dummy_test of dummy_tb is
component sc_test_slave
generic(
sc_base_addr : sc_addr_t -- base = cycle setup register, base+1 = rd/wr test register
);
port (
clk : in std_logic;
reset : in std_logic;
-- SimpCon slave interface to IO ctrl
address : in sc_addr_t;
wr_data : in sc_data_t;
rd : in std_logic;
wr : in std_logic;
rd_data : out sc_data_t;
rdy_cnt : out sc_rdy_cnt_t
);
end component;
signal clk : std_logic;
signal reset : std_logic;
signal address : sc_addr_t;
signal wr_data : sc_data_t;
signal rd : std_logic;
signal wr : std_logic;
signal rd_data : sc_data_t;
signal rdy_cnt : sc_rdy_cnt_t;
begin
sc_test_slave1: sc_test_slave
generic map ( x"FFFE"
)
port map (
clk,
reset,
address,
wr_data,
rd,
wr,
rd_data,
rdy_cnt
);
CLKGEN: process
begin
clk <= '1';
wait for 10 ns;
clk <= '0';
wait for 10 ns;
end process CLKGEN;
TEST: process
procedure icwait(cycles : natural) is
begin
for i in 1 to cycles loop
wait until clk = '0' and clk'event;
end loop;
end;
begin
reset <= '1';
address <= x"DEAD";
wr_data <= (others => '0');
wr <= '0';
rd <= '0';
icwait(2);
reset <= '0';
-- test obs eh nix tut, wenn adress nicht aus dem bereich
wr_data <= x"12345678";
wr <= '1';
rd <= '1';
icwait(2);
-- schreibt "8" ins cycle control register (lowest 4 bits of wr_data)
rd <= '0';
address <= x"FFFE";
icwait(1);
-- schreibt ins daten register (transaction 8 cycles long)
wr <= '0';
wr_data <= x"12345678";
address <= x"FFFF";
wr <= '1';
icwait(1);
wr <= '0';
icwait(10);
-- das ganze wieder auslesen (auch 8 cycles)
wr_data <= x"00000000";
rd <= '1';
icwait(1);
rd <= '0';
icwait(9);
-- cycle control register auslesen
address <= x"FFFE";
rd <= '1';
icwait(1);
rd <= '0';
icwait(3);
assert false report "sim finish" SEVERITY failure;
end process TEST;
end dummy_test;
|
gpl-3.0
|
viniciussmello/SistemasDigitais
|
Trabalho 2/Principal/Main.vhd
|
1
|
6290
|
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
ENTITY teste IS
PORT (
CLK_50M : IN std_logic; --Clock dado pela Spartan3 em Hz
PS2_CLK1 : IN std_logic; --Sinal de clock interno do teclado
PS2_DATA1 : IN std_logic; --Sinal de dados interno do teclado
seletorSaida: in std_logic; -- Switch utilizado para selecionar operacao a exibir
rw, rs, e : OUT STD_LOGIC; --read/write, setup/data, and enable for lcd
lcd_data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END teste;
ARCHITECTURE Arcteste OF teste IS
TYPE enviaAscii IS (resultSoma, DoisPontosS, Soma5, Soma4, Soma3, Soma2, Soma1, resultProd, Prod10, Prod9, Prod8, Prod7, Prod6, Prod5, Prod4, Prod3, Prod2, Prod1,
fim);
SIGNAL finishMaquina : std_logic;
SIGNAL entradaA : std_logic_vector(19 DOWNTO 0);
SIGNAL entradaB : std_logic_vector(19 DOWNTO 0);
SIGNAL saidaSomador : std_logic_vector(19 DOWNTO 0);
SIGNAL saidaMultiplicador : std_logic_vector(39 DOWNTO 0);
SIGNAL carryOut : std_logic;
SIGNAL MDDEnable, MDDfinish, MDDreset : std_logic;
SIGNAL reset_lcd, ativa_lcd, lcd_ocupado : std_logic;
SIGNAL receives_input : std_logic;
SIGNAL codigo_lcd : std_logic_vector(9 DOWNTO 0);
SIGNAL entradaA_S : unsigned(19 DOWNTO 0) := unsigned(entradaA);
SIGNAL entradaB_S : unsigned(19 DOWNTO 0) := unsigned(entradaB);
SIGNAL saidaSomador_S : unsigned(19 DOWNTO 0) := (others => '0');
SIGNAL carryOut_S : unsigned(3 DOWNTO 0) := (others => '0');
SIGNAL saidaMultiplicador_S : unsigned(39 DOWNTO 0) := (others => '0');
SIGNAL enviaLCD : enviaAscii := resultSoma;
BEGIN
Controlador_LCD : ENTITY work.lcd_controller
PORT MAP(CLK_50M, reset_lcd, ativa_lcd, codigo_lcd, lcd_ocupado, rw, rs, e, lcd_data);
MaquinaDeEstadosPrincipal : ENTITY work.MaquinaDeEstadosPrincipal
PORT MAP(CLK_50M, PS2_CLK1, PS2_DATA1, entradaA, entradaB, finishMaquina, MDDreset);
Multiplicador : ENTITY work.MultBcd_5x5Dig
PORT MAP(
EntradaA => entradaA_S,
EntradaB => entradaB_S,
saidaZ => saidaMultiplicador_S
);
Somador : ENTITY work.bcd_5_digit_adder
PORT MAP(
Entrada1 => entradaA_S,
Entrada2 => entradaB_S,
sum => saidaSomador_S,
carry => carryOut_S
);
PROCESS (CLK_50M, finishMaquina, MDDfinish, MDDreset)
BEGIN
IF (CLK_50M'EVENT AND CLK_50M = '1') THEN
IF (finishMaquina = '1') THEN
MDDenable <= '1';
ELSE
MDDenable <= '0';
END IF;
IF (MDDfinish = '1') THEN
receives_input <= '1';
ELSE
receives_input <= '0';
END IF;
IF (MDDreset = '1') THEN
reset_lcd <= '0';
enviaLCD <= resultSoma;
ELSE
reset_lcd <= '1';
END IF;
IF (lcd_ocupado = '0' AND ativa_lcd = '0') THEN
IF (seletorSaida = '0') THEN --Exibe soma
CASE (enviaLCD) IS
WHEN resultSoma => -- Exibe S
ativa_lcd <= '1';
codigo_lcd <= "10" & "0101" & "0011";
enviaLCD <= DoisPontosS;
WHEN DoisPontosS => -- Exibe :
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & "1010";
enviaLCD <= Soma5;
WHEN Soma5 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaSomador(19 downto 16);
enviaLCD <= Soma4;
WHEN Soma4 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaSomador(15 downto 12);
enviaLCD <= Soma3;
WHEN Soma3 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaSomador(11 downto 8);
enviaLCD <= Soma2;
WHEN Soma2 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaSomador(7 downto 4);
enviaLCD <= Soma1;
WHEN Soma1 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaSomador(3 downto 0);
enviaLCD <= fim;
WHEN fim =>
ativa_lcd <= '0';
WHEN others =>
ativa_lcd <= '0';
END CASE;
ELSE -- Exibe Produto
CASE enviaLCD IS
WHEN resultProd => -- Exibe P
ativa_lcd <= '1';
codigo_lcd <= "10" & "0101" & "0000";
enviaLCD <= DoisPontosS;
WHEN DoisPontosS => -- Exibe :
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & "1010";
enviaLCD <= Prod10;
WHEN Prod10 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(39 downto 36);
enviaLCD <= Prod9;
WHEN Prod9 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(35 downto 32);
enviaLCD <= Prod8;
WHEN Prod8 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(31 downto 28);
enviaLCD <= Prod7;
WHEN Prod7 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(27 downto 24);
enviaLCD <= Prod6;
WHEN Prod6 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(23 downto 20);
enviaLCD <= Prod5;
WHEN Prod5 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(19 downto 16);
enviaLCD <= Prod4;
WHEN Prod4 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(15 downto 12);
enviaLCD <= Prod3;
WHEN Prod3 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(11 downto 8);
enviaLCD <= Prod2;
WHEN Prod2 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(7 downto 4);
enviaLCD <= Prod1;
WHEN Prod1 =>
ativa_lcd <= '1';
codigo_lcd <= "10" & "0011" & saidaMultiplicador(3 downto 0);
enviaLCD <= fim;
WHEN fim =>
ativa_lcd <= '0';
WHEN others =>
ativa_lcd <= '0';
END CASE;
END IF; -- Fim: if(seletorSaida = '0')
ELSE
ativa_lcd <= '0';
END IF; -- Fim: if(lcd_ocupado = '0' AND ativa_lcd = '0')
END IF; -- Fim: if(CLK_50M'event and CLK_50M='1')
END PROCESS;
END Arcteste;
|
gpl-3.0
|
viniciussmello/SistemasDigitais
|
Trabalho 2/Somador/bcd_adder.vhd
|
2
|
649
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY bcd_adder IS
PORT (
a, b : IN unsigned(3 DOWNTO 0); -- input numbers.
carry_in : IN unsigned (3 DOWNTO 0);
sum : OUT unsigned(3 DOWNTO 0);
carry : OUT unsigned(3 DOWNTO 0)
);
END bcd_adder;
ARCHITECTURE arch OF bcd_adder IS
BEGIN
PROCESS (a, b, carry_in)
VARIABLE sum_temp : unsigned(4 DOWNTO 0);
BEGIN
sum_temp := (('0' & a) + ('0' & b)) + ('0' & carry_in);
IF (sum_temp > 9) THEN
carry <= "0001";
sum <= resize((sum_temp + "00110"), 4);
ELSE
carry <= "0000";
sum <= sum_temp(3 DOWNTO 0);
END IF;
END PROCESS;
END arch;
|
gpl-3.0
|
stefanct/aua
|
hw/io/sc_uart/src/fifo.vhd
|
1
|
3525
|
--
--
-- This file is a part of JOP, the Java Optimized Processor
--
-- Copyright (C) 2001-2008, Martin Schoeberl ([email protected])
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
--
-- fifo.vhd
--
-- simple fifo
--
-- uses FF and every rd or wr has to 'bubble' through the hole fifo.
--
-- Author: Martin Schoeberl [email protected]
--
--
-- resources on ACEX1K
--
-- (width+2)*depth-1 LCs
--
--
-- 2002-01-06 first working version
-- 2002-11-03 a signal for reaching threshold
-- 2005-02-20 change entity order for modelsim vcom
--
library ieee;
use ieee.std_logic_1164.all;
entity fifo_elem is
generic (width : integer);
port (
clk : in std_logic;
reset : in std_logic;
din : in std_logic_vector(width-1 downto 0);
dout : out std_logic_vector(width-1 downto 0);
rd : in std_logic;
wr : in std_logic;
rd_prev : out std_logic;
full : out std_logic
);
end fifo_elem;
architecture rtl of fifo_elem is
signal buf : std_logic_vector(width-1 downto 0);
signal f : std_logic;
begin
dout <= buf;
process(clk, reset, f)
begin
full <= f;
if (reset='1') then
buf <= (others => '0');
f <= '0';
rd_prev <= '0';
elsif rising_edge(clk) then
rd_prev <= '0';
if f='0' then
if wr='1' then
rd_prev <= '1';
buf <= din;
f <= '1';
end if;
else
if rd='1' then
f <= '0';
end if;
end if;
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
entity fifo is
generic (width : integer := 8; depth : integer := 4; thres : integer := 2);
port (
clk : in std_logic;
reset : in std_logic;
din : in std_logic_vector(width-1 downto 0);
dout : out std_logic_vector(width-1 downto 0);
rd : in std_logic;
wr : in std_logic;
empty : out std_logic;
full : out std_logic;
half : out std_logic
);
end fifo ;
architecture rtl of fifo is
component fifo_elem is
generic (width : integer);
port (
clk : in std_logic;
reset : in std_logic;
din : in std_logic_vector(width-1 downto 0);
dout : out std_logic_vector(width-1 downto 0);
rd : in std_logic;
wr : in std_logic;
rd_prev : out std_logic;
full : out std_logic
);
end component;
signal r, w, rp, f : std_logic_vector(depth-1 downto 0);
type d_array is array (0 to depth-1) of std_logic_vector(width-1 downto 0);
signal di, do : d_array;
begin
g1: for i in 0 to depth-1 generate
f1: fifo_elem generic map (width)
port map (clk, reset, di(i), do(i), r(i), w(i), rp(i), f(i));
x: if i<depth-1 generate
r(i) <= rp(i+1);
w(i+1) <= f(i);
di(i+1) <= do(i);
end generate;
end generate;
di(0) <= din;
dout <= do(depth-1);
w(0) <= wr;
r(depth-1) <= rd;
full <= f(0);
half <= f(depth-thres);
empty <= not f(depth-1);
end rtl;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m3_@b@f@m/_primary.vhd
|
3
|
5944
|
library verilog;
use verilog.vl_types.all;
entity M3_BFM is
generic(
OPMODE : integer := 0;
VECTFILE : string := "test.vec";
MAX_INSTRUCTIONS: integer := 16384;
MAX_STACK : integer := 1024;
MAX_MEMTEST : integer := 65536;
TPD : integer := 0;
DEBUGLEVEL : integer := 3;
CON_SPULSE : integer := 0;
ARGVALUE0 : integer := 0;
ARGVALUE1 : integer := 0;
ARGVALUE2 : integer := 0;
ARGVALUE3 : integer := 0;
ARGVALUE4 : integer := 0;
ARGVALUE5 : integer := 0;
ARGVALUE6 : integer := 0;
ARGVALUE7 : integer := 0;
ARGVALUE8 : integer := 0;
ARGVALUE9 : integer := 0;
ARGVALUE10 : integer := 0;
ARGVALUE11 : integer := 0;
ARGVALUE12 : integer := 0;
ARGVALUE13 : integer := 0;
ARGVALUE14 : integer := 0;
ARGVALUE15 : integer := 0;
ARGVALUE16 : integer := 0;
ARGVALUE17 : integer := 0;
ARGVALUE18 : integer := 0;
ARGVALUE19 : integer := 0;
ARGVALUE20 : integer := 0;
ARGVALUE21 : integer := 0;
ARGVALUE22 : integer := 0;
ARGVALUE23 : integer := 0;
ARGVALUE24 : integer := 0;
ARGVALUE25 : integer := 0;
ARGVALUE26 : integer := 0;
ARGVALUE27 : integer := 0;
ARGVALUE28 : integer := 0;
ARGVALUE29 : integer := 0;
ARGVALUE30 : integer := 0;
ARGVALUE31 : integer := 0;
ARGVALUE32 : integer := 0;
ARGVALUE33 : integer := 0;
ARGVALUE34 : integer := 0;
ARGVALUE35 : integer := 0;
ARGVALUE36 : integer := 0;
ARGVALUE37 : integer := 0;
ARGVALUE38 : integer := 0;
ARGVALUE39 : integer := 0;
ARGVALUE40 : integer := 0;
ARGVALUE41 : integer := 0;
ARGVALUE42 : integer := 0;
ARGVALUE43 : integer := 0;
ARGVALUE44 : integer := 0;
ARGVALUE45 : integer := 0;
ARGVALUE46 : integer := 0;
ARGVALUE47 : integer := 0;
ARGVALUE48 : integer := 0;
ARGVALUE49 : integer := 0;
ARGVALUE50 : integer := 0;
ARGVALUE51 : integer := 0;
ARGVALUE52 : integer := 0;
ARGVALUE53 : integer := 0;
ARGVALUE54 : integer := 0;
ARGVALUE55 : integer := 0;
ARGVALUE56 : integer := 0;
ARGVALUE57 : integer := 0;
ARGVALUE58 : integer := 0;
ARGVALUE59 : integer := 0;
ARGVALUE60 : integer := 0;
ARGVALUE61 : integer := 0;
ARGVALUE62 : integer := 0;
ARGVALUE63 : integer := 0;
ARGVALUE64 : integer := 0;
ARGVALUE65 : integer := 0;
ARGVALUE66 : integer := 0;
ARGVALUE67 : integer := 0;
ARGVALUE68 : integer := 0;
ARGVALUE69 : integer := 0;
ARGVALUE70 : integer := 0;
ARGVALUE71 : integer := 0;
ARGVALUE72 : integer := 0;
ARGVALUE73 : integer := 0;
ARGVALUE74 : integer := 0;
ARGVALUE75 : integer := 0;
ARGVALUE76 : integer := 0;
ARGVALUE77 : integer := 0;
ARGVALUE78 : integer := 0;
ARGVALUE79 : integer := 0;
ARGVALUE80 : integer := 0;
ARGVALUE81 : integer := 0;
ARGVALUE82 : integer := 0;
ARGVALUE83 : integer := 0;
ARGVALUE84 : integer := 0;
ARGVALUE85 : integer := 0;
ARGVALUE86 : integer := 0;
ARGVALUE87 : integer := 0;
ARGVALUE88 : integer := 0;
ARGVALUE89 : integer := 0;
ARGVALUE90 : integer := 0;
ARGVALUE91 : integer := 0;
ARGVALUE92 : integer := 0;
ARGVALUE93 : integer := 0;
ARGVALUE94 : integer := 0;
ARGVALUE95 : integer := 0;
ARGVALUE96 : integer := 0;
ARGVALUE97 : integer := 0;
ARGVALUE98 : integer := 0;
ARGVALUE99 : integer := 0
);
port(
SYSCLK : in vl_logic;
SYSRSTN : in vl_logic;
HCLK : out vl_logic;
HRESETN : out vl_logic;
HADDR : out vl_logic_vector(31 downto 0);
HBURST : out vl_logic_vector(2 downto 0);
HMASTLOCK : out vl_logic;
HPROT : out vl_logic_vector(3 downto 0);
HSIZE : out vl_logic_vector(2 downto 0);
HTRANS : out vl_logic_vector(1 downto 0);
HWRITE : out vl_logic;
HWDATA : out vl_logic_vector(31 downto 0);
HRDATA : in vl_logic_vector(31 downto 0);
HREADY : in vl_logic;
HRESP : in vl_logic;
SYSREG_HRDATA : in vl_logic_vector(31 downto 0);
SYSREG_HREADY : in vl_logic;
SYSREG_HRESP : in vl_logic;
SYSREG_HADDR : out vl_logic_vector(11 downto 0);
SYSREG_HBURST : out vl_logic_vector(2 downto 0);
SYSREG_HMASTLOCK: out vl_logic;
SYSREG_HPROT : out vl_logic_vector(3 downto 0);
SYSREG_HSIZE : out vl_logic_vector(2 downto 0);
SYSREG_HTRANS : out vl_logic_vector(1 downto 0);
SYSREG_HWRITE : out vl_logic;
SYSREG_HWDATA : out vl_logic_vector(31 downto 0);
SYSREG_HSEL : out vl_logic;
INTERRUPT : in vl_logic_vector(255 downto 0)
);
end M3_BFM;
|
gpl-3.0
|
kristofferkoch/ethersound
|
txcrc.vhd
|
1
|
6560
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:53:34 07/04/2008
-- Design Name:
-- Module Name: txcrc - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity txcrc is
Port ( sysclk : in STD_LOGIC;
reset : in STD_LOGIC;
data_o : out STD_LOGIC_VECTOR (7 downto 0);
data_send : out STD_LOGIC;
data_o_req : in STD_LOGIC;
data_i : in STD_LOGIC_VECTOR (7 downto 0);
data_i_v : in STD_LOGIC;
data_i_req : out STD_LOGIC);
end txcrc;
architecture Behavioral of txcrc is
function nextCRC32_D8 (
Data: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0)
) return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NewCRC: std_logic_vector(31 downto 0);
begin
-- D(0) := Data(7);
-- D(1) := Data(6);
-- D(2) := Data(5);
-- D(3) := Data(4);
-- D(4) := Data(3);
-- D(5) := Data(2);
-- D(6) := Data(1);
-- D(7) := Data(0);
D(0) := Data(3);
D(1) := Data(2);
D(2) := Data(1);
D(3) := Data(0);
D(4) := Data(7);
D(5) := Data(6);
D(6) := Data(5);
D(7) := Data(4);
C := CRC;
NewCRC(0) := D(6) xor D(0) xor C(24) xor C(30);
NewCRC(1) := D(7) xor D(6) xor D(1) xor D(0) xor C(24) xor C(25) xor
C(30) xor C(31);
NewCRC(2) := D(7) xor D(6) xor D(2) xor D(1) xor D(0) xor C(24) xor
C(25) xor C(26) xor C(30) xor C(31);
NewCRC(3) := D(7) xor D(3) xor D(2) xor D(1) xor C(25) xor C(26) xor
C(27) xor C(31);
NewCRC(4) := D(6) xor D(4) xor D(3) xor D(2) xor D(0) xor C(24) xor
C(26) xor C(27) xor C(28) xor C(30);
NewCRC(5) := D(7) xor D(6) xor D(5) xor D(4) xor D(3) xor D(1) xor
D(0) xor C(24) xor C(25) xor C(27) xor C(28) xor C(29) xor
C(30) xor C(31);
NewCRC(6) := D(7) xor D(6) xor D(5) xor D(4) xor D(2) xor D(1) xor
C(25) xor C(26) xor C(28) xor C(29) xor C(30) xor C(31);
NewCRC(7) := D(7) xor D(5) xor D(3) xor D(2) xor D(0) xor C(24) xor
C(26) xor C(27) xor C(29) xor C(31);
NewCRC(8) := D(4) xor D(3) xor D(1) xor D(0) xor C(0) xor C(24) xor
C(25) xor C(27) xor C(28);
NewCRC(9) := D(5) xor D(4) xor D(2) xor D(1) xor C(1) xor C(25) xor
C(26) xor C(28) xor C(29);
NewCRC(10) := D(5) xor D(3) xor D(2) xor D(0) xor C(2) xor C(24) xor
C(26) xor C(27) xor C(29);
NewCRC(11) := D(4) xor D(3) xor D(1) xor D(0) xor C(3) xor C(24) xor
C(25) xor C(27) xor C(28);
NewCRC(12) := D(6) xor D(5) xor D(4) xor D(2) xor D(1) xor D(0) xor
C(4) xor C(24) xor C(25) xor C(26) xor C(28) xor C(29) xor
C(30);
NewCRC(13) := D(7) xor D(6) xor D(5) xor D(3) xor D(2) xor D(1) xor
C(5) xor C(25) xor C(26) xor C(27) xor C(29) xor C(30) xor
C(31);
NewCRC(14) := D(7) xor D(6) xor D(4) xor D(3) xor D(2) xor C(6) xor
C(26) xor C(27) xor C(28) xor C(30) xor C(31);
NewCRC(15) := D(7) xor D(5) xor D(4) xor D(3) xor C(7) xor C(27) xor
C(28) xor C(29) xor C(31);
NewCRC(16) := D(5) xor D(4) xor D(0) xor C(8) xor C(24) xor C(28) xor
C(29);
NewCRC(17) := D(6) xor D(5) xor D(1) xor C(9) xor C(25) xor C(29) xor
C(30);
NewCRC(18) := D(7) xor D(6) xor D(2) xor C(10) xor C(26) xor C(30) xor
C(31);
NewCRC(19) := D(7) xor D(3) xor C(11) xor C(27) xor C(31);
NewCRC(20) := D(4) xor C(12) xor C(28);
NewCRC(21) := D(5) xor C(13) xor C(29);
NewCRC(22) := D(0) xor C(14) xor C(24);
NewCRC(23) := D(6) xor D(1) xor D(0) xor C(15) xor C(24) xor C(25) xor
C(30);
NewCRC(24) := D(7) xor D(2) xor D(1) xor C(16) xor C(25) xor C(26) xor
C(31);
NewCRC(25) := D(3) xor D(2) xor C(17) xor C(26) xor C(27);
NewCRC(26) := D(6) xor D(4) xor D(3) xor D(0) xor C(18) xor C(24) xor
C(27) xor C(28) xor C(30);
NewCRC(27) := D(7) xor D(5) xor D(4) xor D(1) xor C(19) xor C(25) xor
C(28) xor C(29) xor C(31);
NewCRC(28) := D(6) xor D(5) xor D(2) xor C(20) xor C(26) xor C(29) xor
C(30);
NewCRC(29) := D(7) xor D(6) xor D(3) xor C(21) xor C(27) xor C(30) xor
C(31);
NewCRC(30) := D(7) xor D(4) xor C(22) xor C(28) xor C(31);
NewCRC(31) := D(5) xor C(23) xor C(29);
return NewCRC;
end nextCRC32_D8;
-- type state_t is (Idle, TX, st_CRC);
-- signal state:state_t;
--
-- signal ireg:std_logic_vector(7 downto 0);
-- signal counter:integer range 0 to 3;
-- signal crc, nextcrc:std_logic_vector(31 downto 0);
begin
data_o <= data_i when state = stCRC else crcmux;
-- nextcrc <= nextCRC32_D8(ireg, crc);
-- process(sysclk) is
-- begin
-- if rising_edge(sysclk) then
-- if reset = '1' then
-- data_i_req <= '0';
-- data_send <= '0';
-- state <= Idle;
-- crc <= (OTHERS => '1');
-- data_o <= (OTHERS => '0');
-- else
-- case state is
-- when TX =>
-- if data_o_req = '1' then
-- data_o <= ireg;
-- crc <= nextcrc;
-- data_send <= '1';
-- data_i_req <= '1';
-- else
-- data_i_req <= '0';
-- end if;
-- if data_i_v = '1' then
-- ireg <= data_i;
-- else
-- state <= st_CRC;
-- counter <= 3;
-- ireg <= (OTHERS => '0');
-- end if;
-- when st_CRC =>
-- if data_o_req = '1' then
-- --data_o <= crc(counter*8+7 downto counter*8);
-- data_o(7) <= not crc(counter*8);
-- data_o(6) <= not crc(counter*8+1);
-- data_o(5) <= not crc(counter*8+2);
-- data_o(4) <= not crc(counter*8+3);
-- data_o(3) <= not crc(counter*8+4);
-- data_o(2) <= not crc(counter*8+5);
-- data_o(1) <= not crc(counter*8+6);
-- data_o(0) <= not crc(counter*8+7);
--
-- if counter = 0 then
-- state <= Idle;
-- data_i_req <= '1';
-- else
-- counter <= counter - 1;
-- data_i_req <= '0';
-- end if;
-- end if;
-- when others => --Idle
-- crc <= (OTHERS => '1');
-- if data_o_req = '1' then
-- data_send <= '0';
-- end if;
-- if data_i_v = '1' then
-- ireg <= data_i;
-- data_i_req <= '0';
-- state <= TX;
-- else
-- data_i_req <= '1';
-- end if;
-- end case;
-- end if;
-- end if;
-- end process;
end Behavioral;
|
gpl-3.0
|
kristofferkoch/ethersound
|
deltasigmachannel.vhd
|
1
|
1960
|
-----------------------------------------------------------------------------
-- Delta-sigma modulator
--
-- Authors:
-- -- Kristoffer E. Koch
-----------------------------------------------------------------------------
-- Copyright 2008 Authors
--
-- This file is part of hwpulse.
--
-- hwpulse is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- hwpulse is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with hwpulse. If not, see <http://www.gnu.org/licenses/>.
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.numeric_std.ALL;
entity deltasigmachannel is
Generic(N:integer:=10);
Port ( sysclk : in STD_LOGIC;
reset : in STD_LOGIC;
data : in STD_LOGIC_VECTOR (N-1 downto 0);
ds : out STD_LOGIC);
end deltasigmachannel;
architecture Behavioral of deltasigmachannel is
signal deltaAdder, sigmaAdder, sigmaReg, deltaB:unsigned(N+1 downto 0);
constant zeros:std_logic_vector(N-1 downto 0):= (OTHERS => '0');
signal hbit:std_logic;
begin
hbit <= sigmaReg(N+1);
deltaB <= unsigned(hbit & hbit & zeros);
deltaAdder <= unsigned(data) + deltaB;
sigmaAdder <= deltaAdder + sigmaReg;
process(sysclk) is
begin
if rising_edge(sysclk) then
if reset = '1' then
ds <= '0';
sigmaReg <= unsigned("01" & zeros);
else
sigmaReg <= sigmaAdder;
ds <= sigmaReg(N+1);
end if;
end if;
end process;
end Behavioral;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@s@s@e/_primary.vhd
|
3
|
4539
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_SSE is
port(
PRESETN : in vl_logic;
PCLK : in vl_logic;
HCLK : in vl_logic;
PSEL : in vl_logic;
PENABLE : in vl_logic;
PWRITE : in vl_logic;
PADDR : in vl_logic_vector(11 downto 0);
PWDATA : in vl_logic_vector(31 downto 0);
PRDATA : out vl_logic_vector(15 downto 0);
PREADY : out vl_logic;
PSLVERR : out vl_logic;
PPE_PSEL : in vl_logic;
PPE_PENABLE : in vl_logic;
PPE_PWRITE : in vl_logic;
PPE_PADDR : in vl_logic_vector(11 downto 0);
PPE_PWDATA : in vl_logic_vector(15 downto 0);
PPE_PRDATA : out vl_logic_vector(15 downto 0);
PPE_PREADY : out vl_logic;
PPE_PSLVERR : out vl_logic;
PPE_FIFO_FULL : in vl_logic;
PC0_FLAGS : out vl_logic_vector(3 downto 0);
PC1_FLAGS : out vl_logic_vector(3 downto 0);
PC2_FLAGS : out vl_logic_vector(3 downto 0);
ADC0_CALIBRATE_rise: out vl_logic;
ADC1_CALIBRATE_rise: out vl_logic;
ADC2_CALIBRATE_rise: out vl_logic;
ADC0_CALIBRATE_fall: out vl_logic;
ADC1_CALIBRATE_fall: out vl_logic;
ADC2_CALIBRATE_fall: out vl_logic;
ADC0_DATAVALID_rise: out vl_logic;
ADC1_DATAVALID_rise: out vl_logic;
ADC2_DATAVALID_rise: out vl_logic;
FPGA_TRIGGER : in vl_logic;
ADC0_BUSY : in vl_logic;
ADC1_BUSY : in vl_logic;
ADC2_BUSY : in vl_logic;
ADC0_CALIBRATE : in vl_logic;
ADC1_CALIBRATE : in vl_logic;
ADC2_CALIBRATE : in vl_logic;
ADC0_DATAVALID : in vl_logic;
ADC1_DATAVALID : in vl_logic;
ADC2_DATAVALID : in vl_logic;
ADC0_SAMPLE : in vl_logic;
ADC1_SAMPLE : in vl_logic;
ADC2_SAMPLE : in vl_logic;
ADC0_TVC : out vl_logic_vector(7 downto 0);
ADC1_TVC : out vl_logic_vector(7 downto 0);
ADC2_TVC : out vl_logic_vector(7 downto 0);
ADC0_STC : out vl_logic_vector(7 downto 0);
ADC1_STC : out vl_logic_vector(7 downto 0);
ADC2_STC : out vl_logic_vector(7 downto 0);
ADC0_MODE : out vl_logic_vector(3 downto 0);
ADC1_MODE : out vl_logic_vector(3 downto 0);
ADC2_MODE : out vl_logic_vector(3 downto 0);
ADC_VAREFSEL : out vl_logic;
ABPOWERON : out vl_logic;
ADC0_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC1_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC2_CHNUMBER : out vl_logic_vector(4 downto 0);
ADC0_ADCSTART : out vl_logic;
ADC1_ADCSTART : out vl_logic;
ADC2_ADCSTART : out vl_logic;
ADC0_PWRDWN : out vl_logic;
ADC1_PWRDWN : out vl_logic;
ADC2_PWRDWN : out vl_logic;
ADC0_ADCRESET : out vl_logic;
ADC1_ADCRESET : out vl_logic;
ADC2_ADCRESET : out vl_logic;
ACB_RDATA : in vl_logic_vector(7 downto 0);
ACB_ADDR : out vl_logic_vector(7 downto 0);
ACB_WRE : out vl_logic;
ACB_WDATA : out vl_logic_vector(7 downto 0);
ACB_RESETN : out vl_logic;
OBD_FPGA0_CLKOUT: in vl_logic;
OBD_FPGA1_CLKOUT: in vl_logic;
OBD_FPGA2_CLKOUT: in vl_logic;
OBD_FPGA0_DOUT : in vl_logic;
OBD_FPGA1_DOUT : in vl_logic;
OBD_FPGA2_DOUT : in vl_logic;
OBD_DOUT0 : out vl_logic;
OBD_DOUT1 : out vl_logic;
OBD_DOUT2 : out vl_logic;
OBD_CLKOUT0 : out vl_logic;
OBD_CLKOUT1 : out vl_logic;
OBD_CLKOUT2 : out vl_logic;
OBD_ENABLE0 : out vl_logic;
OBD_ENABLE1 : out vl_logic;
OBD_ENABLE2 : out vl_logic;
INREADY : out vl_logic;
SSE_ADC0_RESULTS: out vl_logic;
SSE_ADC1_RESULTS: out vl_logic;
SSE_ADC2_RESULTS: out vl_logic
);
end F2DSS_SSE;
|
gpl-3.0
|
kristofferkoch/ethersound
|
tb_deltasigmadac.vhd
|
1
|
2854
|
-----------------------------------------------------------------------------
-- Testbench for deltasigmadac
--
-- Authors:
-- -- Kristoffer E. Koch
-----------------------------------------------------------------------------
-- Copyright 2008 Authors
--
-- This file is part of hwpulse.
--
-- hwpulse is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- hwpulse is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with hwpulse. If not, see <http://www.gnu.org/licenses/>.
-----------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY tb_deltasigmadac IS
END tb_deltasigmadac;
ARCHITECTURE behavior OF tb_deltasigmadac IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT deltasigmadac
PORT(
sysclk : IN std_logic;
reset : IN std_logic;
audio : IN std_logic_vector(23 downto 0);
audio_dv : IN std_logic;
audio_left : OUT std_logic;
audio_right : OUT std_logic
);
END COMPONENT;
--Inputs
signal sysclk : std_logic := '0';
signal reset : std_logic := '0';
signal audio : std_logic_vector(23 downto 0) := (others => '0');
signal audio_dv : std_logic := '0';
--Outputs
signal audio_left : std_logic;
signal audio_right : std_logic;
-- Clock period definitions
constant sysclk_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: deltasigmadac PORT MAP (
sysclk => sysclk,
reset => reset,
audio => audio,
audio_dv => audio_dv,
audio_left => audio_left,
audio_right => audio_right
);
-- Clock process definitions
sysclk_process :process
begin
sysclk <= '0';
wait for sysclk_period/2;
sysclk <= '1';
wait for sysclk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
reset <= '1';
wait for sysclk_period*10;
reset <= '0';
wait for sysclk_period;
audio_dv <= '1';
audio <= x"123456";
wait for sysclk_period;
audio_dv <= '0';
wait for sysclk_period*4;
audio_dv <= '1';
audio <= x"FFFFFF";
wait for sysclk_period;
audio_dv <= '0';
wait for sysclk_period*4;
wait;
end process;
END;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f@a@b@r@i@c@i@f_@f@m/_primary.vhd
|
3
|
1609
|
library verilog;
use verilog.vl_types.all;
entity FABRICIF_FM is
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
ahbMode : in vl_logic;
apb32 : in vl_logic;
lastCycle : in vl_logic;
APB16_XHOLD : out vl_logic_vector(15 downto 0);
DS_FM_HADDR : out vl_logic_vector(31 downto 0);
DS_FM_HMASTLOCK : out vl_logic;
DS_FM_HSIZE : out vl_logic_vector(1 downto 0);
DS_FM_HTRANS1 : out vl_logic;
DS_FM_HWRITE : out vl_logic;
DS_FM_HWDATA : out vl_logic_vector(31 downto 0);
DS_FM_HRDATA : in vl_logic_vector(31 downto 0);
DS_FM_HREADY : in vl_logic;
DS_FM_HRESP : in vl_logic;
F_FM_ADDR : in vl_logic_vector(31 downto 0);
F_FM_WDATA : in vl_logic_vector(31 downto 0);
F_FM_RDATA : out vl_logic_vector(31 downto 0);
F_FM_HMASTLOCK : in vl_logic;
F_FM_HSIZE : in vl_logic_vector(1 downto 0);
F_FM_HTRANS1 : in vl_logic;
F_FM_HWRITE : in vl_logic;
F_FM_HSEL : in vl_logic;
F_FM_HREADY : in vl_logic;
F_FM_HREADYOUT : out vl_logic;
F_FM_HRESP : out vl_logic;
F_FM_PSEL : in vl_logic;
F_FM_PENABLE : in vl_logic;
F_FM_PWRITE : in vl_logic;
F_FM_PREADY : out vl_logic;
F_FM_PSLVERR : out vl_logic
);
end FABRICIF_FM;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@c@m@slave@stage/_primary.vhd
|
3
|
2678
|
library verilog;
use verilog.vl_types.all;
entity CMSlaveStage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
HREADYOUT : in vl_logic;
HRESP : in vl_logic;
HSEL : out vl_logic;
HADDR : out vl_logic_vector(31 downto 0);
HSIZE : out vl_logic_vector(2 downto 0);
HTRANS1 : out vl_logic;
HWRITE : out vl_logic;
HWDATA : out vl_logic_vector(31 downto 0);
HREADY_S : out vl_logic;
HMASTLOCK : out vl_logic;
COM_WEIGHTEDMODE: in vl_logic;
mAddrSel : in vl_logic_vector(4 downto 0);
mDataSel : in vl_logic_vector(4 downto 0);
mPrevDataSlaveReady: in vl_logic_vector(4 downto 0);
mAddrReady : out vl_logic_vector(4 downto 0);
mDataReady : out vl_logic_vector(4 downto 0);
mHResp : out vl_logic_vector(4 downto 0);
m0GatedHADDR : in vl_logic_vector(31 downto 0);
m0GatedHMASTLOCK: in vl_logic;
m0GatedHSIZE : in vl_logic_vector(2 downto 0);
m0GatedHTRANS1 : in vl_logic;
m0GatedHWRITE : in vl_logic;
m1GatedHADDR : in vl_logic_vector(31 downto 0);
m1GatedHMASTLOCK: in vl_logic;
m1GatedHSIZE : in vl_logic_vector(2 downto 0);
m1GatedHTRANS1 : in vl_logic;
m1GatedHWRITE : in vl_logic;
m2GatedHADDR : in vl_logic_vector(31 downto 0);
m2GatedHMASTLOCK: in vl_logic;
m2GatedHSIZE : in vl_logic_vector(2 downto 0);
m2GatedHTRANS1 : in vl_logic;
m2GatedHWRITE : in vl_logic;
m3GatedHADDR : in vl_logic_vector(31 downto 0);
m3GatedHMASTLOCK: in vl_logic;
m3GatedHSIZE : in vl_logic_vector(2 downto 0);
m3GatedHTRANS1 : in vl_logic;
m3GatedHWRITE : in vl_logic;
m4GatedHADDR : in vl_logic_vector(31 downto 0);
m4GatedHMASTLOCK: in vl_logic;
m4GatedHSIZE : in vl_logic_vector(2 downto 0);
m4GatedHTRANS1 : in vl_logic;
m4GatedHWRITE : in vl_logic;
HWDATA_M0 : in vl_logic_vector(31 downto 0);
HWDATA_M1 : in vl_logic_vector(31 downto 0);
HWDATA_M2 : in vl_logic_vector(31 downto 0);
HWDATA_M3 : in vl_logic_vector(31 downto 0);
HWDATA_M4 : in vl_logic_vector(31 downto 0)
);
end CMSlaveStage;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@c@m@master3@stage/_primary.vhd
|
3
|
1817
|
library verilog;
use verilog.vl_types.all;
entity CMMaster3Stage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
COM_CLEARSTATUS : in vl_logic;
COM_ERRORSTATUS : out vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HMASTLOCK : in vl_logic;
HSIZE : in vl_logic_vector(2 downto 0);
HTRANS1 : in vl_logic;
HWRITE : in vl_logic;
HRESP : out vl_logic;
HRDATA : out vl_logic_vector(31 downto 0);
HREADY_M : out vl_logic;
sAddrReady : in vl_logic_vector(7 downto 0);
sDataReady : in vl_logic_vector(7 downto 0);
sHResp : in vl_logic_vector(7 downto 0);
gatedHADDR : out vl_logic_vector(31 downto 0);
gatedHMASTLOCK : out vl_logic;
gatedHSIZE : out vl_logic_vector(2 downto 0);
gatedHTRANS1 : out vl_logic;
gatedHWRITE : out vl_logic;
sAddrSel : out vl_logic_vector(7 downto 0);
sDataSel : out vl_logic_vector(7 downto 0);
prevDataSlaveReady: out vl_logic;
HRDATA_S0 : in vl_logic_vector(31 downto 0);
HREADYOUT_S0 : in vl_logic;
HRDATA_S1 : in vl_logic_vector(31 downto 0);
HREADYOUT_S1 : in vl_logic;
HRDATA_S3 : in vl_logic_vector(31 downto 0);
HREADYOUT_S3 : in vl_logic;
HRDATA_S5 : in vl_logic_vector(31 downto 0);
HREADYOUT_S5 : in vl_logic
);
end CMMaster3Stage;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@s@s@e_@a@p@b3_@p@p@e_@i@f/_primary.vhd
|
3
|
1348
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_SSE_APB3_PPE_IF is
port(
PRESETN : in vl_logic;
PCLK : in vl_logic;
TDM_CNT : in vl_logic_vector(2 downto 0);
PSEL : in vl_logic;
PENABLE : in vl_logic;
PWRITE : in vl_logic;
PADDR : in vl_logic_vector(11 downto 0);
PWDATA : in vl_logic_vector(31 downto 0);
PRDATA : out vl_logic_vector(15 downto 0);
PREADY : out vl_logic;
PSLVERR : out vl_logic;
PPE_PSEL : in vl_logic;
PPE_PENABLE : in vl_logic;
PPE_PWRITE : in vl_logic;
PPE_PADDR : in vl_logic_vector(11 downto 0);
PPE_PWDATA : in vl_logic_vector(15 downto 0);
PPE_PRDATA : out vl_logic_vector(15 downto 0);
PPE_PREADY : out vl_logic;
PPE_PSLVERR : out vl_logic;
SSE_RWB : out vl_logic;
SSE_ADDR : out vl_logic_vector(9 downto 0);
SSE_WDATA : out vl_logic_vector(15 downto 0);
SSE_RDATA : in vl_logic_vector(15 downto 0);
PDMA_decode : out vl_logic
);
end F2DSS_SSE_APB3_PPE_IF;
|
gpl-3.0
|
beiko-lab/gengis
|
bin/Lib/site-packages/wx-2.8-msw-unicode/wx/tools/Editra/tests/syntax/vhdl.vhdl
|
9
|
985
|
-- Syntax Highlighting Test File for VHDL
-- Comments are like this
-- Hello World in VHDL
entity hello_world is
end;
architecture hello_world of hello_world is
begin
stimulus : process
begin
assert false report "Hello World By Deepak"
severity note;
wait;
end process stimulus;
end hello_world;
-- A simple counter
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
port( clk: in std_logic;
reset: in std_logic;
enable: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end counter;
architecture behav of counter is
signal pre_count: std_logic_vector(3 downto 0);
begin
process(clk, enable, reset)
begin
if reset = '1' then
pre_count <= "0000";
elsif (clk='1' and clk'event) then
if enable = '1' then
pre_count <= pre_count + "1";
end if;
end if;
end process;
count <= pre_count;
end behav;
|
gpl-3.0
|
fgr1986/ddr_MIG_ctrl_interface
|
src/hdl/ram_ddr_wrapper.vhd
|
1
|
22959
|
----------------------------------------------------------------------------
----------------------------------------------------------------------------
-- Author: Fernando García Redondo, [email protected]
----------------------------------------------------------------------------
----------------------------------------------------------------------------
-- Create Date: 26/07/2017
-- Design Name: Nexys4 DDR RAM/DDR2/DDR3 Interface
-- Module Name: ram_ddr_wrapper - behavioral
-- Project Name: ram_ddr_wrapper
-- Target Devices: Nexys4 DDR Development Board, containing a XC7a100t-1 csg324 device
-- Tool versions:
-- Description:
--
-- IMPORTANT: This ddr_xadc module includes already an xadc instance. Do not instantiate outside.
-- IMPORTANT: If xadc is instantiated outside, use ddr IP (not ddr_xadc) and drive to ddr instance
-- IMPORTANT: the xadc sensed temperature.
--
--
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Libraries
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Project library
library work;
use work.ram_ddr_MIG7_interface_pkg.ALL;
entity ram_ddr_wrapper is
port (
-- Common
clk_200MHz_i : in std_logic;
rst_i : in std_logic;
-- ram control interface
ram_rnw_i : in std_logic; -- operation to be done : 0->READ, 1->WRITE
ram_addr_i : in std_logic_vector(c_DATA_ADDRESS_WIDTH-1 downto 0);
ram_new_instr_i : in std_logic; -- cs, '1' starts operation
ram_new_ack_o : out std_logic; -- ack between clk domains
ram_end_op_i : in std_logic; -- '1' ends the current write or read operation
-- for high performance consecutive writes or reads
ram_rd_ack_o : out std_logic;
ram_rd_valid_o : out std_logic;
ram_wr_ack_o : out std_logic;
ram_data_to_i : in std_logic_vector(c_DATA_2_MEM_WIDTH-1 downto 0);
ram_data_from_o : out std_logic_vector(c_DATA_2_MEM_WIDTH-1 downto 0);
ram_available_o : out std_logic; -- when available for a different command
-- write to read, read to write
init_calib_complete_o : out std_logic; -- when calibrated
-- DDR2 interface
ddr2_addr : out std_logic_vector(c_DDR_ADDRESS_WIDTH-1 downto 0);
ddr2_ba : out std_logic_vector(c_DDR_BANK_WIDTH-1 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out std_logic_vector(c_DDR_DM_WIDTH-1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
ddr2_dq : inout std_logic_vector(c_DDR_DQ_WIDTH-1 downto 0);
ddr2_dqs_p : inout std_logic_vector(c_DDR_DQS_WIDTH-1 downto 0);
ddr2_dqs_n : inout std_logic_vector(c_DDR_DQS_WIDTH-1 downto 0)
);
end ram_ddr_wrapper;
architecture behavioral of ram_ddr_wrapper is
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
component ddr_xadc
port (
-- Inouts
ddr2_dq : inout std_logic_vector(c_DDR_DQ_WIDTH-1 downto 0);
ddr2_dqs_p : inout std_logic_vector(c_DDR_DQS_WIDTH-1 downto 0);
ddr2_dqs_n : inout std_logic_vector(c_DDR_DQS_WIDTH-1 downto 0);
-- Outputs
ddr2_addr : out std_logic_vector(c_DDR_ADDRESS_WIDTH-1 downto 0);
ddr2_ba : out std_logic_vector(c_DDR_BANK_WIDTH-1 downto 0);
ddr2_ras_n : out std_logic;
ddr2_cas_n : out std_logic;
ddr2_we_n : out std_logic;
ddr2_ck_p : out std_logic_vector(0 downto 0);
ddr2_ck_n : out std_logic_vector(0 downto 0);
ddr2_cke : out std_logic_vector(0 downto 0);
ddr2_cs_n : out std_logic_vector(0 downto 0);
ddr2_dm : out std_logic_vector(c_DDR_DM_WIDTH-1 downto 0);
ddr2_odt : out std_logic_vector(0 downto 0);
-- Inputs
sys_clk_i : in std_logic;
sys_rst : in std_logic;
-- user interface signals
app_addr : in std_logic_vector(c_APP_DATA_ADDRESS_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(c_DDR_CMD_WIDTH-1 downto 0);
app_en : in std_logic;
app_wdf_data : in std_logic_vector(c_APP_DATA_WIDTH-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector(c_DDR_WDF_MASK_WIDTH-1 downto 0);
app_wdf_wren : in std_logic;
app_rd_data : out std_logic_vector(c_APP_DATA_WIDTH-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_sr_active : out std_logic;
app_ref_req : in std_logic;
app_ref_ack : out std_logic;
app_zq_req : in std_logic;
app_zq_ack : out std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic;
-- device_temp_i : in ...,
init_calib_complete : out std_logic );
end component;
------------------------------------------------------------------------
-- Local Type Declarations
------------------------------------------------------------------------
-- FSM
type state_type is (st_IDLE, st_PREP_OP, st_SEND_WRITE,
st_SEND_READ, st_WAIT_NEXT_WRITE, st_WAIT_NEXT_READ);
------------------------------------------------------------------------
-- Constants
------------------------------------------------------------------------
constant c_MASK_DIFF : positive := c_DDR_WDF_MASK_WIDTH - (c_APP_DATA_WIDTH-c_DATA_2_MEM_WIDTH)/8;
--report "The value of 'c_MASK_DIFF' is " & integer'image(c_MASK_DIFF);
constant c_MASK : std_logic_vector(c_DDR_WDF_MASK_WIDTH-1 downto 0)
:= (c_DDR_WDF_MASK_WIDTH-1 downto c_MASK_DIFF => '1')
& (c_MASK_DIFF-1 downto 0 => '0');
--------------------------------------
-- Signals
--------------------------------------
-- state machine
signal st_state, st_next_state : state_type;
-- active-low reset for the MIG component
signal s_rstn : std_logic;
signal s_rst_d2 : std_logic_vector(1 downto 0);
-- double registered imputs
signal s_ram_rnw_pre : std_logic;
signal s_ram_rnw : std_logic;
signal s_ram_new_instr : std_logic;
signal s_ram_new_instr_pre : std_logic;
signal s_ram_end_op_pre : std_logic;
signal s_ram_end_op : std_logic;
signal s_ram_data_to_pre : std_logic_vector(c_DATA_2_MEM_WIDTH-1 downto 0);
signal s_ram_data_to : std_logic_vector(c_DATA_2_MEM_WIDTH-1 downto 0);
signal s_ram_addr_pre : std_logic_vector(c_APP_DATA_ADDRESS_WIDTH-1 downto 0);
----------------------------------------
-- We will use 'mem_ui_' for UI with ddr
-- ddr user interface signals
--------------------------------------
signal mem_ui_clk : std_logic;
signal mem_ui_rst : std_logic;
signal mem_ui_addr : std_logic_vector(c_APP_DATA_ADDRESS_WIDTH-1 downto 0); -- address for current request
signal mem_ui_cmd : std_logic_vector(c_DDR_CMD_WIDTH-1 downto 0); -- command for current request
signal mem_ui_wdf_rdy : std_logic; -- write data FIFO is ready to receive data (wdf_rdy = 1 & wdf_wren = 1)
signal mem_ui_wdf_data : std_logic_vector(c_APP_DATA_WIDTH-1 downto 0);
signal mem_ui_wdf_end : std_logic; -- active-high last 'wdf_data'
signal mem_ui_wdf_mask : std_logic_vector(c_DDR_WDF_MASK_WIDTH-1 downto 0);
signal mem_ui_wdf_wren : std_logic;
signal mem_ui_rd_data : std_logic_vector(c_APP_DATA_WIDTH-1 downto 0);
signal mem_ui_rd_data_end : std_logic; -- active-high last 'rd_data'
signal mem_ui_rd_data_valid : std_logic; -- active-high 'rd_data' valid
signal s_calib_complete : std_logic; -- active-high calibration complete
-- enables the sending of CMD to the ddr (1 pulse per command)
signal mem_ui_en : std_logic; -- active-high strobe for 'cmd' and 'addr'
-- if HIGH, the CMD sent when mem_ui_en is HIGH has been accepted
signal mem_ui_rdy : std_logic;
-- registered ack 1 clk pulses
signal s_ram_rd_ack : std_logic;
signal s_ram_wr_ack : std_logic;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
------------------------------------------------------------------------
-- Registering the active-low reset for the MIG component
-- delay because of FSM
------------------------------------------------------------------------
p_rst_sync: process(clk_200MHz_i)
begin
if rising_edge(clk_200MHz_i) then
s_rst_d2 <= s_rst_d2(0) & rst_i;
s_rstn <= not s_rst_d2(1);
end if;
end process p_rst_sync;
------------------------------------------------------------------------
-- DDR controller instance
------------------------------------------------------------------------
inst_ddr_xadc: ddr_xadc
port map (
-- IOB outputs [Physical Interface]
ddr2_dq => ddr2_dq,
ddr2_dqs_p => ddr2_dqs_p,
ddr2_dqs_n => ddr2_dqs_n,
ddr2_addr => ddr2_addr,
ddr2_ba => ddr2_ba,
ddr2_ras_n => ddr2_ras_n,
ddr2_cas_n => ddr2_cas_n,
ddr2_we_n => ddr2_we_n,
ddr2_ck_p => ddr2_ck_p,
ddr2_ck_n => ddr2_ck_n,
ddr2_cke => ddr2_cke,
ddr2_cs_n => ddr2_cs_n,
ddr2_dm => ddr2_dm,
ddr2_odt => ddr2_odt,
-- Inputs
sys_clk_i => clk_200MHz_i,
sys_rst => s_rstn,
-- user interface signals
app_addr => mem_ui_addr,
app_cmd => mem_ui_cmd,
app_en => mem_ui_en,
app_wdf_data => mem_ui_wdf_data,
app_wdf_end => mem_ui_wdf_end,
app_wdf_mask => mem_ui_wdf_mask,
app_wdf_wren => mem_ui_wdf_wren,
app_rd_data => mem_ui_rd_data,
app_rd_data_end => mem_ui_rd_data_end,
app_rd_data_valid => mem_ui_rd_data_valid,
app_rdy => mem_ui_rdy,
app_wdf_rdy => mem_ui_wdf_rdy,
app_sr_req => '0', -- see UG586
app_sr_active => open,
app_ref_req => '0', -- see UG586
app_ref_ack => open,
app_zq_req => '0', -- see UG586
app_zq_ack => open,
ui_clk => mem_ui_clk, -- 1/2 or 1/4 of 200Mhz clk, see UG586
ui_clk_sync_rst => mem_ui_rst,
-- device_temp_i => device_temp_i,
init_calib_complete => s_calib_complete
);
------------------------------------------------------------------------
-- Registering handshake ack
------------------------------------------------------------------------
p_new_instr_ack: process(mem_ui_clk)
begin
if rising_edge(mem_ui_clk) then
if mem_ui_rst='1' or s_calib_complete='0' then
ram_new_ack_o <= '0';
else
ram_new_ack_o <= ram_new_instr_i;
end if;
end if;
end process p_new_instr_ack;
------------------------------------------------------------------------
-- Double Registering all ctrl inputs to 'mem_ui_clk' domain
------------------------------------------------------------------------
p_reg_in_ctrl: process(mem_ui_clk)
begin
if rising_edge(mem_ui_clk) then
if mem_ui_rst='1' then
-- pre-signals
s_ram_rnw_pre <= '0';
s_ram_new_instr_pre <= '0';
s_ram_end_op_pre <= '0';
-- valid signals (faster domain)
s_ram_rnw <= '0';
s_ram_new_instr <= '0';
s_ram_end_op <= '0';
else
-- pre-signals
s_ram_rnw_pre <= ram_rnw_i;
s_ram_new_instr_pre <= ram_new_instr_i;
s_ram_end_op_pre <= ram_end_op_i;
-- valid signals (faster domain)
if s_ram_new_instr = '0' then
s_ram_new_instr <= s_ram_new_instr_pre;
else
s_ram_new_instr <= '0';
end if;
if s_ram_end_op = '0' then
s_ram_end_op <= s_ram_end_op_pre;
else
s_ram_end_op <= '0';
end if;
-- valid signals with no pulse control
s_ram_rnw <= s_ram_rnw_pre;
end if;
end if;
end process p_reg_in_ctrl;
------------------------------------------------------------------------
-- Double Registering all data inputs to 'mem_ui_clk' domain
------------------------------------------------------------------------
p_reg_in_data: process(mem_ui_clk)
begin
if rising_edge(mem_ui_clk) then
if mem_ui_rst='1' then
-- pre-signals
s_ram_addr_pre <= (others => '0');
s_ram_data_to_pre <= (others => '0');
-- valid signals (faster domain)
mem_ui_addr <= (others => '0');
s_ram_data_to <= (others => '0');
else
-- pre-signals
s_ram_addr_pre <= '0' & ram_addr_i; -- rank in DDR2 MT47H64M16HR-25 is '0'
s_ram_data_to_pre <= ram_data_to_i;
-- valid signals (faster domain)
-- with control
if s_ram_new_instr_pre='1' then
mem_ui_addr <= s_ram_addr_pre;
s_ram_data_to <= s_ram_data_to_pre;
end if;
end if;
end if;
end process p_reg_in_data;
------------------------------------------------------------------------
-- State Machine
------------------------------------------------------------------------
-- Register states
p_sync_FSM: process(mem_ui_clk)
begin
if rising_edge(mem_ui_clk) then
if mem_ui_rst = '1' then
st_state <= st_IDLE;
else
st_state <= st_next_state;
end if;
end if;
end process p_sync_FSM;
-- Next state logic
p_next_state: process(st_state, s_calib_complete, s_ram_new_instr,
s_ram_rnw, mem_ui_rdy, mem_ui_wdf_rdy, s_ram_end_op)
begin
st_next_state <= st_state;
case(st_state) is
-- If calibration is done successfully
when st_IDLE =>
-- comment for simulation
if s_calib_complete = '1' then
st_next_state <= st_PREP_OP;
end if;
-- In st_PREP_OP We store address (for write/read) and data (for write)
-- operates if conditions are met
when st_PREP_OP =>
if s_ram_new_instr = '1' then
if s_ram_rnw = '1' then
st_next_state <= st_SEND_WRITE;
elsif s_ram_rnw = '0' then
st_next_state <= st_SEND_READ;
end if;
end if;
-- We send write the command until accepted (mem_ui_rdy = '1')
when st_SEND_WRITE =>
-- end operation if s_ram_new_instr (registered for delay) is deaserted
if mem_ui_rdy = '1' and mem_ui_wdf_rdy='1' then
st_next_state <= st_WAIT_NEXT_WRITE;
elsif s_ram_end_op = '1' then
st_next_state <= st_PREP_OP;
end if;
when st_WAIT_NEXT_WRITE =>
if s_ram_new_instr = '1' then
st_next_state <= st_SEND_WRITE;
elsif s_ram_end_op = '1' then
st_next_state <= st_PREP_OP;
end if;
-- We send write the command until accepted (mem_ui_rdy = '1')
when st_SEND_READ =>
-- end operation if s_ram_new_instr (registered for delay) is deaserted
if mem_ui_rdy = '1' then
st_next_state <= st_WAIT_NEXT_READ;
elsif s_ram_end_op = '1' then
st_next_state <= st_PREP_OP;
end if;
when st_WAIT_NEXT_READ =>
if s_ram_new_instr = '1' then
st_next_state <= st_SEND_READ;
elsif s_ram_end_op = '1' then
st_next_state <= st_PREP_OP;
end if;
when others => st_next_state <= st_IDLE;
end case;
end process;
---------------------------------------------------------------
-- Memory control
-- Creates mem_ui_en pulse
---------------------------------------------------------------
p_mem_ctrl: process(st_state, mem_ui_wdf_rdy)
begin
if st_state = st_SEND_WRITE then
mem_ui_en <= mem_ui_wdf_rdy; -- send control command only if wdf_data can be loaded in fifo
-- until mem_ui_rdy
elsif st_state = st_SEND_READ then
mem_ui_en <= '1';
else
mem_ui_en <= '0';
end if;
end process p_mem_ctrl;
---------------------------------------------------------------
-- Memory control 2
-- Controls CMD Message
---------------------------------------------------------------
p_mem_ctrl_2: process(st_state)
begin
-- select command
if st_state = st_SEND_WRITE then
mem_ui_cmd <= c_CMD_WRITE;
elsif st_state = st_SEND_READ then
mem_ui_cmd <= c_CMD_READ;
else
mem_ui_cmd <= c_CMD_READ;
end if;
end process p_mem_ctrl_2;
------------------------------------------------------------------------
-- Generating the FIFO control and command signals according to the
-- current state of the FSM
------------------------------------------------------------------------
p_mem_ctrl_3: process(st_state, mem_ui_wdf_rdy, s_ram_data_to )
begin
if st_state = st_SEND_WRITE and mem_ui_wdf_rdy='1' then
mem_ui_wdf_data <= (c_APP_DATA_WIDTH-1 downto s_ram_data_to'length => '0') & s_ram_data_to;
mem_ui_wdf_end <= '1';
mem_ui_wdf_mask <= c_MASK;
mem_ui_wdf_wren <= '1';
elsif st_state = st_SEND_READ then
mem_ui_wdf_data <= (others => '0');
mem_ui_wdf_end <= '0';
mem_ui_wdf_mask <= (others => '1');
mem_ui_wdf_wren <= '0';
else
mem_ui_wdf_data <= (others => '0');
mem_ui_wdf_end <= '0';
mem_ui_wdf_mask <= (others => '1');
mem_ui_wdf_wren <= '0';
end if;
end process p_mem_ctrl_3;
------------------------------------------
-- ACK signals if registered at outputs
------------------------------------------
p_ack_ctrl: process(st_state, mem_ui_en, mem_ui_rdy)
begin
s_ram_wr_ack <= '0';
s_ram_rd_ack <= '0';
case(st_state) is
when st_SEND_WRITE =>
if mem_ui_en='1' and mem_ui_rdy='1' then
s_ram_wr_ack <= '1';
else
s_ram_wr_ack <= '0';
end if;
s_ram_rd_ack <= '0';
when st_SEND_READ =>
if mem_ui_en='1' and mem_ui_rdy='1' then
s_ram_rd_ack <= '1';
else
s_ram_rd_ack <= '0';
end if;
s_ram_wr_ack <= '0';
when others =>
s_ram_wr_ack <= '0';
s_ram_rd_ack <= '0';
end case;
end process p_ack_ctrl;
------------------------------------------------------------------------
-- Registering all outputs of the state machine to 'mem_ui_clk' domain
------------------------------------------------------------------------
p_reg_out: process(mem_ui_clk)
begin
if rising_edge(mem_ui_clk) then
if mem_ui_rst='1' or s_calib_complete='0' then
ram_rd_ack_o <= '0';
ram_wr_ack_o <= '0';
ram_data_from_o <= (others => '0');
ram_available_o <= '0';
init_calib_complete_o <= '0';
else
ram_rd_ack_o <= s_ram_rd_ack;
ram_wr_ack_o <= s_ram_wr_ack;
-- if mem_ui_rd_data_end='0' then -- mem_ui_rd_data_end high erases contents on mem_ui_rd_data
ram_rd_valid_o <= mem_ui_rd_data_valid;
ram_data_from_o <= mem_ui_rd_data(ram_data_from_o'length-1 downto 0);
-- end if;
if st_state = st_PREP_OP then
ram_available_o <= '1';
else
ram_available_o <= '0';
end if;
init_calib_complete_o <= s_calib_complete;
end if;
end if;
end process p_reg_out;
end behavioral;
|
gpl-3.0
|
julioamerico/OpenCRC
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/acb_96_bit/_primary.vhd
|
3
|
2560
|
library verilog;
use verilog.vl_types.all;
entity acb_96_bit is
generic(
ANALOG_QUAD_NUM : integer := 6;
ACB_BYTES_NUM_PER_QUAD: integer := 12;
WARNING_MSGS_ON : integer := 1
);
port(
ACB_RST : in vl_logic;
ACB_WEN : in vl_logic;
ACB_ADDR : in vl_logic_vector(7 downto 0);
ACB_WDATA : in vl_logic_vector(7 downto 0);
ACB_RDATA : out vl_logic_vector(7 downto 0);
AQO_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQO_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQO_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQO_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ0_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
AQ1_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQ1_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQ1_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQ1_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ1_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
AQ2_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQ2_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQ2_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQ2_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ2_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
AQ3_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQ3_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQ3_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQ3_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ3_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
AQ4_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQ4_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQ4_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQ4_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ4_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
AQ5_AV1_CONFIG : out vl_logic_vector(3 downto 0);
AQ5_AV2_CONFIG : out vl_logic_vector(3 downto 0);
AQ5_AC_CONFIG : out vl_logic_vector(7 downto 0);
AQ5_AT_CONFIG : out vl_logic_vector(7 downto 0);
AQ5_DAC_MUX_SEL : out vl_logic_vector(1 downto 0);
DAC0_CONFIG : out vl_logic_vector(1 downto 0);
DAC1_CONFIG : out vl_logic_vector(1 downto 0);
DAC2_CONFIG : out vl_logic_vector(1 downto 0)
);
end acb_96_bit;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/dcfifo_32in_32out_16kb_stub.vhdl
|
1
|
1675
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Tue Mar 29 14:16:28 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/quadencoder/encoder_ip_prj2/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/dcfifo_32in_32out_16kb_stub.vhdl
-- Design : dcfifo_32in_32out_16kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dcfifo_32in_32out_16kb is
Port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
wr_data_count : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end dcfifo_32in_32out_16kb;
architecture stub of dcfifo_32in_32out_16kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "rst,wr_clk,rd_clk,din[31:0],wr_en,rd_en,dout[31:0],full,empty,wr_data_count[0:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/digilent_repo/local/ip/axi_dynclk_v1_0/src/axi_dynclk_S00_AXI.vhd
|
11
|
18625
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity axi_dynclk_S00_AXI is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Width of S_AXI data bus
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of S_AXI address bus
C_S_AXI_ADDR_WIDTH : integer := 5
);
port (
-- Users to add ports here
CTRL_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
STAT_REG :in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_O_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FB_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FRAC_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_DIV_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_LOCK_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
CLK_FLTR_REG :out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- User ports ends
-- Do not modify the ports beyond this line
-- Global Clock Signal
S_AXI_ACLK : in std_logic;
-- Global Reset Signal. This Signal is Active LOW
S_AXI_ARESETN : in std_logic;
-- Write address (issued by master, acceped by Slave)
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
-- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWVALID : in std_logic;
-- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_AWREADY : out std_logic;
-- Write data (issued by master, acceped by Slave)
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
-- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WVALID : in std_logic;
-- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_WREADY : out std_logic;
-- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BRESP : out std_logic_vector(1 downto 0);
-- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BVALID : out std_logic;
-- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_BREADY : in std_logic;
-- Read address (issued by master, acceped by Slave)
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
-- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARVALID : in std_logic;
-- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_ARREADY : out std_logic;
-- Read data (issued by slave)
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RRESP : out std_logic_vector(1 downto 0);
-- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RVALID : out std_logic;
-- Read ready. This signal indicates that the master can
-- accept the read data and response information.
S_AXI_RREADY : in std_logic
);
end axi_dynclk_S00_AXI;
architecture arch_imp of axi_dynclk_S00_AXI is
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 2;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
---- Number of Slave Registers 8
signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg4 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg5 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg6 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg7 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal byte_index : integer;
begin
-- I/O Connections assignments
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
process (S_AXI_ACLK)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
slv_reg0 <= (others => '0');
--slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
else
loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
if (slv_reg_wren = '1') then
case loc_addr is
when b"000" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 0
slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
-- when b"001" =>
-- for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
-- if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 1
-- slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
-- end if;
-- end loop;
when b"010" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 2
slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"011" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 3
slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"100" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 4
slv_reg4(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"101" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 5
slv_reg5(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"110" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 6
slv_reg6(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"111" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 7
slv_reg7(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others =>
slv_reg0 <= slv_reg0;
--slv_reg1 <= slv_reg1;
slv_reg2 <= slv_reg2;
slv_reg3 <= slv_reg3;
slv_reg4 <= slv_reg4;
slv_reg5 <= slv_reg5;
slv_reg6 <= slv_reg6;
slv_reg7 <= slv_reg7;
end case;
end if;
end if;
end if;
end process;
-- Implement write response logic generation
-- The write response and response valid signals are asserted by the slave
-- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
-- This marks the acceptance of address and indicates the status of
-- write transaction.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, axi_araddr, S_AXI_ARESETN, slv_reg_rden)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
-- Address decoding for reading registers
loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
case loc_addr is
when b"000" =>
reg_data_out <= slv_reg0;
when b"001" =>
reg_data_out <= slv_reg1;
when b"010" =>
reg_data_out <= slv_reg2;
when b"011" =>
reg_data_out <= slv_reg3;
when b"100" =>
reg_data_out <= slv_reg4;
when b"101" =>
reg_data_out <= slv_reg5;
when b"110" =>
reg_data_out <= slv_reg6;
when b"111" =>
reg_data_out <= slv_reg7;
when others =>
reg_data_out <= (others => '0');
end case;
end process;
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
-- Users to add ports here
CTRL_REG <= slv_reg0;
slv_reg1 <= STAT_REG;
CLK_O_REG <= slv_reg2;
CLK_FB_REG <= slv_reg3;
CLK_FRAC_REG <= slv_reg4;
CLK_DIV_REG <= slv_reg5;
CLK_LOCK_REG <= slv_reg6;
CLK_FLTR_REG <= slv_reg7;
-- User logic ends
end arch_imp;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
|
18
|
20439
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qTTbr9Do0UAuG3/q84c3fgjPsKvyBqSCFwf/1bHmT6ZC/IAZmQ+0OTY1kBHuCPfj5H/Pvqcy1Bsu
DXDNRZkE/g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fDH4R1UPiC8rYngOUR7tJz9t2oCGzolMEErTXYD1cSsADULWjv12hiaYrLTleVALCZB1I5rHxk7M
48p+vnfHXDOf6dTj1Z0uddA9zTSOj1iVa/eLyhkq0pC2GyAP2b3wtaGtF3tOlhCm/fJ1vppzEfmN
VW4C9c0U4j0JdJUP9dg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ESk7JqfIxe7/X22hE5iOzvyYqz65LqVgasXUIRIoUbx6cTdVXl/uBStN8iS5ePdtfOzl3o1HDR5Q
DpEusOYT00EJgNsYtRoliwbdkwykeFVyPkzdrSjG0e2tt0bPvoP3WApYk9g33oMfiMgYRowDl/s4
DZrPghFdZnTUgl4xrkZd6DIE84Fl238WfoPWVsySUr5plo9kYCzcxrLwkYm8B26KgT0CnqY1uaUw
vaPsnoYNY0t00ovAEitd7RgDeoLYBMPAbFIh6OaDGS+KSgE5D74gbQ3+zwKs95z3u6uugfQfryLS
wVe1gBJTl/onz6AQoHGg5+t5L08JTejVY2rMjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n3HBhY1gD8Xkg6hfuqyCGgDMGbjXXbntOSJHVpAuRFc7MRwYV3qt6BW4PK1yobl/AZo69ijOGV0v
CnJMo2KT6fi3bYz7Zncp31kb+Yxl2X0ins0kS5R6qXw2ETMcD5Sa2bMhHYqKYJ9cNOPctTVfZfJM
z+AFmyV7iib11ur18EA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F+yEbIeA6oNZWslJnN+lIao2TWRZO2K6elqAY8djbwPGPCWlu0WqMGeJaTQY6NvafOob/636/gAw
tDB/F4x2OcBAeIvxOgDw9Z2rmdT4cOv5NtEIEawOZ/Gg1asjzuG94suwcik7/KYsP4UlFCMj64gU
KdK01LopNKQ3+Jfe9zUSDH4SH0NC6LxHXrkKUHouso85xZVwzr01OVuqSddOlG/zsI0Qo6NVqRpH
dYeicIDZ+KNZJ0nnXtVhScsdrSdPxQfBft7SSPiSWzmIWZY3VM5UHhaY6b2naKHeJXp7aku+lzOJ
5hm0RyrwZv7dWO14lU31s0NuHAxXsyduqKUhwg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
makestuff/fx2fpga
|
vhdl/fx2fpga.vhd
|
1
|
4472
|
--
-- Copyright (C) 2009 Chris McClelland
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fx2fpga is
generic(
COUNTER_WIDTH: natural := 18
);
port(
reset : in std_logic;
ifclk : in std_logic;
-- Unused connections must be configured as inputs
flagA : in std_logic;
flagB : in std_logic;
int0 : in std_logic; -- PA0
int1 : in std_logic; -- PA1
pa3 : in std_logic; -- PA3
pa7 : in std_logic; -- PA7
clkout : in std_logic;
pd : in std_logic_vector(7 downto 0);
dummy : out std_logic; -- Dummy output, not connected to FX2
-- Data & control from the FX2
fd : in std_logic_vector(7 downto 0);
gotData : in std_logic; -- FLAGC
-- Control to the FX2
sloe : out std_logic; -- PA2
slrd : out std_logic;
slwr : out std_logic;
fifoAddr : out std_logic_vector(1 downto 0); -- PA4 & PA5
pktEnd : out std_logic; -- PA6
-- Onboard peripherals
sseg : out std_logic_vector(7 downto 0);
anode : out std_logic_vector(3 downto 0);
sw : in std_logic_vector(2 downto 0);
led_out : out std_logic_vector(7 downto 0)
);
end fx2fpga;
architecture arch of fx2fpga is
signal counter, counter_next: unsigned(COUNTER_WIDTH-1 downto 0);
signal hex: std_logic_vector(3 downto 0);
signal checksum, checksum_next: unsigned(15 downto 0);
signal led, led_next: std_logic_vector(7 downto 0);
begin
process(ifclk, reset)
begin
if ( reset = '1' ) then
counter <= (others => '0');
checksum <= (others => '0');
led <= (others => '0');
elsif ( ifclk'event and ifclk = '1' ) then
counter <= counter_next;
checksum <= checksum_next;
led <= led_next;
end if;
end process;
-- binary counter
counter_next <= counter + 1;
-- Tri-stating doesn't seem to work...set them all as inputs
dummy <=
flagA and flagB and int0 and int1 and pa3 and pa7 and clkout and
pd(0) and pd(1) and pd(1) and pd(2) and pd(3) and
pd(4) and pd(5) and pd(6) and pd(7);
led_out <= led;
fifoAddr <= sw(1 downto 0) when sw(2) = '1' else (others => 'Z');
sloe <= '0' when sw(2) = '1' else 'Z';
slrd <= '0' when sw(2) = '1' else 'Z';
slwr <= '1' when sw(2) = '1' else 'Z';
pktEnd <= '1' when sw(2) = '1' else 'Z';
checksum_next <=
checksum + unsigned(fd) when gotData = '1'
else checksum;
led_next <=
fd when gotData = '1'
else led;
-- process to choose which 7-seg display to light
process(counter(17 downto 16), checksum)
begin
case counter(17 downto 16) is
when "00" =>
anode <= "1110";
hex <= std_logic_vector(checksum(3 downto 0));
sseg(7) <= '1';
when "01" =>
anode <= "1101";
hex <= std_logic_vector(checksum(7 downto 4));
sseg(7) <= '1';
when "10" =>
anode <= "1011";
hex <= std_logic_vector(checksum(11 downto 8));
sseg(7) <= '1';
when others =>
anode <= "0111";
hex <= std_logic_vector(checksum(15 downto 12));
sseg(7) <= '1';
end case;
end process;
-- combinatorial logic to display the correct pattern based
-- on the output of the selector process above.
with hex select
sseg(6 downto 0) <=
"0000001" when "0000",
"1001111" when "0001",
"0010010" when "0010",
"0000110" when "0011",
"1001100" when "0100",
"0100100" when "0101",
"0100000" when "0110",
"0001111" when "0111",
"0000000" when "1000",
"0000100" when "1001",
"0001000" when "1010", -- a
"1100000" when "1011", -- b
"0110001" when "1100", -- c
"1000010" when "1101", -- d
"0110000" when "1110", -- e
"0111000" when others; -- f
end arch;
|
gpl-3.0
|
trsk/etip
|
Projekt1/Implementierung/Test_Versions/implementierung1.vhd
|
1
|
4221
|
----
-- This file is part of etip-ss11-g07.
--
-- Copyright (C) 2011 Lukas Märdian <[email protected]>
-- Copyright (C) 2011 M. S.
-- Copyright (C) 2011 Orest Tarasiuk <[email protected]>
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
----
LIBRARY ieee;
USE ieee.numeric_std.all;
ENTITY BINBCD IS
PORT(
bin_input : IN std_logic_vector (16 DOWNTO 0);
einer, zehner, hunderter, tausender, zehntausender : OUT std_logic_vector (3 DOWNTO 0);
overflow : OUT std_logic
);
END BINBCD;
ARCHITECTURE DoubleDabbleV1 OF BINBCD IS
signal const : std_logic_vector (16 DOWNTO 0) := "11000011010011111";
signal vector : std_logic_vector (36 DOWNTO 0) := "0000000000000000000000000000000000000";
signal i : integer := 0;
BEGIN
overflow <= '0';
FOR i IN 0 TO 16 LOOP
IF (bin_input(i) = '1') AND (const(i) = '0') THEN
overflow <= '1';
EXIT
END IF;
END LOOP;
IF (overflow = "0") THEN
FOR i IN 0 TO 16
vector(i+20) <= bin_input(i);
END LOOP;
FOR i IN 0 TO 15 LOOP
vector sll 1;
-- Hierhin muss noch:
-- -Prüfen, ob vector(0to3), oder vector(4to7), oder vector(8to11), oder vector(12to15), oder vector(16to19) >= 5 sind
-- -Zu den jeweiligen Abschnitten von vector 3 addieren.
-- -Siehe hierfür architecture DoubleDabbleV2.
END LOOP;
vector sll 1;
FOR i IN 0 TO 3
zehntausender(i) <= vector(i);
tausender(i) <= vector(i+4);
hunderter(i) <= vector(i+8);
zehner(i) <= vector(i+12);
einer(i) <= vector(i+16);
END LOOP;
END IF;
END DoubleDabbleV1;
ARCHITECTURE DoubleDabbleV2 OF BINBCD IS
signal int_input : integer := 0;
signal vector : std_logic_vector (36 DOWNTO 0);
signal i : integer := 0;
signal int_bcd_seg : integer := 0;
BEGIN
int_input <= to_integer(unsigned(bin_input));
IF (int_input <= 99999) THEN
vector <= "00000000000000000000" & bin_input;
FOR i IN 0 TO 15 LOOP
vector sll 1;
-- WENN MÖGLICH sollte folgender Block bis zum nächsten Kommentar noch mit einer FOR-Schleife, o. ä. zusammengefasst werden:
int_bcd_seg <= to_integer(unsigned(vector(3 DOWNTO 0)));
IF (int_bcd_seg >= 5) THEN
int_bcd_seg <= int_bcd_seg + 3;
END IF;
vector(3 DOWNTO 0) <= std_logic_vector(to_unsigned(int_bcd_seg));
int_bcd_seg <= to_integer(unsigned(vector(7 DOWNTO 4)));
IF (int_bcd_seg >= 5) THEN
int_bcd_seg <= int_bcd_seg + 3;
END IF;
vector(7 DOWNTO 4) <= std_logic_vector(to_unsigned(int_bcd_seg));
int_bcd_seg <= to_integer(unsigned(vector(11 DOWNTO 8)));
IF (int_bcd_seg >= 5) THEN
int_bcd_seg <= int_bcd_seg + 3;
END IF;
vector(11 DOWNTO 8) <= std_logic_vector(to_unsigned(int_bcd_seg));
int_bcd_seg <= to_integer(unsigned(vector(15 DOWNTO 12)));
IF (int_bcd_seg >= 5) THEN
int_bcd_seg <= int_bcd_seg + 3;
END IF;
vector(15 DOWNTO 12) <= std_logic_vector(to_unsigned(int_bcd_seg));
int_bcd_seg <= to_integer(unsigned(vector(19 DOWNTO 16)));
IF (int_bcd_seg >= 5) THEN
int_bcd_seg <= int_bcd_seg + 3;
END IF;
vector(19 DOWNTO 16) <= std_logic_vector(to_unsigned(int_bcd_seg));
-- Block Ende
END LOOP;
vector sll 1;
zehntausender <= vector(3 DOWNTO 0);
tausender <= vector(7 DOWNTO 4);
hunderter <= vector(11 DOWNTO 8);
zehner <= vector(15 DOWNTO 12);
einer <= vector(19 DOWNTO 16);
ELSE
overflow <= '1'
END IF;
END DoubleDabbleV2;
-- http://www.mikrocontroller.net/topic/90462
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd
|
3
|
18538
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY blk_mem_gen_0 IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END blk_mem_gen_0;
ARCHITECTURE blk_mem_gen_0_arch OF blk_mem_gen_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF blk_mem_gen_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF blk_mem_gen_0_arch : ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF blk_mem_gen_0_arch: ARCHITECTURE IS "blk_mem_gen_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=1,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=1,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=blk_mem_gen_0.mif,C_INIT_FILE=blk_mem_gen_0.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=DEADBEEF,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=1024,C_READ_DEPTH_A=1024,C_ADDRA_WIDTH=10,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=1024,C_READ_DEPTH_B=1024,C_ADDRB_WIDTH=10,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 5.96515 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_SLAVE_S_AXI RREADY";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 1,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 8,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "blk_mem_gen_0.mif",
C_INIT_FILE => "blk_mem_gen_0.mem",
C_USE_DEFAULT_DATA => 1,
C_DEFAULT_DATA => "DEADBEEF",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 1,
C_WEA_WIDTH => 4,
C_WRITE_MODE_A => "READ_FIRST",
C_WRITE_WIDTH_A => 32,
C_READ_WIDTH_A => 32,
C_WRITE_DEPTH_A => 1024,
C_READ_DEPTH_A => 1024,
C_ADDRA_WIDTH => 10,
C_HAS_RSTB => 1,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 1,
C_WEB_WIDTH => 4,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 32,
C_READ_WIDTH_B => 32,
C_WRITE_DEPTH_B => 1024,
C_READ_DEPTH_B => 1024,
C_ADDRB_WIDTH => 10,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 5.96515 mW"
)
PORT MAP (
clka => '0',
rsta => '0',
ena => '0',
regcea => '0',
wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
addra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
s_axi_awid => s_axi_awid,
s_axi_awaddr => s_axi_awaddr,
s_axi_awlen => s_axi_awlen,
s_axi_awsize => s_axi_awsize,
s_axi_awburst => s_axi_awburst,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bid => s_axi_bid,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_arid => s_axi_arid,
s_axi_araddr => s_axi_araddr,
s_axi_arlen => s_axi_arlen,
s_axi_arsize => s_axi_arsize,
s_axi_arburst => s_axi_arburst,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rid => s_axi_rid,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END blk_mem_gen_0_arch;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/digilent_repo/local/ip/dvi2rgb_v1_5/src/EEPROM_8b.vhd
|
15
|
8403
|
-------------------------------------------------------------------------------
--
-- File: EEPROM_8b.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 15 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module emulates a generic I2C EEPROM. It is byte-addressable, with
-- a customizable address width (and thus capacity). It can be made writable
-- from I2C or not, in which case all writes are ignored.
-- Providing a file name accessible by the synthesizer will initialize the
-- EEPROM with the default values from the file.
-- An example use case for this module would be a DDC EEPROM, storing EDID
-- (Extended display identification data). The I2C bus bus is compatible
-- with both standard and fast mode.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
use std.textio.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity EEPROM_8b is
Generic (
kSampleClkFreqInMHz : natural := 100;
kSlaveAddress : std_logic_vector(7 downto 1) := "1010000";
kAddrBits : natural range 1 to 8 := 8; -- 2**kAddrBits byte EEPROM capacity
kWritable : boolean := true; -- is it writable from I2C?
kInitFileName : string := ""); -- name of file containing init values, leave empty to init with zero
Port (
SampleClk : in STD_LOGIC; --at least fSCL*10
sRst : in std_logic;
-- two-wire interface
aSDA_I : in STD_LOGIC;
aSDA_O : out STD_LOGIC;
aSDA_T : out STD_LOGIC;
aSCL_I : in STD_LOGIC;
aSCL_O : out STD_LOGIC;
aSCL_T : out STD_LOGIC);
end EEPROM_8b;
architecture Behavioral of EEPROM_8b is
constant kRAM_Width : integer := 8;
type eeprom_t is array (0 to 2**kAddrBits - 1) of std_logic_vector(kRAM_Width-1 downto 0);
impure function InitRamFromFile (ramfilename : in string) return eeprom_t is
file ramfile : text is in ramfilename;
variable ramfileline : line;
variable ram_name : eeprom_t;
variable bitvec : bit_vector(kRAM_Width-1 downto 0);
variable good : boolean;
begin
assert good report "Reading EDID data from file " & ramfilename & "." severity NOTE;
for i in eeprom_t'range loop
readline (ramfile, ramfileline);
read (ramfileline, bitvec, good);
assert good report "Failed to parse EEPROM_8b init file " & ramfilename & "at line " & integer'image(i+1) & "." severity FAILURE;
ram_name(i) := to_stdlogicvector(bitvec);
end loop;
return ram_name;
end function;
impure function init_from_file_or_zeroes(ramfile : string) return eeprom_t is
begin
if ramfile = "" then
return (others => (others => '0'));
else
return InitRamFromFile(ramfile);
end if;
end;
signal eeprom : eeprom_t := init_from_file_or_zeroes(kInitFileName);
signal aEeprom_out : std_logic_vector(kRAM_Width-1 downto 0);
signal sAddr : natural range 0 to 2**kAddrBits - 1;
type state_type is (stIdle, stRead, stWrite, stRegAddress);
signal sState, sNstate : state_type;
signal sI2C_DataIn, sI2C_DataOut : std_logic_vector(7 downto 0);
signal sI2C_Stb, sI2C_Done, sI2C_End, sI2C_RdWrn, sWe : std_logic;
begin
-- Instantiate the I2C Slave Transmitter
I2C_SlaveController: entity work.TWI_SlaveCtl
generic map (
SLAVE_ADDRESS => kSlaveAddress & '0',
kSampleClkFreqInMHz => kSampleClkFreqInMHz)
port map (
D_I => sI2C_DataOut,
D_O => sI2C_DataIn,
RD_WRN_O => sI2C_RdWrn,
END_O => sI2C_End,
DONE_O => sI2C_Done,
STB_I => sI2C_Stb,
SampleClk => SampleClk,
SRST => sRst,
--two-wire interface
SDA_I => aSDA_I,
SDA_O => aSDA_O,
SDA_T => aSDA_T,
SCL_I => aSCL_I,
SCL_O => aSCL_O,
SCL_T => aSCL_T);
-- RAM
Writable: if kWritable generate
EEPROM_RAM: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if (sWe = '1') then
eeprom(sAddr) <= sI2C_DataIn;
end if;
end if;
end process EEPROM_RAM;
end generate Writable;
-- ROM/RAM sync output
RegisteredOutput: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
sI2C_DataOut <= eeprom(sAddr);
end if;
end process RegisteredOutput;
RegisterAddress: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if (sI2C_Done = '1') then
if (sState = stRegAddress) then
sAddr <= to_integer(resize(unsigned(sI2C_DataIn), kAddrBits));
elsif (sState = stRead) then
sAddr <= sAddr + 1;
end if;
end if;
end if;
end process RegisterAddress;
--Insert the following in the architecture after the begin keyword
SyncProc: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if (sRst = '1') then
sState <= stIdle;
else
sState <= sNstate;
end if;
end if;
end process SyncProc;
--MOORE State-Machine - Outputs based on state only
sI2C_Stb <= '1' when (sState = stRegAddress or sState = stRead or sState = stWrite) else '0';
sWe <= '1' when (sState = stWrite) else '0';
NextStateDecode: process (sState, sI2C_Done, sI2C_End, sI2C_RdWrn)
begin
--declare default state for next_state to avoid latches
sNstate <= sState;
case (sState) is
when stIdle =>
if (sI2C_Done = '1') then
if (sI2C_RdWrn = '1') then
sNstate <= stRead;
else
sNstate <= stRegAddress;
end if;
end if;
when stRegAddress =>
if (sI2C_End = '1') then
sNstate <= stIdle;
elsif (sI2C_Done = '1') then
sNstate <= stWrite;
end if;
when stWrite =>
if (sI2C_End = '1') then
sNstate <= stIdle;
elsif (sI2C_Done = '1') then
sNstate <= stWrite;
end if;
when stRead =>
if (sI2C_End = '1') then
sNstate <= stIdle;
elsif (sI2C_Done = '1') then
sNstate <= stRead;
end if;
when others =>
sNstate <= stIdle;
end case;
end process NextStateDecode;
end Behavioral;
|
gpl-3.0
|
hosaka/hello-vhdl
|
hello.vhd
|
1
|
22085
|
-------------------------------------------------------------------------------
-- VHDL Basics Altera Course: The code is not to be compiled, for study only --
-------------------------------------------------------------------------------
--
-- TODO: Describe Bahavior and Structural Modeling / Register Transfer Level
--
-----------------------
-- VHDL Design Units --
-----------------------
--
-- Entity: used to define external view of a model (analogy: symbol)
entity hello is
-- Generic declaration used to pass information to an entity at compile time
-- Allowing for parameters and variables
generic (
-- <class> object_name: <type> := <value>;
-- type is a data type
constant tplh, tplh : time := 5ns; -- constant is assumed and not required
tphz, tplz : time := 3ns;
default_value : integer := 1;
cnt_dir : string := "up" -- note the absence of ; since it's a list
);
-- Port declaration specifies the input/output to the entity
port (
-- <class> object_name: <mode> <type>;
-- mode is the direction of the port: in, out, inout(bidirect), buffer
-- type is what can be contained in the object
signal clock, clear : in bit; -- signal is assumed and not required
q : out bit
) ;
end entity ; -- hello
-- Architecture: used to define the function of a model (analogy: schematic with symbols)
-- Describes the internal logic, you can see the entity name, params, the
-- outputs, but no visibility of the entity guts
-- Must be associated with an entity, but entity can belong to multiple arch
architecture arch of hello is
-- arch declaration section
signal temp : integer := 1; -- signal declaration is default (optional)
constant load : boolean := true;
type states is (S1, S2, S3, S4); -- type declarations
-- Component decl
-- Subtype decl
-- Attribute decl
-- Attribute spec
-- Subprogram decl
-- Subprogram body
begin
-- Architecture body: contains executable lines executed concurrently
end architecture ; -- arch
-- Configuration: used to associate an entity with an architecture
-- Mostly used in simulation environments than synthesis
configuration config of hello is
for arch
--
end for;
-- can be associated with multiple architectures
end configuration ; -- config
-- Package: Collection of reusable code that can be referenced by VHDL designs
-- Consists of a package declaration and a package body (for functions)
-- two built-in packages: standard (types/operators) and TEXTIO (file io)
-- Libraries: contain a packege or a collection of them (a directory)
-- Standard package, IEEE package, made by silicon vendors or user-made
-- Working library is the lib which the unit is being compiled into
-- All packages must be compiled, otherwise they end up in implicit libraries:
-- WORK or STD - these do not need to be explicitly called out to be used
-- To use an explicit package from another library (usually atop the file)
library IEEE;
use IEEE.std_logic_1164.all;
-- The standard package defines default types: bit, boolean, integer, real, time
-- textio defines file operations. Since it's built-in, no need to call it
-- Standard package types:
-- bit - logic value 1/0, append _vector to indicate array of bits.
-- bit_vector (3 downto 0); or (0 to 3) indicating MSB position
-- but downto is most common.
-- boolean - true/false
-- integer - pos and neg values in decimal (32 bit max?)
-- to limit the value, we can say x : integer range 0 to 255 (8 bit
-- int)
-- character - ascii char
-- string - array of characters
-- time - value includes units of time (ps, us, ns ,ms, sec, min, hr)
-- IEEE Library contains std types, arithmetic signed and unsigned functions
-- IEEE_logic_1164 package types:
-- std_logic - 9 logic value system
-- 1 - logic high H - weak logic high
-- 0 - logic low L - weak logic low
-- X - unknown W - weak unknown
-- U - undefined Z - tri-state
-- - - don't care
-- the standard logic allows for multiple signal drivers, for example two drivers
-- can drive the bus with 1 and Z, the std_logic will resolve this to 1. If the
-- same happened with values 1 and 0, the result would be X.
-- std_ulogic - the same as std_logic, but does not supporte multiple signal
-- drives, resulting in an error
---------------------
-- VHDL Constructs --
---------------------
--
-- Constants: Same as other lang constants, with local (arch) and global (entity)
constant bus_width : integer := 16;
-- Signals: Represent phy interconnect (wire) that communicate between processes
-- (functions). Can be declared in Packages, Entity and Architecture
-- Signals in Entity are essentially I/O
-- Signals in Architecture are internal signals to connect Entities together,
-- invisible to the outside world.
-- Note: vars are signals by default in the entities ports declaration
signal temp : std_logic_vector (7 downto 0);
-- To assign values to signals, '1' for single bit and "101" multi bit assign
temp <= "10101010"; -- bus (vector) value
temp <= x"AA"; -- 93 standard also supports HEX
temp(7) <= '1'; -- Assign a single array bit
temp (7 downto 4) <= "1010"; -- Bit-slicing, like python temp[4:7]
-- Signal assignment <= is an implied process (function) that will synthesize
-- to hardware. Any time the input to the process changes on the right, that
-- process (function) is executed and assigns the result value to the signal.
-- signal <= process
-- Operators in VHDL:
-- Logical: NOT, AND, OR, NAND, NOR, XOR, XNOR (93 only)
-- Relational: =, /= (not equal), <, <=, >, >=
-- Arithmetic: +, -, *, /, mod, rem
-- Misc: ** (exponent), abs, & (concat, say 2 vectors of 4bit, into one 8bit)
--------------------------
-- Operator Overloading --
--------------------------
--
-- Same concept as in other languages, re-define operator behaviour for user
-- data types. Operators are overloaded by defining a function whose name is
-- the same as the operator itself. Normally declared in a package so that it
-- is visible for any design. Enclose the operator in "" when defining functions.
function "+" (l: std_logic_vector; r: integer) return std_logic_vector;
-- To use the overloaded operator, one must use the package where it's defined:
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_unsigned.ALL;
signal a: in std_logic_vector (4 downto 0);
signal b: in std_logic_vector (4 downto 0);
signal sum: out std_logic_vector (4 downto 0);
sum <= a + b; -- overloaded + on non-built-in data type
-----------------------------------
-- Concurrent Signal Assignments --
-----------------------------------
--
-- (in Architecture body)
-- Take expressions and assign the result to a signal. Represent implied processes
-- that execute in parallel. Trigger from anything on read (right) side of the
-- assignment, executes the process and assignes a new value to the signal on
-- the left. Multiple assignments are concurrent and run in parallel.
-- Simple signal assignment:
-- signal_name <= expression; Implied concurrent processes, order doesn't matter
qa <= r or t;
qb <= (qa and not(g xor h));
-- Conditional signal assignment:
-- Assigned upon meeting a pre-defined condition, has to end with an assignable
-- expression like '0' to deal with default (other) cases
-- Priority MUX
sig_xor <= '1' when a='0' and b='1' else
'1' when a='1' and b='0' else
'0';
sig_and <= '1' when a='1' and b='1' else
'0';
q <= a when sela = '1' else
b when selb = '1' else
c;
-- Selected signal assignment:
-- Similar to case statements, selecting upon meeting a condition. sel is the
-- signal we're "casing" on, and assigning to q when values are "00", "01" etc.
-- this is also an implied process, just like other signal assignments in the
-- architecture body.
-- Wide MUX: All values have an equal chance of being true, no priority.
with sel select
q <= a when "00",
b when "01",
c when "10",
d when others;
-- We can't simply replace "others" with "11" because in std_logic there are
-- other possible values like 'X', 'Z', 'U' etc.
-----------------------------
-- Signal Assignment Delay --
-----------------------------
--
-- Inertial delay: (default)
-- A pulse that is short in duration of the specified delay (10 ns) will not be
-- transmitted in this case.
a <= b after 10 ns; -- the statement waits 10ns before a is assigned to b
-- Transport delay
-- Any pulse is transmitted, no matter how short it was, and assigned to signal
a <= transport b after 10 ns;
-- These pulse durations are set by the simulation tool and used only there, so
-- these need to be set in the simulation tool beforehand.
--------------------------------
-- Explicit Process Statement --
--------------------------------
--
-- Unlike implicit signal processes, we can create explicit process that is run
-- infinitely unless broken by a WAIT statement or sensitivity list. Inside of
-- an explicit process, the statements are executed sequentially. The process
-- itself is still executed concurrently with others, but individual statements
-- inside an explicit process, are sequential.
-- label: process (sensitivity_list)
-- constants
-- types
-- variable
-- begin
-- sequential statements
-- end process;
-- The process is sensitive to a or b, if they change, the process is turned on
-- and executes the statements inside. Once it's done, it'll wait for another
-- transition on a or b
proc1: process (a, b)
begin
-- sequential statements
end process;
-- With no sensitivity list, this starts execution right from the start of the
-- simulation. The wait on statement forces the process to wait until a or b
-- transition (change/pulse etc.), before it loops around and starts executing
-- again.
proc2: process
-- sequential statements
wait on (a, b);
end process;
-- Sequential Statements: Must be used inside of an explicit process. Indicate
-- behavior and express order
-- signal assignment (same as others)
a <= b;
-- if-then
-- conditional signal assignments can not be used in explicit processes, we can
-- only use a series of priority MUXs. Conditional assignments are implied proc
-- on their own and can not be used as a sequential statement.
sel: process (sela, selb, a, b, c)
begin
if sela = '1' then
q <= a;
elsif selb = '1' then
q <= b;
else
q <= c;
end if;
end process;
-- case
-- selected signal assignments can not be used in explicit processes, as it is
-- an implied process on its own. Same as if-then statement
-- 4 input MUX
process (sel, a, b, c, d)
begin
case sel is
when "00" =>
q <= a;
when "01" =>
q <= b;
when "10" =>
q <= c;
when others =>
q <= d;
end case;
end process;
-- looping (all loops support next and exit)
-- loop: infinitely unless exit statement exists
loop_label loop
-- sequential statements
next loop_label when ; -- stop with current execution, and re-run the loop
exit loop_label when ; -- exit upon condition
end loop;
-- while: conditional test to end loop
while condition loop
-- sequential statements
end loop;
-- for (iteration)
for identifier in range loop
-- sequential statements
end loop;
-- wait (limited synthesis usage)
-- used to pause execution of a process until some condition is satisfied
wait on a, b; -- wait on <signal>
wait until (int < 100); -- pause unti lboolean expression is true
wait for 20 ns; -- pause until time expression elapses
wait until (a = '1') for 5 us; -- can be combined, if a isn't '1' in 5 us
--------------------------------
-- Delta and Simulatin Cycles --
--------------------------------
--
library IEEE;
use IEEE.std_logic_1164.ALL;
entity simp is
port (
a, b : in std_logic;
y : out std_logic
) ;
end entity ; -- simp
architecture logic of simp is
signal c : std_logic;
begin
---------------------------
-- Equivalent Functions ---
---------------------------
-- Implied processes:
-- this will take 2 delta cycles, but 1 simulation cycle to complete
c <= a AND b;
y <= c;
-- Explicit processes:
-- this will also take 2 delta cycles, and 1 simulation cycle
proc_eq: process (a, b)
begin
c <= a AND b;
end process;
proc2: process(c)
y <= c;
end process;
-----------------------------
-- Unequivalent Functions ---
-----------------------------
-- implied
c <= a AND b;
y <= c;
-- explicit, but with the same signal assignment
-- this will take 2 simulation cycles to be executed
proc_neq: process(a, b)
begin
-- the C is scheduled to be updated, but doesn't propagate until the
-- next delta cycle
c <= a AND b;
-- the Y will get the old value of C and will only update once the
-- process ends, so in order for Y to see the new value of C it would
-- take a second iteration of the process (a or b has to change).
y <= c;
end process;
--------------------------------
-- Equivalent with Variables ---
--------------------------------
-- to work around the above unequivalency, VHDL uses variables
-- this will take 1 simulation cycles to be executed, like the orig function
-- see variable description below
proc_eq_with_vars: process(a, b)
-- C is made a variable in local scope
variable c: std_logic;
begin
-- if A or B trigger the process, the value of C is updated immediately
-- with no delay to wait for the process to finish
c := a AND b;
-- Y will take the new value of C within the same simulation cycle
y <= c;
end process;
end architecture ; -- logic
---------------------------
-- Variable Declarations --
---------------------------
--
-- variables are declared inside a process
-- assignment is represented by :=
-- assignments are updated immediately and do not incur a delay, ie do not wait
-- for the process to end to propagate the result value
-- declare:
-- variable <name> : <data_type> := <value>;
variable temp : std_logic_vector (7 downto 0);
-- operations are the same as signal assignment
temp := "10101010"; -- all bits
temp := x"AA"; -- in VHDL 93
temp(7) := '1'; -- single bit
temp(7 downto 4) := "1010"; -- bit slicing
---------------------------
-- Signals vs. Variables --
---------------------------
-- | Signals | Variables
--------------------------------------------------------------------
-- Assignment | y <= c | y := c |
-- Utility | Circuit interconnect | Local storage |
-- Scope | Global (between proc) | Local (inside process) |
-- Behavior | End of delta update | Immediate update |
--------------------------------------------------------------------
-----------------------------
-- User Defined data types --
-----------------------------
--
-- arrays and enums
architecture logic of my_memory is
-- array is a 2d *datatype* for storing values, must create constant signal
-- or variable of that type, used to create memories and store simulation
-- vectors type <array_type_name> is array (<integer_range) of <data_type>;
-- create new array datatype "mem" which has 64 addr locations,
-- each 8 bit wide
type mem is array(0 to 63) of std_logic_vector (7 downto 0);
-- create 2 - 64x8-bit arrays (of user defined type mem) to use in design
-- these are 512 bit/64 bytes vectors
signal mem_64x8_a, mem_64x8_b : mem;
-- enum is a *datatype* with name and values, used to make code readable
-- and in finate state machines, just like C typedef STATE enum {A=1, B=2};
-- type <enum_type_name> is (enum, states, or, values);
type enum is (idle, fill, heat, wash, drain);
-- create a state signal
signal dishwasher_state : enum;
begin
-- use our defined type signals to store data
-- access individual array vectors with (xx)
mem_64x8_a(12) <= x"F0";
mem_64x8_b(50) <= "11110000";
-- poll current state, using defined enumerator
drain_led <= '1' when dishwasher_state = drain else '0';
end architecture; -- logic
---------------------
-- Logic Synthesis --
---------------------
--
-- RTL Synthesis refers to the act of reading HDL code, and translating it
-- into hardware. Synthesis tool reads the code, translates it into gates, runs
-- optimization to make it smaller and faster. Two types of processes are used:
-- Combinatorial Process: Sensitive to *all* inputs used in combinatorial logic
-- Any signal used on the right hand side (the assignment) inside your process
-- needs to be in the sensitivity list
comb: process(a, b, sel)
variable c: std_logic;
begin
c := a AND b;
q <= c OR sel;
end process;
-- While RTL Synth will assume that you meant to include all inputs in your
-- sensitivity list and will fill in the missing signals. The simulation tool
-- will execute exactly as written. Thus, Sim and Synth processes can differ.
-- Sequential Process: Sensitive to a clock or/and any async control signals,
-- for example RESET, CLEAR etc. Used for building registers.
-- example D Flip-Flop with async clear signal
library IEEE;
use IEEE.std_logic_1164.ALL;
entity dff_b is
port (
clr, clk, d, ena: in std_logic;
q: out std_logic
);
end entity;
architecture rtl of dff_b is
begin
-- if the clock transitions, this process triggers
process(clk, clr)
begin
-- check the clear signal first (async control signal), clear signal
-- does not depend on the clock and checked before the clock check
if (clr = '0') then
q <= '0';
-- IEEE function, signal must be 0 to 1
-- any other clk transition will fail (1 to 0, X, Z etc)
elsif (rising_edge(clk)) then
q <= d;
end if;
-- to have clear synched with the clk, we check the clear inside the
-- rising_edge(), clr='0' now depends on the clock, clk shouldn't be
-- present in the sensitivity list
if (rising_edge(clk)) then
if (clr = '0') then
q <= '0';
else
q <= d;
end if;
-- to combine both of these together: DFF Async clear & Clock Enable
if (clr = '0') then
q <= '0';
elsif (rising_edge(clk)) then
if (ena = '1') then
q <= d;
end if;
-- if ena /= 1, the register retains previous value
end if;
end process;
end architecture;
-- Always stick to either combinatorial or sequential process definition.
-- rising_edge() and falling_edge() functions are recommended to use with clk
-- detection, compared to the older method of (clk'event and clk='1')
-- Synthesizing Registers:
-- Signal assignments infer registers when placed inside if-then statements
-- that check for clock condition.
process (clk)
begin
-- The following will generate a register (d flip-flop)
if (rising_edge(clk)) then
-- each statement will generate individual register
q <= d;
end if;
end process;
-- Counter:
-- Are accumulators (register + adder) that always add/substract a '1'
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_unsigned.ALL;
entity counter is
port (
clk, rst: in std_logic;
q: out std_logic_vector(15 downto 0)
) ;
end entity ;
architecture logic of counter is
signal tmp_q: std_logic_vector(15 downto 0);
begin
process (clk, rst)
begin
if (rst = '0') then
-- just another way of setting all vector elements to one value
tmp_q <= (others >= '0');
elsif (rising_edge(clk)) then
-- count temp q on each rising clock
tmp_q <= tmp_q + 1;
end if;
end process;
-- assign the value output of the counter entity
q <= tmp_q;
end architecture ; -- logic
-------------------------
-- Designing Hierarchy --
-------------------------
--
-- Design Hierarchically - Multiple Design Files
-- VHDL hierarchical design requires Component Declarations and Component
-- Instantiations.
--
-- This is the same concept as having multiple interfaces hidden from the top
-- layer component, for example via header files. The top layer needs not to
-- know the very bottom layer individual components in order to operate.
-- top <- mid <- bottom: the top layer, has no idea about the bottom layer.
-- Component Declaration & Instantiation
--
library IEEE
use IEEE.std_logic_1164.ALL;
entity tolleab is
port (
tclk, tcross, tnickel, tdime, tquarter: in std_logic;
tgreen, tred: out std_logic
) ;
end entity ; -- tolleab
architecture tolleab_arch of tolleab is
-- Declaration: Used to declare the port-types and the data types of the ports
-- for a lower-level design.
component tollv
port (
clk, cross, nickel, dime, quarter: in std_logic;
green, red: out std_logic
);
end component;
begin
-- Instantiation: Concurrent statement used to map the ports of a lower-level
-- design to that of the upper-level design.
-- We're mapping lower-level inputs of tollv to upper-level tolleab
U1: tollv port map (
clk => tclk,
cross => tcross,
nickel => tnickel,
dime => tdime,
quarter => tquarter,
green => tgree,
red => tred
);
-- U1 is the name of the instance, we can create multiple instances with
-- various mappings of the same block tolleab.
end architecture ; -- tolleab_arch
-------------
-- Summary --
-------------
--
-- VHDL Design Units and Constructs to create Models:
-- Entity, Architecture, Signals, Variables, Processes, Logic Synth, Hirarchy
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.runs/scfifo_5in_5out_5kb_synth_1/scfifo_5in_5out_5kb_stub.vhdl
|
2
|
1535
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015
-- Date : Wed Mar 30 14:52:12 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/SKL/Desktop/ECE532/repo/streamed_encoder_ip_prj2/project_1.runs/scfifo_5in_5out_5kb_synth_1/scfifo_5in_5out_5kb_stub.vhdl
-- Design : scfifo_5in_5out_5kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a200tsbg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_5in_5out_5kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_5in_5out_5kb;
architecture stub of scfifo_5in_5out_5kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[4:0],wr_en,rd_en,dout[4:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v13_0_0,Vivado 2015.3";
begin
end;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb/synth/dcfifo_32in_32out_16kb.vhd
|
1
|
38892
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY dcfifo_32in_32out_16kb IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
wr_data_count : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END dcfifo_32in_32out_16kb;
ARCHITECTURE dcfifo_32in_32out_16kb_arch OF dcfifo_32in_32out_16kb IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF dcfifo_32in_32out_16kb_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF dcfifo_32in_32out_16kb_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF dcfifo_32in_32out_16kb_arch : ARCHITECTURE IS "dcfifo_32in_32out_16kb,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF dcfifo_32in_32out_16kb_arch: ARCHITECTURE IS "dcfifo_32in_32out_16kb,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=1,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=509,C_PROG_FULL_THRESH_NEGATE_VAL=508,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=9,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=1,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 9,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 32,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 32,
C_ENABLE_RLOCS => 0,
C_FAMILY => "artix7",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 1,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 509,
C_PROG_FULL_THRESH_NEGATE_VAL => 508,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 9,
C_RD_DEPTH => 512,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 9,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 1,
C_WR_DEPTH => 512,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 9,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
wr_data_count => wr_data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END dcfifo_32in_32out_16kb_arch;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.runs/scfifo_5in_5out_5kb_synth_1/scfifo_5in_5out_5kb_sim_netlist.vhdl
|
2
|
195076
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015
-- Date : Wed Mar 30 14:52:12 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/Users/SKL/Desktop/ECE532/repo/streamed_encoder_ip_prj2/project_1.runs/scfifo_5in_5out_5kb_synth_1/scfifo_5in_5out_5kb_sim_netlist.vhdl
-- Design : scfifo_5in_5out_5kb
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a200tsbg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_prim_wrapper is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end scfifo_5in_5out_5kb_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_prim_wrapper is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_16\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_17\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_18\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_19\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_20\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_21\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_24\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_25\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_26\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_27\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_28\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_34\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_35\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "COMMON";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 18
)
port map (
ADDRARDADDR(13 downto 4) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ADDRARDADDR(3 downto 0) => B"0000",
ADDRBWRADDR(13 downto 4) => \gc0.count_d1_reg[9]\(9 downto 0),
ADDRBWRADDR(3 downto 0) => B"0000",
CLKARDCLK => clk,
CLKBWRCLK => clk,
DIADI(15 downto 10) => B"000000",
DIADI(9 downto 8) => din(4 downto 3),
DIADI(7 downto 3) => B"00000",
DIADI(2 downto 0) => din(2 downto 0),
DIBDI(15 downto 0) => B"0000000000000000",
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"00",
DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0),
DOBDO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_16\,
DOBDO(14) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_17\,
DOBDO(13) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_18\,
DOBDO(12) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_19\,
DOBDO(11) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_20\,
DOBDO(10) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_21\,
DOBDO(9 downto 8) => D(4 downto 3),
DOBDO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_24\,
DOBDO(6) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_25\,
DOBDO(5) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_26\,
DOBDO(4) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_27\,
DOBDO(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_28\,
DOBDO(2 downto 0) => D(2 downto 0),
DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0),
DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_34\,
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_n_35\,
ENARDEN => ram_full_fb_i_reg(0),
ENBWREN => tmp_ram_rd_en,
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => Q(0),
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => ram_full_fb_i_reg(0),
WEA(0) => ram_full_fb_i_reg(0),
WEBWE(3 downto 0) => B"0000"
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_compare is
port (
comp0 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_compare : entity is "compare";
end scfifo_5in_5out_5kb_compare;
architecture STRUCTURE of scfifo_5in_5out_5kb_compare is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp0,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_compare_0 is
port (
comp1 : out STD_LOGIC;
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_compare_0 : entity is "compare";
end scfifo_5in_5out_5kb_compare_0;
architecture STRUCTURE of scfifo_5in_5out_5kb_compare_0 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_1(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg_1(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_compare_1 is
port (
comp0 : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_compare_1 : entity is "compare";
end scfifo_5in_5out_5kb_compare_1;
architecture STRUCTURE of scfifo_5in_5out_5kb_compare_1 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp0,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg_0(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_compare_2 is
port (
comp1 : out STD_LOGIC;
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_compare_2 : entity is "compare";
end scfifo_5in_5out_5kb_compare_2;
architecture STRUCTURE of scfifo_5in_5out_5kb_compare_2 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_1(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg_1(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
\gcc0.gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_rd_bin_cntr : entity is "rd_bin_cntr";
end scfifo_5in_5out_5kb_rd_bin_cntr;
architecture STRUCTURE of scfifo_5in_5out_5kb_rd_bin_cntr is
signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \gc0.count[9]_i_2_n_0\ : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \gc0.count[8]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \gc0.count[9]_i_1\ : label is "soft_lutpair3";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9 downto 0);
Q(9 downto 0) <= \^q\(9 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => plusOp(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => plusOp(4)
);
\gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => plusOp(5)
);
\gc0.count[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \gc0.count[9]_i_2_n_0\,
I1 => \^q\(6),
O => plusOp(6)
);
\gc0.count[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B4"
)
port map (
I0 => \gc0.count[9]_i_2_n_0\,
I1 => \^q\(6),
I2 => \^q\(7),
O => plusOp(7)
);
\gc0.count[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DF20"
)
port map (
I0 => \^q\(6),
I1 => \gc0.count[9]_i_2_n_0\,
I2 => \^q\(7),
I3 => \^q\(8),
O => plusOp(8)
);
\gc0.count[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F7FF0800"
)
port map (
I0 => \^q\(8),
I1 => \^q\(7),
I2 => \gc0.count[9]_i_2_n_0\,
I3 => \^q\(6),
I4 => \^q\(9),
O => plusOp(9)
);
\gc0.count[9]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \^q\(5),
I1 => \^q\(3),
I2 => \^q\(1),
I3 => \^q\(0),
I4 => \^q\(2),
I5 => \^q\(4),
O => \gc0.count[9]_i_2_n_0\
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(0),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(1),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(2),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(3),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(4),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4)
);
\gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(5),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5)
);
\gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(6),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6)
);
\gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(7),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7)
);
\gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(8),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8)
);
\gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(9),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => plusOp(0),
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(3),
Q => \^q\(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(4),
Q => \^q\(4)
);
\gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(5),
Q => \^q\(5)
);
\gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(6),
Q => \^q\(6)
);
\gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(7),
Q => \^q\(7)
);
\gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(8),
Q => \^q\(8)
);
\gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(9),
Q => \^q\(9)
);
\gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0),
I1 => \gcc0.gc0.count_reg[9]\(0),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1),
I3 => \gcc0.gc0.count_reg[9]\(1),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2),
I1 => \gcc0.gc0.count_reg[9]\(2),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3),
I3 => \gcc0.gc0.count_reg[9]\(3),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4),
I1 => \gcc0.gc0.count_reg[9]\(4),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5),
I3 => \gcc0.gc0.count_reg[9]\(5),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6),
I1 => \gcc0.gc0.count_reg[9]\(6),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7),
I3 => \gcc0.gc0.count_reg[9]\(7),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8),
I1 => \gcc0.gc0.count_reg[9]\(8),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9),
I3 => \gcc0.gc0.count_reg[9]\(9),
O => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_rd_fwft is
port (
empty : out STD_LOGIC;
tmp_ram_rd_en : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\goreg_bm.dout_i_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
ram_empty_fb_i_reg : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_rd_fwft : entity is "rd_fwft";
end scfifo_5in_5out_5kb_rd_fwft;
architecture STRUCTURE of scfifo_5in_5out_5kb_rd_fwft is
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \gpregsm1.curr_fwft_state_reg_n_0_[1]\ : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair1";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[9]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \goreg_bm.dout_i[4]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair0";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF4555"
)
port map (
I0 => ram_empty_fb_i_reg,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I4 => Q(0),
O => tmp_ram_rd_en
);
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"88EA"
)
port map (
I0 => empty_fwft_fb,
I1 => curr_fwft_state(0),
I2 => rd_en,
I3 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty
);
\gc0.count_d1[9]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0B0F"
)
port map (
I0 => rd_en,
I1 => curr_fwft_state(0),
I2 => ram_empty_fb_i_reg,
I3 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
O => E(0)
);
\goreg_bm.dout_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D0"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
O => \goreg_bm.dout_i_reg[4]\(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"3B33"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => ram_empty_fb_i_reg,
I2 => rd_en,
I3 => curr_fwft_state(0),
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => Q(1),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => Q(1),
D => next_fwft_state(1),
Q => \gpregsm1.curr_fwft_state_reg_n_0_[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_reset_blk_ramfifo is
port (
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end scfifo_5in_5out_5kb_reset_blk_ramfifo;
architecture STRUCTURE of scfifo_5in_5out_5kb_reset_blk_ramfifo is
signal inverted_reset : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
begin
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d1,
PRE => inverted_reset,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d2,
PRE => inverted_reset,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => rst_rd_reg1,
PRE => inverted_reset,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_aresetn,
O => inverted_reset
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => rst_wr_reg1,
PRE => inverted_reset,
Q => rst_wr_reg2
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \scfifo_5in_5out_5kb_reset_blk_ramfifo__parameterized0\ is
port (
rst_full_ff_i : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
AR : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \scfifo_5in_5out_5kb_reset_blk_ramfifo__parameterized0\ : entity is "reset_blk_ramfifo";
end \scfifo_5in_5out_5kb_reset_blk_ramfifo__parameterized0\;
architecture STRUCTURE of \scfifo_5in_5out_5kb_reset_blk_ramfifo__parameterized0\ is
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_full_ff_i <= rst_d2;
rst_full_gen_i <= rst_d3;
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d2,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rd_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => Q(1)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => wr_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => AR(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_wr_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
ram_full_comb : out STD_LOGIC;
v1_reg_1 : out STD_LOGIC_VECTOR ( 4 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 4 downto 0 );
p_18_out : in STD_LOGIC;
comp0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_en : in STD_LOGIC;
p_1_out : in STD_LOGIC;
comp1 : in STD_LOGIC;
comp0_2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
comp1_3 : in STD_LOGIC;
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_wr_bin_cntr : entity is "wr_bin_cntr";
end scfifo_5in_5out_5kb_wr_bin_cntr;
architecture STRUCTURE of scfifo_5in_5out_5kb_wr_bin_cntr is
signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \gcc0.gc0.count[9]_i_2_n_0\ : STD_LOGIC;
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gcc0.gc0.count[1]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gcc0.gc0.count[2]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gcc0.gc0.count[3]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gcc0.gc0.count[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gcc0.gc0.count[6]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gcc0.gc0.count[7]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gcc0.gc0.count[8]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \gcc0.gc0.count[9]_i_1\ : label is "soft_lutpair7";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9 downto 0);
Q(9 downto 0) <= \^q\(9 downto 0);
\gcc0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gcc0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gcc0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => \plusOp__0\(2)
);
\gcc0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => \plusOp__0\(3)
);
\gcc0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => \plusOp__0\(4)
);
\gcc0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => \plusOp__0\(5)
);
\gcc0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \gcc0.gc0.count[9]_i_2_n_0\,
I1 => \^q\(6),
O => \plusOp__0\(6)
);
\gcc0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B4"
)
port map (
I0 => \gcc0.gc0.count[9]_i_2_n_0\,
I1 => \^q\(6),
I2 => \^q\(7),
O => \plusOp__0\(7)
);
\gcc0.gc0.count[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DF20"
)
port map (
I0 => \^q\(6),
I1 => \gcc0.gc0.count[9]_i_2_n_0\,
I2 => \^q\(7),
I3 => \^q\(8),
O => \plusOp__0\(8)
);
\gcc0.gc0.count[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F7FF0800"
)
port map (
I0 => \^q\(8),
I1 => \^q\(7),
I2 => \gcc0.gc0.count[9]_i_2_n_0\,
I3 => \^q\(6),
I4 => \^q\(9),
O => \plusOp__0\(9)
);
\gcc0.gc0.count[9]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \^q\(5),
I1 => \^q\(3),
I2 => \^q\(1),
I3 => \^q\(0),
I4 => \^q\(2),
I5 => \^q\(4),
O => \gcc0.gc0.count[9]_i_2_n_0\
);
\gcc0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(0),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0)
);
\gcc0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(1),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1)
);
\gcc0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(2),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2)
);
\gcc0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(3),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3)
);
\gcc0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(4),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4)
);
\gcc0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(5),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5)
);
\gcc0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(6),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6)
);
\gcc0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(7),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7)
);
\gcc0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(8),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8)
);
\gcc0.gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \^q\(9),
Q => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9)
);
\gcc0.gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
D => \plusOp__0\(0),
PRE => AR(0),
Q => \^q\(0)
);
\gcc0.gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(1),
Q => \^q\(1)
);
\gcc0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gcc0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(3),
Q => \^q\(3)
);
\gcc0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(4),
Q => \^q\(4)
);
\gcc0.gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(5),
Q => \^q\(5)
);
\gcc0.gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(6),
Q => \^q\(6)
);
\gcc0.gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(7),
Q => \^q\(7)
);
\gcc0.gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(8),
Q => \^q\(8)
);
\gcc0.gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => ram_full_fb_i_reg(0),
CLR => AR(0),
D => \plusOp__0\(9),
Q => \^q\(9)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1),
I1 => \gc0.count_d1_reg[9]\(1),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0),
I3 => \gc0.count_d1_reg[9]\(0),
O => v1_reg_1(0)
);
\gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1),
I1 => \gc0.count_d1_reg[9]\(1),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0),
I3 => \gc0.count_d1_reg[9]\(0),
O => v1_reg(0)
);
\gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(0),
I1 => \gc0.count_reg[9]\(0),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(1),
I3 => \gc0.count_reg[9]\(1),
O => v1_reg_0(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3),
I1 => \gc0.count_d1_reg[9]\(3),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2),
I3 => \gc0.count_d1_reg[9]\(2),
O => v1_reg_1(1)
);
\gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3),
I1 => \gc0.count_d1_reg[9]\(3),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2),
I3 => \gc0.count_d1_reg[9]\(2),
O => v1_reg(1)
);
\gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(2),
I1 => \gc0.count_reg[9]\(2),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(3),
I3 => \gc0.count_reg[9]\(3),
O => v1_reg_0(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5),
I1 => \gc0.count_d1_reg[9]\(5),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4),
I3 => \gc0.count_d1_reg[9]\(4),
O => v1_reg_1(2)
);
\gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5),
I1 => \gc0.count_d1_reg[9]\(5),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4),
I3 => \gc0.count_d1_reg[9]\(4),
O => v1_reg(2)
);
\gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(4),
I1 => \gc0.count_reg[9]\(4),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(5),
I3 => \gc0.count_reg[9]\(5),
O => v1_reg_0(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7),
I1 => \gc0.count_d1_reg[9]\(7),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6),
I3 => \gc0.count_d1_reg[9]\(6),
O => v1_reg_1(3)
);
\gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7),
I1 => \gc0.count_d1_reg[9]\(7),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6),
I3 => \gc0.count_d1_reg[9]\(6),
O => v1_reg(3)
);
\gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(6),
I1 => \gc0.count_reg[9]\(6),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(7),
I3 => \gc0.count_reg[9]\(7),
O => v1_reg_0(3)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9),
I1 => \gc0.count_d1_reg[9]\(9),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8),
I3 => \gc0.count_d1_reg[9]\(8),
O => v1_reg_1(4)
);
\gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9),
I1 => \gc0.count_d1_reg[9]\(9),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8),
I3 => \gc0.count_d1_reg[9]\(8),
O => v1_reg(4)
);
\gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(8),
I1 => \gc0.count_reg[9]\(8),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\(9),
I3 => \gc0.count_reg[9]\(9),
O => v1_reg_0(4)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FAFA22FAAAAA22AA"
)
port map (
I0 => p_18_out,
I1 => comp0,
I2 => E(0),
I3 => wr_en,
I4 => p_1_out,
I5 => comp1,
O => ram_empty_fb_i_reg
);
ram_full_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"131313130F000000"
)
port map (
I0 => comp0_2,
I1 => rst_full_gen_i,
I2 => E(0),
I3 => comp1_3,
I4 => wr_en,
I5 => p_1_out,
O => ram_full_comb
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_prim_width is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end scfifo_5in_5out_5kb_blk_mem_gen_prim_width;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.scfifo_5in_5out_5kb_blk_mem_gen_prim_wrapper
port map (
D(4 downto 0) => D(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_rd_status_flags_ss is
port (
comp0 : out STD_LOGIC;
comp1 : out STD_LOGIC;
p_18_out : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
ram_empty_fb_i_reg_0 : in STD_LOGIC;
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_rd_status_flags_ss : entity is "rd_status_flags_ss";
end scfifo_5in_5out_5kb_rd_status_flags_ss;
architecture STRUCTURE of scfifo_5in_5out_5kb_rd_status_flags_ss is
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
c1: entity work.scfifo_5in_5out_5kb_compare_1
port map (
comp0 => comp0,
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0)
);
c2: entity work.scfifo_5in_5out_5kb_compare_2
port map (
comp1 => comp1,
v1_reg_1(4 downto 0) => v1_reg_1(4 downto 0)
);
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_empty_fb_i_reg_0,
PRE => Q(0),
Q => p_18_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_wr_status_flags_ss is
port (
comp0 : out STD_LOGIC;
comp1 : out STD_LOGIC;
p_1_out : out STD_LOGIC;
full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
ram_full_comb : in STD_LOGIC;
clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_wr_status_flags_ss : entity is "wr_status_flags_ss";
end scfifo_5in_5out_5kb_wr_status_flags_ss;
architecture STRUCTURE of scfifo_5in_5out_5kb_wr_status_flags_ss is
signal \^p_1_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
p_1_out <= \^p_1_out\;
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^p_1_out\,
O => E(0)
);
c0: entity work.scfifo_5in_5out_5kb_compare
port map (
comp0 => comp0,
v1_reg(4 downto 0) => v1_reg(4 downto 0)
);
c1: entity work.scfifo_5in_5out_5kb_compare_0
port map (
comp1 => comp1,
v1_reg_1(4 downto 0) => v1_reg_1(4 downto 0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => rst_full_ff_i,
Q => \^p_1_out\
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => rst_full_ff_i,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_generic_cstr is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end scfifo_5in_5out_5kb_blk_mem_gen_generic_cstr;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.scfifo_5in_5out_5kb_blk_mem_gen_prim_width
port map (
D(4 downto 0) => D(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_rd_logic is
port (
comp0 : out STD_LOGIC;
comp1 : out STD_LOGIC;
p_18_out : out STD_LOGIC;
empty : out STD_LOGIC;
\gc0.count_d1_reg[9]\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\goreg_bm.dout_i_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
ram_empty_fb_i_reg : in STD_LOGIC;
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC;
\gcc0.gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_rd_logic : entity is "rd_logic";
end scfifo_5in_5out_5kb_rd_logic;
architecture STRUCTURE of scfifo_5in_5out_5kb_rd_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^p_18_out\ : STD_LOGIC;
begin
E(0) <= \^e\(0);
p_18_out <= \^p_18_out\;
\gr1.rfwft\: entity work.scfifo_5in_5out_5kb_rd_fwft
port map (
E(0) => \^e\(0),
Q(1 downto 0) => Q(1 downto 0),
clk => clk,
empty => empty,
\goreg_bm.dout_i_reg[4]\(0) => \goreg_bm.dout_i_reg[4]\(0),
ram_empty_fb_i_reg => \^p_18_out\,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en
);
\grss.rsts\: entity work.scfifo_5in_5out_5kb_rd_status_flags_ss
port map (
Q(0) => Q(1),
clk => clk,
comp0 => comp0,
comp1 => comp1,
p_18_out => \^p_18_out\,
ram_empty_fb_i_reg_0 => ram_empty_fb_i_reg,
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0),
v1_reg_1(4 downto 0) => v1_reg_1(4 downto 0)
);
rpntr: entity work.scfifo_5in_5out_5kb_rd_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0),
E(0) => \^e\(0),
Q(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
clk => clk,
\gcc0.gc0.count_reg[9]\(9 downto 0) => \gcc0.gc0.count_reg[9]\(9 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(1),
v1_reg(4 downto 0) => v1_reg(4 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_wr_logic is
port (
full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 4 downto 0 );
\gcc0.gc0.count_reg[9]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg_1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
p_18_out : in STD_LOGIC;
comp0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_en : in STD_LOGIC;
comp1 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_wr_logic : entity is "wr_logic";
end scfifo_5in_5out_5kb_wr_logic;
architecture STRUCTURE of scfifo_5in_5out_5kb_wr_logic is
signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal comp0_1 : STD_LOGIC;
signal comp1_0 : STD_LOGIC;
signal \^gcc0.gc0.count_reg[9]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal p_1_out : STD_LOGIC;
signal ram_full_comb : STD_LOGIC;
begin
\gcc0.gc0.count_reg[9]\(0) <= \^gcc0.gc0.count_reg[9]\(0);
\gwss.wsts\: entity work.scfifo_5in_5out_5kb_wr_status_flags_ss
port map (
E(0) => \^gcc0.gc0.count_reg[9]\(0),
clk => clk,
comp0 => comp0_1,
comp1 => comp1_0,
full => full,
p_1_out => p_1_out,
ram_full_comb => ram_full_comb,
rst_full_ff_i => rst_full_ff_i,
v1_reg(4 downto 0) => \c0/v1_reg\(4 downto 0),
v1_reg_1(4 downto 0) => v1_reg_1(4 downto 0),
wr_en => wr_en
);
wpntr: entity work.scfifo_5in_5out_5kb_wr_bin_cntr
port map (
AR(0) => AR(0),
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0),
E(0) => E(0),
Q(9 downto 0) => Q(9 downto 0),
clk => clk,
comp0 => comp0,
comp0_2 => comp0_1,
comp1 => comp1,
comp1_3 => comp1_0,
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gc0.count_reg[9]\(9 downto 0) => \gc0.count_reg[9]\(9 downto 0),
p_18_out => p_18_out,
p_1_out => p_1_out,
ram_empty_fb_i_reg => ram_empty_fb_i_reg,
ram_full_comb => ram_full_comb,
ram_full_fb_i_reg(0) => \^gcc0.gc0.count_reg[9]\(0),
rst_full_gen_i => rst_full_gen_i,
v1_reg(4 downto 0) => v1_reg(4 downto 0),
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0),
v1_reg_1(4 downto 0) => \c0/v1_reg\(4 downto 0),
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_top is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_top : entity is "blk_mem_gen_top";
end scfifo_5in_5out_5kb_blk_mem_gen_top;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_top is
begin
\valid.cstr\: entity work.scfifo_5in_5out_5kb_blk_mem_gen_generic_cstr
port map (
D(4 downto 0) => D(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0_synth is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0_synth : entity is "blk_mem_gen_v8_3_0_synth";
end scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0_synth;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.scfifo_5in_5out_5kb_blk_mem_gen_top
port map (
D(4 downto 0) => D(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0 is
port (
D : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0 : entity is "blk_mem_gen_v8_3_0";
end scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0;
architecture STRUCTURE of scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0 is
begin
inst_blk_mem_gen: entity work.scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0_synth
port map (
D(4 downto 0) => D(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_memory is
port (
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_memory : entity is "memory";
end scfifo_5in_5out_5kb_memory;
architecture STRUCTURE of scfifo_5in_5out_5kb_memory is
signal doutb : STD_LOGIC_VECTOR ( 4 downto 0 );
begin
\gbm.gbmg.gbmga.ngecc.bmg\: entity work.scfifo_5in_5out_5kb_blk_mem_gen_v8_3_0
port map (
D(4 downto 0) => doutb(4 downto 0),
Q(0) => Q(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => \gcc0.gc0.count_d1_reg[9]\(9 downto 0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0),
tmp_ram_rd_en => tmp_ram_rd_en
);
\goreg_bm.dout_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => doutb(0),
Q => dout(0),
R => Q(0)
);
\goreg_bm.dout_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => doutb(1),
Q => dout(1),
R => Q(0)
);
\goreg_bm.dout_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => doutb(2),
Q => dout(2),
R => Q(0)
);
\goreg_bm.dout_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => doutb(3),
Q => dout(3),
R => Q(0)
);
\goreg_bm.dout_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => doutb(4),
Q => dout(4),
R => Q(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_fifo_generator_ramfifo is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end scfifo_5in_5out_5kb_fifo_generator_ramfifo;
architecture STRUCTURE of scfifo_5in_5out_5kb_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_11\ : STD_LOGIC;
signal \grss.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \grss.rsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \grss.rsts/comp0\ : STD_LOGIC;
signal \grss.rsts/comp1\ : STD_LOGIC;
signal \gwss.wsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_10_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_14_out : STD_LOGIC;
signal p_15_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal p_20_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_4_out : STD_LOGIC;
signal p_9_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_full_ff_i : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal tmp_ram_rd_en : STD_LOGIC;
begin
\gntv_or_sync_fifo.gl0.rd\: entity work.scfifo_5in_5out_5kb_rd_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) => p_20_out(9 downto 0),
E(0) => p_14_out,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
clk => clk,
comp0 => \grss.rsts/comp0\,
comp1 => \grss.rsts/comp1\,
empty => empty,
\gc0.count_d1_reg[9]\(9 downto 0) => rd_pntr_plus1(9 downto 0),
\gcc0.gc0.count_reg[9]\(9 downto 0) => p_9_out(9 downto 0),
\goreg_bm.dout_i_reg[4]\(0) => p_15_out,
p_18_out => p_18_out,
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_11\,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en,
v1_reg(4 downto 0) => \gwss.wsts/c1/v1_reg\(4 downto 0),
v1_reg_0(4 downto 0) => \grss.rsts/c1/v1_reg\(4 downto 0),
v1_reg_1(4 downto 0) => \grss.rsts/c2/v1_reg\(4 downto 0)
);
\gntv_or_sync_fifo.gl0.wr\: entity work.scfifo_5in_5out_5kb_wr_logic
port map (
AR(0) => \^rst\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(9 downto 0) => p_10_out(9 downto 0),
E(0) => p_14_out,
Q(9 downto 0) => p_9_out(9 downto 0),
clk => clk,
comp0 => \grss.rsts/comp0\,
comp1 => \grss.rsts/comp1\,
full => full,
\gc0.count_d1_reg[9]\(9 downto 0) => p_20_out(9 downto 0),
\gc0.count_reg[9]\(9 downto 0) => rd_pntr_plus1(9 downto 0),
\gcc0.gc0.count_reg[9]\(0) => p_4_out,
p_18_out => p_18_out,
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_11\,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(4 downto 0) => \grss.rsts/c1/v1_reg\(4 downto 0),
v1_reg_0(4 downto 0) => \grss.rsts/c2/v1_reg\(4 downto 0),
v1_reg_1(4 downto 0) => \gwss.wsts/c1/v1_reg\(4 downto 0),
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.scfifo_5in_5out_5kb_memory
port map (
E(0) => p_15_out,
Q(0) => rd_rst_i(0),
clk => clk,
din(4 downto 0) => din(4 downto 0),
dout(4 downto 0) => dout(4 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => p_20_out(9 downto 0),
\gcc0.gc0.count_d1_reg[9]\(9 downto 0) => p_10_out(9 downto 0),
ram_full_fb_i_reg(0) => p_4_out,
tmp_ram_rd_en => tmp_ram_rd_en
);
rstblk: entity work.\scfifo_5in_5out_5kb_reset_blk_ramfifo__parameterized0\
port map (
AR(0) => \^rst\,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
clk => clk,
rst => rst,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_fifo_generator_top is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_fifo_generator_top : entity is "fifo_generator_top";
end scfifo_5in_5out_5kb_fifo_generator_top;
architecture STRUCTURE of scfifo_5in_5out_5kb_fifo_generator_top is
begin
\grf.rf\: entity work.scfifo_5in_5out_5kb_fifo_generator_ramfifo
port map (
clk => clk,
din(4 downto 0) => din(4 downto 0),
dout(4 downto 0) => dout(4 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_fifo_generator_v13_0_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_aclk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_fifo_generator_v13_0_0_synth : entity is "fifo_generator_v13_0_0_synth";
end scfifo_5in_5out_5kb_fifo_generator_v13_0_0_synth;
architecture STRUCTURE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0_synth is
begin
\gconvfifo.rf\: entity work.scfifo_5in_5out_5kb_fifo_generator_top
port map (
clk => clk,
din(4 downto 0) => din(4 downto 0),
dout(4 downto 0) => dout(4 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en
);
\reset_gen_cc.rstblk_cc\: entity work.scfifo_5in_5out_5kb_reset_blk_ramfifo
port map (
s_aclk => s_aclk,
s_aresetn => s_aresetn
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb_fifo_generator_v13_0_0 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 11;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 5;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 5;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1022;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 11;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1024;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 10;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 11;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is 1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 : entity is "fifo_generator_v13_0_0";
end scfifo_5in_5out_5kb_fifo_generator_v13_0_0;
architecture STRUCTURE of scfifo_5in_5out_5kb_fifo_generator_v13_0_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(10) <= \<const0>\;
data_count(9) <= \<const0>\;
data_count(8) <= \<const0>\;
data_count(7) <= \<const0>\;
data_count(6) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(10) <= \<const0>\;
rd_data_count(9) <= \<const0>\;
rd_data_count(8) <= \<const0>\;
rd_data_count(7) <= \<const0>\;
rd_data_count(6) <= \<const0>\;
rd_data_count(5) <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(10) <= \<const0>\;
wr_data_count(9) <= \<const0>\;
wr_data_count(8) <= \<const0>\;
wr_data_count(7) <= \<const0>\;
wr_data_count(6) <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.scfifo_5in_5out_5kb_fifo_generator_v13_0_0_synth
port map (
clk => clk,
din(4 downto 0) => din(4 downto 0),
dout(4 downto 0) => dout(4 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
s_aclk => s_aclk,
s_aresetn => s_aresetn,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_5in_5out_5kb is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 4 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of scfifo_5in_5out_5kb : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of scfifo_5in_5out_5kb : entity is "scfifo_5in_5out_5kb,fifo_generator_v13_0_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of scfifo_5in_5out_5kb : entity is "scfifo_5in_5out_5kb,fifo_generator_v13_0_0,{x_ipProduct=Vivado 2015.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.0,x_ipCoreRevision=0,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=11,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=5,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=5,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH_NEGATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=11,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of scfifo_5in_5out_5kb : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of scfifo_5in_5out_5kb : entity is "fifo_generator_v13_0_0,Vivado 2015.3";
end scfifo_5in_5out_5kb;
architecture STRUCTURE of scfifo_5in_5out_5kb is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 11;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 5;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 5;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 1022;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 11;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 1024;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 10;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 11;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
attribute x_interface_info : string;
attribute x_interface_info of U0 : label is "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
begin
U0: entity work.scfifo_5in_5out_5kb_fifo_generator_v13_0_0
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3 downto 0) => B"0000",
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3 downto 0) => B"0000",
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3 downto 0) => B"0000",
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3 downto 0) => B"0000",
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3 downto 0) => B"0000",
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3 downto 0) => B"0000",
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9 downto 0) => B"0000000000",
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9 downto 0) => B"0000000000",
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9 downto 0) => B"0000000000",
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9 downto 0) => B"0000000000",
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => clk,
data_count(10 downto 0) => NLW_U0_data_count_UNCONNECTED(10 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(4 downto 0) => din(4 downto 0),
dout(4 downto 0) => dout(4 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1 downto 0) => B"00",
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1 downto 0) => B"00",
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(9 downto 0) => B"0000000000",
prog_empty_thresh_assert(9 downto 0) => B"0000000000",
prog_empty_thresh_negate(9 downto 0) => B"0000000000",
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(9 downto 0) => B"0000000000",
prog_full_thresh_assert(9 downto 0) => B"0000000000",
prog_full_thresh_negate(9 downto 0) => B"0000000000",
rd_clk => '0',
rd_data_count(10 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(10 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arcache(3 downto 0) => B"0000",
s_axi_arid(0) => '0',
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arlock(0) => '0',
s_axi_arprot(2 downto 0) => B"000",
s_axi_arqos(3 downto 0) => B"0000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => B"000",
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(0) => '0',
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(0) => '0',
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(3 downto 0) => B"0000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => B"000",
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7 downto 0) => B"00000000",
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7 downto 0) => B"00000000",
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3 downto 0) => B"0000",
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => '0',
wr_data_count(10 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(10 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb_rd_cnt/dcfifo_32in_32out_16kb_rd_cnt_funcsim.vhdl
|
1
|
241919
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Tue Mar 22 03:39:21 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Users/SKL/Desktop/ECE532/quadencoder/encoder_decoder_prj/project_1.srcs/sources_1/ip/dcfifo_32in_32out_16kb_rd_cnt/dcfifo_32in_32out_16kb_rd_cnt_funcsim.vhdl
-- Design : dcfifo_32in_32out_16kb_rd_cnt
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_wrapper is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_wrapper is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\ : STD_LOGIC;
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "SDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 36,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 0,
WRITE_WIDTH_B => 36
)
port map (
ADDRARDADDR(13 downto 5) => \gc0.count_d1_reg[8]\(8 downto 0),
ADDRARDADDR(4) => '0',
ADDRARDADDR(3) => '0',
ADDRARDADDR(2) => '0',
ADDRARDADDR(1) => '0',
ADDRARDADDR(0) => '0',
ADDRBWRADDR(13 downto 5) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
ADDRBWRADDR(4) => '0',
ADDRBWRADDR(3) => '0',
ADDRBWRADDR(2) => '0',
ADDRBWRADDR(1) => '0',
ADDRBWRADDR(0) => '0',
CLKARDCLK => rd_clk,
CLKBWRCLK => wr_clk,
DIADI(15 downto 0) => din(15 downto 0),
DIBDI(15 downto 0) => din(31 downto 16),
DIPADIP(1) => '0',
DIPADIP(0) => '0',
DIPBDIP(1) => '0',
DIPBDIP(0) => '0',
DOADO(15 downto 0) => dout(15 downto 0),
DOBDO(15 downto 0) => dout(31 downto 16),
DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\,
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\,
DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\,
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\,
ENARDEN => tmp_ram_rd_en,
ENBWREN => WEBWE(0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => Q(0),
RSTRAMB => Q(0),
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => '0',
WEA(0) => '0',
WEBWE(3) => WEBWE(0),
WEBWE(2) => WEBWE(0),
WEBWE(1) => WEBWE(0),
WEBWE(0) => WEBWE(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_compare is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_compare : entity is "compare";
end dcfifo_32in_32out_16kb_rd_cnt_compare;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_compare is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_compare_0 is
port (
comp2 : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_compare_0 : entity is "compare";
end dcfifo_32in_32out_16kb_rd_cnt_compare_0;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_compare_0 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp2,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \rd_pntr_bin_reg[8]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_compare_1 is
port (
comp0 : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_compare_1 : entity is "compare";
end dcfifo_32in_32out_16kb_rd_cnt_compare_1;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_compare_1 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp0,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \wr_pntr_bin_reg[8]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_compare_2 is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_reg[8]\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_compare_2 : entity is "compare";
end dcfifo_32in_32out_16kb_rd_cnt_compare_2;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_compare_2 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => \gc0.count_reg[8]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_rd_bin_cntr is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 7 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_rd_bin_cntr : entity is "rd_bin_cntr";
end dcfifo_32in_32out_16kb_rd_cnt_rd_bin_cntr;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_rd_bin_cntr is
signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \gc0.count[8]_i_2_n_0\ : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 8 downto 0 );
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 8 to 8 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gc0.count[8]_i_2\ : label is "soft_lutpair11";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8 downto 0);
Q(7 downto 0) <= \^q\(7 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => plusOp(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(4),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(3),
O => plusOp(4)
);
\gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(3),
I2 => \^q\(2),
I3 => \^q\(0),
I4 => \^q\(1),
I5 => \^q\(4),
O => plusOp(5)
);
\gc0.count[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => \gc0.count[8]_i_2_n_0\,
I3 => \^q\(5),
O => plusOp(6)
);
\gc0.count[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(7),
I1 => \^q\(5),
I2 => \gc0.count[8]_i_2_n_0\,
I3 => \^q\(4),
I4 => \^q\(6),
O => plusOp(7)
);
\gc0.count[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => rd_pntr_plus1(8),
I1 => \^q\(6),
I2 => \^q\(4),
I3 => \gc0.count[8]_i_2_n_0\,
I4 => \^q\(5),
I5 => \^q\(7),
O => plusOp(8)
);
\gc0.count[8]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(0),
I3 => \^q\(1),
O => \gc0.count[8]_i_2_n_0\
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(0),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(1),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(2),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(3),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(4),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4)
);
\gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(5),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5)
);
\gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(6),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6)
);
\gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(7),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7)
);
\gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => rd_pntr_plus1(8),
Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(8)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => plusOp(0),
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(3),
Q => \^q\(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(4),
Q => \^q\(4)
);
\gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(5),
Q => \^q\(5)
);
\gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(6),
Q => \^q\(6)
);
\gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(7),
Q => \^q\(7)
);
\gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(8),
Q => rd_pntr_plus1(8)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1),
I1 => WR_PNTR_RD(1),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0),
I3 => WR_PNTR_RD(0),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3),
I1 => WR_PNTR_RD(3),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2),
I3 => WR_PNTR_RD(2),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5),
I1 => WR_PNTR_RD(5),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4),
I3 => WR_PNTR_RD(4),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7),
I1 => WR_PNTR_RD(7),
I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6),
I3 => WR_PNTR_RD(6),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => rd_pntr_plus1(8),
I1 => WR_PNTR_RD(8),
O => ram_empty_fb_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_rd_dc_as is
port (
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 7 downto 0 );
\wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
S : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_rd_dc_as : entity is "rd_dc_as";
end dcfifo_32in_32out_16kb_rd_cnt_rd_dc_as;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_rd_dc_as is
signal minusOp : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \rd_dc_i_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_1_n_3\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \rd_dc_i_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \NLW_rd_dc_i_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_rd_dc_i_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
begin
\rd_dc_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => minusOp(7),
Q => rd_data_count(0)
);
\rd_dc_i_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \rd_dc_i_reg[7]_i_2_n_0\,
CO(3) => \rd_dc_i_reg[7]_i_1_n_0\,
CO(2) => \rd_dc_i_reg[7]_i_1_n_1\,
CO(1) => \rd_dc_i_reg[7]_i_1_n_2\,
CO(0) => \rd_dc_i_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => WR_PNTR_RD(7 downto 4),
O(3 downto 0) => minusOp(7 downto 4),
S(3 downto 0) => \wr_pntr_bin_reg[7]\(3 downto 0)
);
\rd_dc_i_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \rd_dc_i_reg[7]_i_2_n_0\,
CO(2) => \rd_dc_i_reg[7]_i_2_n_1\,
CO(1) => \rd_dc_i_reg[7]_i_2_n_2\,
CO(0) => \rd_dc_i_reg[7]_i_2_n_3\,
CYINIT => '1',
DI(3 downto 0) => WR_PNTR_RD(3 downto 0),
O(3 downto 0) => minusOp(3 downto 0),
S(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0)
);
\rd_dc_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => minusOp(8),
Q => rd_data_count(1)
);
\rd_dc_i_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \rd_dc_i_reg[7]_i_1_n_0\,
CO(3 downto 0) => \NLW_rd_dc_i_reg[8]_i_1_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 1) => \NLW_rd_dc_i_reg[8]_i_1_O_UNCONNECTED\(3 downto 1),
O(0) => minusOp(8),
S(3) => '0',
S(2) => '0',
S(1) => '0',
S(0) => S(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_reset_blk_ramfifo is
port (
rst_full_ff_i : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
tmp_ram_rd_en : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
\gic0.gc0.count_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
p_18_out : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end dcfifo_32in_32out_16kb_rd_cnt_reset_blk_ramfifo;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_reset_blk_ramfifo is
signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal rst_d2 : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal rst_rd_reg1 : STD_LOGIC;
signal rst_rd_reg2 : STD_LOGIC;
signal rst_wr_reg1 : STD_LOGIC;
signal rst_wr_reg2 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
Q(2 downto 0) <= \^q\(2 downto 0);
rst_full_ff_i <= rst_d2;
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => \^q\(0),
I1 => p_18_out,
I2 => rd_en,
O => tmp_ram_rd_en
);
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d2,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d1,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \^q\(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \^q\(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \^q\(2)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d1,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => \gic0.gc0.count_reg[0]\(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => \gic0.gc0.count_reg[0]\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff is
port (
D : out STD_LOGIC_VECTOR ( 8 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff : entity is "synchronizer_ff";
end dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
begin
D(8 downto 0) <= Q_reg(8 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(8),
Q => Q_reg(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_3 is
port (
D : out STD_LOGIC_VECTOR ( 8 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_3 : entity is "synchronizer_ff";
end dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_3;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_3 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
begin
D(8 downto 0) <= Q_reg(8 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(8),
Q => Q_reg(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_4 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wr_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
D : in STD_LOGIC_VECTOR ( 8 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_4 : entity is "synchronizer_ff";
end dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_4;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_4 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \^wr_pntr_bin_reg[7]\ : STD_LOGIC_VECTOR ( 7 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
begin
\out\(0) <= Q_reg(8);
\wr_pntr_bin_reg[7]\(7 downto 0) <= \^wr_pntr_bin_reg[7]\(7 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(8),
Q => Q_reg(8)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(1),
I2 => Q_reg(0),
I3 => \^wr_pntr_bin_reg[7]\(3),
O => \^wr_pntr_bin_reg[7]\(0)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(1),
I2 => \^wr_pntr_bin_reg[7]\(3),
O => \^wr_pntr_bin_reg[7]\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^wr_pntr_bin_reg[7]\(3),
I1 => Q_reg(2),
O => \^wr_pntr_bin_reg[7]\(2)
);
\wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(4),
I1 => Q_reg(8),
I2 => Q_reg(6),
I3 => Q_reg(7),
I4 => Q_reg(5),
I5 => Q_reg(3),
O => \^wr_pntr_bin_reg[7]\(3)
);
\wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(5),
I1 => Q_reg(7),
I2 => Q_reg(6),
I3 => Q_reg(8),
I4 => Q_reg(4),
O => \^wr_pntr_bin_reg[7]\(4)
);
\wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(6),
I2 => Q_reg(7),
I3 => Q_reg(5),
O => \^wr_pntr_bin_reg[7]\(5)
);
\wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(8),
O => \^wr_pntr_bin_reg[7]\(6)
);
\wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(8),
O => \^wr_pntr_bin_reg[7]\(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_5 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\rd_pntr_bin_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
D : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_5 : entity is "synchronizer_ff";
end dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_5;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_5 is
signal Q_reg : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \^rd_pntr_bin_reg[7]\ : STD_LOGIC_VECTOR ( 7 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
begin
\out\(0) <= Q_reg(8);
\rd_pntr_bin_reg[7]\(7 downto 0) <= \^rd_pntr_bin_reg[7]\(7 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(8),
Q => Q_reg(8)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(1),
I2 => Q_reg(0),
I3 => \^rd_pntr_bin_reg[7]\(3),
O => \^rd_pntr_bin_reg[7]\(0)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(1),
I2 => \^rd_pntr_bin_reg[7]\(3),
O => \^rd_pntr_bin_reg[7]\(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^rd_pntr_bin_reg[7]\(3),
I1 => Q_reg(2),
O => \^rd_pntr_bin_reg[7]\(2)
);
\rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(4),
I1 => Q_reg(8),
I2 => Q_reg(6),
I3 => Q_reg(7),
I4 => Q_reg(5),
I5 => Q_reg(3),
O => \^rd_pntr_bin_reg[7]\(3)
);
\rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(5),
I1 => Q_reg(7),
I2 => Q_reg(6),
I3 => Q_reg(8),
I4 => Q_reg(4),
O => \^rd_pntr_bin_reg[7]\(4)
);
\rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(6),
I2 => Q_reg(7),
I3 => Q_reg(5),
O => \^rd_pntr_bin_reg[7]\(5)
);
\rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(8),
O => \^rd_pntr_bin_reg[7]\(6)
);
\rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(8),
O => \^rd_pntr_bin_reg[7]\(7)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_wr_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 8 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_wr_bin_cntr : entity is "wr_bin_cntr";
end dcfifo_32in_32out_16kb_rd_cnt_wr_bin_cntr;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \gic0.gc0.count[8]_i_2_n_0\ : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \gic0.gc0.count[8]_i_1\ : label is "soft_lutpair13";
begin
Q(0) <= \^q\(0);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => wr_pntr_plus2(0),
O => \plusOp__0\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => wr_pntr_plus2(0),
I1 => wr_pntr_plus2(1),
O => \plusOp__0\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => wr_pntr_plus2(0),
I1 => wr_pntr_plus2(1),
I2 => wr_pntr_plus2(2),
O => \plusOp__0\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => wr_pntr_plus2(1),
I1 => wr_pntr_plus2(0),
I2 => wr_pntr_plus2(2),
I3 => wr_pntr_plus2(3),
O => \plusOp__0\(3)
);
\gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => wr_pntr_plus2(2),
I1 => wr_pntr_plus2(0),
I2 => wr_pntr_plus2(1),
I3 => wr_pntr_plus2(3),
I4 => wr_pntr_plus2(4),
O => \plusOp__0\(4)
);
\gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => wr_pntr_plus2(3),
I1 => wr_pntr_plus2(1),
I2 => wr_pntr_plus2(0),
I3 => wr_pntr_plus2(2),
I4 => wr_pntr_plus2(4),
I5 => wr_pntr_plus2(5),
O => \plusOp__0\(5)
);
\gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count[8]_i_2_n_0\,
I1 => wr_pntr_plus2(6),
O => \plusOp__0\(6)
);
\gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \gic0.gc0.count[8]_i_2_n_0\,
I1 => wr_pntr_plus2(6),
I2 => wr_pntr_plus2(7),
O => \plusOp__0\(7)
);
\gic0.gc0.count[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => wr_pntr_plus2(6),
I1 => \gic0.gc0.count[8]_i_2_n_0\,
I2 => wr_pntr_plus2(7),
I3 => \^q\(0),
O => \plusOp__0\(8)
);
\gic0.gc0.count[8]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => wr_pntr_plus2(5),
I1 => wr_pntr_plus2(3),
I2 => wr_pntr_plus2(1),
I3 => wr_pntr_plus2(0),
I4 => wr_pntr_plus2(2),
I5 => wr_pntr_plus2(4),
O => \gic0.gc0.count[8]_i_2_n_0\
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => wr_pntr_plus2(0),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => p_8_out(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(1),
Q => p_8_out(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(2),
Q => p_8_out(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(3),
Q => p_8_out(3)
);
\gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(4),
Q => p_8_out(4)
);
\gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(5),
Q => p_8_out(5)
);
\gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(6),
Q => p_8_out(6)
);
\gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => wr_pntr_plus2(7),
Q => p_8_out(7)
);
\gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(0),
Q => p_8_out(8)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(0),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(1),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(2),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(3),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(3)
);
\gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(4),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(4)
);
\gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(5),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5)
);
\gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(6),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(6)
);
\gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(7),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7)
);
\gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => p_8_out(8),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(0),
Q => wr_pntr_plus2(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__0\(1),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => wr_pntr_plus2(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(2),
Q => wr_pntr_plus2(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(3),
Q => wr_pntr_plus2(3)
);
\gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(4),
Q => wr_pntr_plus2(4)
);
\gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(5),
Q => wr_pntr_plus2(5)
);
\gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(6),
Q => wr_pntr_plus2(6)
);
\gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(7),
Q => wr_pntr_plus2(7)
);
\gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(8),
Q => \^q\(0)
);
\gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_8_out(0),
I1 => RD_PNTR_WR(0),
I2 => p_8_out(1),
I3 => RD_PNTR_WR(1),
O => v1_reg(0)
);
\gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => wr_pntr_plus2(0),
I1 => RD_PNTR_WR(0),
I2 => wr_pntr_plus2(1),
I3 => RD_PNTR_WR(1),
O => v1_reg_0(0)
);
\gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_8_out(2),
I1 => RD_PNTR_WR(2),
I2 => p_8_out(3),
I3 => RD_PNTR_WR(3),
O => v1_reg(1)
);
\gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => wr_pntr_plus2(2),
I1 => RD_PNTR_WR(2),
I2 => wr_pntr_plus2(3),
I3 => RD_PNTR_WR(3),
O => v1_reg_0(1)
);
\gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_8_out(4),
I1 => RD_PNTR_WR(4),
I2 => p_8_out(5),
I3 => RD_PNTR_WR(5),
O => v1_reg(2)
);
\gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => wr_pntr_plus2(4),
I1 => RD_PNTR_WR(4),
I2 => wr_pntr_plus2(5),
I3 => RD_PNTR_WR(5),
O => v1_reg_0(2)
);
\gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_8_out(6),
I1 => RD_PNTR_WR(6),
I2 => p_8_out(7),
I3 => RD_PNTR_WR(7),
O => v1_reg(3)
);
\gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => wr_pntr_plus2(6),
I1 => RD_PNTR_WR(6),
I2 => wr_pntr_plus2(7),
I3 => RD_PNTR_WR(7),
O => v1_reg_0(3)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_8_out(8),
I1 => RD_PNTR_WR(8),
O => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_width is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_width;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_wrapper
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_clk_x_pntrs is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
WR_PNTR_RD : out STD_LOGIC_VECTOR ( 8 downto 0 );
S : out STD_LOGIC_VECTOR ( 0 to 0 );
\rd_dc_i_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\rd_dc_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 3 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 );
RD_PNTR_WR : out STD_LOGIC_VECTOR ( 8 downto 0 );
Q : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\gic0.gc0.count_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_clk_x_pntrs : entity is "clk_x_pntrs";
end dcfifo_32in_32out_16kb_rd_cnt_clk_x_pntrs;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_clk_x_pntrs is
signal \^rd_pntr_wr\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_8\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_0_in7_out : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_0_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal p_1_out : STD_LOGIC_VECTOR ( 8 to 8 );
signal p_2_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_3_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[7]_i_1_n_0\ : STD_LOGIC;
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair3";
begin
RD_PNTR_WR(8 downto 0) <= \^rd_pntr_wr\(8 downto 0);
WR_PNTR_RD(8 downto 0) <= \^wr_pntr_rd\(8 downto 0);
\gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(1),
I1 => \gc0.count_reg[7]\(1),
I2 => \^wr_pntr_rd\(0),
I3 => \gc0.count_reg[7]\(0),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(3),
I1 => \gc0.count_reg[7]\(3),
I2 => \^wr_pntr_rd\(2),
I3 => \gc0.count_reg[7]\(2),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(5),
I1 => \gc0.count_reg[7]\(5),
I2 => \^wr_pntr_rd\(4),
I3 => \gc0.count_reg[7]\(4),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(7),
I1 => \gc0.count_reg[7]\(7),
I2 => \^wr_pntr_rd\(6),
I3 => \gc0.count_reg[7]\(6),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^rd_pntr_wr\(8),
I1 => \gic0.gc0.count_reg[8]\(0),
O => v1_reg_0(0)
);
\gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(8),
I1 => Q(8),
O => ram_empty_fb_i_reg
);
\gsync_stage[1].rd_stg_inst\: entity work.dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff
port map (
D(8 downto 0) => p_3_out(8 downto 0),
Q(8 downto 0) => wr_pntr_gc(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_3
port map (
D(8 downto 0) => p_2_out(8 downto 0),
Q(8 downto 0) => rd_pntr_gc(8 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_4
port map (
D(8 downto 0) => p_3_out(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
\out\(0) => p_1_out(8),
rd_clk => rd_clk,
\wr_pntr_bin_reg[7]\(7 downto 0) => p_0_in(7 downto 0)
);
\gsync_stage[2].wr_stg_inst\: entity work.dcfifo_32in_32out_16kb_rd_cnt_synchronizer_ff_5
port map (
D(8 downto 0) => p_2_out(8 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
\out\(0) => p_0_out(8),
\rd_pntr_bin_reg[7]\(7) => \gsync_stage[2].wr_stg_inst_n_1\,
\rd_pntr_bin_reg[7]\(6) => \gsync_stage[2].wr_stg_inst_n_2\,
\rd_pntr_bin_reg[7]\(5) => \gsync_stage[2].wr_stg_inst_n_3\,
\rd_pntr_bin_reg[7]\(4) => \gsync_stage[2].wr_stg_inst_n_4\,
\rd_pntr_bin_reg[7]\(3) => \gsync_stage[2].wr_stg_inst_n_5\,
\rd_pntr_bin_reg[7]\(2) => \gsync_stage[2].wr_stg_inst_n_6\,
\rd_pntr_bin_reg[7]\(1) => \gsync_stage[2].wr_stg_inst_n_7\,
\rd_pntr_bin_reg[7]\(0) => \gsync_stage[2].wr_stg_inst_n_8\,
wr_clk => wr_clk
);
\rd_dc_i[7]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(0),
I1 => Q(0),
O => \rd_dc_i_reg[7]_0\(0)
);
\rd_dc_i[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(7),
I1 => Q(7),
O => \rd_dc_i_reg[7]\(3)
);
\rd_dc_i[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(6),
I1 => Q(6),
O => \rd_dc_i_reg[7]\(2)
);
\rd_dc_i[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(5),
I1 => Q(5),
O => \rd_dc_i_reg[7]\(1)
);
\rd_dc_i[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(4),
I1 => Q(4),
O => \rd_dc_i_reg[7]\(0)
);
\rd_dc_i[7]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(3),
I1 => Q(3),
O => \rd_dc_i_reg[7]_0\(3)
);
\rd_dc_i[7]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(2),
I1 => Q(2),
O => \rd_dc_i_reg[7]_0\(2)
);
\rd_dc_i[7]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(1),
I1 => Q(1),
O => \rd_dc_i_reg[7]_0\(1)
);
\rd_dc_i[8]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^wr_pntr_rd\(8),
I1 => Q(8),
O => S(0)
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_8\,
Q => \^rd_pntr_wr\(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_7\,
Q => \^rd_pntr_wr\(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_6\,
Q => \^rd_pntr_wr\(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_5\,
Q => \^rd_pntr_wr\(3)
);
\rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_4\,
Q => \^rd_pntr_wr\(4)
);
\rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_3\,
Q => \^rd_pntr_wr\(5)
);
\rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_2\,
Q => \^rd_pntr_wr\(6)
);
\rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_1\,
Q => \^rd_pntr_wr\(7)
);
\rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_out(8),
Q => \^rd_pntr_wr\(8)
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(0),
I1 => Q(1),
O => \rd_pntr_gc[0]_i_1_n_0\
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(1),
I1 => Q(2),
O => \rd_pntr_gc[1]_i_1_n_0\
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(2),
I1 => Q(3),
O => \rd_pntr_gc[2]_i_1_n_0\
);
\rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(3),
I1 => Q(4),
O => \rd_pntr_gc[3]_i_1_n_0\
);
\rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(4),
I1 => Q(5),
O => \rd_pntr_gc[4]_i_1_n_0\
);
\rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(5),
I1 => Q(6),
O => \rd_pntr_gc[5]_i_1_n_0\
);
\rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(6),
I1 => Q(7),
O => \rd_pntr_gc[6]_i_1_n_0\
);
\rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(7),
I1 => Q(8),
O => \rd_pntr_gc[7]_i_1_n_0\
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[0]_i_1_n_0\,
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[1]_i_1_n_0\,
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[2]_i_1_n_0\,
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[3]_i_1_n_0\,
Q => rd_pntr_gc(3)
);
\rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[4]_i_1_n_0\,
Q => rd_pntr_gc(4)
);
\rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[5]_i_1_n_0\,
Q => rd_pntr_gc(5)
);
\rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[6]_i_1_n_0\,
Q => rd_pntr_gc(6)
);
\rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[7]_i_1_n_0\,
Q => rd_pntr_gc(7)
);
\rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(8),
Q => rd_pntr_gc(8)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(0),
Q => \^wr_pntr_rd\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(1),
Q => \^wr_pntr_rd\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(2),
Q => \^wr_pntr_rd\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(3),
Q => \^wr_pntr_rd\(3)
);
\wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(4),
Q => \^wr_pntr_rd\(4)
);
\wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(5),
Q => \^wr_pntr_rd\(5)
);
\wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(6),
Q => \^wr_pntr_rd\(6)
);
\wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(7),
Q => \^wr_pntr_rd\(7)
);
\wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_1_out(8),
Q => \^wr_pntr_rd\(8)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(0),
I1 => \gic0.gc0.count_d2_reg[8]\(1),
O => p_0_in7_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(1),
I1 => \gic0.gc0.count_d2_reg[8]\(2),
O => p_0_in7_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(2),
I1 => \gic0.gc0.count_d2_reg[8]\(3),
O => p_0_in7_out(2)
);
\wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(3),
I1 => \gic0.gc0.count_d2_reg[8]\(4),
O => p_0_in7_out(3)
);
\wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(4),
I1 => \gic0.gc0.count_d2_reg[8]\(5),
O => p_0_in7_out(4)
);
\wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(5),
I1 => \gic0.gc0.count_d2_reg[8]\(6),
O => p_0_in7_out(5)
);
\wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(6),
I1 => \gic0.gc0.count_d2_reg[8]\(7),
O => p_0_in7_out(6)
);
\wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[8]\(7),
I1 => \gic0.gc0.count_d2_reg[8]\(8),
O => p_0_in7_out(7)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(3),
Q => wr_pntr_gc(3)
);
\wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(4),
Q => wr_pntr_gc(4)
);
\wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(5),
Q => wr_pntr_gc(5)
);
\wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(6),
Q => wr_pntr_gc(6)
);
\wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in7_out(7),
Q => wr_pntr_gc(7)
);
\wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gic0.gc0.count_d2_reg[8]\(8),
Q => wr_pntr_gc(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_rd_status_flags_as is
port (
empty : out STD_LOGIC;
p_18_out : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
\gc0.count_reg[8]\ : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_rd_status_flags_as : entity is "rd_status_flags_as";
end dcfifo_32in_32out_16kb_rd_cnt_rd_status_flags_as;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_rd_status_flags_as is
signal comp0 : STD_LOGIC;
signal comp1 : STD_LOGIC;
signal \^p_18_out\ : STD_LOGIC;
signal ram_empty_i_i_1_n_0 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count_d1[8]_i_1\ : label is "soft_lutpair8";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
attribute SOFT_HLUTNM of ram_empty_i_i_1 : label is "soft_lutpair8";
attribute equivalent_register_removal of ram_empty_i_reg : label is "no";
begin
p_18_out <= \^p_18_out\;
c0: entity work.dcfifo_32in_32out_16kb_rd_cnt_compare_1
port map (
comp0 => comp0,
v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0),
\wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\
);
c1: entity work.dcfifo_32in_32out_16kb_rd_cnt_compare_2
port map (
comp1 => comp1,
\gc0.count_reg[8]\ => \gc0.count_reg[8]\,
v1_reg(3 downto 0) => v1_reg(3 downto 0)
);
\gc0.count_d1[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_en,
I1 => \^p_18_out\,
O => E(0)
);
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => ram_empty_i_i_1_n_0,
PRE => Q(0),
Q => \^p_18_out\
);
ram_empty_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"AEAA"
)
port map (
I0 => comp0,
I1 => rd_en,
I2 => \^p_18_out\,
I3 => comp1,
O => ram_empty_i_i_1_n_0
);
ram_empty_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => ram_empty_i_i_1_n_0,
PRE => Q(0),
Q => empty
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_wr_status_flags_as is
port (
full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 3 downto 0 );
\rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_wr_status_flags_as : entity is "wr_status_flags_as";
end dcfifo_32in_32out_16kb_rd_cnt_wr_status_flags_as;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_wr_status_flags_as is
signal comp1 : STD_LOGIC;
signal comp2 : STD_LOGIC;
signal p_0_out : STD_LOGIC;
signal ram_full_i : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => p_0_out,
O => E(0)
);
c1: entity work.dcfifo_32in_32out_16kb_rd_cnt_compare
port map (
comp1 => comp1,
v1_reg(4 downto 0) => v1_reg(4 downto 0)
);
c2: entity work.dcfifo_32in_32out_16kb_rd_cnt_compare_0
port map (
comp2 => comp2,
\rd_pntr_bin_reg[8]\(0) => \rd_pntr_bin_reg[8]\(0),
v1_reg_0(3 downto 0) => v1_reg_0(3 downto 0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => p_0_out
);
ram_full_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"55550400"
)
port map (
I0 => rst_full_gen_i,
I1 => comp2,
I2 => p_0_out,
I3 => wr_en,
I4 => comp1,
O => ram_full_i
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_generic_cstr is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_generic_cstr;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_prim_width
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_rd_logic is
port (
empty : out STD_LOGIC;
p_18_out : out STD_LOGIC;
\gc0.count_d1_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wr_pntr_bin_reg[8]\ : in STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 8 downto 0 );
rd_en : in STD_LOGIC;
\wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wr_pntr_bin_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
S : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_rd_logic : entity is "rd_logic";
end dcfifo_32in_32out_16kb_rd_cnt_rd_logic;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_rd_logic is
signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_14_out : STD_LOGIC;
signal rpntr_n_0 : STD_LOGIC;
begin
\gras.grdc1.rdc\: entity work.dcfifo_32in_32out_16kb_rd_cnt_rd_dc_as
port map (
Q(0) => Q(0),
S(0) => S(0),
WR_PNTR_RD(7 downto 0) => WR_PNTR_RD(7 downto 0),
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
\wr_pntr_bin_reg[3]\(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0),
\wr_pntr_bin_reg[7]\(3 downto 0) => \wr_pntr_bin_reg[7]\(3 downto 0)
);
\gras.rsts\: entity work.dcfifo_32in_32out_16kb_rd_cnt_rd_status_flags_as
port map (
E(0) => p_14_out,
Q(0) => Q(0),
empty => empty,
\gc0.count_reg[8]\ => rpntr_n_0,
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en,
v1_reg(3 downto 0) => v1_reg(3 downto 0),
v1_reg_0(3 downto 0) => \c0/v1_reg\(3 downto 0),
\wr_pntr_bin_reg[8]\ => \wr_pntr_bin_reg[8]\
);
rpntr: entity work.dcfifo_32in_32out_16kb_rd_cnt_rd_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0),
E(0) => p_14_out,
Q(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0),
WR_PNTR_RD(8 downto 0) => WR_PNTR_RD(8 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(0),
ram_empty_fb_i_reg => rpntr_n_0,
rd_clk => rd_clk,
v1_reg(3 downto 0) => \c0/v1_reg\(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_wr_logic is
port (
full : out STD_LOGIC;
WEBWE : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
\rd_pntr_bin_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 8 downto 0 );
rst_full_gen_i : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_wr_logic : entity is "wr_logic";
end dcfifo_32in_32out_16kb_rd_cnt_wr_logic;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_wr_logic is
signal \^webwe\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \c2/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
WEBWE(0) <= \^webwe\(0);
\gwas.wsts\: entity work.dcfifo_32in_32out_16kb_rd_cnt_wr_status_flags_as
port map (
E(0) => \^webwe\(0),
full => full,
\rd_pntr_bin_reg[8]\(0) => \rd_pntr_bin_reg[8]\(0),
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(4 downto 0) => \c1/v1_reg\(4 downto 0),
v1_reg_0(3 downto 0) => \c2/v1_reg\(3 downto 0),
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.dcfifo_32in_32out_16kb_rd_cnt_wr_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0),
E(0) => \^webwe\(0),
Q(0) => Q(0),
RD_PNTR_WR(8 downto 0) => RD_PNTR_WR(8 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
v1_reg(4 downto 0) => \c1/v1_reg\(4 downto 0),
v1_reg_0(3 downto 0) => \c2/v1_reg\(3 downto 0),
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_top is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_top : entity is "blk_mem_gen_top";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_top;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_top is
begin
\valid.cstr\: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_generic_cstr
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2_synth is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2_synth;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_top
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2 is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2 : entity is "blk_mem_gen_v8_2";
end dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2 is
begin
inst_blk_mem_gen: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2_synth
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_memory is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
\gic0.gc0.count_d2_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_memory : entity is "memory";
end dcfifo_32in_32out_16kb_rd_cnt_memory;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_memory is
begin
\gbm.gbmg.gbmga.ngecc.bmg\: entity work.dcfifo_32in_32out_16kb_rd_cnt_blk_mem_gen_v8_2
port map (
Q(0) => Q(0),
WEBWE(0) => WEBWE(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => \gc0.count_d1_reg[8]\(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => \gic0.gc0.count_d2_reg[8]\(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_ramfifo;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_10\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_11\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_12\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_13\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_14\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_15\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_16\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_17\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gcx.clkx_n_18\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_1\ : STD_LOGIC;
signal \gras.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \gwas.wsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 4 to 4 );
signal p_0_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_18_out : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_20_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_9_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 );
signal rst_full_ff_i : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal tmp_ram_rd_en : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 8 to 8 );
signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.dcfifo_32in_32out_16kb_rd_cnt_clk_x_pntrs
port map (
Q(8 downto 0) => p_20_out(8 downto 0),
RD_PNTR_WR(8 downto 0) => p_0_out(8 downto 0),
S(0) => \gntv_or_sync_fifo.gcx.clkx_n_10\,
WR_PNTR_RD(8 downto 0) => p_1_out(8 downto 0),
\gc0.count_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_9_out(8 downto 0),
\gic0.gc0.count_reg[8]\(0) => wr_pntr_plus2(8),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0),
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\,
rd_clk => rd_clk,
\rd_dc_i_reg[7]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_11\,
\rd_dc_i_reg[7]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_12\,
\rd_dc_i_reg[7]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_13\,
\rd_dc_i_reg[7]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_14\,
\rd_dc_i_reg[7]_0\(3) => \gntv_or_sync_fifo.gcx.clkx_n_15\,
\rd_dc_i_reg[7]_0\(2) => \gntv_or_sync_fifo.gcx.clkx_n_16\,
\rd_dc_i_reg[7]_0\(1) => \gntv_or_sync_fifo.gcx.clkx_n_17\,
\rd_dc_i_reg[7]_0\(0) => \gntv_or_sync_fifo.gcx.clkx_n_18\,
v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0),
v1_reg_0(0) => \gwas.wsts/c2/v1_reg\(4),
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.dcfifo_32in_32out_16kb_rd_cnt_rd_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => p_20_out(8 downto 0),
Q(0) => RD_RST,
S(0) => \gntv_or_sync_fifo.gcx.clkx_n_10\,
WR_PNTR_RD(8 downto 0) => p_1_out(8 downto 0),
empty => empty,
\gc0.count_d1_reg[7]\(7 downto 0) => rd_pntr_plus1(7 downto 0),
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
rd_en => rd_en,
v1_reg(3 downto 0) => \gras.rsts/c1/v1_reg\(3 downto 0),
\wr_pntr_bin_reg[3]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_15\,
\wr_pntr_bin_reg[3]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_16\,
\wr_pntr_bin_reg[3]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_17\,
\wr_pntr_bin_reg[3]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_18\,
\wr_pntr_bin_reg[7]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_11\,
\wr_pntr_bin_reg[7]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_12\,
\wr_pntr_bin_reg[7]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_13\,
\wr_pntr_bin_reg[7]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_14\,
\wr_pntr_bin_reg[8]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\
);
\gntv_or_sync_fifo.gl0.wr\: entity work.dcfifo_32in_32out_16kb_rd_cnt_wr_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(8 downto 0) => p_9_out(8 downto 0),
Q(0) => wr_pntr_plus2(8),
RD_PNTR_WR(8 downto 0) => p_0_out(8 downto 0),
WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_1\,
full => full,
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\,
\rd_pntr_bin_reg[8]\(0) => \gwas.wsts/c2/v1_reg\(4),
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.dcfifo_32in_32out_16kb_rd_cnt_memory
port map (
Q(0) => rd_rst_i(0),
WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_1\,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[8]\(8 downto 0) => p_20_out(8 downto 0),
\gic0.gc0.count_d2_reg[8]\(8 downto 0) => p_9_out(8 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
rstblk: entity work.dcfifo_32in_32out_16kb_rd_cnt_reset_blk_ramfifo
port map (
Q(2) => RD_RST,
Q(1 downto 0) => rd_rst_i(1 downto 0),
\gic0.gc0.count_reg[0]\(1) => \^rst\,
\gic0.gc0.count_reg[0]\(0) => wr_rst_i(0),
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_top : entity is "fifo_generator_top";
end dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_top;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_top is
begin
\grf.rf\: entity work.dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_ramfifo
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0_synth;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_top
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 8 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 8 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 8 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 9;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 2;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 3;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 509;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 508;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 2;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 512;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 9;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 9;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 512;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 9;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is 1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 : entity is "fifo_generator_v12_0";
end dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(8) <= \<const0>\;
data_count(7) <= \<const0>\;
data_count(6) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(8) <= \<const0>\;
wr_data_count(7) <= \<const0>\;
wr_data_count(6) <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0_synth
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dcfifo_32in_32out_16kb_rd_cnt : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dcfifo_32in_32out_16kb_rd_cnt : entity is "dcfifo_32in_32out_16kb_rd_cnt,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of dcfifo_32in_32out_16kb_rd_cnt : entity is "dcfifo_32in_32out_16kb_rd_cnt,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=509,C_PROG_FULL_THRESH_NEGATE_VAL=508,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=2,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=9,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dcfifo_32in_32out_16kb_rd_cnt : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dcfifo_32in_32out_16kb_rd_cnt : entity is "fifo_generator_v12_0,Vivado 2015.1";
end dcfifo_32in_32out_16kb_rd_cnt;
architecture STRUCTURE of dcfifo_32in_32out_16kb_rd_cnt is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 9;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 1;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 1;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 0;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 509;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 508;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 2;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 512;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 9;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 9;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 512;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 9;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.dcfifo_32in_32out_16kb_rd_cnt_fifo_generator_v12_0
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(8 downto 0) => NLW_U0_data_count_UNCONNECTED(8 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(8) => '0',
prog_empty_thresh(7) => '0',
prog_empty_thresh(6) => '0',
prog_empty_thresh(5) => '0',
prog_empty_thresh(4) => '0',
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(8) => '0',
prog_empty_thresh_assert(7) => '0',
prog_empty_thresh_assert(6) => '0',
prog_empty_thresh_assert(5) => '0',
prog_empty_thresh_assert(4) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(8) => '0',
prog_empty_thresh_negate(7) => '0',
prog_empty_thresh_negate(6) => '0',
prog_empty_thresh_negate(5) => '0',
prog_empty_thresh_negate(4) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(8) => '0',
prog_full_thresh(7) => '0',
prog_full_thresh(6) => '0',
prog_full_thresh(5) => '0',
prog_full_thresh(4) => '0',
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(8) => '0',
prog_full_thresh_assert(7) => '0',
prog_full_thresh_assert(6) => '0',
prog_full_thresh_assert(5) => '0',
prog_full_thresh_assert(4) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(8) => '0',
prog_full_thresh_negate(7) => '0',
prog_full_thresh_negate(6) => '0',
prog_full_thresh_negate(5) => '0',
prog_full_thresh_negate(4) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => rd_clk,
rd_data_count(1 downto 0) => rd_data_count(1 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(8 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(8 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.runs/mult_gen_0_synth_1/mult_gen_0_stub.vhdl
|
2
|
1338
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.3 (win64) Build 1368829 Mon Sep 28 20:06:43 MDT 2015
-- Date : Wed Mar 30 14:50:02 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/SKL/Desktop/ECE532/repo/streamed_encoder_ip_prj2/project_1.runs/mult_gen_0_synth_1/mult_gen_0_stub.vhdl
-- Design : mult_gen_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a200tsbg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mult_gen_0 is
Port (
A : in STD_LOGIC_VECTOR ( 32 downto 0 );
B : in STD_LOGIC_VECTOR ( 13 downto 0 );
P : out STD_LOGIC_VECTOR ( 53 downto 0 )
);
end mult_gen_0;
architecture stub of mult_gen_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "A[32:0],B[13:0],P[53:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_9,Vivado 2015.3";
begin
end;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/digilent_repo/local/ip/rgb2dvi_v1_2/src/SyncAsync.vhd
|
34
|
3727
|
-------------------------------------------------------------------------------
--
-- File: SyncAsync.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 20 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module synchronizes the asynchronous signal (aIn) with the OutClk clock
-- domain and provides it on oOut. The number of FFs in the synchronizer chain
-- can be configured with kStages. The reset value for oOut can be configured
-- with kResetTo. The asynchronous reset (aReset) is always active-high.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2); --double sync by default
Port (
aReset : in STD_LOGIC; -- active-high asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
end SyncAsync;
architecture Behavioral of SyncAsync is
signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo);
attribute ASYNC_REG : string;
attribute ASYNC_REG of oSyncStages: signal is "TRUE";
begin
Sync: process (OutClk, aReset)
begin
if (aReset = '1') then
oSyncStages <= (others => kResetTo);
elsif Rising_Edge(OutClk) then
oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn;
end if;
end process Sync;
oOut <= oSyncStages(oSyncStages'high);
end Behavioral;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/mult_gen_0/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
|
13
|
96005
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nk6fnppLgHlzs+TNQpNePIv69B67ibWF4Jvv+BAfKVD+4M9c5ENtop3+Z1Cz6J9J51LrN9wn+K89
GZc9q/N3Ew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gioQH07rHlCnzBNi15UQwX1JDUfDjk8Ba6SKCZugFEmd6xGwVpa9/oHf0dFmMAHpj7XIsfSBdTBV
8aP6pTcmDqgBd+Y9jc4nrxEPQ9H6l2atJ0+8Ixeo52L7qmQGl76FMZRCovEz7vUOvdtwFY0Ie0FC
lO5h1s04SvXQ1uBacpI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Odru864y+vmVgk467KLsCE58Wvt6Ju873JqdLhsfz+oT8F5/+PevqSqxidJ0+enp/COg1IbUszEt
6MZ3lO4X69UiL0VJli0cCZnBspQsc9vAHcVBq+Ur+Cs/s/hHfBPnNlYYI0t6F2reXyLq1S3Nfwo/
ztwDcaJS/6k4aj/05DHZHIfYvovVJtsvhFuupmuFnQtA1cOHhoCns2037KVJpHy+nGiAQF4jdg8X
sPSkRrZuBIzRnRZxY2y9hkFeZ9/I482wm//U0bIdEaZniF6iQwkQlJ0h6ZzOrTk9Uxkum+AE+fPE
ms+w5LsT5BO8NVeW2LRzrpKXdIg3O4Qqkj6Opg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tBYH97KSVTkrfifvLyYG5gqGIGtnZQGa305F5YVwG7KwXzw6WqM49YbPMdawUDPpbKLK71QXYczA
FkD3DW70jnp/kEW0n0qFEw1EPOiNGUvtl9QHF6n6pC1MBLrOw42tpDKnO+mz6ATG0dWjr533oKYl
K8illF+Urr7xWM/5Dpc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tCoxMpiUdZOOvQyl8s9jokg+hyYuJCR+zR7lYEykJ4jkYuBlHP8XYax63H07GdoVbHhk3b8ZRV6E
Omw7RL1jTUwRaaYacHcR7Y9/tZITKZ/pPVXSKjkHoSTAP2BxArzpFL3Q4l5OM5jxUtKX1wfEdnUW
FeY8Duilsplz9NrDZm7ILEyre9TcS7mL6yqcUA8mm9BPthyWwzLH4JqfIj7e9dpw/DWtiaKppYxv
pixMUweAZjGg/zWulYtzeRi90SQkRIDWupKutCnOJfwJjUfLIKOrJKNO1AM31bPR1OYIzEClGX+4
DIzNKEY4fxoO5+mQF6Jo9pu7Glf4SpXw+b1JNQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69328)
`protect data_block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`protect end_protected
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
|
3
|
1553
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_32in_32out_1kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_32in_32out_1kb;
architecture stub of scfifo_32in_32out_1kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[31:0],wr_en,rd_en,dout[31:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
gpl-3.0
|
tirfil/vhdI2CMaster
|
test/tb_compare.vhd
|
1
|
2505
|
--###############################
--# Project Name :
--# File :
--# Author :
--# Description :
--# Modification History
--#
--###############################
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity tb_COMPARE is
end tb_COMPARE;
architecture stimulus of tb_COMPARE is
-- COMPONENTS --
component COMPARE
port(
MCLK : in std_logic;
nRST : in std_logic;
TIC : in std_logic;
COMPLETED : in std_logic;
RESCAN : out std_logic;
XREG : in std_logic_vector(7 downto 0);
YREG : in std_logic_vector(7 downto 0);
ZREG : in std_logic_vector(7 downto 0);
LEDX : out std_logic;
LEDY : out std_logic;
LEDZ : out std_logic;
SIGN : out std_logic
);
end component;
--
-- SIGNALS --
signal MCLK : std_logic;
signal nRST : std_logic;
signal TIC : std_logic;
signal COMPLETED : std_logic;
signal RESCAN : std_logic;
signal XREG : std_logic_vector(7 downto 0);
signal YREG : std_logic_vector(7 downto 0);
signal ZREG : std_logic_vector(7 downto 0);
signal LEDX : std_logic;
signal LEDY : std_logic;
signal LEDZ : std_logic;
signal SIGN : std_logic;
--
signal RUNNING : std_logic := '1';
signal counter : std_logic_vector(7 downto 0);
begin
-- PORT MAP --
I_COMPARE_0 : COMPARE
port map (
MCLK => MCLK,
nRST => nRST,
TIC => TIC,
COMPLETED => COMPLETED,
RESCAN => RESCAN,
XREG => XREG,
YREG => YREG,
ZREG => ZREG,
LEDX => LEDX,
LEDY => LEDY,
LEDZ => LEDZ,
SIGN => SIGN
);
TIC <= counter(7) and counter(5); -- 2.56 + 0.64 uS (~300 khz ) for ~100 kbit
GEN: process(MCLK, nRST)
begin
if (nRST = '0') then
counter <= (others=>'0');
elsif (MCLK'event and MCLK='1') then
if (TIC = '1') then
counter <= (others=>'0');
else
counter <= std_logic_vector(to_unsigned(to_integer(unsigned( counter )) + 1, 8));
end if;
end if;
end process GEN;
--
CLOCK: process
begin
while (RUNNING = '1') loop
MCLK <= '1';
wait for 10 ns;
MCLK <= '0';
wait for 10 ns;
end loop;
wait;
end process CLOCK;
GO: process
begin
nRST <= '0';
XREG <= "00000000";
YREG <= "10000000";
ZREG <= "10000001";
COMPLETED <= '1';
wait for 1000 ns;
nRST <= '1';
wait for 4000 ns;
XREG <= "00000001";
YREG <= "00000010";
ZREG <= "00000011";
wait for 4000 ns;
XREG <= "10000001";
YREG <= "10000010";
ZREG <= "10000011";
wait for 4000 ns;
RUNNING <= '0';
wait;
end process GO;
end stimulus;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encdec_sim_prj/encdec_sim_prj.srcs/sources_1/ip/dcfifo_32in_32out_16kb_rd_cnt/dcfifo_32in_32out_16kb_rd_cnt_stub.vhdl
|
1
|
1720
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Tue Mar 22 03:39:21 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/quadencoder/encoder_decoder_prj/project_1.srcs/sources_1/ip/dcfifo_32in_32out_16kb_rd_cnt/dcfifo_32in_32out_16kb_rd_cnt_stub.vhdl
-- Design : dcfifo_32in_32out_16kb_rd_cnt
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dcfifo_32in_32out_16kb_rd_cnt is
Port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
rd_data_count : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end dcfifo_32in_32out_16kb_rd_cnt;
architecture stub of dcfifo_32in_32out_16kb_rd_cnt is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "rst,wr_clk,rd_clk,din[31:0],wr_en,rd_en,dout[31:0],full,empty,rd_data_count[1:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/mult_gen_0/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0.vhd
|
13
|
7774
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ixyOv8Pmp3S61di4DQ6x5jA6IQZ0VaPGFUSTXTTniEX8J/GPlhxRmszVLTBCjyCoPRPbr93iqRTQ
AZ7oFvQqgA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQ6ysyeEWGf76isegQ4+74xXTeIi4tkltnr0qsbqsrsP6dOX/fMWPSAoN4CkTpW2nS1DJ+cEMO9n
IvETV0bv19ngFn3J3bR+3VREvm5lYhGgvw73ucJdTtlPEI09kCV0TTxRV6UbDpTMcVeKTw0e4fur
C/y+uBFR750wd9EtTfE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VAljLJLuoaKQYJuxPbK+ow8VXIwnDDOPzu8z98B6ChPXQekK/jmOUD5wNdGr8/jp4etsy17p31Ay
3pmhJKxFG2Py9Ft4mhmDKM0r81jZCIETC8dddusE4vGnJzn3KJVnFIgHg9lm9AilXlT9PAWe3WFa
W6iUw4c3XAfMnUAHYFAP3TZKDq+dT3hHZ+NpTawvOdZQzUcTSg1YzUpqduQvqGRP0dcgcD3W5VP+
SS8FQPLxA5iIgDmKMXpLxN4hojXJQ1UgP80zibBUuxi4VpqA2WS3Bkfo1y5axARvo8eE1wNnKsAx
vrwi9X54QLsn7vH7IJhZTOdbVy4ihG6vrjkG/Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tc4EwJHO7MGl5EWOPnrRshzWgnfuDqLINOLHF58Bpw/QwCUWLSLACuzE1G9prQtObyp0MBwxGPsN
9sSc4a891tzwdGIFhHIt5dvTMJVp4BxG+OEuta/qzrA6SmcOW1X2YcTWnbAcsr+DFVqjYt+tswr1
qzPu5OEUKVv67GUQlu0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cgWYPqfFogDbUrcMtbzX7tO8eOqiHJ5319TNcYTxFPi6743IsBD8KMmJXfNGImBA0pxiiGX0f6bi
G7/klu77NAGqigY0+OX9AHqFDiR1QuMjJnOUAazHYVlKh9MkFaGNPTKSE6z9UoIWbaxSPb7ZFWy6
zFdFjVbuwNdtMKf1EklqhxXiBluJiDfdmDqiFILYgbii2nHjONa3AaFzDF6StmiXgauReWRPuS9/
bv4WZbrLf5NG3RBctYbWh/NrX3GjMp5nHYcB02wYa1j0cI9s4XsM6WQkPNXA3sicD7wdpu1KUD3D
Nthz3bIthiEdI4I2rGlzJt/5Yr+OvHtNQ/O6Lw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016)
`protect data_block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`protect end_protected
|
gpl-3.0
|
tnsrb93/G1_RealTimeDCTSteganography
|
src/ips/encoder_ip_prj/encoder_ip_prj.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_funcsim.vhdl
|
3
|
175961
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_funcsim.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_dmem is
port (
Q : out STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
\gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 );
\gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_dmem : entity is "dmem";
end scfifo_32in_32out_1kb_dmem;
architecture STRUCTURE of scfifo_32in_32out_1kb_dmem is
signal p_0_out : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_RAM_reg_0_31_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_30_31_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_30_31_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_30_31_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
RAM_reg_0_31_0_5: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(1 downto 0),
DIB(1 downto 0) => din(3 downto 2),
DIC(1 downto 0) => din(5 downto 4),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(1 downto 0),
DOB(1 downto 0) => p_0_out(3 downto 2),
DOC(1 downto 0) => p_0_out(5 downto 4),
DOD(1 downto 0) => NLW_RAM_reg_0_31_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
RAM_reg_0_31_12_17: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(13 downto 12),
DIB(1 downto 0) => din(15 downto 14),
DIC(1 downto 0) => din(17 downto 16),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(13 downto 12),
DOB(1 downto 0) => p_0_out(15 downto 14),
DOC(1 downto 0) => p_0_out(17 downto 16),
DOD(1 downto 0) => NLW_RAM_reg_0_31_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
RAM_reg_0_31_18_23: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(19 downto 18),
DIB(1 downto 0) => din(21 downto 20),
DIC(1 downto 0) => din(23 downto 22),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(19 downto 18),
DOB(1 downto 0) => p_0_out(21 downto 20),
DOC(1 downto 0) => p_0_out(23 downto 22),
DOD(1 downto 0) => NLW_RAM_reg_0_31_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
RAM_reg_0_31_24_29: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(25 downto 24),
DIB(1 downto 0) => din(27 downto 26),
DIC(1 downto 0) => din(29 downto 28),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(25 downto 24),
DOB(1 downto 0) => p_0_out(27 downto 26),
DOC(1 downto 0) => p_0_out(29 downto 28),
DOD(1 downto 0) => NLW_RAM_reg_0_31_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
RAM_reg_0_31_30_31: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(31 downto 30),
DIB(1) => '0',
DIB(0) => '0',
DIC(1) => '0',
DIC(0) => '0',
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(31 downto 30),
DOB(1 downto 0) => NLW_RAM_reg_0_31_30_31_DOB_UNCONNECTED(1 downto 0),
DOC(1 downto 0) => NLW_RAM_reg_0_31_30_31_DOC_UNCONNECTED(1 downto 0),
DOD(1 downto 0) => NLW_RAM_reg_0_31_30_31_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
RAM_reg_0_31_6_11: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRB(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRC(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
ADDRD(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
DIA(1 downto 0) => din(7 downto 6),
DIB(1 downto 0) => din(9 downto 8),
DIC(1 downto 0) => din(11 downto 10),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(7 downto 6),
DOB(1 downto 0) => p_0_out(9 downto 8),
DOC(1 downto 0) => p_0_out(11 downto 10),
DOD(1 downto 0) => NLW_RAM_reg_0_31_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => clk,
WE => ram_full_fb_i_reg(0)
);
\gpr1.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(0),
Q => Q(0)
);
\gpr1.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(10),
Q => Q(10)
);
\gpr1.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(11),
Q => Q(11)
);
\gpr1.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(12),
Q => Q(12)
);
\gpr1.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(13),
Q => Q(13)
);
\gpr1.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(14),
Q => Q(14)
);
\gpr1.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(15),
Q => Q(15)
);
\gpr1.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(16),
Q => Q(16)
);
\gpr1.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(17),
Q => Q(17)
);
\gpr1.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(18),
Q => Q(18)
);
\gpr1.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(19),
Q => Q(19)
);
\gpr1.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(1),
Q => Q(1)
);
\gpr1.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(20),
Q => Q(20)
);
\gpr1.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(21),
Q => Q(21)
);
\gpr1.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(22),
Q => Q(22)
);
\gpr1.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(23),
Q => Q(23)
);
\gpr1.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(24),
Q => Q(24)
);
\gpr1.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(25),
Q => Q(25)
);
\gpr1.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(26),
Q => Q(26)
);
\gpr1.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(27),
Q => Q(27)
);
\gpr1.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(28),
Q => Q(28)
);
\gpr1.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(29),
Q => Q(29)
);
\gpr1.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(2),
Q => Q(2)
);
\gpr1.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(30),
Q => Q(30)
);
\gpr1.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(31),
Q => Q(31)
);
\gpr1.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(3),
Q => Q(3)
);
\gpr1.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(4),
Q => Q(4)
);
\gpr1.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(5),
Q => Q(5)
);
\gpr1.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(6),
Q => Q(6)
);
\gpr1.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(7),
Q => Q(7)
);
\gpr1.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(8),
Q => Q(8)
);
\gpr1.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0),
D => p_0_out(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_rd_bin_cntr is
port (
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 4 downto 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
ram_full_comb : out STD_LOGIC;
\gcc0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
ram_empty_fb_i_reg_0 : in STD_LOGIC;
\gcc0.gc0.count_reg[3]\ : in STD_LOGIC;
\gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 );
p_18_out : in STD_LOGIC;
\gpregsm1.curr_fwft_state_reg[0]\ : in STD_LOGIC;
ram_full_fb_i_reg : in STD_LOGIC;
ram_full_fb_i_reg_0 : in STD_LOGIC;
\gpregsm1.curr_fwft_state_reg[0]_0\ : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_rd_bin_cntr : entity is "rd_bin_cntr";
end scfifo_32in_32out_1kb_rd_bin_cntr;
architecture STRUCTURE of scfifo_32in_32out_1kb_rd_bin_cntr is
signal \^gpr1.dout_i_reg[1]\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal plusOp : STD_LOGIC_VECTOR ( 4 downto 0 );
signal ram_empty_fb_i_i_2_n_0 : STD_LOGIC;
signal ram_empty_fb_i_i_5_n_0 : STD_LOGIC;
signal ram_full_i_i_4_n_0 : STD_LOGIC;
signal ram_full_i_i_5_n_0 : STD_LOGIC;
signal ram_full_i_i_6_n_0 : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[1]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair4";
begin
\gpr1.dout_i_reg[1]\(4 downto 0) <= \^gpr1.dout_i_reg[1]\(4 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rd_pntr_plus1(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rd_pntr_plus1(0),
I1 => rd_pntr_plus1(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => rd_pntr_plus1(1),
I1 => rd_pntr_plus1(0),
I2 => rd_pntr_plus1(2),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => rd_pntr_plus1(2),
I1 => rd_pntr_plus1(0),
I2 => rd_pntr_plus1(1),
I3 => rd_pntr_plus1(3),
O => plusOp(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => rd_pntr_plus1(3),
I1 => rd_pntr_plus1(1),
I2 => rd_pntr_plus1(0),
I3 => rd_pntr_plus1(2),
I4 => rd_pntr_plus1(4),
O => plusOp(4)
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => rd_pntr_plus1(0),
Q => \^gpr1.dout_i_reg[1]\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => rd_pntr_plus1(1),
Q => \^gpr1.dout_i_reg[1]\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => rd_pntr_plus1(2),
Q => \^gpr1.dout_i_reg[1]\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => rd_pntr_plus1(3),
Q => \^gpr1.dout_i_reg[1]\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => rd_pntr_plus1(4),
Q => \^gpr1.dout_i_reg[1]\(4)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => plusOp(0),
PRE => Q(0),
Q => rd_pntr_plus1(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => plusOp(1),
Q => rd_pntr_plus1(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => plusOp(2),
Q => rd_pntr_plus1(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => plusOp(3),
Q => rd_pntr_plus1(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => Q(0),
D => plusOp(4),
Q => rd_pntr_plus1(4)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FCCC8888CCCC8888"
)
port map (
I0 => ram_full_i_i_4_n_0,
I1 => p_18_out,
I2 => ram_empty_fb_i_i_2_n_0,
I3 => \gpregsm1.curr_fwft_state_reg[0]\,
I4 => ram_full_fb_i_reg,
I5 => ram_empty_fb_i_i_5_n_0,
O => ram_empty_fb_i_reg
);
ram_empty_fb_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => rd_pntr_plus1(1),
I1 => \gcc0.gc0.count_d1_reg[4]\(1),
I2 => \gcc0.gc0.count_d1_reg[4]\(0),
I3 => rd_pntr_plus1(0),
I4 => \gcc0.gc0.count_d1_reg[4]\(2),
I5 => rd_pntr_plus1(2),
O => ram_empty_fb_i_i_2_n_0
);
ram_empty_fb_i_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => rd_pntr_plus1(3),
I1 => \gcc0.gc0.count_d1_reg[4]\(3),
I2 => rd_pntr_plus1(4),
I3 => \gcc0.gc0.count_d1_reg[4]\(4),
O => ram_empty_fb_i_i_5_n_0
);
ram_full_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFA8A8FFA8A8A8A8"
)
port map (
I0 => ram_full_fb_i_reg_0,
I1 => \gpregsm1.curr_fwft_state_reg[0]_0\,
I2 => ram_full_i_i_4_n_0,
I3 => \^gpr1.dout_i_reg[1]\(0),
I4 => \gcc0.gc0.count_reg[2]\(0),
I5 => ram_full_i_i_5_n_0,
O => ram_full_comb
);
ram_full_i_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"BEFFFFBE"
)
port map (
I0 => ram_full_i_i_6_n_0,
I1 => \^gpr1.dout_i_reg[1]\(2),
I2 => \gcc0.gc0.count_d1_reg[4]\(2),
I3 => \^gpr1.dout_i_reg[1]\(1),
I4 => \gcc0.gc0.count_d1_reg[4]\(1),
O => ram_full_i_i_4_n_0
);
ram_full_i_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000000000"
)
port map (
I0 => \^gpr1.dout_i_reg[1]\(2),
I1 => \gcc0.gc0.count_reg[2]\(2),
I2 => \^gpr1.dout_i_reg[1]\(1),
I3 => \gcc0.gc0.count_reg[2]\(1),
I4 => ram_empty_fb_i_reg_0,
I5 => \gcc0.gc0.count_reg[3]\,
O => ram_full_i_i_5_n_0
);
ram_full_i_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \^gpr1.dout_i_reg[1]\(4),
I1 => \gcc0.gc0.count_d1_reg[4]\(4),
I2 => \^gpr1.dout_i_reg[1]\(3),
I3 => \gcc0.gc0.count_d1_reg[4]\(3),
I4 => \gcc0.gc0.count_d1_reg[4]\(0),
I5 => \^gpr1.dout_i_reg[1]\(0),
O => ram_full_i_i_6_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_rd_fwft is
port (
empty : out STD_LOGIC;
ram_full_i_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\gc0.count_d1_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\gpr1.dout_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
ram_full_i_reg_0 : out STD_LOGIC;
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
p_18_out : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
p_1_out : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_rd_fwft : entity is "rd_fwft";
end scfifo_32in_32out_1kb_rd_fwft;
architecture STRUCTURE of scfifo_32in_32out_1kb_rd_fwft is
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \gpregsm1.curr_fwft_state_reg_n_0_[1]\ : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair2";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[4]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \goreg_dm.dout_i[31]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \gpr1.dout_i[31]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair0";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_3 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of ram_full_i_i_3 : label is "soft_lutpair1";
begin
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F540"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb,
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty
);
\gc0.count_d1[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => \gc0.count_d1_reg[4]\(0)
);
\goreg_dm.dout_i[31]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D0"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
O => E(0)
);
\gpr1.dout_i[31]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => \gpr1.dout_i_reg[0]\(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AE"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => curr_fwft_state(0),
I2 => rd_en,
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"20FF"
)
port map (
I0 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(1),
Q => \gpregsm1.curr_fwft_state_reg_n_0_[1]\
);
ram_empty_fb_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"DF"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
O => ram_empty_fb_i_reg
);
ram_full_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"FF08"
)
port map (
I0 => curr_fwft_state(0),
I1 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I2 => rd_en,
I3 => p_18_out,
O => ram_full_i_reg_0
);
ram_full_i_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000BAAA0000"
)
port map (
I0 => p_18_out,
I1 => rd_en,
I2 => \gpregsm1.curr_fwft_state_reg_n_0_[1]\,
I3 => curr_fwft_state(0),
I4 => wr_en,
I5 => p_1_out,
O => ram_full_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_rd_status_flags_ss is
port (
p_18_out : out STD_LOGIC;
ram_empty_fb_i_reg_0 : in STD_LOGIC;
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_rd_status_flags_ss : entity is "rd_status_flags_ss";
end scfifo_32in_32out_1kb_rd_status_flags_ss;
architecture STRUCTURE of scfifo_32in_32out_1kb_rd_status_flags_ss is
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_empty_fb_i_reg_0,
PRE => Q(0),
Q => p_18_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_reset_blk_ramfifo is
port (
rst_full_ff_i : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
AR : out STD_LOGIC_VECTOR ( 0 to 0 );
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
clk : in STD_LOGIC;
rst : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end scfifo_32in_32out_1kb_reset_blk_ramfifo;
architecture STRUCTURE of scfifo_32in_32out_1kb_reset_blk_ramfifo is
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal rst_d2 : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal rst_rd_reg1 : STD_LOGIC;
signal rst_rd_reg2 : STD_LOGIC;
signal rst_wr_reg1 : STD_LOGIC;
signal rst_wr_reg2 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_full_ff_i <= rst_d2;
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => rst_d2,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d1,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => Q(1)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d1,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => AR(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_wr_bin_cntr is
port (
ram_full_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 4 downto 0 );
\gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_wr_bin_cntr : entity is "wr_bin_cntr";
end scfifo_32in_32out_1kb_wr_bin_cntr;
architecture STRUCTURE of scfifo_32in_32out_1kb_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_9_out : STD_LOGIC_VECTOR ( 4 downto 3 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gcc0.gc0.count[1]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gcc0.gc0.count[2]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gcc0.gc0.count[3]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \gcc0.gc0.count[4]_i_1\ : label is "soft_lutpair7";
begin
Q(2 downto 0) <= \^q\(2 downto 0);
\gcc0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gcc0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gcc0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
O => \plusOp__0\(2)
);
\gcc0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => p_9_out(3),
O => \plusOp__0\(3)
);
\gcc0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => p_9_out(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => p_9_out(4),
O => \plusOp__0\(4)
);
\gcc0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(0),
Q => \gpr1.dout_i_reg[1]\(0)
);
\gcc0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(1),
Q => \gpr1.dout_i_reg[1]\(1)
);
\gcc0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \^q\(2),
Q => \gpr1.dout_i_reg[1]\(2)
);
\gcc0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_9_out(3),
Q => \gpr1.dout_i_reg[1]\(3)
);
\gcc0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => p_9_out(4),
Q => \gpr1.dout_i_reg[1]\(4)
);
\gcc0.gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => E(0),
D => \plusOp__0\(0),
PRE => AR(0),
Q => \^q\(0)
);
\gcc0.gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(1),
Q => \^q\(1)
);
\gcc0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gcc0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(3),
Q => p_9_out(3)
);
\gcc0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
CLR => AR(0),
D => \plusOp__0\(4),
Q => p_9_out(4)
);
ram_full_i_i_8: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_9_out(3),
I1 => \gc0.count_d1_reg[4]\(0),
I2 => p_9_out(4),
I3 => \gc0.count_d1_reg[4]\(1),
O => ram_full_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_wr_status_flags_ss is
port (
\gcc0.gc0.count_reg[4]\ : out STD_LOGIC;
full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
ram_full_i_reg_0 : out STD_LOGIC;
ram_full_comb : in STD_LOGIC;
clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_wr_status_flags_ss : entity is "wr_status_flags_ss";
end scfifo_32in_32out_1kb_wr_status_flags_ss;
architecture STRUCTURE of scfifo_32in_32out_1kb_wr_status_flags_ss is
signal \^gcc0.gc0.count_reg[4]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of ram_empty_fb_i_i_4 : label is "soft_lutpair6";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute SOFT_HLUTNM of ram_full_i_i_2 : label is "soft_lutpair6";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
\gcc0.gc0.count_reg[4]\ <= \^gcc0.gc0.count_reg[4]\;
\gcc0.gc0.count_d1[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^gcc0.gc0.count_reg[4]\,
O => E(0)
);
ram_empty_fb_i_i_4: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^gcc0.gc0.count_reg[4]\,
I1 => wr_en,
O => ram_empty_fb_i_reg
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => rst_full_ff_i,
Q => \^gcc0.gc0.count_reg[4]\
);
ram_full_i_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^gcc0.gc0.count_reg[4]\,
I1 => rst_full_gen_i,
O => ram_full_i_reg_0
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => ram_full_comb,
PRE => rst_full_ff_i,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_memory is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
\gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 );
\gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 );
\gpregsm1.curr_fwft_state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_memory : entity is "memory";
end scfifo_32in_32out_1kb_memory;
architecture STRUCTURE of scfifo_32in_32out_1kb_memory is
signal p_0_out : STD_LOGIC_VECTOR ( 31 downto 0 );
begin
\gdm.dm\: entity work.scfifo_32in_32out_1kb_dmem
port map (
E(0) => E(0),
Q(31 downto 0) => p_0_out(31 downto 0),
clk => clk,
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[4]\(4 downto 0) => \gc0.count_d1_reg[4]\(4 downto 0),
\gcc0.gc0.count_d1_reg[4]\(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => Q(0),
ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0)
);
\goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(0),
Q => dout(0)
);
\goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(10),
Q => dout(10)
);
\goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(11),
Q => dout(11)
);
\goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(12),
Q => dout(12)
);
\goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(13),
Q => dout(13)
);
\goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(14),
Q => dout(14)
);
\goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(15),
Q => dout(15)
);
\goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(16),
Q => dout(16)
);
\goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(17),
Q => dout(17)
);
\goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(18),
Q => dout(18)
);
\goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(19),
Q => dout(19)
);
\goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(1),
Q => dout(1)
);
\goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(20),
Q => dout(20)
);
\goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(21),
Q => dout(21)
);
\goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(22),
Q => dout(22)
);
\goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(23),
Q => dout(23)
);
\goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(24),
Q => dout(24)
);
\goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(25),
Q => dout(25)
);
\goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(26),
Q => dout(26)
);
\goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(27),
Q => dout(27)
);
\goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(28),
Q => dout(28)
);
\goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(29),
Q => dout(29)
);
\goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(2),
Q => dout(2)
);
\goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(30),
Q => dout(30)
);
\goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(31),
Q => dout(31)
);
\goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(3),
Q => dout(3)
);
\goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(4),
Q => dout(4)
);
\goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(5),
Q => dout(5)
);
\goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(6),
Q => dout(6)
);
\goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(7),
Q => dout(7)
);
\goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(8),
Q => dout(8)
);
\goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \gpregsm1.curr_fwft_state_reg[0]\(0),
CLR => Q(0),
D => p_0_out(9),
Q => dout(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_rd_logic is
port (
empty : out STD_LOGIC;
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 4 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\gpr1.dout_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_comb : out STD_LOGIC;
clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gcc0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\gcc0.gc0.count_reg[3]\ : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
p_1_out : in STD_LOGIC;
\gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 4 downto 0 );
ram_full_fb_i_reg : in STD_LOGIC;
ram_full_fb_i_reg_0 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_rd_logic : entity is "rd_logic";
end scfifo_32in_32out_1kb_rd_logic;
architecture STRUCTURE of scfifo_32in_32out_1kb_rd_logic is
signal \gr1.rfwft_n_1\ : STD_LOGIC;
signal \gr1.rfwft_n_5\ : STD_LOGIC;
signal \gr1.rfwft_n_6\ : STD_LOGIC;
signal p_14_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal rpntr_n_5 : STD_LOGIC;
begin
\gr1.rfwft\: entity work.scfifo_32in_32out_1kb_rd_fwft
port map (
E(0) => E(0),
Q(0) => Q(0),
clk => clk,
empty => empty,
\gc0.count_d1_reg[4]\(0) => p_14_out,
\gpr1.dout_i_reg[0]\(0) => \gpr1.dout_i_reg[0]\(0),
p_18_out => p_18_out,
p_1_out => p_1_out,
ram_empty_fb_i_reg => \gr1.rfwft_n_5\,
ram_full_i_reg => \gr1.rfwft_n_1\,
ram_full_i_reg_0 => \gr1.rfwft_n_6\,
rd_en => rd_en,
wr_en => wr_en
);
\grss.rsts\: entity work.scfifo_32in_32out_1kb_rd_status_flags_ss
port map (
Q(0) => Q(0),
clk => clk,
p_18_out => p_18_out,
ram_empty_fb_i_reg_0 => rpntr_n_5
);
rpntr: entity work.scfifo_32in_32out_1kb_rd_bin_cntr
port map (
E(0) => p_14_out,
Q(0) => Q(0),
clk => clk,
\gcc0.gc0.count_d1_reg[4]\(4 downto 0) => \gcc0.gc0.count_d1_reg[4]\(4 downto 0),
\gcc0.gc0.count_reg[2]\(2 downto 0) => \gcc0.gc0.count_reg[2]\(2 downto 0),
\gcc0.gc0.count_reg[3]\ => \gcc0.gc0.count_reg[3]\,
\gpr1.dout_i_reg[1]\(4 downto 0) => \gpr1.dout_i_reg[1]\(4 downto 0),
\gpregsm1.curr_fwft_state_reg[0]\ => \gr1.rfwft_n_5\,
\gpregsm1.curr_fwft_state_reg[0]_0\ => \gr1.rfwft_n_6\,
p_18_out => p_18_out,
ram_empty_fb_i_reg => rpntr_n_5,
ram_empty_fb_i_reg_0 => \gr1.rfwft_n_1\,
ram_full_comb => ram_full_comb,
ram_full_fb_i_reg => ram_full_fb_i_reg,
ram_full_fb_i_reg_0 => ram_full_fb_i_reg_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_wr_logic is
port (
p_1_out : out STD_LOGIC;
full : out STD_LOGIC;
ram_full_i_reg : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_empty_fb_i_reg : out STD_LOGIC;
ram_full_i_reg_0 : out STD_LOGIC;
\gpr1.dout_i_reg[1]\ : out STD_LOGIC_VECTOR ( 4 downto 0 );
ram_full_comb : in STD_LOGIC;
clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
\gc0.count_d1_reg[4]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
AR : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_wr_logic : entity is "wr_logic";
end scfifo_32in_32out_1kb_wr_logic;
architecture STRUCTURE of scfifo_32in_32out_1kb_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
begin
E(0) <= \^e\(0);
\gwss.wsts\: entity work.scfifo_32in_32out_1kb_wr_status_flags_ss
port map (
E(0) => \^e\(0),
clk => clk,
full => full,
\gcc0.gc0.count_reg[4]\ => p_1_out,
ram_empty_fb_i_reg => ram_empty_fb_i_reg,
ram_full_comb => ram_full_comb,
ram_full_i_reg_0 => ram_full_i_reg_0,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
wr_en => wr_en
);
wpntr: entity work.scfifo_32in_32out_1kb_wr_bin_cntr
port map (
AR(0) => AR(0),
E(0) => \^e\(0),
Q(2 downto 0) => Q(2 downto 0),
clk => clk,
\gc0.count_d1_reg[4]\(1 downto 0) => \gc0.count_d1_reg[4]\(1 downto 0),
\gpr1.dout_i_reg[1]\(4 downto 0) => \gpr1.dout_i_reg[1]\(4 downto 0),
ram_full_i_reg => ram_full_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end scfifo_32in_32out_1kb_fifo_generator_ramfifo;
architecture STRUCTURE of scfifo_32in_32out_1kb_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_2\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_7\ : STD_LOGIC;
signal \gntv_or_sync_fifo.gl0.wr_n_8\ : STD_LOGIC;
signal \gwss.wsts/ram_full_comb\ : STD_LOGIC;
signal p_10_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_15_out : STD_LOGIC;
signal p_1_out : STD_LOGIC;
signal p_20_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_4_out : STD_LOGIC;
signal p_9_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ram_rd_en_i : STD_LOGIC;
signal rst_full_ff_i : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal rstblk_n_4 : STD_LOGIC;
begin
\gntv_or_sync_fifo.gl0.rd\: entity work.scfifo_32in_32out_1kb_rd_logic
port map (
E(0) => p_15_out,
Q(0) => RD_RST,
clk => clk,
empty => empty,
\gcc0.gc0.count_d1_reg[4]\(4 downto 0) => p_10_out(4 downto 0),
\gcc0.gc0.count_reg[2]\(2 downto 0) => p_9_out(2 downto 0),
\gcc0.gc0.count_reg[3]\ => \gntv_or_sync_fifo.gl0.wr_n_2\,
\gpr1.dout_i_reg[0]\(0) => ram_rd_en_i,
\gpr1.dout_i_reg[1]\(4 downto 0) => p_20_out(4 downto 0),
p_1_out => p_1_out,
ram_full_comb => \gwss.wsts/ram_full_comb\,
ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_7\,
ram_full_fb_i_reg_0 => \gntv_or_sync_fifo.gl0.wr_n_8\,
rd_en => rd_en,
wr_en => wr_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.scfifo_32in_32out_1kb_wr_logic
port map (
AR(0) => \^rst\,
E(0) => p_4_out,
Q(2 downto 0) => p_9_out(2 downto 0),
clk => clk,
full => full,
\gc0.count_d1_reg[4]\(1 downto 0) => p_20_out(4 downto 3),
\gpr1.dout_i_reg[1]\(4 downto 0) => p_10_out(4 downto 0),
p_1_out => p_1_out,
ram_empty_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_7\,
ram_full_comb => \gwss.wsts/ram_full_comb\,
ram_full_i_reg => \gntv_or_sync_fifo.gl0.wr_n_2\,
ram_full_i_reg_0 => \gntv_or_sync_fifo.gl0.wr_n_8\,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.scfifo_32in_32out_1kb_memory
port map (
E(0) => ram_rd_en_i,
Q(0) => rstblk_n_4,
clk => clk,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[4]\(4 downto 0) => p_20_out(4 downto 0),
\gcc0.gc0.count_d1_reg[4]\(4 downto 0) => p_10_out(4 downto 0),
\gpregsm1.curr_fwft_state_reg[0]\(0) => p_15_out,
ram_full_fb_i_reg(0) => p_4_out
);
rstblk: entity work.scfifo_32in_32out_1kb_reset_blk_ramfifo
port map (
AR(0) => \^rst\,
Q(1) => RD_RST,
Q(0) => rstblk_n_4,
clk => clk,
rst => rst,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_fifo_generator_top : entity is "fifo_generator_top";
end scfifo_32in_32out_1kb_fifo_generator_top;
architecture STRUCTURE of scfifo_32in_32out_1kb_fifo_generator_top is
begin
\grf.rf\: entity work.scfifo_32in_32out_1kb_fifo_generator_ramfifo
port map (
clk => clk,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end scfifo_32in_32out_1kb_fifo_generator_v12_0_synth;
architecture STRUCTURE of scfifo_32in_32out_1kb_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.scfifo_32in_32out_1kb_fifo_generator_top
port map (
clk => clk,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb_fifo_generator_v12_0 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 4 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 5 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 6;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 31;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 30;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 6;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 5;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 6;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 32;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 5;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is 1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of scfifo_32in_32out_1kb_fifo_generator_v12_0 : entity is "fifo_generator_v12_0";
end scfifo_32in_32out_1kb_fifo_generator_v12_0;
architecture STRUCTURE of scfifo_32in_32out_1kb_fifo_generator_v12_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(5) <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.scfifo_32in_32out_1kb_fifo_generator_v12_0_synth
port map (
clk => clk,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_en => rd_en,
rst => rst,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity scfifo_32in_32out_1kb is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of scfifo_32in_32out_1kb : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of scfifo_32in_32out_1kb : entity is "scfifo_32in_32out_1kb,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of scfifo_32in_32out_1kb : entity is "scfifo_32in_32out_1kb,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=6,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=31,C_PROG_FULL_THRESH_NEGATE_VAL=30,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=6,C_RD_DEPTH=32,C_RD_FREQ=1,C_RD_PNTR_WIDTH=5,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=6,C_WR_DEPTH=32,C_WR_FREQ=1,C_WR_PNTR_WIDTH=5,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of scfifo_32in_32out_1kb : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of scfifo_32in_32out_1kb : entity is "fifo_generator_v12_0,Vivado 2015.1";
end scfifo_32in_32out_1kb;
architecture STRUCTURE of scfifo_32in_32out_1kb is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 1;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 6;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 31;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 30;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 6;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 32;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 5;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 1;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 6;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 32;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 5;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.scfifo_32in_32out_1kb_fifo_generator_v12_0
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => clk,
data_count(5 downto 0) => NLW_U0_data_count_UNCONNECTED(5 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(4) => '0',
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(4) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(4) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(4) => '0',
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(4) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(4) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => '0',
rd_data_count(5 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(5 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => '0',
wr_data_count(5 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(5 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/mlite_pack.vhd
|
3
|
26281
|
---------------------------------------------------------------------
-- TITLE: Plasma Misc. Package
-- Main AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/15/01
-- FILENAME: mlite_pack.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Data types, constants, and add functions needed for the Plasma CPU.
-- modified by: Siavoosh Payandeh Azad
-- Change logs:
-- * An NI has been added to the file as a new module
-- * some changes has been applied to the ports of the older modules
-- to facilitate the new module!
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package mlite_pack is
constant ZERO : std_logic_vector(31 downto 0) :=
"00000000000000000000000000000000";
constant ONES : std_logic_vector(31 downto 0) :=
"11111111111111111111111111111111";
--make HIGH_Z equal to ZERO if compiler complains
constant HIGH_Z : std_logic_vector(31 downto 0) :=
"ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
subtype alu_function_type is std_logic_vector(3 downto 0);
constant ALU_NOTHING : alu_function_type := "0000";
constant ALU_ADD : alu_function_type := "0001";
constant ALU_SUBTRACT : alu_function_type := "0010";
constant ALU_LESS_THAN : alu_function_type := "0011";
constant ALU_LESS_THAN_SIGNED : alu_function_type := "0100";
constant ALU_OR : alu_function_type := "0101";
constant ALU_AND : alu_function_type := "0110";
constant ALU_XOR : alu_function_type := "0111";
constant ALU_NOR : alu_function_type := "1000";
subtype shift_function_type is std_logic_vector(1 downto 0);
constant SHIFT_NOTHING : shift_function_type := "00";
constant SHIFT_LEFT_UNSIGNED : shift_function_type := "01";
constant SHIFT_RIGHT_SIGNED : shift_function_type := "11";
constant SHIFT_RIGHT_UNSIGNED : shift_function_type := "10";
subtype mult_function_type is std_logic_vector(3 downto 0);
constant MULT_NOTHING : mult_function_type := "0000";
constant MULT_READ_LO : mult_function_type := "0001";
constant MULT_READ_HI : mult_function_type := "0010";
constant MULT_WRITE_LO : mult_function_type := "0011";
constant MULT_WRITE_HI : mult_function_type := "0100";
constant MULT_MULT : mult_function_type := "0101";
constant MULT_SIGNED_MULT : mult_function_type := "0110";
constant MULT_DIVIDE : mult_function_type := "0111";
constant MULT_SIGNED_DIVIDE : mult_function_type := "1000";
subtype a_source_type is std_logic_vector(1 downto 0);
constant A_FROM_REG_SOURCE : a_source_type := "00";
constant A_FROM_IMM10_6 : a_source_type := "01";
constant A_FROM_PC : a_source_type := "10";
subtype b_source_type is std_logic_vector(1 downto 0);
constant B_FROM_REG_TARGET : b_source_type := "00";
constant B_FROM_IMM : b_source_type := "01";
constant B_FROM_SIGNED_IMM : b_source_type := "10";
constant B_FROM_IMMX4 : b_source_type := "11";
subtype c_source_type is std_logic_vector(2 downto 0);
constant C_FROM_NULL : c_source_type := "000";
constant C_FROM_ALU : c_source_type := "001";
constant C_FROM_SHIFT : c_source_type := "001"; --same as alu
constant C_FROM_MULT : c_source_type := "001"; --same as alu
constant C_FROM_MEMORY : c_source_type := "010";
constant C_FROM_PC : c_source_type := "011";
constant C_FROM_PC_PLUS4 : c_source_type := "100";
constant C_FROM_IMM_SHIFT16: c_source_type := "101";
constant C_FROM_REG_SOURCEN: c_source_type := "110";
subtype pc_source_type is std_logic_vector(1 downto 0);
constant FROM_INC4 : pc_source_type := "00";
constant FROM_OPCODE25_0 : pc_source_type := "01";
constant FROM_BRANCH : pc_source_type := "10";
constant FROM_LBRANCH : pc_source_type := "11";
subtype branch_function_type is std_logic_vector(2 downto 0);
constant BRANCH_LTZ : branch_function_type := "000";
constant BRANCH_LEZ : branch_function_type := "001";
constant BRANCH_EQ : branch_function_type := "010";
constant BRANCH_NE : branch_function_type := "011";
constant BRANCH_GEZ : branch_function_type := "100";
constant BRANCH_GTZ : branch_function_type := "101";
constant BRANCH_YES : branch_function_type := "110";
constant BRANCH_NO : branch_function_type := "111";
-- mode(32=1,16=2,8=3), signed, write
subtype mem_source_type is std_logic_vector(3 downto 0);
constant MEM_FETCH : mem_source_type := "0000";
constant MEM_READ32 : mem_source_type := "0100";
constant MEM_WRITE32 : mem_source_type := "0101";
constant MEM_READ16 : mem_source_type := "1000";
constant MEM_READ16S : mem_source_type := "1010";
constant MEM_WRITE16 : mem_source_type := "1001";
constant MEM_READ8 : mem_source_type := "1100";
constant MEM_READ8S : mem_source_type := "1110";
constant MEM_WRITE8 : mem_source_type := "1101";
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector;
function bv_negate(a : in std_logic_vector) return std_logic_vector;
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector;
function bv_inc(a : in std_logic_vector
) return std_logic_vector;
-- For Altera
COMPONENT lpm_ram_dp
generic (
LPM_WIDTH : natural; -- MUST be greater than 0
LPM_WIDTHAD : natural; -- MUST be greater than 0
LPM_NUMWORDS : natural := 0;
LPM_INDATA : string := "REGISTERED";
LPM_OUTDATA : string := "REGISTERED";
LPM_RDADDRESS_CONTROL : string := "REGISTERED";
LPM_WRADDRESS_CONTROL : string := "REGISTERED";
LPM_FILE : string := "UNUSED";
LPM_TYPE : string := "LPM_RAM_DP";
USE_EAB : string := "OFF";
INTENDED_DEVICE_FAMILY : string := "UNUSED";
RDEN_USED : string := "TRUE";
LPM_HINT : string := "UNUSED");
port (
RDCLOCK : in std_logic := '0';
RDCLKEN : in std_logic := '1';
RDADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
RDEN : in std_logic := '1';
DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
WRADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
WREN : in std_logic;
WRCLOCK : in std_logic := '0';
WRCLKEN : in std_logic := '1';
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
END COMPONENT;
-- For Altera
component LPM_RAM_DQ
generic (
LPM_WIDTH : natural; -- MUST be greater than 0
LPM_WIDTHAD : natural; -- MUST be greater than 0
LPM_NUMWORDS : natural := 0;
LPM_INDATA : string := "REGISTERED";
LPM_ADDRESS_CONTROL: string := "REGISTERED";
LPM_OUTDATA : string := "REGISTERED";
LPM_FILE : string := "UNUSED";
LPM_TYPE : string := "LPM_RAM_DQ";
USE_EAB : string := "OFF";
INTENDED_DEVICE_FAMILY : string := "UNUSED";
LPM_HINT : string := "UNUSED");
port (
DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
ADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
INCLOCK : in std_logic := '0';
OUTCLOCK : in std_logic := '0';
WE : in std_logic;
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
end component;
-- For Xilinx
component RAM16X1D
-- synthesis translate_off
generic (INIT : bit_vector := X"0000");
-- synthesis translate_on
port (DPO : out STD_ULOGIC;
SPO : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
D : in STD_ULOGIC;
DPRA0 : in STD_ULOGIC;
DPRA1 : in STD_ULOGIC;
DPRA2 : in STD_ULOGIC;
DPRA3 : in STD_ULOGIC;
WCLK : in STD_ULOGIC;
WE : in STD_ULOGIC);
end component;
-- For Xilinx Virtex-5
component RAM32X1D
-- synthesis translate_off
generic (INIT : bit_vector := X"00000000");
-- synthesis translate_on
port (DPO : out STD_ULOGIC;
SPO : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
A4 : in STD_ULOGIC;
D : in STD_ULOGIC;
DPRA0 : in STD_ULOGIC;
DPRA1 : in STD_ULOGIC;
DPRA2 : in STD_ULOGIC;
DPRA3 : in STD_ULOGIC;
DPRA4 : in STD_ULOGIC;
WCLK : in STD_ULOGIC;
WE : in STD_ULOGIC);
end component;
component pc_next
port(clk : in std_logic;
reset_in : in std_logic;
pc_new : in std_logic_vector(31 downto 2);
take_branch : in std_logic;
pause_in : in std_logic;
opcode25_0 : in std_logic_vector(25 downto 0);
pc_source : in pc_source_type;
pc_future : out std_logic_vector(31 downto 2);
pc_current : out std_logic_vector(31 downto 2);
pc_plus4 : out std_logic_vector(31 downto 2));
end component;
component mem_ctrl
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end component;
component control
port(opcode : in std_logic_vector(31 downto 0);
intr_signal : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
rs_index : out std_logic_vector(5 downto 0);
rt_index : out std_logic_vector(5 downto 0);
rd_index : out std_logic_vector(5 downto 0);
imm_out : out std_logic_vector(15 downto 0);
alu_func : out alu_function_type;
shift_func : out shift_function_type;
mult_func : out mult_function_type;
branch_func : out branch_function_type;
a_source_out : out a_source_type;
b_source_out : out b_source_type;
c_source_out : out c_source_type;
pc_source_out: out pc_source_type;
mem_source_out:out mem_source_type;
exception_out: out std_logic);
end component;
component reg_bank
generic(memory_type : string := "XILINX_16X");
port(clk : in std_logic;
reset_in : in std_logic;
pause : in std_logic;
interrupt_in : in std_logic; -- modified
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
rd_index : in std_logic_vector(5 downto 0);
reg_source_out : out std_logic_vector(31 downto 0);
reg_target_out : out std_logic_vector(31 downto 0);
reg_dest_new : in std_logic_vector(31 downto 0);
intr_enable : out std_logic);
end component;
component bus_mux
port(imm_in : in std_logic_vector(15 downto 0);
reg_source : in std_logic_vector(31 downto 0);
a_mux : in a_source_type;
a_out : out std_logic_vector(31 downto 0);
reg_target : in std_logic_vector(31 downto 0);
b_mux : in b_source_type;
b_out : out std_logic_vector(31 downto 0);
c_bus : in std_logic_vector(31 downto 0);
c_memory : in std_logic_vector(31 downto 0);
c_pc : in std_logic_vector(31 downto 2);
c_pc_plus4 : in std_logic_vector(31 downto 2);
c_mux : in c_source_type;
reg_dest_out : out std_logic_vector(31 downto 0);
branch_func : in branch_function_type;
take_branch : out std_logic);
end component;
component alu
generic(alu_type : string := "DEFAULT");
port(a_in : in std_logic_vector(31 downto 0);
b_in : in std_logic_vector(31 downto 0);
alu_function : in alu_function_type;
c_alu : out std_logic_vector(31 downto 0));
end component;
component shifter
generic(shifter_type : string := "DEFAULT" );
port(value : in std_logic_vector(31 downto 0);
shift_amount : in std_logic_vector(4 downto 0);
shift_func : in shift_function_type;
c_shift : out std_logic_vector(31 downto 0));
end component;
component mult
generic(mult_type : string := "DEFAULT");
port(clk : in std_logic;
reset_in : in std_logic;
a, b : in std_logic_vector(31 downto 0);
mult_func : in mult_function_type;
c_mult : out std_logic_vector(31 downto 0);
pause_out : out std_logic);
end component;
component pipeline
port(clk : in std_logic;
reset : in std_logic;
a_bus : in std_logic_vector(31 downto 0);
a_busD : out std_logic_vector(31 downto 0);
b_bus : in std_logic_vector(31 downto 0);
b_busD : out std_logic_vector(31 downto 0);
alu_func : in alu_function_type;
alu_funcD : out alu_function_type;
shift_func : in shift_function_type;
shift_funcD : out shift_function_type;
mult_func : in mult_function_type;
mult_funcD : out mult_function_type;
reg_dest : in std_logic_vector(31 downto 0);
reg_destD : out std_logic_vector(31 downto 0);
rd_index : in std_logic_vector(5 downto 0);
rd_indexD : out std_logic_vector(5 downto 0);
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
pc_source : in pc_source_type;
mem_source : in mem_source_type;
a_source : in a_source_type;
b_source : in b_source_type;
c_source : in c_source_type;
c_bus : in std_logic_vector(31 downto 0);
pause_any : in std_logic;
pause_pipeline : out std_logic);
end component;
component mlite_cpu
generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_
mult_type : string := "DEFAULT";
shifter_type : string := "DEFAULT";
alu_type : string := "DEFAULT";
pipeline_stages : natural := 2); --2 or 3
port(clk : in std_logic;
reset_in : in std_logic;
intr_in : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
address_next : out std_logic_vector(31 downto 2); --for synch ram
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0);
mem_pause : in std_logic);
end component;
component cache
generic(memory_type : string := "DEFAULT");
port(clk : in std_logic;
reset : in std_logic;
address_next : in std_logic_vector(31 downto 2);
byte_we_next : in std_logic_vector(3 downto 0);
cpu_address : in std_logic_vector(31 downto 2);
mem_busy : in std_logic;
cache_access : out std_logic; --access 4KB cache
cache_checking : out std_logic; --checking if cache hit
cache_miss : out std_logic); --cache miss
end component; --cache
component ram
generic(memory_type : string := "DEFAULT";
stim_file: string :="code.txt");
port(clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end component; --ram
component NI
generic(current_address : integer := 10; -- the current node's address
SHMU_address : integer := 0;
reserved_address : std_logic_vector(29 downto 0) := "000000000000000001111111111111";
flag_address : std_logic_vector(29 downto 0) := "000000000000000010000000000000"; -- reserved address for the memory mapped I/O
counter_address : std_logic_vector(29 downto 0) := "000000000000000010000000000001"); -- reserved address for the counter
port(clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
--NI_read_flag : out std_logic;
--NI_write_flag : out std_logic;
irq_out : out std_logic;
credit_in : in std_logic;
valid_out: out std_logic;
TX: out std_logic_vector(31 downto 0);
credit_out : out std_logic;
valid_in: in std_logic;
RX: in std_logic_vector(31 downto 0);
link_faults: in std_logic_vector(4 downto 0);
turn_faults: in std_logic_vector(19 downto 0);
Rxy_reconf_PE: out std_logic_vector(7 downto 0);
Cx_reconf_PE: out std_logic_vector(3 downto 0);
Reconfig_command : out std_logic
);
end component; --network interface
component uart
generic(log_file : string := "UNUSED");
port(clk : in std_logic;
reset : in std_logic;
enable_read : in std_logic;
enable_write : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
uart_read : in std_logic;
uart_write : out std_logic;
busy_write : out std_logic;
data_avail : out std_logic);
end component; --uart
component eth_dma
port(clk : in std_logic; --25 MHz
reset : in std_logic;
enable_eth : in std_logic;
select_eth : in std_logic;
rec_isr : out std_logic;
send_isr : out std_logic;
address : out std_logic_vector(31 downto 2); --to DDR
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
pause_in : in std_logic;
mem_address : in std_logic_vector(31 downto 2); --from CPU
mem_byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
pause_out : out std_logic;
E_RX_CLK : in std_logic; --2.5 MHz receive
E_RX_DV : in std_logic; --data valid
E_RXD : in std_logic_vector(3 downto 0); --receive nibble
E_TX_CLK : in std_logic; --2.5 MHz transmit
E_TX_EN : out std_logic; --transmit enable
E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble
end component; --eth_dma
component plasma
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0';
use_cache : std_logic := '0';
current_address : integer := 10;
stim_file: string :="code.txt");
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
mem_pause_in : in std_logic;
no_ddr_start : out std_logic;
no_ddr_stop : out std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0);
credit_in : in std_logic;
valid_out: out std_logic;
TX: out std_logic_vector(31 downto 0);
credit_out : out std_logic;
valid_in: in std_logic;
RX: in std_logic_vector(31 downto 0);
link_faults: in std_logic_vector(4 downto 0);
turn_faults: in std_logic_vector(19 downto 0);
Rxy_reconf_PE: out std_logic_vector(7 downto 0);
Cx_reconf_PE: out std_logic_vector(3 downto 0);
Reconfig_command : out std_logic
);
end component; --plasma
component ddr_ctrl
port(clk : in std_logic;
clk_2x : in std_logic;
reset_in : in std_logic;
address : in std_logic_vector(25 downto 2);
byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
data_r : out std_logic_vector(31 downto 0);
active : in std_logic;
no_start : in std_logic;
no_stop : in std_logic;
pause : out std_logic;
SD_CK_P : out std_logic; --clock_positive
SD_CK_N : out std_logic; --clock_negative
SD_CKE : out std_logic; --clock_enable
SD_BA : out std_logic_vector(1 downto 0); --bank_address
SD_A : out std_logic_vector(12 downto 0); --address(row or col)
SD_CS : out std_logic; --chip_select
SD_RAS : out std_logic; --row_address_strobe
SD_CAS : out std_logic; --column_address_strobe
SD_WE : out std_logic; --write_enable
SD_DQ : inout std_logic_vector(15 downto 0); --data
SD_UDM : out std_logic; --upper_byte_enable
SD_UDQS : inout std_logic; --upper_data_strobe
SD_LDM : out std_logic; --low_byte_enable
SD_LDQS : inout std_logic); --low_data_strobe
end component; --ddr
end; --package mlite_pack
package body mlite_pack is
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector is
variable carry_in : std_logic;
variable bb : std_logic_vector(a'length-1 downto 0);
variable result : std_logic_vector(a'length downto 0);
begin
if do_add = '1' then
bb := b;
carry_in := '0';
else
bb := not b;
carry_in := '1';
end if;
for index in 0 to a'length-1 loop
result(index) := a(index) xor bb(index) xor carry_in;
carry_in := (carry_in and (a(index) or bb(index))) or
(a(index) and bb(index));
end loop;
result(a'length) := carry_in xnor do_add;
return result;
end; --function
function bv_negate(a : in std_logic_vector) return std_logic_vector is
variable carry_in : std_logic;
variable not_a : std_logic_vector(a'length-1 downto 0);
variable result : std_logic_vector(a'length-1 downto 0);
begin
not_a := not a;
carry_in := '1';
for index in a'reverse_range loop
result(index) := not_a(index) xor carry_in;
carry_in := carry_in and not_a(index);
end loop;
return result;
end; --function
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(31 downto 2);
begin
carry_in := '1';
for index in 2 to 31 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
function bv_inc(a : in std_logic_vector
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(a'length-1 downto 0);
begin
carry_in := '1';
for index in 0 to a'length-1 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
end; --package body
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0_defaults.vhd
|
9
|
30146
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gH4Vbi8hW99nuQx448ptZeS2ZNcs1874T3pJToly6dPSggmO3JNGxV5GgpvjS/will00zaKJ5HfB
1w+feXbi3Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
POx9TT45+OvduzfJ7Vfd379upZoztWxLfIrsEXCup5sYi6Y3MNM82QD2G8H06hTpNx1UFtDwI2lS
l08rClgWIl4/ULGVtTfdVHia6Hf45apwsJYzxWjkMbD+ynFZceb3Kb52wCf/Zg7yfEPsjCOgdxud
G04vOcgth1kjU9E9CF0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iNDVV0N2imbNawb5AdUWEQY6DOcNn7DPOEWLCVkwMUlktnS0+o/DpqAUlRVzQLO5bvlD9UNMPdhY
5MsIbGfi9knfu3NrTkrMa0Ssyl56kXuUSBr3Ni4anEXN41Ztn0dhZMlZIhCCKKOfG1l/sqgJujGx
MNFYca68XNdYuV23bGvqcDFRxPU+jlk0AOnagw3wtjhCX9LwxxQj05MYmCa/EdT/toslI7RXjopf
mLSbJT9rHz3eg8j26aS/x1nPFw8f2xHVxdrqjQ+HjxZoll3oMfhHYICxDhS4Wlk9HGQF28w1/ng/
heNBtcU4QC0JinoWC2BwCIRJNvP7tgmPJF5qgw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1qRKwEWFKUiTz2D27N0NU/Yn5pECTmQ5LfdR1SiQpebZuXHHRy9DcuiTzldF4WRYXuRdAzMqf096
DKj+PoC2UfB1ZsJZrLO0LvIFFBZFlTVXpfHMc2XV+Rp0z6i1AW584L2el1AlevcpPoeeol2F72h7
e88rYeY7d/BYkh+9BFQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DomRC8GfxwTc/sGANO1qaw54YWFiK7/d+kZ8mF9bS4DN2iLIOtOtOA4Acb+d2UT9EyUVhx3q8eil
q6isob0nByWki+I+vVo75OmZbI7+ALk5L5XrHkYf9+j8hxx3LT9djA7qAc5GwlG3T8RlCSlNjXa9
eKiNT3VFU6Qia7mf914sZshJKf83W9CL2NCHzCdsTMIdMPFcWVUuCyfc3PdndKQFvg7wAnR21cgq
5+gL3i56/ESN4bevSYGRWDvVRrxxcuaaiL73UKIjf9O9xLDvo0LupYZJsk06kLiWmHe1p97w00zF
jotIhbWSNjgRLw9Tjx2DkrCVTnH0EpvioVEANA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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`protect end_protected
|
gpl-3.0
|
bremathx/AoC_VHDL
|
Mor.vhd
|
1
|
211
|
--- Entity Mor
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY Mor IS
PORT (
A, B: IN STD_LOGIC;
R: OUT STD_LOGIC
);
END Mor;
ARCHITECTURE pure_logic OF Mor IS
BEGIN
R <= (A OR B);
END pure_logic;
|
gpl-3.0
|
sunoc/vhdl-lz4-variation
|
z_old/lz4_dictionary.vhdl
|
1
|
454
|
library ieee;
use ieee.std_logic_1164.all;
use work.lz4_pkg.all;
entity lz4_dictionary is
port (
clk_i : in std_logic;
reset_i : in std_logic;
-- for the dict comp
startpars_i : in std_logic;
dictLine_o : out std_logic_vector(185 downto 0);
todictLine_i : in std_logic_vector(185 downto 0)
);
end lz4_dictionary;
architecture behavior of lz4_dictionary is
begin
end;
|
gpl-3.0
|
1995parham/FPGA-Homework
|
HW-3/src/p5/p5.vhd
|
1
|
1376
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 25-04-2016
-- Module Name: p5.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity main is
port (clk, load : in std_logic;
b : in std_logic_vector(7 downto 0);
serial : out std_logic);
end entity;
architecture rtl of main is
component counter
generic (N : integer := 4);
port (clk, reset : in std_logic;
count : out std_logic_vector (N - 1 downto 0));
end component;
component parity_generator
port (w, clk, reset : in std_logic;
p : out std_logic);
end component;
component shift_register
generic (N : integer := 8);
port (data_in : in std_logic_vector (N - 1 downto 0);
load, clk : in std_logic;
data_out : out std_logic);
end component;
for all:counter use entity work.counter;
for all:parity_generator use entity work.parity_generator;
for all:shift_register use entity work.shift_register;
signal w, p : std_logic;
signal c : std_logic_vector(2 downto 0);
begin
sr:shift_register generic map (8) port map (b, load, clk, w);
pg:parity_generator port map (w, clk, load, p);
cn:counter generic map (3) port map (clk, load, c);
serial <= p when c = "111" else w;
end architecture rtl;
|
gpl-3.0
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@m@i@s@c_@r@d@m@u@x/_primary.vhd
|
3
|
2273
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_RDMUX is
port(
ADC0_CALIBRATE : in vl_logic;
ADC1_CALIBRATE : in vl_logic;
ADC2_CALIBRATE : in vl_logic;
ADC0_SAMPLE : in vl_logic;
ADC1_SAMPLE : in vl_logic;
ADC2_SAMPLE : in vl_logic;
ADC0_BUSY : in vl_logic;
ADC1_BUSY : in vl_logic;
ADC2_BUSY : in vl_logic;
ADC0_DATAVALID : in vl_logic;
ADC1_DATAVALID : in vl_logic;
ADC2_DATAVALID : in vl_logic;
ADC0_RESULT : in vl_logic_vector(11 downto 0);
ADC1_RESULT : in vl_logic_vector(11 downto 0);
ADC2_RESULT : in vl_logic_vector(11 downto 0);
COMPARATOR : in vl_logic_vector(11 downto 0);
SSE_IRQ_EN : in vl_logic_vector(20 downto 0);
SSE_IRQ : in vl_logic_vector(20 downto 0);
COMP_IRQ_EN : in vl_logic_vector(23 downto 0);
COMP_IRQ : in vl_logic_vector(23 downto 0);
PPE_FIFO_IRQ : in vl_logic_vector(8 downto 0);
PPE_FIFO_IRQ_EN : in vl_logic_vector(8 downto 0);
PPE_FLAGS0_IRQ : in vl_logic_vector(31 downto 0);
PPE_FLAGS0_IRQ_EN: in vl_logic_vector(31 downto 0);
PPE_FLAGS1_IRQ : in vl_logic_vector(31 downto 0);
PPE_FLAGS1_IRQ_EN: in vl_logic_vector(31 downto 0);
PPE_FLAGS2_IRQ : in vl_logic_vector(31 downto 0);
PPE_FLAGS2_IRQ_EN: in vl_logic_vector(31 downto 0);
PPE_FLAGS3_IRQ : in vl_logic_vector(31 downto 0);
PPE_FLAGS3_IRQ_EN: in vl_logic_vector(31 downto 0);
PPE_SFFLAGS_IRQ : in vl_logic_vector(31 downto 0);
PPE_SFFLAGS_IRQ_EN: in vl_logic_vector(31 downto 0);
FPGA_FLAGS_SEL : in vl_logic_vector(9 downto 0);
PPE_PDMA_CTRL : in vl_logic_vector(31 downto 0);
PDMA_STATUS : in vl_logic_vector(31 downto 0);
PPE_PDMA_DATAOUT: in vl_logic_vector(31 downto 0);
PADDR : in vl_logic_vector(12 downto 0);
PRDATA_MISC : out vl_logic_vector(31 downto 0)
);
end F2DSS_ACE_MISC_RDMUX;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/network_2x2_packet_drop_SHMU_credit_based.vhd
|
3
|
12235
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x: 2
-- network size y: 2
-- Data width: 32
-- Parity: False
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
USE ieee.numeric_std.ALL;
entity network_2x2 is
generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11);
port (reset: in std_logic;
clk: in std_logic;
--------------
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_0, valid_out_L_0: out std_logic;
credit_in_L_0, valid_in_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_1, valid_out_L_1: out std_logic;
credit_in_L_1, valid_in_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_2, valid_out_L_2: out std_logic;
credit_in_L_2, valid_in_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_3, valid_out_L_3: out std_logic;
credit_in_L_3, valid_in_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
link_faults_0: out std_logic_vector(4 downto 0);
turn_faults_0: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_0: in std_logic_vector(7 downto 0);
Cx_reconf_PE_0: in std_logic_vector(3 downto 0);
Reconfig_command_0 : in std_logic;
--------------
link_faults_1: out std_logic_vector(4 downto 0);
turn_faults_1: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_1: in std_logic_vector(7 downto 0);
Cx_reconf_PE_1: in std_logic_vector(3 downto 0);
Reconfig_command_1 : in std_logic;
--------------
link_faults_2: out std_logic_vector(4 downto 0);
turn_faults_2: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_2: in std_logic_vector(7 downto 0);
Cx_reconf_PE_2: in std_logic_vector(3 downto 0);
Reconfig_command_2 : in std_logic;
--------------
link_faults_3: out std_logic_vector(4 downto 0);
turn_faults_3: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_3: in std_logic_vector(7 downto 0);
Cx_reconf_PE_3: in std_logic_vector(3 downto 0);
Reconfig_command_3 : in std_logic
);
end network_2x2;
architecture behavior of network_2x2 is
component router_credit_based_PD_C_SHMU is --fault classifier plus packet-dropping
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 0;
Rxy_rst : integer := 10;
Cx_rst : integer := 10;
healthy_counter_threshold : integer := 8;
faulty_counter_threshold: integer := 2;
counter_depth: integer := 4;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic;
valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic;
credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic;
Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic;
-- should be connected to NI
link_faults: out std_logic_vector(4 downto 0);
turn_faults: out std_logic_vector(19 downto 0);
Rxy_reconf_PE: in std_logic_vector(7 downto 0);
Cx_reconf_PE: in std_logic_vector(3 downto 0);
Reconfig_command : in std_logic
);
end component;
-- generating bulk signals. not all of them are used in the design...
signal credit_out_N_0, credit_out_E_0, credit_out_W_0, credit_out_S_0: std_logic;
signal credit_out_N_1, credit_out_E_1, credit_out_W_1, credit_out_S_1: std_logic;
signal credit_out_N_2, credit_out_E_2, credit_out_W_2, credit_out_S_2: std_logic;
signal credit_out_N_3, credit_out_E_3, credit_out_W_3, credit_out_S_3: std_logic;
signal credit_in_N_0, credit_in_E_0, credit_in_W_0, credit_in_S_0: std_logic;
signal credit_in_N_1, credit_in_E_1, credit_in_W_1, credit_in_S_1: std_logic;
signal credit_in_N_2, credit_in_E_2, credit_in_W_2, credit_in_S_2: std_logic;
signal credit_in_N_3, credit_in_E_3, credit_in_W_3, credit_in_S_3: std_logic;
signal RX_N_0, RX_E_0, RX_W_0, RX_S_0 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal RX_N_1, RX_E_1, RX_W_1, RX_S_1 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal RX_N_2, RX_E_2, RX_W_2, RX_S_2 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal RX_N_3, RX_E_3, RX_W_3, RX_S_3 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal valid_out_N_0, valid_out_E_0, valid_out_W_0, valid_out_S_0: std_logic;
signal valid_out_N_1, valid_out_E_1, valid_out_W_1, valid_out_S_1: std_logic;
signal valid_out_N_2, valid_out_E_2, valid_out_W_2, valid_out_S_2: std_logic;
signal valid_out_N_3, valid_out_E_3, valid_out_W_3, valid_out_S_3: std_logic;
signal valid_in_N_0, valid_in_E_0, valid_in_W_0, valid_in_S_0: std_logic;
signal valid_in_N_1, valid_in_E_1, valid_in_W_1, valid_in_S_1: std_logic;
signal valid_in_N_2, valid_in_E_2, valid_in_W_2, valid_in_S_2: std_logic;
signal valid_in_N_3, valid_in_E_3, valid_in_W_3, valid_in_S_3: std_logic;
signal TX_N_0, TX_E_0, TX_W_0, TX_S_0 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal TX_N_1, TX_E_1, TX_W_1, TX_S_1 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal TX_N_2, TX_E_2, TX_W_2, TX_S_2 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal TX_N_3, TX_E_3, TX_W_3, TX_S_3 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal Faulty_N_out0,Faulty_E_out0,Faulty_W_out0,Faulty_S_out0: std_logic;
signal Faulty_N_in0,Faulty_E_in0,Faulty_W_in0,Faulty_S_in0: std_logic;
signal Faulty_N_out1,Faulty_E_out1,Faulty_W_out1,Faulty_S_out1: std_logic;
signal Faulty_N_in1,Faulty_E_in1,Faulty_W_in1,Faulty_S_in1: std_logic;
signal Faulty_N_out2,Faulty_E_out2,Faulty_W_out2,Faulty_S_out2: std_logic;
signal Faulty_N_in2,Faulty_E_in2,Faulty_W_in2,Faulty_S_in2: std_logic;
signal Faulty_N_out3,Faulty_E_out3,Faulty_W_out3,Faulty_S_out3: std_logic;
signal Faulty_N_in3,Faulty_E_in3,Faulty_W_in3,Faulty_S_in3: std_logic;
-- organizaiton of the network:
-- x --------------->
-- y ---- ----
-- | | 0 | --- | 1 |
-- | ---- ----
-- | | |
-- | ---- ----
-- | | 2 | --- | 3 |
-- v ---- ----
--
begin
R_0: router_credit_based_PD_C_SHMU
generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 0, Rxy_rst => 60,
Cx_rst => 10, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4)
port map(
reset, clk,
RX_N_0, RX_E_0, RX_W_0, RX_S_0, RX_L_0,
credit_in_N_0, credit_in_E_0, credit_in_W_0, credit_in_S_0, credit_in_L_0,
valid_in_N_0, valid_in_E_0, valid_in_W_0, valid_in_S_0, valid_in_L_0,
valid_out_N_0, valid_out_E_0, valid_out_W_0, valid_out_S_0, valid_out_L_0,
credit_out_N_0, credit_out_E_0, credit_out_W_0, credit_out_S_0, credit_out_L_0,
TX_N_0, TX_E_0, TX_W_0, TX_S_0, TX_L_0,
Faulty_N_in0,Faulty_E_in0,Faulty_W_in0,Faulty_S_in0,
Faulty_N_out0,Faulty_E_out0,Faulty_W_out0,Faulty_S_out0,
-- should be connected to NI
link_faults_0, turn_faults_0,
Rxy_reconf_PE_0, Cx_reconf_PE_0, Reconfig_command_0
);
R_1: router_credit_based_PD_C_SHMU
generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 1, Rxy_rst => 60,
Cx_rst => 12, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4)
port map(
reset, clk,
RX_N_1, RX_E_1, RX_W_1, RX_S_1, RX_L_1,
credit_in_N_1, credit_in_E_1, credit_in_W_1, credit_in_S_1, credit_in_L_1,
valid_in_N_1, valid_in_E_1, valid_in_W_1, valid_in_S_1, valid_in_L_1,
valid_out_N_1, valid_out_E_1, valid_out_W_1, valid_out_S_1, valid_out_L_1,
credit_out_N_1, credit_out_E_1, credit_out_W_1, credit_out_S_1, credit_out_L_1,
TX_N_1, TX_E_1, TX_W_1, TX_S_1, TX_L_1,
Faulty_N_in1,Faulty_E_in1,Faulty_W_in1,Faulty_S_in1,
Faulty_N_out1,Faulty_E_out1,Faulty_W_out1,Faulty_S_out1,
-- should be connected to NI
link_faults_1, turn_faults_1,
Rxy_reconf_PE_1, Cx_reconf_PE_1, Reconfig_command_1
);
R_2: router_credit_based_PD_C_SHMU
generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 2, Rxy_rst => 60,
Cx_rst => 3, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4)
port map(
reset, clk,
RX_N_2, RX_E_2, RX_W_2, RX_S_2, RX_L_2,
credit_in_N_2, credit_in_E_2, credit_in_W_2, credit_in_S_2, credit_in_L_2,
valid_in_N_2, valid_in_E_2, valid_in_W_2, valid_in_S_2, valid_in_L_2,
valid_out_N_2, valid_out_E_2, valid_out_W_2, valid_out_S_2, valid_out_L_2,
credit_out_N_2, credit_out_E_2, credit_out_W_2, credit_out_S_2, credit_out_L_2,
TX_N_2, TX_E_2, TX_W_2, TX_S_2, TX_L_2,
Faulty_N_in2,Faulty_E_in2,Faulty_W_in2,Faulty_S_in2,
Faulty_N_out2,Faulty_E_out2,Faulty_W_out2,Faulty_S_out2,
-- should be connected to NI
link_faults_2, turn_faults_2,
Rxy_reconf_PE_2, Cx_reconf_PE_2, Reconfig_command_2
);
R_3: router_credit_based_PD_C_SHMU
generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 3, Rxy_rst => 60,
Cx_rst => 5, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4)
port map(
reset, clk,
RX_N_3, RX_E_3, RX_W_3, RX_S_3, RX_L_3,
credit_in_N_3, credit_in_E_3, credit_in_W_3, credit_in_S_3, credit_in_L_3,
valid_in_N_3, valid_in_E_3, valid_in_W_3, valid_in_S_3, valid_in_L_3,
valid_out_N_3, valid_out_E_3, valid_out_W_3, valid_out_S_3, valid_out_L_3,
credit_out_N_3, credit_out_E_3, credit_out_W_3, credit_out_S_3, credit_out_L_3,
TX_N_3, TX_E_3, TX_W_3, TX_S_3, TX_L_3,
Faulty_N_in3,Faulty_E_in3,Faulty_W_in3,Faulty_S_in3,
Faulty_N_out3,Faulty_E_out3,Faulty_W_out3,Faulty_S_out3,
-- should be connected to NI
link_faults_3, turn_faults_3,
Rxy_reconf_PE_3, Cx_reconf_PE_3, Reconfig_command_3
);
---------------------------------------------------------------
-- binding the routers together
-- vertical ins/outs
-- connecting router: 0 to router: 2 and vice versa
RX_N_2<= TX_S_0;
RX_S_0<= TX_N_2;
-------------------
-- connecting router: 1 to router: 3 and vice versa
RX_N_3<= TX_S_1;
RX_S_1<= TX_N_3;
-------------------
-- horizontal ins/outs
-- connecting router: 0 to router: 1 and vice versa
RX_E_0 <= TX_W_1;
RX_W_1 <= TX_E_0;
-------------------
-- connecting router: 2 to router: 3 and vice versa
RX_E_2 <= TX_W_3;
RX_W_3 <= TX_E_2;
-------------------
---------------------------------------------------------------
-- binding the routers together
-- connecting router: 0 to router: 2 and vice versa
valid_in_N_2 <= valid_out_S_0;
valid_in_S_0 <= valid_out_N_2;
credit_in_S_0 <= credit_out_N_2;
credit_in_N_2 <= credit_out_S_0;
-------------------
-- connecting router: 1 to router: 3 and vice versa
valid_in_N_3 <= valid_out_S_1;
valid_in_S_1 <= valid_out_N_3;
credit_in_S_1 <= credit_out_N_3;
credit_in_N_3 <= credit_out_S_1;
-------------------
-- connecting router: 0 to router: 1 and vice versa
valid_in_E_0 <= valid_out_W_1;
valid_in_W_1 <= valid_out_E_0;
credit_in_W_1 <= credit_out_E_0;
credit_in_E_0 <= credit_out_W_1;
-------------------
-- connecting router: 2 to router: 3 and vice versa
valid_in_E_2 <= valid_out_W_3;
valid_in_W_3 <= valid_out_E_2;
credit_in_W_3 <= credit_out_E_2;
credit_in_E_2 <= credit_out_W_3;
-------------------
Faulty_S_in0 <= Faulty_N_out2;
Faulty_E_in0 <= Faulty_W_out1;
Faulty_S_in1 <= Faulty_N_out3;
Faulty_W_in1 <= Faulty_E_out0;
Faulty_N_in2 <= Faulty_S_out0;
Faulty_E_in2 <= Faulty_W_out3;
Faulty_N_in3 <= Faulty_S_out1;
Faulty_W_in3 <= Faulty_E_out2;
end;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_logic_pkt_fifo.vhd
|
9
|
31657
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ceM0ajQGyV4xEt0HrG/fuB+3NVFdwQkEyjC4haRoZWslKKs4yl4ILq7RT/jKXnsVkAWmSwMkAIVY
ybpeP1wARw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kukT7WQifxjY3WsecmUkERV4ZFv3OuhEihgSM4IB88HBbnFE5FquXt3wzdA0zFDlpG683lT2dqcQ
e8+DpghsVaFxyA0HhLpe+Uj3VPXCqAamsXiyfOV9FRW5tZT6n2RrABDrg190ZlCTDqzvDTosUPWF
LMSAKBUUZLDH1kIj7P0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dU1lj3wLYN2oBu3b76t4HEt238DNk5+Obmy1zgJeHU7rLBtTHV8UnHhRI8PwXXRPMJgrJSDjBzNQ
+ZLOT+PNgKe7NXaY01MJhUg5IzH9X6ZAbG3w3IL1/7gL7K6upxJUT61Am45EblqoUFtRFVJDxUNh
Bd8MVvlvXZZ66YB0ezm0hTdwdHAYwZ92l9kdTDjIOUN+Jrn85yeycl9Cxu8aIJaiJpiPjNggt0r7
W0kCE0hFF+swK8rZcxOqOLnQ5Uw2Ji8S+E4OYHjUu5yMJL7V5wNFfUHmF9Sc5jyP/mtan4mmu5J4
a/+rlOaidyY5SAZA+m4p3+hj+JN1qzj8TeLhtg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
awqcS4G1ayV64bhRxb2ad9Xg57ysH9KZCzgHZHu8Tmnl74kk+tHqUQBvhiolD+v8jr8AGMVo4blw
g75xmAibXafuL9Iv+WrFhYMVK6o+zPGZZLMkNtFS8zqdWka/9Q7TQ7QQbuzZUEZbJM/3vYY1iWRq
Y/oB/ixzA+Df5gDA5bY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JeYOat6TeNOn0Lwfj9kOs9eB1tXhm0apFaxmaQldY51fS0eKT90XxV+wEmwhre/Q9kRs/refblVV
DzXaATdPK7kvWKItPjzGkuwjoIEdIAYiZEyE5+ZwIqPH2W6BCpzMHIAHRXYo6tSScrR2uqBcPQGy
c8HaUqIW6z94Rr+QjtUESf9429NBJLRTbe5wnn4DHy20T/ChW4iPiERY98llpk4l8EtLJJsHABPK
yuTMFmtAnHva77c6Vi4OoiqkulSg5fyKN8MjtOlM/t3fozgQ4XTYiAOfp2kAU5dB96L6n1GJDuUa
UNpiYImHNxB5zlUsj9IoVRfTiotfmqiGSgaOMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21696)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/pc_next.vhd
|
14
|
2101
|
---------------------------------------------------------------------
-- TITLE: Program Counter Next
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: pc_next.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the Program Counter logic.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity pc_next is
port(clk : in std_logic;
reset_in : in std_logic;
pc_new : in std_logic_vector(31 downto 2);
take_branch : in std_logic;
pause_in : in std_logic;
opcode25_0 : in std_logic_vector(25 downto 0);
pc_source : in pc_source_type;
pc_future : out std_logic_vector(31 downto 2);
pc_current : out std_logic_vector(31 downto 2);
pc_plus4 : out std_logic_vector(31 downto 2));
end; --pc_next
architecture logic of pc_next is
signal pc_reg : std_logic_vector(31 downto 2);
begin
pc_select: process(clk, reset_in, pc_new, take_branch, pause_in,
opcode25_0, pc_source, pc_reg)
variable pc_inc : std_logic_vector(31 downto 2);
variable pc_next : std_logic_vector(31 downto 2);
begin
pc_inc := bv_increment(pc_reg); --pc_reg+1
case pc_source is
when FROM_INC4 =>
pc_next := pc_inc;
when FROM_OPCODE25_0 =>
pc_next := pc_reg(31 downto 28) & opcode25_0;
when FROM_BRANCH | FROM_LBRANCH =>
if take_branch = '1' then
pc_next := pc_new;
else
pc_next := pc_inc;
end if;
when others =>
pc_next := pc_inc;
end case;
if pause_in = '1' then
pc_next := pc_reg;
end if;
if reset_in = '1' then
pc_reg <= ZERO(31 downto 2);
pc_next := pc_reg;
elsif rising_edge(clk) then
pc_reg <= pc_next;
end if;
pc_future <= pc_next;
pc_current <= pc_reg;
pc_plus4 <= pc_inc;
end process;
end; --logic
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Processor_NI/pc_next.vhd
|
14
|
2101
|
---------------------------------------------------------------------
-- TITLE: Program Counter Next
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: pc_next.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the Program Counter logic.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity pc_next is
port(clk : in std_logic;
reset_in : in std_logic;
pc_new : in std_logic_vector(31 downto 2);
take_branch : in std_logic;
pause_in : in std_logic;
opcode25_0 : in std_logic_vector(25 downto 0);
pc_source : in pc_source_type;
pc_future : out std_logic_vector(31 downto 2);
pc_current : out std_logic_vector(31 downto 2);
pc_plus4 : out std_logic_vector(31 downto 2));
end; --pc_next
architecture logic of pc_next is
signal pc_reg : std_logic_vector(31 downto 2);
begin
pc_select: process(clk, reset_in, pc_new, take_branch, pause_in,
opcode25_0, pc_source, pc_reg)
variable pc_inc : std_logic_vector(31 downto 2);
variable pc_next : std_logic_vector(31 downto 2);
begin
pc_inc := bv_increment(pc_reg); --pc_reg+1
case pc_source is
when FROM_INC4 =>
pc_next := pc_inc;
when FROM_OPCODE25_0 =>
pc_next := pc_reg(31 downto 28) & opcode25_0;
when FROM_BRANCH | FROM_LBRANCH =>
if take_branch = '1' then
pc_next := pc_new;
else
pc_next := pc_inc;
end if;
when others =>
pc_next := pc_inc;
end case;
if pause_in = '1' then
pc_next := pc_reg;
end if;
if reset_in = '1' then
pc_reg <= ZERO(31 downto 2);
pc_next := pc_reg;
elsif rising_edge(clk) then
pc_reg <= pc_next;
end if;
pc_future <= pc_next;
pc_current <= pc_reg;
pc_plus4 <= pc_inc;
end process;
end; --logic
|
gpl-3.0
|
Project-Bonfire/EHA
|
FPGA-integration/RTL/NI_AXI_wrapper_top.vhd
|
3
|
5323
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity AXI_wrapper_top is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Parameters of Axi Slave Bus Interface S00_AXI
C_S00_AXI_DATA_WIDTH : integer := 32;
C_S00_AXI_ADDR_WIDTH : integer := 4;
NI_DEPTH : integer := 16
);
port (
-- Users to add ports here
signal AXI_RX_IRQ : out std_logic;
--Router connection
R_RX : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
R_TX : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
R_DRTS : in std_logic;
R_DCTS : in std_logic;
R_RTS : out std_logic;
R_CTS : out std_logic;
-- User ports ends
-- Do not modify the ports beyond this line
-- Ports of Axi Slave Bus Interface S00_AXI
s00_axi_aclk : in std_logic;
s00_axi_aresetn : in std_logic;
s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0);
s00_axi_awprot : in std_logic_vector(2 downto 0);
s00_axi_awvalid : in std_logic;
s00_axi_awready : out std_logic;
s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0);
s00_axi_wvalid : in std_logic;
s00_axi_wready : out std_logic;
s00_axi_bresp : out std_logic_vector(1 downto 0);
s00_axi_bvalid : out std_logic;
s00_axi_bready : in std_logic;
s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0);
s00_axi_arprot : in std_logic_vector(2 downto 0);
s00_axi_arvalid : in std_logic;
s00_axi_arready : out std_logic;
s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0);
s00_axi_rresp : out std_logic_vector(1 downto 0);
s00_axi_rvalid : out std_logic;
s00_axi_rready : in std_logic
);
end AXI_wrapper_top;
architecture arch_imp of AXI_wrapper_top is
-- component declaration
component AXI_wrapper is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
NI_DEPTH : integer := 4;
C_S_AXI_ADDR_WIDTH : integer := 16
);
port (
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
--Router connection
AXI_RX_IRQ : out std_logic;
--Router connection
R_RX : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
R_TX : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
R_DRTS : in std_logic;
R_DCTS : in std_logic;
R_RTS : out std_logic;
R_CTS : out std_logic
);
end component AXI_wrapper;
begin
-- Instantiation of Axi Bus Interface S00_AXI
AXI_wrapper_inst : AXI_wrapper
generic map (
C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH,
C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH,
NI_DEPTH => NI_DEPTH
)
port map (
S_AXI_ACLK => s00_axi_aclk,
S_AXI_ARESETN => s00_axi_aresetn,
S_AXI_AWADDR => s00_axi_awaddr,
S_AXI_AWPROT => s00_axi_awprot,
S_AXI_AWVALID => s00_axi_awvalid,
S_AXI_AWREADY => s00_axi_awready,
S_AXI_WDATA => s00_axi_wdata,
S_AXI_WSTRB => s00_axi_wstrb,
S_AXI_WVALID => s00_axi_wvalid,
S_AXI_WREADY => s00_axi_wready,
S_AXI_BRESP => s00_axi_bresp,
S_AXI_BVALID => s00_axi_bvalid,
S_AXI_BREADY => s00_axi_bready,
S_AXI_ARADDR => s00_axi_araddr,
S_AXI_ARPROT => s00_axi_arprot,
S_AXI_ARVALID => s00_axi_arvalid,
S_AXI_ARREADY => s00_axi_arready,
S_AXI_RDATA => s00_axi_rdata,
S_AXI_RRESP => s00_axi_rresp,
S_AXI_RVALID => s00_axi_rvalid,
S_AXI_RREADY => s00_axi_rready,
-- Router connection
R_RX => R_RX,
R_DRTS => R_DRTS,
R_CTS => R_CTS,
R_TX => R_TX,
R_DCTS => R_DCTS,
R_RTS => R_RTS,
AXI_RX_IRQ => AXI_RX_IRQ
);
-- Add user logic here
-- User logic ends
end arch_imp;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/rd_pe_as.vhd
|
9
|
25068
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Nq4WdAQ+0qB6yw3jBRApltZkz91kAnnt9+yVgdR8gK7bQdcBGZUtq1bwBE6KJebphmA9J2S8b85c
0kwA5U6vzw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Sc/j+0nK88K6kYXfqlWAWPEyOzK6BuD5gMbaugXCcHEduQ2NOe9csvbMsyhb8NodvCY+JEEWYJl2
oaRyi5Td0I07q5JNUVN2CKL2Q2dJmESMqw22XR6sf90KwcBkVi0nvd3KePEKYVuJVjVU1NoCSPRr
FphXiBzo5eLuw5T2DNA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xqDZqAdw7Fst10m0Hi9vribN84lFg3qdqFFACUG5URUVjx1Ve/FLH+WFx2/edJ/S7BkpJb7sjv1S
FvxyuqgJ6MflMvudJAvPfVXFzipMUELjgNDljX5M41AiwpGxPJgO4KGbu27jocj/fyZEFfUT5SgH
BGuACJoxEMqZGiK0EtKAgm9ixsJSE5hdxUpgRiZD5PhcPqsbB0XhUz6mAxkdmiXUXeIh2SFPzXgk
65k870cgtZ5GuibKxgYT15TrCsmfMYYVuzVF2LH+xKFfWoV1tAfbujjvxn37nvdJrGG3pcxyOOAV
ePDs5o5Ba8C6WRbVeZQuaNye9HHA/P85RszbPA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xXlLUmv7SMkHdopBtXdi6tsUHhqdlmDgttpYy+ZlnWQkbos+YvAVNB+tB9f2zdOwpFvxaFR8OLTF
HQdsVdJmg2kMBOhaJSYhRnQ4rRABclkcsQ37YZC7a6Qgqxy5FCyFI+nAxrA1q16E3UFT6hbdboem
SQt1KplHjN1t2IDqkCg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KSp+OtA+LDat25OH+/GS6tLZDSU1hBsyvQAO1ZqUygYf1zeeWh6OvrOU4tRu7LMJ/fVYqESSd1xc
iOmJ1/L+6a6b4xpG6+zjqqs3G0hrf1Vvj1kEXPJfrmfqIDGDSOJTTjpUF7bbE2K2cMmRCQKFvNnG
d8uAOk43O8w1izUbYrvjtjASyuNZrZrJoa8vIt62lqrgJw9nU17QNmXwn78i4gzQMfluNVFAhOWV
NM1TMkk2BoWZSf7qbNLiQ2oqbyO+r0cqQfGkpeRerL6gebL4mkxtLjXsmsXZ6Erm7DHiJeII7nZk
mweQteOepqxuykdcZHE8M1cjvvl4thng9sj/BQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16816)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/control.vhd
|
9
|
16953
|
---------------------------------------------------------------------
-- TITLE: Controller / Opcode Decoder
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: control.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- NOTE: MIPS(tm) is a registered trademark of MIPS Technologies.
-- MIPS Technologies does not endorse and is not associated with
-- this project.
-- DESCRIPTION:
-- Controls the CPU by decoding the opcode and generating control
-- signals to the rest of the CPU.
-- This entity decodes the MIPS(tm) opcode into a
-- Very-Long-Word-Instruction.
-- The 32-bit opcode is converted to a
-- 6+6+6+16+4+2+4+3+2+2+3+2+4 = 60 bit VLWI opcode.
-- Based on information found in:
-- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
-- and "The Designer's Guide to VHDL" by Peter J. Ashenden
-- modified by: Siavoosh Payandeh Azad
-- Change logs:
-- * EPC register have been changed! It used to be R0, now it is R26
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity control is
port(opcode : in std_logic_vector(31 downto 0); -- not opcode, but the whole instruction !!! (opcode is the first 6 most significant bits of the instruction.)
intr_signal : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
rs_index : out std_logic_vector(5 downto 0);
rt_index : out std_logic_vector(5 downto 0);
rd_index : out std_logic_vector(5 downto 0);
imm_out : out std_logic_vector(15 downto 0);
alu_func : out alu_function_type;
shift_func : out shift_function_type;
mult_func : out mult_function_type;
branch_func : out branch_function_type;
a_source_out : out a_source_type;
b_source_out : out b_source_type;
c_source_out : out c_source_type;
pc_source_out: out pc_source_type;
mem_source_out:out mem_source_type;
exception_out: out std_logic);
end; --entity control
architecture logic of control is
begin
control_proc: process(opcode, intr_signal)
variable op, func : std_logic_vector(5 downto 0);
variable rs, rt, rd : std_logic_vector(5 downto 0);
variable rtx : std_logic_vector(4 downto 0);
variable imm : std_logic_vector(15 downto 0);
variable alu_function : alu_function_type;
variable shift_function : shift_function_type;
variable mult_function : mult_function_type;
variable a_source : a_source_type;
variable b_source : b_source_type;
variable c_source : c_source_type;
variable pc_source : pc_source_type;
variable branch_function: branch_function_type;
variable mem_source : mem_source_type;
variable is_syscall : std_logic;
begin
alu_function := ALU_NOTHING;
shift_function := SHIFT_NOTHING;
mult_function := MULT_NOTHING;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_REG_TARGET;
c_source := C_FROM_NULL;
pc_source := FROM_INC4;
branch_function := BRANCH_EQ;
mem_source := MEM_FETCH;
op := opcode(31 downto 26);
rs := '0' & opcode(25 downto 21);
rt := '0' & opcode(20 downto 16);
rtx := opcode(20 downto 16);
rd := '0' & opcode(15 downto 11);
func := opcode(5 downto 0);
imm := opcode(15 downto 0);
is_syscall := '0';
case op is
when "000000" => --SPECIAL
case func is
when "000000" => --SLL r[rd]=r[rt]<<re;
-- This is overlapping with NOP instruction in which all bits are zero, so opcode is zero and the last 6 bits (funct) are also zero,
-- does this mean that NOP acts as SLL ???
a_source := A_FROM_IMM10_6;
c_source := C_FROM_SHIFT;
shift_function := SHIFT_LEFT_UNSIGNED;
when "000010" => --SRL r[rd]=u[rt]>>re;
a_source := A_FROM_IMM10_6;
c_source := C_FROM_shift;
shift_function := SHIFT_RIGHT_UNSIGNED;
when "000011" => --SRA r[rd]=r[rt]>>re;
a_source := A_FROM_IMM10_6;
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_SIGNED;
when "000100" => --SLLV r[rd]=r[rt]<<r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_LEFT_UNSIGNED;
when "000110" => --SRLV r[rd]=u[rt]>>r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_UNSIGNED;
when "000111" => --SRAV r[rd]=r[rt]>>r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_SIGNED;
when "001000" => --JR s->pc_next=r[rs];
pc_source := FROM_BRANCH;
alu_function := ALU_ADD;
branch_function := BRANCH_YES;
when "001001" => --JALR r[rd]=s->pc_next; s->pc_next=r[rs];
c_source := C_FROM_PC_PLUS4;
pc_source := FROM_BRANCH;
alu_function := ALU_ADD;
branch_function := BRANCH_YES;
--when "001010" => --MOVZ if(!r[rt]) r[rd]=r[rs]; /*IV*/
--when "001011" => --MOVN if(r[rt]) r[rd]=r[rs]; /*IV*/
when "001100" => --SYSCALL
is_syscall := '1';
when "001101" => --BREAK s->wakeup=1;
is_syscall := '1';
--when "001111" => --SYNC s->wakeup=1;
when "010000" => --MFHI r[rd]=s->hi;
c_source := C_FROM_MULT;
mult_function := MULT_READ_HI;
when "010001" => --MTHI s->hi=r[rs];
mult_function := MULT_WRITE_HI;
when "010010" => --MFLO r[rd]=s->lo;
c_source := C_FROM_MULT;
mult_function := MULT_READ_LO;
when "010011" => --MTLO s->lo=r[rs];
mult_function := MULT_WRITE_LO;
when "011000" => --MULT s->lo=r[rs]*r[rt]; s->hi=0;
mult_function := MULT_SIGNED_MULT;
when "011001" => --MULTU s->lo=r[rs]*r[rt]; s->hi=0;
mult_function := MULT_MULT;
when "011010" => --DIV s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
mult_function := MULT_SIGNED_DIVIDE;
when "011011" => --DIVU s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
mult_function := MULT_DIVIDE;
when "100000" => --ADD r[rd]=r[rs]+r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
when "100001" => --ADDU r[rd]=r[rs]+r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
when "100010" => --SUB r[rd]=r[rs]-r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_SUBTRACT;
when "100011" => --SUBU r[rd]=r[rs]-r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_SUBTRACT;
when "100100" => --AND r[rd]=r[rs]&r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_AND;
when "100101" => --OR r[rd]=r[rs]|r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_OR;
when "100110" => --XOR r[rd]=r[rs]^r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_XOR;
when "100111" => --NOR r[rd]=~(r[rs]|r[rt]);
c_source := C_FROM_ALU;
alu_function := ALU_NOR;
when "101010" => --SLT r[rd]=r[rs]<r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_LESS_THAN_SIGNED;
when "101011" => --SLTU r[rd]=u[rs]<u[rt];
c_source := C_FROM_ALU;
alu_function := ALU_LESS_THAN;
when "101101" => --DADDU r[rd]=r[rs]+u[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
--when "110001" => --TGEU
--when "110010" => --TLT
--when "110011" => --TLTU
--when "110100" => --TEQ
--when "110110" => --TNE
when others =>
end case;
when "000001" => --REGIMM
rt := "000000";
rd := "011111";
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_GTZ;
--if(test) pc=pc+imm*4
case rtx is
when "10000" => --BLTZAL r[31]=s->pc_next; branch=r[rs]<0;
c_source := C_FROM_PC_PLUS4;
branch_function := BRANCH_LTZ;
when "00000" => --BLTZ branch=r[rs]<0;
branch_function := BRANCH_LTZ;
when "10001" => --BGEZAL r[31]=s->pc_next; branch=r[rs]>=0;
c_source := C_FROM_PC_PLUS4;
branch_function := BRANCH_GEZ;
when "00001" => --BGEZ branch=r[rs]>=0;
branch_function := BRANCH_GEZ;
--when "10010" => --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0;
--when "00010" => --BLTZL lbranch=r[rs]<0;
--when "10011" => --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0;
--when "00011" => --BGEZL lbranch=r[rs]>=0;
when others =>
end case;
when "000011" => --JAL r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target;
c_source := C_FROM_PC_PLUS4;
rd := "011111";
pc_source := FROM_OPCODE25_0;
when "000010" => --J s->pc_next=(s->pc&0xf0000000)|target;
pc_source := FROM_OPCODE25_0;
when "000100" => --BEQ branch=r[rs]==r[rt];
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_EQ;
when "000101" => --BNE branch=r[rs]!=r[rt];
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_NE;
when "000110" => --BLEZ branch=r[rs]<=0;
a_source := A_FROM_PC;
b_source := b_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_LEZ;
when "000111" => --BGTZ branch=r[rs]>0;
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_GTZ;
when "001000" => --ADDI r[rt]=r[rs]+(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_ADD;
when "001001" => --ADDIU u[rt]=u[rs]+(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_ADD;
when "001010" => --SLTI r[rt]=r[rs]<(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_LESS_THAN_SIGNED;
when "001011" => --SLTIU u[rt]=u[rs]<(unsigned long)(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_LESS_THAN;
when "001100" => --ANDI r[rt]=r[rs]&imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_AND;
when "001101" => --ORI r[rt]=r[rs]|imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_OR;
when "001110" => --XORI r[rt]=r[rs]^imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_XOR;
when "001111" => --LUI r[rt]=(imm<<16);
c_source := C_FROM_IMM_SHIFT16;
rd := rt;
when "010000" => --COP0
alu_function := ALU_OR;
c_source := C_FROM_ALU;
if opcode(23) = '0' then --move from CP0
rs := '1' & opcode(15 downto 11);
rt := "000000";
rd := '0' & opcode(20 downto 16);
else --move to CP0
rs := "000000";
rd(5) := '1';
pc_source := FROM_BRANCH; --delay possible interrupt
branch_function := BRANCH_NO;
end if;
--when "010001" => --COP1
--when "010010" => --COP2
--when "010011" => --COP3
--when "010100" => --BEQL lbranch=r[rs]==r[rt];
--when "010101" => --BNEL lbranch=r[rs]!=r[rt];
--when "010110" => --BLEZL lbranch=r[rs]<=0;
--when "010111" => --BGTZL lbranch=r[rs]>0;
when "011010" => -- SUBI r[rt]=r[rs]-(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_SUBTRACT;
when "100000" => --LB r[rt]=*(signed char*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ8S; --address=(short)imm+r[rs];
when "100001" => --LH r[rt]=*(signed short*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ16S; --address=(short)imm+r[rs];
when "100010" => --LWL //Not Implemented
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ32;
when "100011" => --LW r[rt]=*(long*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ32;
when "100100" => --LBU r[rt]=*(unsigned char*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ8; --address=(short)imm+r[rs];
when "100101" => --LHU r[rt]=*(unsigned short*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ16; --address=(short)imm+r[rs];
--when "100110" => --LWR //Not Implemented
when "101000" => --SB *(char*)ptr=(char)r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE8; --address=(short)imm+r[rs];
when "101001" => --SH *(short*)ptr=(short)r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE16;
when "101010" => --SWL //Not Implemented
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
when "101011" => --SW *(long*)ptr=r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
--when "101110" => --SWR //Not Implemented
--when "101111" => --CACHE
--when "110000" => --LL r[rt]=*(long*)ptr;
--when "110001" => --LWC1
--when "110010" => --LWC2
--when "110011" => --LWC3
--when "110101" => --LDC1
--when "110110" => --LDC2
--when "110111" => --LDC3
--when "111000" => --SC *(long*)ptr=r[rt]; r[rt]=1;
--when "111001" => --SWC1
--when "111010" => --SWC2
--when "111011" => --SWC3
--when "111101" => --SDC1
--when "111110" => --SDC2
--when "111111" => --SDC3
when others =>
end case;
if c_source = C_FROM_NULL then
rd := "000000";
end if;
if intr_signal = '1' or is_syscall = '1' then
rs := "111111"; --interrupt vector
rt := "000000";
rd := "101110"; --save PC in EPC
alu_function := ALU_OR;
shift_function := SHIFT_NOTHING;
mult_function := MULT_NOTHING;
branch_function := BRANCH_YES;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_REG_TARGET;
c_source := C_FROM_PC;
pc_source := FROM_LBRANCH; -- "11"
mem_source := MEM_FETCH;
exception_out <= '1';
else
exception_out <= '0';
end if;
rs_index <= rs;
rt_index <= rt;
rd_index <= rd;
imm_out <= imm;
alu_func <= alu_function;
shift_func <= shift_function;
mult_func <= mult_function;
branch_func <= branch_function;
a_source_out <= a_source;
b_source_out <= b_source;
c_source_out <= c_source;
pc_source_out <= pc_source;
mem_source_out <= mem_source;
end process;
end; --logic
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/control.vhd
|
9
|
16953
|
---------------------------------------------------------------------
-- TITLE: Controller / Opcode Decoder
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: control.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- NOTE: MIPS(tm) is a registered trademark of MIPS Technologies.
-- MIPS Technologies does not endorse and is not associated with
-- this project.
-- DESCRIPTION:
-- Controls the CPU by decoding the opcode and generating control
-- signals to the rest of the CPU.
-- This entity decodes the MIPS(tm) opcode into a
-- Very-Long-Word-Instruction.
-- The 32-bit opcode is converted to a
-- 6+6+6+16+4+2+4+3+2+2+3+2+4 = 60 bit VLWI opcode.
-- Based on information found in:
-- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
-- and "The Designer's Guide to VHDL" by Peter J. Ashenden
-- modified by: Siavoosh Payandeh Azad
-- Change logs:
-- * EPC register have been changed! It used to be R0, now it is R26
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity control is
port(opcode : in std_logic_vector(31 downto 0); -- not opcode, but the whole instruction !!! (opcode is the first 6 most significant bits of the instruction.)
intr_signal : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
rs_index : out std_logic_vector(5 downto 0);
rt_index : out std_logic_vector(5 downto 0);
rd_index : out std_logic_vector(5 downto 0);
imm_out : out std_logic_vector(15 downto 0);
alu_func : out alu_function_type;
shift_func : out shift_function_type;
mult_func : out mult_function_type;
branch_func : out branch_function_type;
a_source_out : out a_source_type;
b_source_out : out b_source_type;
c_source_out : out c_source_type;
pc_source_out: out pc_source_type;
mem_source_out:out mem_source_type;
exception_out: out std_logic);
end; --entity control
architecture logic of control is
begin
control_proc: process(opcode, intr_signal)
variable op, func : std_logic_vector(5 downto 0);
variable rs, rt, rd : std_logic_vector(5 downto 0);
variable rtx : std_logic_vector(4 downto 0);
variable imm : std_logic_vector(15 downto 0);
variable alu_function : alu_function_type;
variable shift_function : shift_function_type;
variable mult_function : mult_function_type;
variable a_source : a_source_type;
variable b_source : b_source_type;
variable c_source : c_source_type;
variable pc_source : pc_source_type;
variable branch_function: branch_function_type;
variable mem_source : mem_source_type;
variable is_syscall : std_logic;
begin
alu_function := ALU_NOTHING;
shift_function := SHIFT_NOTHING;
mult_function := MULT_NOTHING;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_REG_TARGET;
c_source := C_FROM_NULL;
pc_source := FROM_INC4;
branch_function := BRANCH_EQ;
mem_source := MEM_FETCH;
op := opcode(31 downto 26);
rs := '0' & opcode(25 downto 21);
rt := '0' & opcode(20 downto 16);
rtx := opcode(20 downto 16);
rd := '0' & opcode(15 downto 11);
func := opcode(5 downto 0);
imm := opcode(15 downto 0);
is_syscall := '0';
case op is
when "000000" => --SPECIAL
case func is
when "000000" => --SLL r[rd]=r[rt]<<re;
-- This is overlapping with NOP instruction in which all bits are zero, so opcode is zero and the last 6 bits (funct) are also zero,
-- does this mean that NOP acts as SLL ???
a_source := A_FROM_IMM10_6;
c_source := C_FROM_SHIFT;
shift_function := SHIFT_LEFT_UNSIGNED;
when "000010" => --SRL r[rd]=u[rt]>>re;
a_source := A_FROM_IMM10_6;
c_source := C_FROM_shift;
shift_function := SHIFT_RIGHT_UNSIGNED;
when "000011" => --SRA r[rd]=r[rt]>>re;
a_source := A_FROM_IMM10_6;
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_SIGNED;
when "000100" => --SLLV r[rd]=r[rt]<<r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_LEFT_UNSIGNED;
when "000110" => --SRLV r[rd]=u[rt]>>r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_UNSIGNED;
when "000111" => --SRAV r[rd]=r[rt]>>r[rs];
c_source := C_FROM_SHIFT;
shift_function := SHIFT_RIGHT_SIGNED;
when "001000" => --JR s->pc_next=r[rs];
pc_source := FROM_BRANCH;
alu_function := ALU_ADD;
branch_function := BRANCH_YES;
when "001001" => --JALR r[rd]=s->pc_next; s->pc_next=r[rs];
c_source := C_FROM_PC_PLUS4;
pc_source := FROM_BRANCH;
alu_function := ALU_ADD;
branch_function := BRANCH_YES;
--when "001010" => --MOVZ if(!r[rt]) r[rd]=r[rs]; /*IV*/
--when "001011" => --MOVN if(r[rt]) r[rd]=r[rs]; /*IV*/
when "001100" => --SYSCALL
is_syscall := '1';
when "001101" => --BREAK s->wakeup=1;
is_syscall := '1';
--when "001111" => --SYNC s->wakeup=1;
when "010000" => --MFHI r[rd]=s->hi;
c_source := C_FROM_MULT;
mult_function := MULT_READ_HI;
when "010001" => --MTHI s->hi=r[rs];
mult_function := MULT_WRITE_HI;
when "010010" => --MFLO r[rd]=s->lo;
c_source := C_FROM_MULT;
mult_function := MULT_READ_LO;
when "010011" => --MTLO s->lo=r[rs];
mult_function := MULT_WRITE_LO;
when "011000" => --MULT s->lo=r[rs]*r[rt]; s->hi=0;
mult_function := MULT_SIGNED_MULT;
when "011001" => --MULTU s->lo=r[rs]*r[rt]; s->hi=0;
mult_function := MULT_MULT;
when "011010" => --DIV s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
mult_function := MULT_SIGNED_DIVIDE;
when "011011" => --DIVU s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
mult_function := MULT_DIVIDE;
when "100000" => --ADD r[rd]=r[rs]+r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
when "100001" => --ADDU r[rd]=r[rs]+r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
when "100010" => --SUB r[rd]=r[rs]-r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_SUBTRACT;
when "100011" => --SUBU r[rd]=r[rs]-r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_SUBTRACT;
when "100100" => --AND r[rd]=r[rs]&r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_AND;
when "100101" => --OR r[rd]=r[rs]|r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_OR;
when "100110" => --XOR r[rd]=r[rs]^r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_XOR;
when "100111" => --NOR r[rd]=~(r[rs]|r[rt]);
c_source := C_FROM_ALU;
alu_function := ALU_NOR;
when "101010" => --SLT r[rd]=r[rs]<r[rt];
c_source := C_FROM_ALU;
alu_function := ALU_LESS_THAN_SIGNED;
when "101011" => --SLTU r[rd]=u[rs]<u[rt];
c_source := C_FROM_ALU;
alu_function := ALU_LESS_THAN;
when "101101" => --DADDU r[rd]=r[rs]+u[rt];
c_source := C_FROM_ALU;
alu_function := ALU_ADD;
--when "110001" => --TGEU
--when "110010" => --TLT
--when "110011" => --TLTU
--when "110100" => --TEQ
--when "110110" => --TNE
when others =>
end case;
when "000001" => --REGIMM
rt := "000000";
rd := "011111";
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_GTZ;
--if(test) pc=pc+imm*4
case rtx is
when "10000" => --BLTZAL r[31]=s->pc_next; branch=r[rs]<0;
c_source := C_FROM_PC_PLUS4;
branch_function := BRANCH_LTZ;
when "00000" => --BLTZ branch=r[rs]<0;
branch_function := BRANCH_LTZ;
when "10001" => --BGEZAL r[31]=s->pc_next; branch=r[rs]>=0;
c_source := C_FROM_PC_PLUS4;
branch_function := BRANCH_GEZ;
when "00001" => --BGEZ branch=r[rs]>=0;
branch_function := BRANCH_GEZ;
--when "10010" => --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0;
--when "00010" => --BLTZL lbranch=r[rs]<0;
--when "10011" => --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0;
--when "00011" => --BGEZL lbranch=r[rs]>=0;
when others =>
end case;
when "000011" => --JAL r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target;
c_source := C_FROM_PC_PLUS4;
rd := "011111";
pc_source := FROM_OPCODE25_0;
when "000010" => --J s->pc_next=(s->pc&0xf0000000)|target;
pc_source := FROM_OPCODE25_0;
when "000100" => --BEQ branch=r[rs]==r[rt];
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_EQ;
when "000101" => --BNE branch=r[rs]!=r[rt];
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_NE;
when "000110" => --BLEZ branch=r[rs]<=0;
a_source := A_FROM_PC;
b_source := b_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_LEZ;
when "000111" => --BGTZ branch=r[rs]>0;
a_source := A_FROM_PC;
b_source := B_FROM_IMMX4;
alu_function := ALU_ADD;
pc_source := FROM_BRANCH;
branch_function := BRANCH_GTZ;
when "001000" => --ADDI r[rt]=r[rs]+(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_ADD;
when "001001" => --ADDIU u[rt]=u[rs]+(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_ADD;
when "001010" => --SLTI r[rt]=r[rs]<(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_LESS_THAN_SIGNED;
when "001011" => --SLTIU u[rt]=u[rs]<(unsigned long)(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_LESS_THAN;
when "001100" => --ANDI r[rt]=r[rs]&imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_AND;
when "001101" => --ORI r[rt]=r[rs]|imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_OR;
when "001110" => --XORI r[rt]=r[rs]^imm;
b_source := B_FROM_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_XOR;
when "001111" => --LUI r[rt]=(imm<<16);
c_source := C_FROM_IMM_SHIFT16;
rd := rt;
when "010000" => --COP0
alu_function := ALU_OR;
c_source := C_FROM_ALU;
if opcode(23) = '0' then --move from CP0
rs := '1' & opcode(15 downto 11);
rt := "000000";
rd := '0' & opcode(20 downto 16);
else --move to CP0
rs := "000000";
rd(5) := '1';
pc_source := FROM_BRANCH; --delay possible interrupt
branch_function := BRANCH_NO;
end if;
--when "010001" => --COP1
--when "010010" => --COP2
--when "010011" => --COP3
--when "010100" => --BEQL lbranch=r[rs]==r[rt];
--when "010101" => --BNEL lbranch=r[rs]!=r[rt];
--when "010110" => --BLEZL lbranch=r[rs]<=0;
--when "010111" => --BGTZL lbranch=r[rs]>0;
when "011010" => -- SUBI r[rt]=r[rs]-(short)imm;
b_source := B_FROM_SIGNED_IMM;
c_source := C_FROM_ALU;
rd := rt;
alu_function := ALU_SUBTRACT;
when "100000" => --LB r[rt]=*(signed char*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ8S; --address=(short)imm+r[rs];
when "100001" => --LH r[rt]=*(signed short*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ16S; --address=(short)imm+r[rs];
when "100010" => --LWL //Not Implemented
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ32;
when "100011" => --LW r[rt]=*(long*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ32;
when "100100" => --LBU r[rt]=*(unsigned char*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ8; --address=(short)imm+r[rs];
when "100101" => --LHU r[rt]=*(unsigned short*)ptr;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
rd := rt;
c_source := C_FROM_MEMORY;
mem_source := MEM_READ16; --address=(short)imm+r[rs];
--when "100110" => --LWR //Not Implemented
when "101000" => --SB *(char*)ptr=(char)r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE8; --address=(short)imm+r[rs];
when "101001" => --SH *(short*)ptr=(short)r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE16;
when "101010" => --SWL //Not Implemented
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
when "101011" => --SW *(long*)ptr=r[rt];
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_SIGNED_IMM;
alu_function := ALU_ADD;
mem_source := MEM_WRITE32; --address=(short)imm+r[rs];
--when "101110" => --SWR //Not Implemented
--when "101111" => --CACHE
--when "110000" => --LL r[rt]=*(long*)ptr;
--when "110001" => --LWC1
--when "110010" => --LWC2
--when "110011" => --LWC3
--when "110101" => --LDC1
--when "110110" => --LDC2
--when "110111" => --LDC3
--when "111000" => --SC *(long*)ptr=r[rt]; r[rt]=1;
--when "111001" => --SWC1
--when "111010" => --SWC2
--when "111011" => --SWC3
--when "111101" => --SDC1
--when "111110" => --SDC2
--when "111111" => --SDC3
when others =>
end case;
if c_source = C_FROM_NULL then
rd := "000000";
end if;
if intr_signal = '1' or is_syscall = '1' then
rs := "111111"; --interrupt vector
rt := "000000";
rd := "101110"; --save PC in EPC
alu_function := ALU_OR;
shift_function := SHIFT_NOTHING;
mult_function := MULT_NOTHING;
branch_function := BRANCH_YES;
a_source := A_FROM_REG_SOURCE;
b_source := B_FROM_REG_TARGET;
c_source := C_FROM_PC;
pc_source := FROM_LBRANCH; -- "11"
mem_source := MEM_FETCH;
exception_out <= '1';
else
exception_out <= '0';
end if;
rs_index <= rs;
rt_index <= rt;
rd_index <= rd;
imm_out <= imm;
alu_func <= alu_function;
shift_func <= shift_function;
mult_func <= mult_function;
branch_func <= branch_function;
a_source_out <= a_source;
b_source_out <= b_source;
c_source_out <= c_source;
pc_source_out <= pc_source;
mem_source_out <= mem_source;
end process;
end; --logic
|
gpl-3.0
|
sunoc/vhdl-lz4-variation
|
test-bench/lz4_tb.vhdl
|
1
|
2810
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use STD.textio.all; -- to read files
use work.lz4_pkg.all;
-- Test bench has no ports
entity lz4_tb is
end lz4_tb;
architecture behavior of lz4_tb is
signal clk_i : std_logic := '0';
signal reset_i : std_logic := '0';
signal entryStream_s : std_logic := '0';
signal outputStream_s : std_logic := '0';
signal outputFlag_s : std_logic := '0';
begin
uut: lz4_top port map (
clk_i => clk_i,
reset_i => reset_i,
entryStream_i => entryStream_s,
outputStream_o => outputStream_s,
outputFlag_o => outputFlag_s
);
-- Clock process definitions
clk_i_process: process
begin
clk_i <= '0';
wait for clk_period/2;
clk_i <= '1';
wait for clk_period/2;
end process;
reset_process: process
begin
reset_i <= '1';
wait for 72 ns;
reset_i <= '0';
wait;
end process;
readfile_process: process
file file_pointer_i : text;
variable r_char : character;
variable line_num_i : line;
variable line_content_i : character;
begin
wait for 5 ns;
if reset_i = '0' then
file_open(file_pointer_i, "./test-bench/test.txt.bit", READ_MODE);
while not endfile(file_pointer_i) loop
readline(file_pointer_i, line_num_i);
read(line_num_i, line_content_i);
r_char := line_content_i;
if (r_char = '0') then
entryStream_s <= '0';
elsif (r_char = '1') then
entryStream_s <= '1';
end if;
wait for 5 ns; -- wait between each value reading
end loop;
file_close(file_pointer_i);
-- send undefined values to mark the eof
for i in 0 to 7 loop
entryStream_s <= 'U';
end loop;
wait;
end if;
end process;
writefile_process: process
file file_pointer_o: text;
variable line_content_o : string(1 to 8000) := (others => '0');
variable line_num_o : line;
begin
file_open(file_pointer_o, "./test-bench/test.lz4", WRITE_MODE);
wait until (outputFlag_s = '1');
while (outputFlag_s = '1') loop
if rising_edge(clk_i) then
for i in 0 to 7999 loop
if (outputStream_s = '1') then
line_content_o(8000-i) := '1';
else
line_content_o(8000-i) := '0';
end if;
end loop;
end if;
end loop;
write(line_num_o, line_content_o);
writeline(file_pointer_o, line_num_o);
wait for 10 ns;
file_close(file_pointer_o);
wait;
end process;
dummy_test: process
begin
assert false report "end of tests" severity note;
wait; -- the final infinit loop
end process;
end;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/SIB_mux_pre_FCX.vhd
|
3
|
4775
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity SIB_mux_pre_FCX is
Port ( -- Scan Interface client --------------
SI : in STD_LOGIC; -- ScanInPort
CE : in STD_LOGIC; -- CaptureEnPort
SE : in STD_LOGIC; -- ShiftEnPort
UE : in STD_LOGIC; -- UpdateEnPort
SEL : in STD_LOGIC; -- SelectPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
SO : out STD_LOGIC; -- ScanOutPort
toF : out STD_LOGIC; -- To F flag of the upper hierarchical level
toC : out STD_LOGIC; -- To C flag of the upper hierarchical level
-- Scan Interface host ----------------
fromSO : in STD_LOGIC; -- ScanInPort
toCE : out STD_LOGIC; -- ToCaptureEnPort
toSE : out STD_LOGIC; -- ToShiftEnPort
toUE : out STD_LOGIC; -- ToUpdateEnPort
toSEL : out STD_LOGIC; -- ToSelectPort
toRST : out STD_LOGIC; -- ToResetPort
toTCK : out STD_LOGIC; -- ToTCKPort
toSI : out STD_LOGIC; -- ScanOutPort
fromF : in STD_LOGIC; -- From an OR of all F flags in the underlying network segment
fromC : in STD_LOGIC -- From an AND of all C flags in the underlying network segment
);
end SIB_mux_pre_FCX;
architecture SIB_mux_pre_FCX_arch of SIB_mux_pre_FCX is
component ScanRegister_for_SIBFCX is
Generic (Size : positive;
BitOrder : string; -- MSBLSB / LSBMSB
SOSource : natural;
ResetValue : STD_LOGIC_VECTOR);
Port ( SI : in STD_LOGIC;
CE : in STD_LOGIC;
SE : in STD_LOGIC;
UE : in STD_LOGIC;
SEL : in STD_LOGIC;
RST : in STD_LOGIC;
TCK : in STD_LOGIC;
SO : out STD_LOGIC;
CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0);
ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0);
ue_mux_out : out STD_LOGIC_VECTOR (Size-1 downto 0));
end component;
component ScanMux is
Generic (ControlSize : positive);
Port ( ScanMux_in : in STD_LOGIC_VECTOR((2**ControlSize)-1 downto 0);
SelectedBy : in STD_LOGIC_VECTOR(ControlSize-1 downto 0);
ScanMux_out : out STD_LOGIC);
end component;
signal SIBmux_out : STD_LOGIC;
signal SR_so : STD_LOGIC;
signal SR_do : STD_LOGIC_VECTOR (3 downto 0);
signal SR_ci : STD_LOGIC_VECTOR (3 downto 0);
signal sr_update_mux_out : STD_LOGIC_VECTOR (3 downto 0);
signal C_sync, F_sync : STD_LOGIC;
signal C_sync_first, F_sync_first : STD_LOGIC;
signal F_sync_delayed_copy, sticky_f_posedge : STD_LOGIC;
begin
SO <= SR_so; -- Source SR
toCE <= CE;
toSE <= SE;
toUE <= UE;
toSEL <= SEL and SR_do(3); -- SEL & S bit
toRST <= RST;
toTCK <= TCK;
toSI <= SI; -- Source SI
SR_ci(3) <= SR_do(3); -- Sxcf
SR_ci(2) <= SR_do(2); -- sXcf
SR_ci(1) <= C_sync; -- sxCf
SR_ci(0) <= sticky_f_posedge; -- sxcF
toF <= fromF and SR_do(2); -- F flag from lower levels AND X bit
toC <= fromC or not SR_do(2); -- C flag from lower levels OR NOT X bit
f_edge_detector : process (TCK, RST)
begin
if RST = '1' then
sticky_f_posedge <= '0';
elsif TCK'event and TCK = '0' then
if F_sync_delayed_copy = '0' and F_sync = '1' then -- edge detector
sticky_f_posedge <= '1';
elsif UE = '1' and SEL = '1' and sr_update_mux_out(0) = '0' then -- clear sticky F flag when F is updated with 0
sticky_f_posedge <= '0';
end if;
end if;
end process; -- f_edge_detector
synchronizer : process( TCK )
begin
if TCK'event and TCK = '0' then
F_sync_first <= fromF;
F_sync <= F_sync_first;
F_sync_delayed_copy <= F_sync;
C_sync_first <= fromC;
C_sync <= C_sync_first;
end if ;
end process ; -- synchronizer
SR : ScanRegister_for_SIBFCX
Generic map (Size => 4,
BitOrder => "MSBLSB", -- MSBLSB / LSBMSB
SOSource => 0,
ResetValue => "0110") -- ResetValue S=0, X=1, C=1, F=0
Port map ( SI => SIBmux_out, -- ScanInSource SIBmux_out
CE => CE,
SE => SE,
UE => UE,
SEL => SEL,
RST => RST,
TCK => TCK,
SO => SR_so,
CaptureSource => SR_ci, -- CaptureSource SR
ScanRegister_out => SR_do,
ue_mux_out => sr_update_mux_out);
SIBmux : ScanMux
Generic map ( ControlSize => 1)
Port map ( ScanMux_in(0) => SI, -- 1'b0 : SI
ScanMux_in(1) => fromSO, -- 1'b1 : fromSO
SelectedBy => SR_do(3 downto 3), --SelectedBy SR
ScanMux_out => SIBmux_out);
end SIB_mux_pre_FCX_arch;
|
gpl-3.0
|
Project-Bonfire/EHA
|
FPGA-integration/RTL/NI_AXI_handshake_wrapper.vhd
|
3
|
5784
|
-- Copyright (C) Karl Janson 2016
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity AXI_handshake_wrapper is
generic (
DATA_WIDTH : integer := 32;
NI_DEPTH : integer := 16
);
port (
reset : in std_logic;
clk : in std_logic;
--Router connection
R_RX : in std_logic_vector(DATA_WIDTH-1 downto 0);
R_TX : out std_logic_vector(DATA_WIDTH-1 downto 0);
R_DRTS : in std_logic;
R_DCTS : in std_logic;
R_RTS : out std_logic;
R_CTS : out std_logic;
-- Abstraction signals for AXI
AXI_RX_out : out std_logic_vector(DATA_WIDTH-1 downto 0);
AXI_RX_IRQ_out : out std_logic;
AXI_data_read_in : in std_logic;
AXI_TX_in : in std_logic_vector(DATA_WIDTH-1 downto 0);
AXI_send_en : in std_logic
);
end AXI_handshake_wrapper;
architecture Behavioral of AXI_handshake_wrapper is
component NI is
generic (
DATA_WIDTH : integer := 32;
NI_DEPTH : integer := 16
);
port (
reset : in std_logic;
clk : in std_logic;
RX1 : in std_logic_vector(DATA_WIDTH-1 downto 0);
TX1 : out std_logic_vector(DATA_WIDTH-1 downto 0);
DRTS1 : in std_logic;
DCTS1 : in std_logic;
RTS1 : out std_logic;
CTS1 : out std_logic;
RX2 : in std_logic_vector(DATA_WIDTH-1 downto 0);
TX2 : out std_logic_vector(DATA_WIDTH-1 downto 0);
DRTS2 : in std_logic;
DCTS2 : in std_logic;
RTS2 : out std_logic;
CTS2 : out std_logic
);
end component;
signal PE_TX : std_logic_vector(DATA_WIDTH-1 downto 0);
signal PE_RX : std_logic_vector(DATA_WIDTH-1 downto 0);
signal PE_DRTS : std_logic;
signal PE_DCTS : std_logic;
signal PE_RTS : std_logic;
signal PE_CTS : std_logic;
type send_state_type is (S_IDLE, S_SEND);
type recv_state_type is (S_IDLE, S_RECV, S_WAIT);
signal send_state : send_state_type;
signal recv_state : recv_state_type;
signal RX_en : std_logic;
signal TX_en : std_logic;
signal axi_data_read : std_logic;
signal AXI_RX_IRQ : std_logic;
signal AXI_RX : std_logic_vector(DATA_WIDTH-1 downto 0);
signal AXI_data_read_prev : std_logic;
begin
Network_interface: NI
generic map(
DATA_WIDTH => DATA_WIDTH,
NI_DEPTH => NI_DEPTH)
port map (
reset => reset,
clk => clk,
-- Router connection
RX1 => R_RX,
DRTS1 => R_DRTS,
CTS1 => R_CTS,
TX2 => R_TX,
DCTS2 => R_DCTS,
RTS2 => R_RTS,
-- AXI PE emulation connection
TX1 => PE_RX,
DCTS1 => PE_CTS,
RTS1 => PE_DRTS,
RX2 => PE_TX,
DRTS2 => PE_RTS,
CTS2 => PE_DCTS
);
-- FSM for sending data from AXI to the NI
AXI_TX_FSM: process (clk, reset)
begin
if (reset = '0') then
PE_RTS <= '0';
TX_en <= '0';
send_state <= S_IDLE;
elsif (clk'event and clk = '1') then
case send_state is
when S_IDLE =>
if (AXI_send_en = '1') then
PE_RTS <= '1';
TX_en <= '1';
send_state <= S_SEND;
else
TX_en <= '0';
end if;
when S_SEND =>
if (PE_DCTS = '1') then
PE_RTS <= '0';
send_state <= S_IDLE;
end if;
when others =>
PE_RTS <= '0';
TX_en <= '0';
send_state <= S_IDLE;
end case;
end if;
end process AXI_TX_FSM;
-- FSM for receiving data from the NI to teh AXI bus
AXI_RX_FSM: process (clk, reset)
begin
if (reset = '0') then
PE_CTS <= '0';
RX_en <= '0';
AXI_RX_IRQ <= '0';
recv_state <= S_IDLE;
AXI_data_read <= '1';
elsif (clk'event and clk = '1') then
case recv_state is
when S_IDLE =>
if (AXI_data_read = '1') then
if (PE_DRTS = '1') then
PE_CTS <= '1';
RX_en <= '1';
AXI_RX_IRQ <= '0';
recv_state <= S_RECV;
end if;
else
if (AXI_data_read_in = '1') then
AXI_data_read <= '1';
end if;
end if;
when S_RECV =>
RX_en <= '0';
PE_CTS <= '0';
AXI_RX_IRQ <= '1';
AXI_data_read <= '0';
recv_state <= S_IDLE;
when others =>
PE_CTS <= '0';
RX_en <= '0';
AXI_RX_IRQ <= '0';
recv_state <= S_IDLE;
end case;
end if;
end process AXI_RX_FSM;
RX_store: process (clk, reset)
begin
if (reset = '0') then
AXI_RX <= (others => '0');
elsif (clk'event and clk = '1') then
if (RX_en = '1') then
AXI_RX <= PE_RX;
else
AXI_RX <= AXI_RX;
end if;
end if;
end process RX_store;
PE_TX <= AXI_TX_in when TX_en = '1' else
(others => '0') when TX_en = '0';
AXI_RX_IRQ_out <= AXI_RX_IRQ;
AXI_RX_out <= AXI_RX;
end Behavioral;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/arbiter_in.vhd
|
12
|
3876
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
-- Is this like the old arbiter in the router with handshaking FC ??
entity arbiter_in is
port ( reset: in std_logic;
clk: in std_logic;
Req_X_N, Req_X_E, Req_X_W, Req_X_S, Req_X_L:in std_logic; -- From LBDR modules
X_N, X_E, X_W, X_S, X_L:out std_logic -- Grants given to LBDR requests (encoded as one-hot)
);
end;
architecture behavior of arbiter_in is
TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SIGNAL state, state_in : STATE_TYPE := IDLE;
begin
process (clk, reset)begin
if reset = '0' then
state <= IDLE;
elsif clk'event and clk ='1'then
state <= state_in;
end if;
end process;
-- anything below here is pure combinational
process(state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L)
begin
X_N <= '0';
X_E <= '0';
X_W <= '0';
X_S <= '0';
X_L <= '0';
case state is
when IDLE => -- In the arbiter for hand-shaking FC router, L had the highest priority (L, N, E, W, S)
-- Here it seems N has the higest priority, is it fine ?
if req_X_N ='1' then
state_in <= North;
X_N <= '1';
elsif req_X_E = '1' then
state_in <= East;
X_E <= '1';
elsif req_X_W = '1' then
state_in <= West;
X_W <= '1';
elsif req_X_S = '1' then
state_in <= South;
X_S <= '1';
elsif req_X_L = '1' then
state_in <= Local;
X_L <= '1';
else
state_in <= state;
end if;
when North =>
if req_X_N ='1' then
state_in <= North;
X_N <= '1';
elsif req_X_E = '1' then
state_in <= East;
X_E <= '1';
elsif req_X_W = '1' then
state_in <= West;
X_W <= '1';
elsif req_X_S = '1' then
state_in <= South;
X_S <= '1';
elsif req_X_L = '1' then
state_in <= Local;
X_L <= '1';
else
state_in <= state;
end if;
when East =>
if req_X_E = '1' then
state_in <= East;
X_E <= '1';
elsif req_X_W = '1' then
state_in <= West;
X_W <= '1';
elsif req_X_S = '1' then
state_in <= South;
X_S <= '1';
elsif req_X_L = '1' then
state_in <= Local;
X_L <= '1';
elsif req_X_N ='1' then
state_in <= North;
X_N <= '1';
else
state_in <= state;
end if;
when West =>
if req_X_W = '1' then
state_in <= West;
X_W <= '1';
elsif req_X_S = '1' then
state_in <= South;
X_S <= '1';
elsif req_X_L = '1' then
state_in <= Local;
X_L <= '1';
elsif req_X_N ='1' then
state_in <= North;
X_N <= '1';
elsif req_X_E = '1' then
state_in <= East;
X_E <= '1';
else
state_in <= state;
end if;
when South =>
if req_X_S = '1' then
state_in <= South;
X_S <= '1';
elsif req_X_L = '1' then
state_in <= Local;
X_L <= '1';
elsif req_X_N ='1' then
state_in <= North;
X_N <= '1';
elsif req_X_E = '1' then
state_in <= East;
X_E <= '1';
elsif req_X_W = '1' then
state_in <= West;
X_W <= '1';
else
state_in <= state;
end if;
when others =>
if req_X_L = '1' then
state_in <= Local;
X_L <= '1';
elsif req_X_N ='1' then
state_in <= North;
X_N <= '1';
elsif req_X_E = '1' then
state_in <= East;
X_E <= '1';
elsif req_X_W = '1' then
state_in <= West;
X_W <= '1';
elsif req_X_S = '1' then
state_in <= South;
X_S <= '1';
else
state_in <= state;
end if;
end case;
end process;
end;
|
gpl-3.0
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/dp512x32_col/_primary.vhd
|
3
|
1368
|
library verilog;
use verilog.vl_types.all;
entity dp512x32_col is
port(
CLKA : in vl_logic;
CLKB : in vl_logic;
CSBA : in vl_logic;
CSBB : in vl_logic;
RWBA : in vl_logic;
RWBB : in vl_logic;
AA : in vl_logic_vector(8 downto 0);
AB : in vl_logic_vector(8 downto 0);
DIA : in vl_logic_vector(31 downto 0);
DIB : in vl_logic_vector(31 downto 0);
DOA : out vl_logic_vector(31 downto 0);
DOB : out vl_logic_vector(31 downto 0);
RB_CSBA : in vl_logic;
RB_CSBB : in vl_logic;
RB_RWBA : in vl_logic;
RB_RWBB : in vl_logic;
RB_ADA : in vl_logic_vector(8 downto 0);
RB_ADB : in vl_logic_vector(8 downto 0);
RB_WDA : in vl_logic_vector(31 downto 0);
RB_WDB : in vl_logic_vector(31 downto 0);
RB_RDA : out vl_logic_vector(31 downto 0);
RB_RDB : out vl_logic_vector(31 downto 0);
RB_TEST : in vl_logic;
TEST_MODE : in vl_logic
);
end dp512x32_col;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/NI_Test/xbar.vhd
|
20
|
1004
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
entity XBAR is
generic (
DATA_WIDTH: integer := 8
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end;
architecture behavior of XBAR is
begin
process(sel, North_in, East_in, West_in, South_in, Local_in) begin
case(sel) is
when "00001" =>
Data_out <= Local_in;
when "00010" =>
Data_out <= South_in;
when "00100" =>
Data_out <= West_in;
when "01000" =>
Data_out <= East_in;
when others =>
Data_out <= North_in;
end case;
end process;
end;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_defaults.vhd
|
9
|
32415
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kFCwjF50ID5rkH7WCqk1AUV10OrYPwDVbG5RT0uBjSpWT0LOPOBRQMZTSFpswtanm4ewGT0JVie2
5JMWJqoYOA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L8j4iUhu1IfRE3vtCqJ8a+BRZ75rwce1PK4R/tDgx7sb0sc+KXFgTqyBgWjuqGtF6+zq9+7wXlxn
9KuJtsMz6OCV7G4hhPkxfDJPab8Z7Q4elvp761P/H6hcoEqfOAZVL+p0hndVcwl+42k5EtBmW/0Y
MczRx8ec3ngVbMDC2w8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fu+RgyZE57xakOBtm/zbJZ55hLBHrZYTpLdGFxAEd59NqRwrQYmxj8fc9AunG5vvfv1GPwZjIv/l
ajPMGKAEz33LZqKQsLjX2uYYKu+7acNyIEeZeosc/veLNHxbAnr7Xko3qE7ZEzXiQ6nWgxnC2/2i
ymF31H+0BVL3nFUT6eSt0hQrSgWZX98T/vfdEmksEDBe1yKUTvYpt4wJHBNfz7uRA49nEVIkCe9V
m0tXvYHCgUKgoHOoBGOvuG4fNI5cgdVRVCkSGUyJb4h1/BPzD7GSGPkD6ePIvgrhS+RLWXY8qcq5
WHUWr6L+g8o/lhSXfNZjJhzHvn2JjAGc39fzvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
swwMQxQie+09D3MXeYz3Rl6AN8SlKD1gyOiHKj9BIavjp0lI5JuQzWRHMYGDTpO1kyJwBVhvJMrP
SsAda0PYOtWLTpeY2iepTANuYHROHCMWB+BlWyKeq62pTscwkggHRzA+MandxiR9fTTgoN8H8J2J
1zAxdWodYNhEUEzQkH4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bZk6kVfNjfSB9P2n1uUMf43lRuI0J83ehQ8SBKVZue1Sddsz0I4LSQtSz1/IlTD2pR/Ltbepzwr6
Qtog52Cv/CtDv4kmViHUrU8zmHRatBsXQgy+VLD6c2cq5pIFyY8NO1MMJAmECpQMsqLFFDfSjcP7
qc97kE5WPPE0Qcz2Rs5zNNSjcYm6dKSiT2Qhm/yfWPHf09cjtAlPy3oKzQ3JI8ZYY+o/c96MYBir
uMXW9NHm8B8SMkxV/0m6NIqPNXzHx36LtwXnOW8VYWoyr9xaL7pnt08h3DJXXmnQ2A2CTHm25TaI
YPzU2eaEpbfzXccAjUi8F0pakGT4mNO6NEnJrQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22256)
`protect data_block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`protect end_protected
|
gpl-3.0
|
1995parham/FPGA-Homework
|
Project-Phase1/src/sequential/fitness.vhd
|
1
|
1070
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 31-03-2016
-- Module Name: fitness.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity fitness is
port (s : in string (1 to 120);
clk, reset : in std_logic;
a, b : out std_logic_vector (4 downto 0);
done : out std_logic);
end entity fitness;
architecture rtl of fitness is
begin
process (clk)
variable I : integer := 1;
begin
if clk'event and clk = '1' then
if I < 120 then
if s(I) = ' ' then
a <= "11010"; -- a = 26
else
a <= std_logic_vector(to_unsigned(character'pos(s(I)) - 96, 5)); -- a = s[i] - 'a'
end if;
if s(I + 1) = ' ' then
b <= "11010"; -- b = 26
else
b <= std_logic_vector(to_unsigned(character'pos(s(I + 1)) - 96, 5)); -- b = s[i + 1] - 'a'
end if;
I := I + 1;
end if;
end if;
end process;
end architecture rtl;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/TB_Package_32_bit_credit_based.vhd
|
9
|
15781
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_misc.all;
package TB_Package is
function Header_gen(Packet_length, source, destination, packet_id: integer ) return std_logic_vector ;
function Body_gen(Packet_length, Data: integer ) return std_logic_vector ;
function Tail_gen(Packet_length, Data: integer ) return std_logic_vector ;
procedure credit_counter_control(signal clk: in std_logic;
signal credit_in: in std_logic; signal valid_out: in std_logic;
signal credit_counter_out: out std_logic_vector(1 downto 0));
procedure gen_random_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector);
procedure gen_bit_reversed_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector);
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector);
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer);
end TB_Package;
package body TB_Package is
constant Header_type : std_logic_vector := "001";
constant Body_type : std_logic_vector := "010";
constant Tail_type : std_logic_vector := "100";
function Header_gen(Packet_length, source, destination, packet_id: integer)
return std_logic_vector is
variable Header_flit: std_logic_vector (31 downto 0);
begin
Header_flit := Header_type & std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)) & XOR_REDUCE(Header_type &
std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)));
return Header_flit;
end Header_gen;
function Body_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Body_flit: std_logic_vector (31 downto 0);
begin
Body_flit := Body_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Body_type & std_logic_vector(to_unsigned(Data, 28)));
return Body_flit;
end Body_gen;
function Tail_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Tail_flit: std_logic_vector (31 downto 0);
begin
Tail_flit := Tail_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Tail_type & std_logic_vector(to_unsigned(Data, 28)));
return Tail_flit;
end Tail_gen;
procedure credit_counter_control(signal clk: in std_logic;
signal credit_in: in std_logic; signal valid_out: in std_logic;
signal credit_counter_out: out std_logic_vector(1 downto 0)) is
variable credit_counter: std_logic_vector (1 downto 0);
begin
credit_counter := "11";
while true loop
credit_counter_out<= credit_counter;
wait until clk'event and clk ='1';
if valid_out = '1' and credit_in ='1' then
credit_counter := credit_counter;
elsif credit_in = '1' then
credit_counter := credit_counter + 1;
elsif valid_out = '1' and credit_counter > 0 then
credit_counter := credit_counter - 1;
else
credit_counter := credit_counter;
end if;
end loop;
end credit_counter_control;
procedure gen_random_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector) is
variable seed1 :positive ;
variable seed2 :positive ;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
variable rand : real ;
variable destination_id: integer;
variable id_counter, frame_starting_delay, Packet_length, frame_ending_delay : integer:= 0;
variable credit_counter: std_logic_vector (1 downto 0);
begin
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
valid_out <= '0';
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
wait until clk'event and clk ='1';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
while true loop
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
for k in 0 to frame_starting_delay-1 loop
wait until clk'event and clk ='0';
end loop;
valid_out <= '0';
while credit_counter_in = 0 loop
wait until clk'event and clk ='0';
end loop;
-- generating the packet
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
destination_id := integer(rand*real((network_size**2)-1));
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--------------------------------------
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id) & " with length: " & integer'image(Packet_length) & " id: " & integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
wait until clk'event and clk ='0';
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
valid_out <= '1';
wait until clk'event and clk ='0';
for I in 0 to Packet_length-3 loop
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
end loop;
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
valid_out <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait until clk'event and clk ='0';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_random_packet;
procedure gen_bit_reversed_packet(network_size, frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal credit_counter_in: in std_logic_vector(1 downto 0); signal valid_out: out std_logic;
signal port_in: out std_logic_vector) is
variable seed1 :positive ;
variable seed2 :positive ;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
variable rand : real ;
variable destination_id: integer;
variable id_counter, frame_starting_delay, Packet_length, frame_ending_delay : integer:= 0;
variable credit_counter: std_logic_vector (1 downto 0);
begin
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
valid_out <= '0';
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
wait until clk'event and clk ='1';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
while true loop
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
for k in 0 to frame_starting_delay-1 loop
wait until clk'event and clk ='0';
end loop;
valid_out <= '0';
while credit_counter_in = 0 loop
wait until clk'event and clk ='0';
end loop;
-- generating the packet
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
--------------------------------------
uniform(seed1, seed2, rand);
destination_id := integer(rand*real((network_size**2)-1));
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--------------------------------------
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id) & " with length: " & integer'image(Packet_length) & " id: " & integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
wait until clk'event and clk ='0';
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
valid_out <= '1';
wait until clk'event and clk ='0';
for I in 0 to Packet_length-3 loop
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
end loop;
if credit_counter_in = "00" then
valid_out <= '0';
wait until credit_counter_in'event and credit_counter_in >0;
wait until clk'event and clk ='0';
end if;
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
valid_out <= '1';
wait until clk'event and clk ='0';
valid_out <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait until clk'event and clk ='0';
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_bit_reversed_packet;
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal credit_out: out std_logic; signal valid_in: in std_logic; signal port_in: in std_logic_vector) is
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable source_node, destination_node, P_length, packet_id, counter: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "received.txt";
begin
credit_out <= '1', '0' after 26 us;
counter := 0;
while true loop
wait until clk'event and clk ='1';
if valid_in = '1' then
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001") then
counter := 1;
P_length := to_integer(unsigned(port_in(28 downto 17)));
destination_node := to_integer(unsigned(port_in(16 downto 13)));
source_node := to_integer(unsigned(port_in(12 downto 9)));
packet_id := to_integer(unsigned(port_in(8 downto 1)));
end if;
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010") then
--report "flit type: " &integer'image(to_integer(unsigned(port_in(DATA_WIDTH-1 downto DATA_WIDTH-3)))) ;
--report "counter: " & integer'image(counter);
counter := counter+1;
end if;
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100") then
counter := counter+1;
report "Packet received at " & time'image(now) & " From " & integer'image(source_node) & " to " & integer'image(destination_node) & " with length: "& integer'image(P_length) & " counter: "& integer'image(counter);
assert (P_length=counter) report "wrong packet size" severity warning;
assert (Node_ID=destination_node) report "wrong packet destination " severity warning;
write(LINEVARIABLE, "Packet received at " & time'image(now) & " From: " & integer'image(source_node) & " to: " & integer'image(destination_node) & " length: "& integer'image(P_length) & " actual length: "& integer'image(counter) & " id: "& integer'image(packet_id));
writeline(VEC_FILE, LINEVARIABLE);
counter := 0;
end if;
end if;
end loop;
end get_packet;
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer) is
variable seed1 :positive := seed_1;
variable seed2 :positive := seed_2;
variable rand : real;
variable stuck: integer;
begin
sta_0 <= '0';
sta_1 <= '0';
while true loop
sta_0 <= '0';
sta_1 <= '0';
for I in 0 to delay loop
wait for 1 ns;
end loop;
uniform(seed1, seed2, rand);
address <= std_logic_vector(to_unsigned(integer(rand*31.0), 5));
uniform(seed1, seed2, rand);
stuck := integer(rand*11.0);
if stuck > 5 then
sta_0 <= '1';
sta_1 <= '0';
else
sta_0 <= '0';
sta_1 <= '1';
end if;
wait for 1 ns;
end loop;
end gen_fault;
end TB_Package;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/SIB_mux_pre_FCX_SELgate.vhd
|
3
|
4908
|
--Copyright (C) 2017 Konstantin Shibin
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity SIB_mux_pre_FCX_SELgate is
Port ( -- Scan Interface client --------------
SI : in STD_LOGIC; -- ScanInPort
CE : in STD_LOGIC; -- CaptureEnPort
SE : in STD_LOGIC; -- ShiftEnPort
UE : in STD_LOGIC; -- UpdateEnPort
SEL : in STD_LOGIC; -- SelectPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
SO : out STD_LOGIC; -- ScanOutPort
toF : out STD_LOGIC; -- To F flag of the upper hierarchical level
toC : out STD_LOGIC; -- To C flag of the upper hierarchical level
-- Scan Interface host ----------------
fromSO : in STD_LOGIC; -- ScanInPort
toCE : out STD_LOGIC; -- ToCaptureEnPort
toSE : out STD_LOGIC; -- ToShiftEnPort
toUE : out STD_LOGIC; -- ToUpdateEnPort
toSEL : out STD_LOGIC; -- ToSelectPort
toRST : out STD_LOGIC; -- ToResetPort
toTCK : out STD_LOGIC; -- ToTCKPort
toSI : out STD_LOGIC; -- ScanOutPort
fromF : in STD_LOGIC; -- From an OR of all F flags in the underlying network segment
fromC : in STD_LOGIC -- From an AND of all C flags in the underlying network segment
);
end SIB_mux_pre_FCX_SELgate;
architecture SIB_mux_pre_FCX_arch of SIB_mux_pre_FCX_SELgate is
component ScanRegister_for_SIBFCX is
Generic (Size : positive;
BitOrder : string; -- MSBLSB / LSBMSB
SOSource : natural;
ResetValue : STD_LOGIC_VECTOR);
Port ( SI : in STD_LOGIC;
CE : in STD_LOGIC;
SE : in STD_LOGIC;
UE : in STD_LOGIC;
SEL : in STD_LOGIC;
RST : in STD_LOGIC;
TCK : in STD_LOGIC;
SO : out STD_LOGIC;
CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0);
ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0);
ue_mux_out : out STD_LOGIC_VECTOR (Size-1 downto 0));
end component;
component ScanMux is
Generic (ControlSize : positive);
Port ( ScanMux_in : in STD_LOGIC_VECTOR((2**ControlSize)-1 downto 0);
SelectedBy : in STD_LOGIC_VECTOR(ControlSize-1 downto 0);
ScanMux_out : out STD_LOGIC);
end component;
signal SIBmux_out : STD_LOGIC;
signal SR_so : STD_LOGIC;
signal SR_do : STD_LOGIC_VECTOR (3 downto 0);
signal SR_ci : STD_LOGIC_VECTOR (3 downto 0);
signal sr_update_mux_out : STD_LOGIC_VECTOR (3 downto 0);
signal C_sync, F_sync : STD_LOGIC;
signal C_sync_first, F_sync_first : STD_LOGIC;
signal F_sync_delayed_copy, sticky_f_posedge : STD_LOGIC;
begin
SO <= SR_so; -- Source SR
toCE <= SEL and SR_do(3) and CE;
toSE <= SEL and SR_do(3) and SE;
toUE <= SEL and SR_do(3) and UE;
toSEL <= SEL and SR_do(3); -- SEL & S bit
toRST <= RST;
toTCK <= TCK;
toSI <= SI; -- Source SI
SR_ci(3) <= SR_do(3); -- Sxcf
SR_ci(2) <= SR_do(2); -- sXcf
SR_ci(1) <= C_sync; -- sxCf
SR_ci(0) <= sticky_f_posedge; -- sxcF
toF <= fromF and SR_do(2); -- F flag from lower levels AND X bit
toC <= fromC or not SR_do(2); -- C flag from lower levels OR NOT X bit
f_edge_detector : process (TCK, RST)
begin
if RST = '1' then
sticky_f_posedge <= '0';
elsif TCK'event and TCK = '0' then
if F_sync_delayed_copy = '0' and F_sync = '1' then -- edge detector
sticky_f_posedge <= '1';
elsif UE = '1' and SEL = '1' and sr_update_mux_out(0) = '0' then -- clear sticky F flag when F is updated with 0
sticky_f_posedge <= '0';
end if;
end if;
end process; -- f_edge_detector
synchronizer : process( TCK )
begin
if TCK'event and TCK = '0' then
F_sync_first <= fromF;
F_sync <= F_sync_first;
F_sync_delayed_copy <= F_sync;
C_sync_first <= fromC;
C_sync <= C_sync_first;
end if ;
end process ; -- synchronizer
SR : ScanRegister_for_SIBFCX
Generic map (Size => 4,
BitOrder => "MSBLSB", -- MSBLSB / LSBMSB
SOSource => 0,
ResetValue => "0110") -- ResetValue S=0, X=1, C=1, F=0
Port map ( SI => SIBmux_out, -- ScanInSource SIBmux_out
CE => CE,
SE => SE,
UE => UE,
SEL => SEL,
RST => RST,
TCK => TCK,
SO => SR_so,
CaptureSource => SR_ci, -- CaptureSource SR
ScanRegister_out => SR_do,
ue_mux_out => sr_update_mux_out);
SIBmux : ScanMux
Generic map ( ControlSize => 1)
Port map ( ScanMux_in(0) => SI, -- 1'b0 : SI
ScanMux_in(1) => fromSO, -- 1'b1 : fromSO
SelectedBy => SR_do(3 downto 3), --SelectedBy SR
ScanMux_out => SIBmux_out);
end SIB_mux_pre_FCX_arch;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_dc_as.vhd
|
9
|
10607
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
gpl-3.0
|
quicky2000/top_mandelbrot_1b
|
testbench/testbench_top_mandel.vhd
|
1
|
2840
|
--
-- This file is part of top_mandelbrot_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY testbench_top_mandel IS
END testbench_top_mandel;
ARCHITECTURE behavior OF testbench_top_mandel IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT top_mandel
PORT(
clk : IN std_logic;
w1a : INOUT std_logic_vector(15 downto 0);
w1b : INOUT std_logic_vector(15 downto 0);
w2c : INOUT std_logic_vector(15 downto 0);
rx : IN std_logic;
tx : INOUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rx : std_logic := '0';
--BiDirs
signal w1a : std_logic_vector(15 downto 0);
signal w1b : std_logic_vector(15 downto 0);
signal w2c : std_logic_vector(15 downto 0);
signal tx : std_logic;
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: top_mandel PORT MAP (
clk => clk,
w1a => w1a,
w1b => w1b,
w2c => w2c,
rx => rx,
tx => tx
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_getinit_pkg.vhd
|
9
|
54741
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
gpl-3.0
|
1995parham/FPGA-Homework
|
Project-Phase2/hw/FSM.vhd
|
1
|
3898
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 13-05-2016
-- Module Name: FSM.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity FSM is
port (start_state : in std_logic_vector(3 downto 0);
end_state : out std_logic_vector(3 downto 0);
str : in std_logic_vector(31 downto 0);
enable, clk : in std_logic;
done : out std_logic);
end entity;
architecture rtl of FSM is
type state is (S0, S1, S2, S3, S4, S5, S6, S7, S8, S9);
signal current_state, next_state : state;
signal current_index, next_index : std_logic_vector(5 downto 0);
signal str_buff : std_logic_vector(31 downto 0);
begin
process(clk)
begin
if clk'event and clk = '1' then
if enable = '1' then
current_index <= "000000";
str_buff <= str;
case start_state is
when "0000" => current_state <= S0;
when "0001" => current_state <= S1;
when "0010" => current_state <= S2;
when "0011" => current_state <= S3;
when "0100" => current_state <= S4;
when "0101" => current_state <= S5;
when "0110" => current_state <= S6;
when "0111" => current_state <= S7;
when "1000" => current_state <= S8;
when "1001" => current_state <= S9;
when others => current_state <= S0;
end case;
else
current_state <= next_state;
current_index <= next_index;
end if;
end if;
end process;
process(current_state)
begin
if current_index = "100000" then
done <= '1';
else
done <= '1';
end if;
case current_state is
when S0 => end_state <= "0000";
when S1 => end_state <= "0001";
when S2 => end_state <= "0010";
when S3 => end_state <= "0011";
when S4 => end_state <= "0100";
when S5 => end_state <= "0101";
when S6 => end_state <= "0110";
when S7 => end_state <= "0111";
when S8 => end_state <= "1000";
when S9 => end_state <= "1001";
when others => end_state <= "0000";
end case;
end process;
process(current_state)
begin
if current_index = "100000" then
next_state <= current_state;
next_index <= "000000";
else
case current_state is
when S0 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S5;
else
next_state <= S1;
end if;
when S1 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S2;
else
next_state <= S7;
end if;
when S2 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S8;
else
next_state <= S3;
end if;
when S3 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S0;
else
next_state <= S7;
end if;
when S4 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S4;
else
next_state <= S9;
end if;
when S5 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S0;
else
next_state <= S6;
end if;
when S6 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S1;
else
next_state <= S7;
end if;
when S7 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S9;
else
next_state <= S2;
end if;
when S8 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S4;
else
next_state <= S3;
end if;
when S9 =>
if str(to_integer(unsigned(current_index))) = '1' then
next_state <= S3;
else
next_state <= S8;
end if;
when others =>
next_state <= S0;
end case;
next_index <= current_index + "000001";
end if;
end process;
end architecture;
|
gpl-3.0
|
quicky2000/top_mandelbrot_1b
|
top_mandel.vhd
|
1
|
4425
|
--
-- This file is part of top_mandelbrot_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_mandel is
Port ( clk : in STD_LOGIC;
w1a : inout STD_LOGIC_VECTOR (15 downto 0);
w1b : inout STD_LOGIC_VECTOR (15 downto 0);
w2c : inout STD_LOGIC_VECTOR (15 downto 0);
rx : in STD_LOGIC;
tx : inout STD_LOGIC
);
end top_mandel;
architecture Behavioral of top_mandel is
COMPONENT clock_25mhz
PORT(
CLKIN_IN : IN std_logic;
CLKFX_OUT : OUT std_logic;
CLKIN_IBUFG_OUT : OUT std_logic;
CLK0_OUT : OUT std_logic
);
END COMPONENT;
signal clk_25mhz : std_logic;
signal reset : std_logic;
signal vsync : std_logic;
signal hsync : std_logic;
signal enable : std_logic;
signal screen_right_left : std_logic;
signal screen_up_down : std_logic;
signal r : std_logic_vector ( 5 downto 0);
signal g : std_logic_vector ( 5 downto 0);
signal b : std_logic_vector ( 5 downto 0);
signal audio_right : std_logic;
signal audio_left : std_logic;
signal x_out : std_logic_vector( 9 downto 0);
signal y_out : std_logic_vector( 8 downto 0);
signal vsync_ok : std_logic;
signal hsync_ok : std_logic;
signal enable_ok : std_logic;
-- Signals to write in screen memory
signal addr : std_logic_vector(18 downto 0) := (others => '0');
signal data_in : std_logic;
signal write_enable : std_logic;
signal edge : std_logic;
signal next_step : std_logic;
begin
Inst_clock_25mhz: clock_25mhz PORT MAP(
CLKIN_IN => clk,
CLKFX_OUT => clk_25mhz,
CLKIN_IBUFG_OUT => open,
CLK0_OUT => open
);
Inst_giovanni_card : entity work.giovanni_card PORT MAP(
w1a => w1a,
w1b => w1b,
scr_red => r,
scr_green => g,
scr_blue => b,
scr_clk => clk_25mhz,
scr_hsync => hsync_ok,
scr_vsync => vsync_ok,
scr_enable => enable_ok,
scr_right_left => screen_right_left,
scr_up_down => screen_up_down,
audio_right => audio_right,
audio_left => audio_left,
audio_stereo_ok => open,
audio_plugged => open,
io => open
);
Inst_driver_sharp : entity work.driver_sharp(behavorial) PORT MAP(
clk => clk_25mhz,
rst => reset,
vsync => vsync,
hsync => hsync,
enable => enable,
x_out => x_out,
y_out => y_out
);
inst_image_controler : entity work.image_controler PORT MAP(
clk => clk_25mhz,
rst => reset,
r => r,
g => g,
b => b,
x => x_out,
y => y_out,
hsync_in => hsync,
vsync_in => vsync,
enable_in => enable,
write_enable => write_enable,
write_addr => addr,
data_in => data_in,
hsync_out => hsync_ok,
vsync_out => vsync_ok,
enable_out => enable_ok
);
inst_image_generator : entity work.image_generator
port map (
clk => clk_25mhz,
rst => reset,
write_enable => write_enable,
data => data_in,
addr => addr,
next_step => next_step);
inst_falling_edge_detector : entity work.falling_edge_detector
port map (
clk => clk_25mhz,
rst => reset,
input => vsync_ok,
edge => edge);
inst_clk_divider : entity work.clk_divider
port map (
clk => clk_25mhz,
rst => reset,
input => edge,
output => next_step);
reset <= '0';
screen_right_left <= '1';
screen_up_down <= '1';
audio_right <= '0';
audio_left <= '0';
end Behavioral;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/SReg.vhd
|
3
|
2127
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity SReg is
Generic ( Size : positive := 7);
Port ( -- Scan Interface scan_client ----------
SI : in STD_LOGIC; -- ScanInPort
SO : out STD_LOGIC; -- ScanOutPort
SEL : in STD_LOGIC; -- SelectPort
----------------------------------------
SE : in STD_LOGIC; -- ShiftEnPort
CE : in STD_LOGIC; -- CaptureEnPort
UE : in STD_LOGIC; -- UpdateEnPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
DI : in STD_LOGIC_VECTOR (Size-1 downto 0); --DataInPort
DO : out STD_LOGIC_VECTOR (Size-1 downto 0)); --DataOutPort
end SReg;
architecture SReg_arch of SReg is
signal SR_so : STD_LOGIC;
signal SR_do : STD_LOGIC_VECTOR (Size-1 downto 0);
component ScanRegister is
Generic (Size : positive;
BitOrder : string; -- MSBLSB / LSBMSB
SOSource : natural;
ResetValue : STD_LOGIC_VECTOR);
Port ( SI : in STD_LOGIC;
CE : in STD_LOGIC;
SE : in STD_LOGIC;
UE : in STD_LOGIC;
SEL : in STD_LOGIC;
RST : in STD_LOGIC;
TCK : in STD_LOGIC;
SO : out STD_LOGIC;
CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0);
ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0));
end component;
constant ResetValue : STD_LOGIC_VECTOR (Size-1 downto 0) := (others => '0'); -- ResetValue 1'b0
begin
SO <= SR_so; -- Source SR
DO <= SR_do; -- Source SR
SR : ScanRegister
Generic map (Size => Size,
BitOrder => "MSBLSB", -- MSBLSB / LSBMSB
SOSource => 0, -- Source SR[0]
ResetValue => ResetValue)
Port map ( SI => SI, -- ScanInSource SI
CE => CE,
SE => SE,
UE => UE,
SEL => SEL,
RST => RST,
TCK => TCK,
SO => SR_so,
CaptureSource => DI, -- CaptureSource DI
ScanRegister_out => SR_do);
end SReg_arch;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_bin_cntr.vhd
|
9
|
21696
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PmPu0EUKsjwq0Ps17L1PBf+SSF9+3cBAN7IWblzPGmw7QEbqM1UUfolB3cLr1b6IwRcmTEalIY6v
YTHvRWwpZA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cs5bOPVXekrjG85laxWQz/STReXJtCO64MM2uA+H1UuMsD5AkPtpYMvsjKRW72UJS/xGW5LT/AGu
r7gljflGebe7aPbdKadkgZpcWa8yyqw0aI7KR+zjfAVYmIgndivNjvl2jFyFPf5T0SFZcaqh5ait
8pbBgw+OvZ/beQQvRCk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rTFmU/hK6pCsQDW2p/8Mqg4qy9Z04gS7on32fUx4C9kxYg5piw+Pg/+agNDlVdV7hu2bR6Y/ZBEL
EO3jiS5nn7SAizWmETKnCvhlRns7KvyU5/GDfzuWB+GQQuRwWT/oiR1MJ54WLPnugWqXeEkTfUEk
oVxXRh7tEec3DVWotLZMnO2Va9j8aif5YY1Htkex7DO9ncvetF1aPH+1ZBny7FMXUHWOtwVq5iEU
w7qZDcpBGUOxO5OFgm6XpKpFYbv/mIC0n16IkeL5a+8Luzmo3sy3MQwqdIXtBW6/2cVYKY0W6SKZ
zW5oYWWY8l/kDJtFWGu1cVfeP5uBLzhF6sJkiw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
i5QqXbM5QcNRtRnVqpZ0lKZWG1HV0KYRcTvG3kXZZ5GhnpHtqV1jIleouanE7NoOaWm/cW22cPPn
egzRt/ea2O12AbakYf5BGGBRLLz/bxOuNf24pcZDFIeQmN1UZivULXkP1NAYwgLc+MlEHPAB+vOX
pqiRfEG+R4a0ovEfoQ8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tbFn5FcqHs5wXDtYkkO/l9KtwVtETTtWwA9s+f5zNnMn8xWRH1smyaH2CwstdUtmN5jN3zAJwJlX
DZN5WFcV34JW1UBaKlZqIA/I0vp7Rtj9yq14HkQLc1a0zhxuLMExbuFF1yz0hj7tK1pUWp5mnuAn
11SSho5fKP9Z2Qfvhg9rvg0AOLguk/DMeF4ZQYMDuVaRcHiJmMXPE9x1GiVdyeT9kVieI10aHPHE
nVroj0MSMyK+L2m+vqKhjHVzYBE7IKLo1OM+G1HGjJU/QbEN6dGrL6197WGbsyesR1Z2qchVSjyw
XWHTR9y/+skRP7P3BxxN0VMFJbIUyB0Y0J+Z4Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14320)
`protect data_block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`protect end_protected
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/fifo_generator_v12_0/hdl/builtin/logic_builtin.vhd
|
6
|
30579
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/blk_mem_gen_v8_3_1/hdl/blk_mem_gen_v8_3.vhd
|
17
|
21293
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ts1aaTFFqlF3SHbcTOIUkICb0FqzoHMEDTTlxHCz5lxaJ9sTwB3txIf/bv9V1xK0DKORXVGVuDdS
5D29qP+L8g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hoDA2+YFnjZJuWexGbYCU0t2XaxaLGIxr4Dqlz0a+AhKM/9E1Eywzt4nkyAJO+4BrECDpnJu+KiZ
PXdY3CS1gFWK4V3vDQ9o+2wRjSRly+TeRj5uBcy/LEjJT4QLxf5vWTvhyvlNZCrx33EoaZgVLa2k
uBwglz+yN5hJ/JhHoJE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PQDCgnfD82DVkfktqOuVRnZxEsjkz1MPDHP/0DD39fy73aAjvxN3Y1yDP/U+Ifeh8KMg3FFobN4K
K2npDaXLr9F9n+4HOfIApEAHHWvz1Vwg0LXcPUM1MSitXm0kzG2TLT1yNvw1MPdy48R2sp4zNwyJ
LYJ7p5mrjNly6T71qzPKScAWiNI5DdxBvQ1nu2N+lWAOSuTcbX7oC0nDmyPTLkKyyBhHUN1KJA0G
cTptgDMTglCj6MBlhmAoY34JNPL5ItwlIdmXZ68yYoOALGiajTXkygcbZE+tV1IDH6KgY1Lh3VAo
e4VclRCh6jloFn7/yDmYQCS7MlnXdR7LmiBjjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HzWLMW11KlJy+MHdShc0Ta/2coWc2sOM/8yXQp43xoIgOZ/MYdE4WKboL5SLftmTVXjpUI2cmJV2
mTO4OGt8BUY8l24UJXJLOEgGg//9JUWIabOk8nfUXJ6Max3LOtLs2puzRmPExky+Rh1vVCM2lwjl
UYZadAAHpp7aLfgqKgs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FWUtUI1QrG2r8JF97vfNd2Gu1dN+mkUZi2vcpenL5i8Z3u/ams39y3WCoRjWSzBms5D1iRXlKtun
5msdx7PbPOH+4cujgYTK0y2imRhsLk1A5GHJwmTH/IJrr3Q8gthkBlxZbmu+INGNCCwctl4plWcn
gKX3AZBb2pcjcRTN3dSwJwUt+ypLpGW2QXdMGqs3n3J2p6wEfM2Gen7FWDhbfjRd0dDWAbTbDOj7
4MVvAtefjk977s5GlpzNYA5j918OqABaXhj/R7cHMWPqAhzB8YZF84h8CRngtuALGgx8d1jOMz/Y
ImS8bA9J8z84RipgkbjjRuFBjmRVQ8nw+X9xsQ==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vbQCv+YfezJ1JHYaPvHIR4r9HwseBUq5d/mA2h6/JfMsV7Bodthr2L9oDO4meBTHqkX23ZNL+WXo
CzTXc/jo1hUZlr9R2MclmV8GT0Wzvlo2pIdUQxFfYjxk+LVnmKKpL8S1TctlOdtS9EM6YBQMd38o
SG8bubMXWJ7268Mzz1p1CGHOZqKiDpNAONkc56yYc8mSrkiua3CvhMzE6yX22iPSph2TFdIXoyha
hqdHqfCxZHYvGMSjTFgSdEMWF8jG3VlE0vi9eSVXoRLoWQt8Kmefa8j2/WowlWYTktgQkKFkGVW2
8Xb9YdWn13AwZDaXBCJ/QNs+zVoBrC00agwTVA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13632)
`protect data_block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`protect end_protected
|
gpl-3.0
|
ipburbank/Raster-Laser-Projector
|
src/Video_In/synthesis/submodules/PI_Controller.vhd
|
2
|
407
|
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY PI_Controller IS
PORT ( error : IN INTEGER ;
control : OUT INTEGER;
clk : in std_logic;
reset : in std_logic) ;
END PI_Controller;
architecture Behavioral of PI_Controller is
signal u1: std_logic_vector(15 downto 0);
constant k1: std_logic_vector( 6 downto 0 ):="1101011";
begin
process( clk)
begin
end process;
end Behavioral;
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/shd_pe_fifo_sim_netlist.vhdl
|
1
|
255611
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Wed Jul 20 01:57:48 2016
-- Host : jalapeno running 64-bit unknown
-- Command : write_vhdl -force -mode funcsim {/home/hhassan/git/GateKeeper/FPGA
-- Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/shd_pe_fifo_sim_netlist.vhdl}
-- Design : shd_pe_fifo
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7vx690tffg1761-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_prim_wrapper is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end shd_pe_fifo_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_prim_wrapper is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_89\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_90\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_91\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 36,
READ_WIDTH_B => 36,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 36,
WRITE_WIDTH_B => 36
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 5) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
ADDRARDADDR(4 downto 0) => B"11111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 5) => \gc0.count_d1_reg[9]\(9 downto 0),
ADDRBWRADDR(4 downto 0) => B"11111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => wr_clk,
CLKBWRCLK => rd_clk,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 0) => din(31 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0),
DOBDO(31 downto 0) => D(31 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_89\,
DOPBDOP(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_90\,
DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_91\,
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => E(0),
ENBWREN => tmp_ram_rd_en,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => Q(0),
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => E(0),
WEA(2) => E(0),
WEA(1) => E(0),
WEA(0) => E(0),
WEBWE(7 downto 0) => B"00000000"
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare : entity is "compare";
end shd_pe_fifo_compare;
architecture STRUCTURE of shd_pe_fifo_compare is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_0 is
port (
ram_full_i : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
p_0_out : in STD_LOGIC;
wr_en : in STD_LOGIC;
comp1 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_0 : entity is "compare";
end shd_pe_fifo_compare_0;
architecture STRUCTURE of shd_pe_fifo_compare_0 is
signal comp2 : STD_LOGIC;
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp2,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg_0(4)
);
ram_full_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF20"
)
port map (
I0 => comp2,
I1 => p_0_out,
I2 => wr_en,
I3 => comp1,
I4 => rst_full_gen_i,
O => ram_full_i
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_1 is
port (
ram_empty_fb_i_reg : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
p_2_out : in STD_LOGIC;
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC;
comp1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_1 : entity is "compare";
end shd_pe_fifo_compare_1;
architecture STRUCTURE of shd_pe_fifo_compare_1 is
signal comp0 : STD_LOGIC;
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg_0(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp0,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg_0(4)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"BBBBABBBAAAAAAAA"
)
port map (
I0 => comp0,
I1 => p_2_out,
I2 => \gpregsm1.curr_fwft_state_reg[1]\(0),
I3 => \gpregsm1.curr_fwft_state_reg[1]\(1),
I4 => rd_en,
I5 => comp1,
O => ram_empty_fb_i_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_2 is
port (
comp1 : out STD_LOGIC;
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_2 : entity is "compare";
end shd_pe_fifo_compare_2;
architecture STRUCTURE of shd_pe_fifo_compare_2 is
signal \gmux.gm[3].gms.ms_n_0\ : STD_LOGIC;
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \gmux.gm[3].gms.ms_n_0\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \gmux.gm[3].gms.ms_n_0\,
CO(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 1),
CO(0) => comp1,
CYINIT => '0',
DI(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 1),
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 1),
S(0) => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 9 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_bin_cntr : entity is "rd_bin_cntr";
end shd_pe_fifo_rd_bin_cntr;
architecture STRUCTURE of shd_pe_fifo_rd_bin_cntr is
signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \gc0.count[9]_i_2_n_0\ : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[8]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[9]_i_2\ : label is "soft_lutpair10";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0) <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9 downto 0);
Q(9 downto 0) <= \^q\(9 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => plusOp(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => plusOp(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
O => plusOp(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
O => plusOp(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => plusOp(4)
);
\gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(2),
I2 => \^q\(0),
I3 => \^q\(1),
I4 => \^q\(3),
I5 => \^q\(4),
O => plusOp(5)
);
\gc0.count[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(6),
I1 => \gc0.count[9]_i_2_n_0\,
I2 => \^q\(5),
O => plusOp(6)
);
\gc0.count[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(7),
I1 => \^q\(5),
I2 => \gc0.count[9]_i_2_n_0\,
I3 => \^q\(6),
O => plusOp(7)
);
\gc0.count[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(8),
I1 => \^q\(6),
I2 => \gc0.count[9]_i_2_n_0\,
I3 => \^q\(5),
I4 => \^q\(7),
O => plusOp(8)
);
\gc0.count[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(9),
I1 => \^q\(7),
I2 => \^q\(5),
I3 => \gc0.count[9]_i_2_n_0\,
I4 => \^q\(6),
I5 => \^q\(8),
O => plusOp(9)
);
\gc0.count[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(1),
I3 => \^q\(0),
I4 => \^q\(2),
O => \gc0.count[9]_i_2_n_0\
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(0),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(1),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(2),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(3),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(4),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4)
);
\gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(5),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5)
);
\gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(6),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6)
);
\gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(7),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7)
);
\gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(8),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8)
);
\gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => \^q\(9),
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => plusOp(0),
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(3),
Q => \^q\(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(4),
Q => \^q\(4)
);
\gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(5),
Q => \^q\(5)
);
\gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(6),
Q => \^q\(6)
);
\gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(7),
Q => \^q\(7)
);
\gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(8),
Q => \^q\(8)
);
\gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0),
D => plusOp(9),
Q => \^q\(9)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I1 => WR_PNTR_RD(1),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
I3 => WR_PNTR_RD(0),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3),
I1 => WR_PNTR_RD(3),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2),
I3 => WR_PNTR_RD(2),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5),
I1 => WR_PNTR_RD(5),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4),
I3 => WR_PNTR_RD(4),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7),
I1 => WR_PNTR_RD(7),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6),
I3 => WR_PNTR_RD(6),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9),
I1 => WR_PNTR_RD(9),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8),
I3 => WR_PNTR_RD(8),
O => v1_reg(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_fwft is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
\goreg_bm.dout_i_reg[31]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
p_2_out : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_fwft : entity is "rd_fwft";
end shd_pe_fifo_rd_fwft;
architecture STRUCTURE of shd_pe_fifo_rd_fwft is
signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \gpregsm1.curr_fwft_state[0]_i_1_n_0\ : STD_LOGIC;
signal \gpregsm1.curr_fwft_state[1]_i_1_n_0\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair9";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[9]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair8";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1 downto 0) <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1 downto 0);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAEFFF"
)
port map (
I0 => Q(0),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I3 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
I4 => p_2_out,
O => tmp_ram_rd_en
);
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA22"
)
port map (
I0 => empty_fwft_fb,
I1 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I2 => rd_en,
I3 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty
);
\gc0.count_d1[9]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"5515"
)
port map (
I0 => p_2_out,
I1 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I3 => rd_en,
O => E(0)
);
\goreg_bm.dout_i[31]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"8A"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
O => \goreg_bm.dout_i_reg[31]\(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I1 => rd_en,
I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
O => \gpregsm1.curr_fwft_state[0]_i_1_n_0\
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"08FF"
)
port map (
I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0),
I1 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1),
I2 => rd_en,
I3 => p_2_out,
O => \gpregsm1.curr_fwft_state[1]_i_1_n_0\
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => \gpregsm1.curr_fwft_state[0]_i_1_n_0\,
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => \gpregsm1.curr_fwft_state[1]_i_1_n_0\,
Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_reset_blk_ramfifo is
port (
s_aclk : in STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end shd_pe_fifo_reset_blk_ramfifo;
architecture STRUCTURE of shd_pe_fifo_reset_blk_ramfifo is
signal inverted_reset : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
begin
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d1,
PRE => inverted_reset,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => s_aclk,
CE => '1',
D => rst_d2,
PRE => inverted_reset,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => m_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => m_aclk,
CE => '1',
D => rst_rd_reg1,
PRE => inverted_reset,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_aresetn,
O => inverted_reset
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => '0',
PRE => inverted_reset,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => s_aclk,
CE => '1',
D => rst_wr_reg1,
PRE => inverted_reset,
Q => rst_wr_reg2
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_pe_fifo_reset_blk_ramfifo__parameterized0\ is
port (
rst_full_ff_i : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
\gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_pe_fifo_reset_blk_ramfifo__parameterized0\ : entity is "reset_blk_ramfifo";
end \shd_pe_fifo_reset_blk_ramfifo__parameterized0\;
architecture STRUCTURE of \shd_pe_fifo_reset_blk_ramfifo__parameterized0\ is
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC;
signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_d1 : signal is "true";
attribute msgon : string;
attribute msgon of rst_d1 : signal is "true";
signal rst_d2 : STD_LOGIC;
attribute async_reg of rst_d2 : signal is "true";
attribute msgon of rst_d2 : signal is "true";
signal rst_d3 : STD_LOGIC;
attribute async_reg of rst_d3 : signal is "true";
attribute msgon of rst_d3 : signal is "true";
signal rst_rd_reg1 : STD_LOGIC;
attribute async_reg of rst_rd_reg1 : signal is "true";
attribute msgon of rst_rd_reg1 : signal is "true";
signal rst_rd_reg2 : STD_LOGIC;
attribute async_reg of rst_rd_reg2 : signal is "true";
attribute msgon of rst_rd_reg2 : signal is "true";
signal rst_wr_reg1 : STD_LOGIC;
attribute async_reg of rst_wr_reg1 : signal is "true";
attribute msgon of rst_wr_reg1 : signal is "true";
signal rst_wr_reg2 : STD_LOGIC;
attribute async_reg of rst_wr_reg2 : signal is "true";
attribute msgon of rst_wr_reg2 : signal is "true";
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes";
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true";
attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true;
attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes";
attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_full_ff_i <= rst_d2;
rst_full_gen_i <= rst_d3;
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => rst_d2
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d2,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\,
PRE => rst_rd_reg2,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\,
Q => \gc0.count_reg[1]\(2)
);
\ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_rd_reg1
);
\ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rst_rd_reg1,
PRE => rst,
Q => rst_rd_reg2
);
\ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_wr_reg1
);
\ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => rst_wr_reg1,
PRE => rst,
Q => rst_wr_reg2
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg_n_0\,
O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\,
PRE => rst_wr_reg2,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\,
Q => Q(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff is
port (
D : out STD_LOGIC_VECTOR ( 9 downto 0 );
Q : in STD_LOGIC_VECTOR ( 9 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff is
signal Q_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[9]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[9]\ : label is "yes";
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
D(9 downto 0) <= Q_reg(9 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(8),
Q => Q_reg(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => Q(9),
Q => Q_reg(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_3 is
port (
D : out STD_LOGIC_VECTOR ( 9 downto 0 );
Q : in STD_LOGIC_VECTOR ( 9 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_3 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_3;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_3 is
signal Q_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[9]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[9]\ : label is "yes";
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
D(9 downto 0) <= Q_reg(9 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(8),
Q => Q_reg(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => Q(9),
Q => Q_reg(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_4 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wr_pntr_bin_reg[8]\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
D : in STD_LOGIC_VECTOR ( 9 downto 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_4 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_4;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_4 is
signal Q_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
signal \wr_pntr_bin[0]_i_2_n_0\ : STD_LOGIC;
signal \wr_pntr_bin[3]_i_2_n_0\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[9]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[9]\ : label is "yes";
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
\out\(0) <= Q_reg(9);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(8),
Q => Q_reg(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => D(9),
Q => Q_reg(9)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(1),
I1 => Q_reg(0),
I2 => Q_reg(2),
I3 => \wr_pntr_bin[0]_i_2_n_0\,
I4 => \wr_pntr_bin[3]_i_2_n_0\,
O => \wr_pntr_bin_reg[8]\(0)
);
\wr_pntr_bin[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(4),
I1 => Q_reg(3),
I2 => Q_reg(9),
O => \wr_pntr_bin[0]_i_2_n_0\
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(9),
I2 => Q_reg(3),
I3 => Q_reg(4),
I4 => \wr_pntr_bin[3]_i_2_n_0\,
I5 => Q_reg(1),
O => \wr_pntr_bin_reg[8]\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \wr_pntr_bin[3]_i_2_n_0\,
I1 => Q_reg(4),
I2 => Q_reg(3),
I3 => Q_reg(9),
I4 => Q_reg(2),
O => \wr_pntr_bin_reg[8]\(2)
);
\wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(9),
I1 => Q_reg(3),
I2 => Q_reg(4),
I3 => \wr_pntr_bin[3]_i_2_n_0\,
O => \wr_pntr_bin_reg[8]\(3)
);
\wr_pntr_bin[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(7),
I2 => Q_reg(6),
I3 => Q_reg(5),
O => \wr_pntr_bin[3]_i_2_n_0\
);
\wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(4),
I2 => Q_reg(5),
I3 => Q_reg(9),
I4 => Q_reg(7),
I5 => Q_reg(8),
O => \wr_pntr_bin_reg[8]\(4)
);
\wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(5),
I2 => Q_reg(6),
I3 => Q_reg(9),
I4 => Q_reg(8),
O => \wr_pntr_bin_reg[8]\(5)
);
\wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(9),
I3 => Q_reg(8),
O => \wr_pntr_bin_reg[8]\(6)
);
\wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(7),
I2 => Q_reg(9),
O => \wr_pntr_bin_reg[8]\(7)
);
\wr_pntr_bin[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(9),
O => \wr_pntr_bin_reg[8]\(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_5 is
port (
\out\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\rd_pntr_bin_reg[8]\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
D : in STD_LOGIC_VECTOR ( 9 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_5 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_5;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_5 is
signal Q_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute async_reg : string;
attribute async_reg of Q_reg : signal is "true";
attribute msgon : string;
attribute msgon of Q_reg : signal is "true";
signal \rd_pntr_bin[0]_i_2_n_0\ : STD_LOGIC;
signal \rd_pntr_bin[3]_i_2_n_0\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \Q_reg_reg[0]\ : label is "yes";
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[1]\ : label is "yes";
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[2]\ : label is "yes";
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[3]\ : label is "yes";
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[4]\ : label is "yes";
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[5]\ : label is "yes";
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[6]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[6]\ : label is "yes";
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[7]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[7]\ : label is "yes";
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[8]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[8]\ : label is "yes";
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG_boolean of \Q_reg_reg[9]\ : label is std.standard.true;
attribute KEEP of \Q_reg_reg[9]\ : label is "yes";
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
\out\(0) <= Q_reg(9);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(0),
Q => Q_reg(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(1),
Q => Q_reg(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(2),
Q => Q_reg(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(3),
Q => Q_reg(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(4),
Q => Q_reg(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(5),
Q => Q_reg(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(6),
Q => Q_reg(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(7),
Q => Q_reg(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(8),
Q => Q_reg(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => D(9),
Q => Q_reg(9)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(1),
I1 => Q_reg(0),
I2 => Q_reg(2),
I3 => \rd_pntr_bin[0]_i_2_n_0\,
I4 => \rd_pntr_bin[3]_i_2_n_0\,
O => \rd_pntr_bin_reg[8]\(0)
);
\rd_pntr_bin[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(4),
I1 => Q_reg(3),
I2 => Q_reg(9),
O => \rd_pntr_bin[0]_i_2_n_0\
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(2),
I1 => Q_reg(9),
I2 => Q_reg(3),
I3 => Q_reg(4),
I4 => \rd_pntr_bin[3]_i_2_n_0\,
I5 => Q_reg(1),
O => \rd_pntr_bin_reg[8]\(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \rd_pntr_bin[3]_i_2_n_0\,
I1 => Q_reg(4),
I2 => Q_reg(3),
I3 => Q_reg(9),
I4 => Q_reg(2),
O => \rd_pntr_bin_reg[8]\(2)
);
\rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(9),
I1 => Q_reg(3),
I2 => Q_reg(4),
I3 => \rd_pntr_bin[3]_i_2_n_0\,
O => \rd_pntr_bin_reg[8]\(3)
);
\rd_pntr_bin[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(7),
I2 => Q_reg(6),
I3 => Q_reg(5),
O => \rd_pntr_bin[3]_i_2_n_0\
);
\rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => Q_reg(6),
I1 => Q_reg(4),
I2 => Q_reg(5),
I3 => Q_reg(9),
I4 => Q_reg(7),
I5 => Q_reg(8),
O => \rd_pntr_bin_reg[8]\(4)
);
\rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(5),
I2 => Q_reg(6),
I3 => Q_reg(9),
I4 => Q_reg(8),
O => \rd_pntr_bin_reg[8]\(5)
);
\rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => Q_reg(7),
I1 => Q_reg(6),
I2 => Q_reg(9),
I3 => Q_reg(8),
O => \rd_pntr_bin_reg[8]\(6)
);
\rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(7),
I2 => Q_reg(9),
O => \rd_pntr_bin_reg[8]\(7)
);
\rd_pntr_bin[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q_reg(8),
I1 => Q_reg(9),
O => \rd_pntr_bin_reg[8]\(8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
\gic0.gc0.count_d2_reg[9]_0\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_bin_cntr : entity is "wr_bin_cntr";
end shd_pe_fifo_wr_bin_cntr;
architecture STRUCTURE of shd_pe_fifo_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \gic0.gc0.count[9]_i_2_n_0\ : STD_LOGIC;
signal \^gic0.gc0.count_d2_reg[9]_0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[8]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[9]_i_2\ : label is "soft_lutpair13";
begin
Q(9 downto 0) <= \^q\(9 downto 0);
\gic0.gc0.count_d2_reg[9]_0\(9 downto 0) <= \^gic0.gc0.count_d2_reg[9]_0\(9 downto 0);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
O => \plusOp__0\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
O => \plusOp__0\(3)
);
\gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => \plusOp__0\(4)
);
\gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(2),
I2 => \^q\(0),
I3 => \^q\(1),
I4 => \^q\(3),
I5 => \^q\(4),
O => \plusOp__0\(5)
);
\gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^q\(6),
I1 => \gic0.gc0.count[9]_i_2_n_0\,
I2 => \^q\(5),
O => \plusOp__0\(6)
);
\gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^q\(7),
I1 => \^q\(5),
I2 => \gic0.gc0.count[9]_i_2_n_0\,
I3 => \^q\(6),
O => \plusOp__0\(7)
);
\gic0.gc0.count[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^q\(8),
I1 => \^q\(6),
I2 => \gic0.gc0.count[9]_i_2_n_0\,
I3 => \^q\(5),
I4 => \^q\(7),
O => \plusOp__0\(8)
);
\gic0.gc0.count[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \^q\(9),
I1 => \^q\(7),
I2 => \^q\(5),
I3 => \gic0.gc0.count[9]_i_2_n_0\,
I4 => \^q\(6),
I5 => \^q\(8),
O => \plusOp__0\(9)
);
\gic0.gc0.count[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"80000000"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(1),
I3 => \^q\(0),
I4 => \^q\(2),
O => \gic0.gc0.count[9]_i_2_n_0\
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \^q\(0),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => \^gic0.gc0.count_d2_reg[9]_0\(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(1),
Q => \^gic0.gc0.count_d2_reg[9]_0\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(2),
Q => \^gic0.gc0.count_d2_reg[9]_0\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(3),
Q => \^gic0.gc0.count_d2_reg[9]_0\(3)
);
\gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(4),
Q => \^gic0.gc0.count_d2_reg[9]_0\(4)
);
\gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(5),
Q => \^gic0.gc0.count_d2_reg[9]_0\(5)
);
\gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(6),
Q => \^gic0.gc0.count_d2_reg[9]_0\(6)
);
\gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(7),
Q => \^gic0.gc0.count_d2_reg[9]_0\(7)
);
\gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(8),
Q => \^gic0.gc0.count_d2_reg[9]_0\(8)
);
\gic0.gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^q\(9),
Q => \^gic0.gc0.count_d2_reg[9]_0\(9)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(0),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(1),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(2),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(3),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(3)
);
\gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(4),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(4)
);
\gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(5),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(5)
);
\gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(6),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(6)
);
\gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(7),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(7)
);
\gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(8),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(8)
);
\gic0.gc0.count_d2_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \^gic0.gc0.count_d2_reg[9]_0\(9),
Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(0),
Q => \^q\(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__0\(1),
PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
Q => \^q\(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(3),
Q => \^q\(3)
);
\gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(4),
Q => \^q\(4)
);
\gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(5),
Q => \^q\(5)
);
\gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(6),
Q => \^q\(6)
);
\gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(7),
Q => \^q\(7)
);
\gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(8),
Q => \^q\(8)
);
\gic0.gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
D => \plusOp__0\(9),
Q => \^q\(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_prim_width is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end shd_pe_fifo_blk_mem_gen_prim_width;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.shd_pe_fifo_blk_mem_gen_prim_wrapper
port map (
D(31 downto 0) => D(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_clk_x_pntrs is
port (
v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 );
WR_PNTR_RD : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg_0 : out STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_1 : out STD_LOGIC_VECTOR ( 4 downto 0 );
Q : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gic0.gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gic0.gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
wr_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_clk_x_pntrs : entity is "clk_x_pntrs";
end shd_pe_fifo_clk_x_pntrs;
architecture STRUCTURE of shd_pe_fifo_clk_x_pntrs is
signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_8\ : STD_LOGIC;
signal \gsync_stage[2].wr_stg_inst_n_9\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_0_in8_out : STD_LOGIC_VECTOR ( 8 downto 0 );
signal p_0_out : STD_LOGIC_VECTOR ( 9 to 9 );
signal p_1_out : STD_LOGIC_VECTOR ( 9 to 9 );
signal p_22_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_2_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_3_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[7]_i_1_n_0\ : STD_LOGIC;
signal \rd_pntr_gc[8]_i_1_n_0\ : STD_LOGIC;
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair3";
begin
WR_PNTR_RD(9 downto 0) <= \^wr_pntr_rd\(9 downto 0);
\gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(1),
I1 => Q(1),
I2 => \^wr_pntr_rd\(0),
I3 => Q(0),
O => v1_reg(0)
);
\gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(1),
I1 => \gic0.gc0.count_d1_reg[9]\(1),
I2 => p_22_out(0),
I3 => \gic0.gc0.count_d1_reg[9]\(0),
O => v1_reg_0(0)
);
\gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(1),
I1 => \gic0.gc0.count_reg[9]\(1),
I2 => p_22_out(0),
I3 => \gic0.gc0.count_reg[9]\(0),
O => v1_reg_1(0)
);
\gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(3),
I1 => Q(3),
I2 => \^wr_pntr_rd\(2),
I3 => Q(2),
O => v1_reg(1)
);
\gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(3),
I1 => \gic0.gc0.count_d1_reg[9]\(3),
I2 => p_22_out(2),
I3 => \gic0.gc0.count_d1_reg[9]\(2),
O => v1_reg_0(1)
);
\gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(3),
I1 => \gic0.gc0.count_reg[9]\(3),
I2 => p_22_out(2),
I3 => \gic0.gc0.count_reg[9]\(2),
O => v1_reg_1(1)
);
\gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(5),
I1 => Q(5),
I2 => \^wr_pntr_rd\(4),
I3 => Q(4),
O => v1_reg(2)
);
\gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(5),
I1 => \gic0.gc0.count_d1_reg[9]\(5),
I2 => p_22_out(4),
I3 => \gic0.gc0.count_d1_reg[9]\(4),
O => v1_reg_0(2)
);
\gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(5),
I1 => \gic0.gc0.count_reg[9]\(5),
I2 => p_22_out(4),
I3 => \gic0.gc0.count_reg[9]\(4),
O => v1_reg_1(2)
);
\gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(7),
I1 => Q(7),
I2 => \^wr_pntr_rd\(6),
I3 => Q(6),
O => v1_reg(3)
);
\gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(7),
I1 => \gic0.gc0.count_d1_reg[9]\(7),
I2 => p_22_out(6),
I3 => \gic0.gc0.count_d1_reg[9]\(6),
O => v1_reg_0(3)
);
\gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(7),
I1 => \gic0.gc0.count_reg[9]\(7),
I2 => p_22_out(6),
I3 => \gic0.gc0.count_reg[9]\(6),
O => v1_reg_1(3)
);
\gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^wr_pntr_rd\(9),
I1 => Q(9),
I2 => \^wr_pntr_rd\(8),
I3 => Q(8),
O => v1_reg(4)
);
\gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(9),
I1 => \gic0.gc0.count_d1_reg[9]\(9),
I2 => p_22_out(8),
I3 => \gic0.gc0.count_d1_reg[9]\(8),
O => v1_reg_0(4)
);
\gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => p_22_out(9),
I1 => \gic0.gc0.count_reg[9]\(9),
I2 => p_22_out(8),
I3 => \gic0.gc0.count_reg[9]\(8),
O => v1_reg_1(4)
);
\gsync_stage[1].rd_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff
port map (
D(9 downto 0) => p_3_out(9 downto 0),
Q(9 downto 0) => wr_pntr_gc(9 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_3
port map (
D(9 downto 0) => p_2_out(9 downto 0),
Q(9 downto 0) => rd_pntr_gc(9 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_4
port map (
D(9 downto 0) => p_3_out(9 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
\out\(0) => p_1_out(9),
rd_clk => rd_clk,
\wr_pntr_bin_reg[8]\(8 downto 0) => p_0_in(8 downto 0)
);
\gsync_stage[2].wr_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_5
port map (
D(9 downto 0) => p_2_out(9 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
\out\(0) => p_0_out(9),
\rd_pntr_bin_reg[8]\(8) => \gsync_stage[2].wr_stg_inst_n_1\,
\rd_pntr_bin_reg[8]\(7) => \gsync_stage[2].wr_stg_inst_n_2\,
\rd_pntr_bin_reg[8]\(6) => \gsync_stage[2].wr_stg_inst_n_3\,
\rd_pntr_bin_reg[8]\(5) => \gsync_stage[2].wr_stg_inst_n_4\,
\rd_pntr_bin_reg[8]\(4) => \gsync_stage[2].wr_stg_inst_n_5\,
\rd_pntr_bin_reg[8]\(3) => \gsync_stage[2].wr_stg_inst_n_6\,
\rd_pntr_bin_reg[8]\(2) => \gsync_stage[2].wr_stg_inst_n_7\,
\rd_pntr_bin_reg[8]\(1) => \gsync_stage[2].wr_stg_inst_n_8\,
\rd_pntr_bin_reg[8]\(0) => \gsync_stage[2].wr_stg_inst_n_9\,
wr_clk => wr_clk
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_9\,
Q => p_22_out(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_8\,
Q => p_22_out(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_7\,
Q => p_22_out(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_6\,
Q => p_22_out(3)
);
\rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_5\,
Q => p_22_out(4)
);
\rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_4\,
Q => p_22_out(5)
);
\rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_3\,
Q => p_22_out(6)
);
\rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_2\,
Q => p_22_out(7)
);
\rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gsync_stage[2].wr_stg_inst_n_1\,
Q => p_22_out(8)
);
\rd_pntr_bin_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_out(9),
Q => p_22_out(9)
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(0),
I1 => \gc0.count_d1_reg[9]\(1),
O => \rd_pntr_gc[0]_i_1_n_0\
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(1),
I1 => \gc0.count_d1_reg[9]\(2),
O => \rd_pntr_gc[1]_i_1_n_0\
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(2),
I1 => \gc0.count_d1_reg[9]\(3),
O => \rd_pntr_gc[2]_i_1_n_0\
);
\rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(3),
I1 => \gc0.count_d1_reg[9]\(4),
O => \rd_pntr_gc[3]_i_1_n_0\
);
\rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(4),
I1 => \gc0.count_d1_reg[9]\(5),
O => \rd_pntr_gc[4]_i_1_n_0\
);
\rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(5),
I1 => \gc0.count_d1_reg[9]\(6),
O => \rd_pntr_gc[5]_i_1_n_0\
);
\rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(6),
I1 => \gc0.count_d1_reg[9]\(7),
O => \rd_pntr_gc[6]_i_1_n_0\
);
\rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(7),
I1 => \gc0.count_d1_reg[9]\(8),
O => \rd_pntr_gc[7]_i_1_n_0\
);
\rd_pntr_gc[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gc0.count_d1_reg[9]\(8),
I1 => \gc0.count_d1_reg[9]\(9),
O => \rd_pntr_gc[8]_i_1_n_0\
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[0]_i_1_n_0\,
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[1]_i_1_n_0\,
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[2]_i_1_n_0\,
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[3]_i_1_n_0\,
Q => rd_pntr_gc(3)
);
\rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[4]_i_1_n_0\,
Q => rd_pntr_gc(4)
);
\rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[5]_i_1_n_0\,
Q => rd_pntr_gc(5)
);
\rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[6]_i_1_n_0\,
Q => rd_pntr_gc(6)
);
\rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[7]_i_1_n_0\,
Q => rd_pntr_gc(7)
);
\rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \rd_pntr_gc[8]_i_1_n_0\,
Q => rd_pntr_gc(8)
);
\rd_pntr_gc_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => \gc0.count_d1_reg[9]\(9),
Q => rd_pntr_gc(9)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(0),
Q => \^wr_pntr_rd\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(1),
Q => \^wr_pntr_rd\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(2),
Q => \^wr_pntr_rd\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(3),
Q => \^wr_pntr_rd\(3)
);
\wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(4),
Q => \^wr_pntr_rd\(4)
);
\wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(5),
Q => \^wr_pntr_rd\(5)
);
\wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(6),
Q => \^wr_pntr_rd\(6)
);
\wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(7),
Q => \^wr_pntr_rd\(7)
);
\wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_0_in(8),
Q => \^wr_pntr_rd\(8)
);
\wr_pntr_bin_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0),
D => p_1_out(9),
Q => \^wr_pntr_rd\(9)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(0),
I1 => \gic0.gc0.count_d2_reg[9]\(1),
O => p_0_in8_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(1),
I1 => \gic0.gc0.count_d2_reg[9]\(2),
O => p_0_in8_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(2),
I1 => \gic0.gc0.count_d2_reg[9]\(3),
O => p_0_in8_out(2)
);
\wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(3),
I1 => \gic0.gc0.count_d2_reg[9]\(4),
O => p_0_in8_out(3)
);
\wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(4),
I1 => \gic0.gc0.count_d2_reg[9]\(5),
O => p_0_in8_out(4)
);
\wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(5),
I1 => \gic0.gc0.count_d2_reg[9]\(6),
O => p_0_in8_out(5)
);
\wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(6),
I1 => \gic0.gc0.count_d2_reg[9]\(7),
O => p_0_in8_out(6)
);
\wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(7),
I1 => \gic0.gc0.count_d2_reg[9]\(8),
O => p_0_in8_out(7)
);
\wr_pntr_gc[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \gic0.gc0.count_d2_reg[9]\(8),
I1 => \gic0.gc0.count_d2_reg[9]\(9),
O => p_0_in8_out(8)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(3),
Q => wr_pntr_gc(3)
);
\wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(4),
Q => wr_pntr_gc(4)
);
\wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(5),
Q => wr_pntr_gc(5)
);
\wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(6),
Q => wr_pntr_gc(6)
);
\wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(7),
Q => wr_pntr_gc(7)
);
\wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => p_0_in8_out(8),
Q => wr_pntr_gc(8)
);
\wr_pntr_gc_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0),
D => \gic0.gc0.count_d2_reg[9]\(9),
Q => wr_pntr_gc(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_status_flags_as is
port (
p_2_out : out STD_LOGIC;
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_status_flags_as : entity is "rd_status_flags_as";
end shd_pe_fifo_rd_status_flags_as;
architecture STRUCTURE of shd_pe_fifo_rd_status_flags_as is
signal c0_n_0 : STD_LOGIC;
signal comp1 : STD_LOGIC;
signal \^p_2_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
p_2_out <= \^p_2_out\;
c0: entity work.shd_pe_fifo_compare_1
port map (
comp1 => comp1,
\gpregsm1.curr_fwft_state_reg[1]\(1 downto 0) => \gpregsm1.curr_fwft_state_reg[1]\(1 downto 0),
p_2_out => \^p_2_out\,
ram_empty_fb_i_reg => c0_n_0,
rd_en => rd_en,
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0)
);
c1: entity work.shd_pe_fifo_compare_2
port map (
comp1 => comp1,
v1_reg(4 downto 0) => v1_reg(4 downto 0)
);
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => c0_n_0,
PRE => Q(0),
Q => \^p_2_out\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_status_flags_as is
port (
full : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_status_flags_as : entity is "wr_status_flags_as";
end shd_pe_fifo_wr_status_flags_as;
architecture STRUCTURE of shd_pe_fifo_wr_status_flags_as is
signal comp1 : STD_LOGIC;
signal p_0_out : STD_LOGIC;
signal ram_full_i : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => p_0_out,
O => E(0)
);
c1: entity work.shd_pe_fifo_compare
port map (
comp1 => comp1,
v1_reg(4 downto 0) => v1_reg(4 downto 0)
);
c2: entity work.shd_pe_fifo_compare_0
port map (
comp1 => comp1,
p_0_out => p_0_out,
ram_full_i => ram_full_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0),
wr_en => wr_en
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => p_0_out
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_full_ff_i,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_generic_cstr is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end shd_pe_fifo_blk_mem_gen_generic_cstr;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.shd_pe_fifo_blk_mem_gen_prim_width
port map (
D(31 downto 0) => D(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_logic is
port (
empty : out STD_LOGIC;
\gc0.count_d1_reg[9]\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
rd_en : in STD_LOGIC;
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_logic : entity is "rd_logic";
end shd_pe_fifo_rd_logic;
architecture STRUCTURE of shd_pe_fifo_rd_logic is
signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal \gr1.rfwft_n_1\ : STD_LOGIC;
signal \gr1.rfwft_n_2\ : STD_LOGIC;
signal p_2_out : STD_LOGIC;
begin
\gr1.rfwft\: entity work.shd_pe_fifo_rd_fwft
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1) => \gr1.rfwft_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0) => curr_fwft_state(0),
E(0) => \gr1.rfwft_n_1\,
Q(1 downto 0) => Q(1 downto 0),
empty => empty,
\goreg_bm.dout_i_reg[31]\(0) => E(0),
p_2_out => p_2_out,
rd_clk => rd_clk,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en
);
\gras.rsts\: entity work.shd_pe_fifo_rd_status_flags_as
port map (
Q(0) => Q(1),
\gpregsm1.curr_fwft_state_reg[1]\(1) => \gr1.rfwft_n_2\,
\gpregsm1.curr_fwft_state_reg[1]\(0) => curr_fwft_state(0),
p_2_out => p_2_out,
rd_clk => rd_clk,
rd_en => rd_en,
v1_reg(4 downto 0) => v1_reg(4 downto 0),
v1_reg_0(4 downto 0) => \c0/v1_reg\(4 downto 0)
);
rpntr: entity work.shd_pe_fifo_rd_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0),
E(0) => \gr1.rfwft_n_1\,
Q(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
WR_PNTR_RD(9 downto 0) => WR_PNTR_RD(9 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => Q(1),
rd_clk => rd_clk,
v1_reg(4 downto 0) => \c0/v1_reg\(4 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_logic is
port (
full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 9 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 9 downto 0 );
v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 );
v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
rst_full_ff_i : in STD_LOGIC;
wr_en : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_logic : entity is "wr_logic";
end shd_pe_fifo_wr_logic;
architecture STRUCTURE of shd_pe_fifo_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
begin
E(0) <= \^e\(0);
\gwas.wsts\: entity work.shd_pe_fifo_wr_status_flags_as
port map (
E(0) => \^e\(0),
full => full,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(4 downto 0) => v1_reg(4 downto 0),
v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0),
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.shd_pe_fifo_wr_bin_cntr
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0),
E(0) => \^e\(0),
Q(9 downto 0) => Q(9 downto 0),
\gic0.gc0.count_d2_reg[9]_0\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0),
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_top is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_top : entity is "blk_mem_gen_top";
end shd_pe_fifo_blk_mem_gen_top;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_top is
begin
\valid.cstr\: entity work.shd_pe_fifo_blk_mem_gen_generic_cstr
port map (
D(31 downto 0) => D(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_v8_3_1_synth is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth";
end shd_pe_fifo_blk_mem_gen_v8_3_1_synth;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_v8_3_1_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.shd_pe_fifo_blk_mem_gen_top
port map (
D(31 downto 0) => D(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_v8_3_1 is
port (
D : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1";
end shd_pe_fifo_blk_mem_gen_v8_3_1;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_v8_3_1 is
begin
inst_blk_mem_gen: entity work.shd_pe_fifo_blk_mem_gen_v8_3_1_synth
port map (
D(31 downto 0) => D(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_memory is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
tmp_ram_rd_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
\gic0.gc0.count_d2_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
\gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_memory : entity is "memory";
end shd_pe_fifo_memory;
architecture STRUCTURE of shd_pe_fifo_memory is
signal doutb : STD_LOGIC_VECTOR ( 31 downto 0 );
begin
\gbm.gbmg.gbmga.ngecc.bmg\: entity work.shd_pe_fifo_blk_mem_gen_v8_3_1
port map (
D(31 downto 0) => doutb(31 downto 0),
E(0) => E(0),
Q(0) => Q(0),
din(31 downto 0) => din(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => \gic0.gc0.count_d2_reg[9]\(9 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
\goreg_bm.dout_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(0),
Q => dout(0),
R => Q(0)
);
\goreg_bm.dout_i_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(10),
Q => dout(10),
R => Q(0)
);
\goreg_bm.dout_i_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(11),
Q => dout(11),
R => Q(0)
);
\goreg_bm.dout_i_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(12),
Q => dout(12),
R => Q(0)
);
\goreg_bm.dout_i_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(13),
Q => dout(13),
R => Q(0)
);
\goreg_bm.dout_i_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(14),
Q => dout(14),
R => Q(0)
);
\goreg_bm.dout_i_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(15),
Q => dout(15),
R => Q(0)
);
\goreg_bm.dout_i_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(16),
Q => dout(16),
R => Q(0)
);
\goreg_bm.dout_i_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(17),
Q => dout(17),
R => Q(0)
);
\goreg_bm.dout_i_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(18),
Q => dout(18),
R => Q(0)
);
\goreg_bm.dout_i_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(19),
Q => dout(19),
R => Q(0)
);
\goreg_bm.dout_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(1),
Q => dout(1),
R => Q(0)
);
\goreg_bm.dout_i_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(20),
Q => dout(20),
R => Q(0)
);
\goreg_bm.dout_i_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(21),
Q => dout(21),
R => Q(0)
);
\goreg_bm.dout_i_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(22),
Q => dout(22),
R => Q(0)
);
\goreg_bm.dout_i_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(23),
Q => dout(23),
R => Q(0)
);
\goreg_bm.dout_i_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(24),
Q => dout(24),
R => Q(0)
);
\goreg_bm.dout_i_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(25),
Q => dout(25),
R => Q(0)
);
\goreg_bm.dout_i_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(26),
Q => dout(26),
R => Q(0)
);
\goreg_bm.dout_i_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(27),
Q => dout(27),
R => Q(0)
);
\goreg_bm.dout_i_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(28),
Q => dout(28),
R => Q(0)
);
\goreg_bm.dout_i_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(29),
Q => dout(29),
R => Q(0)
);
\goreg_bm.dout_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(2),
Q => dout(2),
R => Q(0)
);
\goreg_bm.dout_i_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(30),
Q => dout(30),
R => Q(0)
);
\goreg_bm.dout_i_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(31),
Q => dout(31),
R => Q(0)
);
\goreg_bm.dout_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(3),
Q => dout(3),
R => Q(0)
);
\goreg_bm.dout_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(4),
Q => dout(4),
R => Q(0)
);
\goreg_bm.dout_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(5),
Q => dout(5),
R => Q(0)
);
\goreg_bm.dout_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(6),
Q => dout(6),
R => Q(0)
);
\goreg_bm.dout_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(7),
Q => dout(7),
R => Q(0)
);
\goreg_bm.dout_i_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(8),
Q => dout(8),
R => Q(0)
);
\goreg_bm.dout_i_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => \gpregsm1.curr_fwft_state_reg[1]\(0),
D => doutb(9),
Q => dout(9),
R => Q(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_ramfifo is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end shd_pe_fifo_fifo_generator_ramfifo;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \gras.rsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \gwas.wsts/c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \gwas.wsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_0_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_11_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_12_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_17_out : STD_LOGIC;
signal p_21_out : STD_LOGIC_VECTOR ( 9 downto 0 );
signal p_5_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 );
signal rst_full_ff_i : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal tmp_ram_rd_en : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.shd_pe_fifo_clk_x_pntrs
port map (
Q(9 downto 0) => rd_pntr_plus1(9 downto 0),
WR_PNTR_RD(9 downto 0) => p_21_out(9 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => p_0_out(9 downto 0),
\gic0.gc0.count_d1_reg[9]\(9 downto 0) => p_12_out(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => p_11_out(9 downto 0),
\gic0.gc0.count_reg[9]\(9 downto 0) => wr_pntr_plus2(9 downto 0),
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0),
rd_clk => rd_clk,
v1_reg(4 downto 0) => \gras.rsts/c1/v1_reg\(4 downto 0),
v1_reg_0(4 downto 0) => \gwas.wsts/c1/v1_reg\(4 downto 0),
v1_reg_1(4 downto 0) => \gwas.wsts/c2/v1_reg\(4 downto 0),
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.shd_pe_fifo_rd_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0) => p_0_out(9 downto 0),
E(0) => p_5_out,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
WR_PNTR_RD(9 downto 0) => p_21_out(9 downto 0),
empty => empty,
\gc0.count_d1_reg[9]\(9 downto 0) => rd_pntr_plus1(9 downto 0),
rd_clk => rd_clk,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en,
v1_reg(4 downto 0) => \gras.rsts/c1/v1_reg\(4 downto 0)
);
\gntv_or_sync_fifo.gl0.wr\: entity work.shd_pe_fifo_wr_logic
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(9 downto 0) => p_11_out(9 downto 0),
E(0) => p_17_out,
Q(9 downto 0) => wr_pntr_plus2(9 downto 0),
full => full,
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => p_12_out(9 downto 0),
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
v1_reg(4 downto 0) => \gwas.wsts/c1/v1_reg\(4 downto 0),
v1_reg_0(4 downto 0) => \gwas.wsts/c2/v1_reg\(4 downto 0),
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.shd_pe_fifo_memory
port map (
E(0) => p_17_out,
Q(0) => rd_rst_i(0),
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
\gc0.count_d1_reg[9]\(9 downto 0) => p_0_out(9 downto 0),
\gic0.gc0.count_d2_reg[9]\(9 downto 0) => p_11_out(9 downto 0),
\gpregsm1.curr_fwft_state_reg[1]\(0) => p_5_out,
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
rstblk: entity work.\shd_pe_fifo_reset_blk_ramfifo__parameterized0\
port map (
Q(1) => \^rst\,
Q(0) => wr_rst_i(0),
\gc0.count_reg[1]\(2) => RD_RST,
\gc0.count_reg[1]\(1 downto 0) => rd_rst_i(1 downto 0),
rd_clk => rd_clk,
rst => rst,
rst_full_ff_i => rst_full_ff_i,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_top is
port (
empty : out STD_LOGIC;
full : out STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_top : entity is "fifo_generator_top";
end shd_pe_fifo_fifo_generator_top;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_top is
begin
\grf.rf\: entity work.shd_pe_fifo_fifo_generator_ramfifo
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_v13_0_1_synth is
port (
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
rd_en : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_aclk : in STD_LOGIC;
m_aclk : in STD_LOGIC;
rst : in STD_LOGIC;
wr_en : in STD_LOGIC;
s_aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_v13_0_1_synth : entity is "fifo_generator_v13_0_1_synth";
end shd_pe_fifo_fifo_generator_v13_0_1_synth;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_v13_0_1_synth is
begin
\gconvfifo.rf\: entity work.shd_pe_fifo_fifo_generator_top
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
\reset_gen_ic.rstblk_cc\: entity work.shd_pe_fifo_reset_blk_ramfifo
port map (
m_aclk => m_aclk,
s_aclk => s_aclk,
s_aresetn => s_aresetn
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_v13_0_1 is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 9 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1022;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of shd_pe_fifo_fifo_generator_v13_0_1 : entity is 1;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_v13_0_1 : entity is "fifo_generator_v13_0_1";
end shd_pe_fifo_fifo_generator_v13_0_1;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_v13_0_1 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(9) <= \<const0>\;
data_count(8) <= \<const0>\;
data_count(7) <= \<const0>\;
data_count(6) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(9) <= \<const0>\;
rd_data_count(8) <= \<const0>\;
rd_data_count(7) <= \<const0>\;
rd_data_count(6) <= \<const0>\;
rd_data_count(5) <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(9) <= \<const0>\;
wr_data_count(8) <= \<const0>\;
wr_data_count(7) <= \<const0>\;
wr_data_count(6) <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.shd_pe_fifo_fifo_generator_v13_0_1_synth
port map (
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
m_aclk => m_aclk,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
s_aclk => s_aclk,
s_aresetn => s_aresetn,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of shd_pe_fifo : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of shd_pe_fifo : entity is "shd_pe_fifo,fifo_generator_v13_0_1,{}";
attribute core_generation_info : string;
attribute core_generation_info of shd_pe_fifo : entity is "shd_pe_fifo,fifo_generator_v13_0_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=virtex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx36,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH_NEGATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of shd_pe_fifo : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of shd_pe_fifo : entity is "fifo_generator_v13_0_1,Vivado 2015.4";
end shd_pe_fifo;
architecture STRUCTURE of shd_pe_fifo is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 10;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 32;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 32;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 1022;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 10;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 1024;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 10;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 10;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.shd_pe_fifo_fifo_generator_v13_0_1
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3 downto 0) => B"0000",
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3 downto 0) => B"0000",
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3 downto 0) => B"0000",
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3 downto 0) => B"0000",
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3 downto 0) => B"0000",
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3 downto 0) => B"0000",
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9 downto 0) => B"0000000000",
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9 downto 0) => B"0000000000",
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9 downto 0) => B"0000000000",
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9 downto 0) => B"0000000000",
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9 downto 0) => B"0000000000",
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(9 downto 0) => NLW_U0_data_count_UNCONNECTED(9 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(31 downto 0) => din(31 downto 0),
dout(31 downto 0) => dout(31 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1 downto 0) => B"00",
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1 downto 0) => B"00",
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(9 downto 0) => B"0000000000",
prog_empty_thresh_assert(9 downto 0) => B"0000000000",
prog_empty_thresh_negate(9 downto 0) => B"0000000000",
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(9 downto 0) => B"0000000000",
prog_full_thresh_assert(9 downto 0) => B"0000000000",
prog_full_thresh_negate(9 downto 0) => B"0000000000",
rd_clk => rd_clk,
rd_data_count(9 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(9 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arcache(3 downto 0) => B"0000",
s_axi_arid(0) => '0',
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arlock(0) => '0',
s_axi_arprot(2 downto 0) => B"000",
s_axi_arqos(3 downto 0) => B"0000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => B"000",
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(0) => '0',
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(0) => '0',
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(3 downto 0) => B"0000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => B"000",
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7 downto 0) => B"00000000",
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7 downto 0) => B"00000000",
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3 downto 0) => B"0000",
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(9 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(9 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
gpl-3.0
|
rbesenczi/real-time-traffic-analyzer
|
src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_afifo_autord.vhd
|
2
|
17893
|
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- axi_sg_afifo_autord.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_afifo_autord.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- asynchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 12/21/2009 First Version
-- GAB 3/23/2010 renamed for axi_dma
--
-- GAB 10/15/10 v4_03
-- ^^^^^^
-- - Updated libraries to v4_03
-- ~~~~~~
-- GAB 2/15/11 v4_030_a
-- ^^^^^^
-- Updated libraries to v4_030_a
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-- GAB 7/19/11 v4_03
-- ^^^^^^
-- Update for use with axi_sg_v4_03
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.async_fifo_fg;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity axi_sg_afifo_autord is
generic (
C_DWIDTH : integer := 32;
-- Sets the width of the FIFO Data
C_DEPTH : integer := 16;
-- Sets the depth of the FIFO
C_CNT_WIDTH : Integer := 5;
-- Sets the width of the FIFO Data Count output
C_USE_BLKMEM : Integer := 1 ;
-- Sets the type of memory to use for the FIFO
-- 0 = Distributed Logic
-- 1 = Block Ram
C_FAMILY : String := "virtex6"
-- Specifies the target FPGA Family
);
port (
-- FIFO Inputs --------------------------------------------------------------
AFIFO_Ainit : In std_logic; --
AFIFO_Wr_clk : In std_logic; --
AFIFO_Wr_en : In std_logic; --
AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); --
AFIFO_Rd_clk : In std_logic; --
AFIFO_Rd_en : In std_logic; --
AFIFO_Clr_Rd_Data_Valid : In std_logic; --
----------------------------------------------------------------------------
-- FIFO Outputs --------------------------------------------------------------
AFIFO_DValid : Out std_logic; --
AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); --
AFIFO_Full : Out std_logic; --
AFIFO_Empty : Out std_logic; --
AFIFO_Almost_full : Out std_logic; --
AFIFO_Almost_empty : Out std_logic; --
AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); --
AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); --
AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); --
AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); --
AFIFO_Rd_ack : Out std_logic --
-----------------------------------------------------------------------------
);
end entity axi_sg_afifo_autord;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_sg_afifo_autord is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- Constant declarations
-- none
-- Signal declarations
signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
-- signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0');
-- signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0');
signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-2 downto 0) := (others => '0');
signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-2 downto 0) := (others => '0');
signal rd_count_int : natural := 0;
signal rd_count_int_corr : natural := 0;
signal rd_count_int_corr_minus1 : natural := 0;
Signal corrected_empty : std_logic := '0';
Signal corrected_almost_empty : std_logic := '0';
Signal sig_afifo_empty : std_logic := '0';
Signal sig_afifo_almost_empty : std_logic := '0';
-- backend fifo read ack sample and hold
Signal sig_rddata_valid : std_logic := '0';
Signal hold_ff_q : std_logic := '0';
Signal ored_ack_ff_reset : std_logic := '0';
Signal autoread : std_logic := '0';
Signal sig_wrfifo_rdack : std_logic := '0';
Signal fifo_read_enable : std_logic := '0';
signal afifo_full_i : std_logic := '0';
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-- Bit ordering translations
write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little
-- endian.
AFIFO_Rd_ack <= sig_wrfifo_rdack;
AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to
-- Big endian.
AFIFO_Almost_empty <= corrected_almost_empty;
AFIFO_Empty <= corrected_empty;
AFIFO_Full <= afifo_full_i;
-- AFIFO_Wr_count <= wr_count_lil_end;
AFIFO_Wr_count <= afifo_full_i & wr_count_lil_end;
-- AFIFO_Rd_count <= 'rd_count_lil_end;
AFIFO_Rd_count <= '0' & rd_count_lil_end;
AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr,
C_CNT_WIDTH+1);
AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1,
C_CNT_WIDTH+1);
AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator
fifo_read_enable <= AFIFO_Rd_en or autoread;
-------------------------------------------------------------------------------
-- Instantiate the CoreGen FIFO
--
-- NOTE:
-- This instance refers to a wrapper file that interm will use the
-- CoreGen FIFO Generator Async FIFO utility.
--
-------------------------------------------------------------------------------
I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg
generic map (
C_ALLOW_2N_DEPTH => 1 ,
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DWIDTH,
C_SYNCHRONIZER_STAGE => 4,
C_ENABLE_RLOCS => 0,
C_FIFO_DEPTH => C_DEPTH,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => 1,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 1,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 1,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
-- C_RD_COUNT_WIDTH => C_CNT_WIDTH,
C_RD_COUNT_WIDTH => C_CNT_WIDTH-1,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => C_USE_BLKMEM,
C_WR_ACK_LOW => 0,
-- C_WR_COUNT_WIDTH => C_CNT_WIDTH,
C_WR_COUNT_WIDTH => C_CNT_WIDTH-1,
C_WR_ERR_LOW => 0
--C_WR_ERR_LOW => 0,
--C_USE_EMBEDDED_REG => 1, -- 0 ;
--C_PRELOAD_REGS => 0, -- 0 ;
--C_PRELOAD_LATENCY => 1 -- 1 ;
)
port Map (
Din => write_data_lil_end,
Wr_en => AFIFO_Wr_en,
Wr_clk => AFIFO_Wr_clk,
Rd_en => fifo_read_enable,
Rd_clk => AFIFO_Rd_clk,
Ainit => AFIFO_Ainit,
Dout => read_data_lil_end,
-- Full => AFIFO_Full,
Full => afifo_full_i,
Empty => sig_afifo_empty,
Almost_full => AFIFO_Almost_full,
Almost_empty => sig_afifo_almost_empty,
Wr_count => wr_count_lil_end,
Rd_count => rd_count_lil_end,
Rd_ack => sig_wrfifo_rdack,
Rd_err => open,
Wr_ack => open,
Wr_err => open
);
----------------------------------------------------------------------------
-- Read Ack assert & hold logic (needed because:
-- 1) The Async FIFO has to be read once to get valid
-- data to the read data port (data is discarded).
-- 2) The Read ack from the fifo is only asserted for 1 clock.
-- 3) A signal is needed that indicates valid data is at the read
-- port of the FIFO and has not yet been read. This signal needs
-- to be held until the next read operation occurs or a clear
-- signal is received.
ored_ack_ff_reset <= fifo_read_enable or
AFIFO_Ainit or
AFIFO_Clr_Rd_Data_Valid;
sig_rddata_valid <= hold_ff_q or
sig_wrfifo_rdack;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ACK_HOLD_FLOP
--
-- Process Description:
-- Flop for registering the hold flag
--
-------------------------------------------------------------
IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk)
begin
if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then
if (ored_ack_ff_reset = '1') then
hold_ff_q <= '0';
else
hold_ff_q <= sig_rddata_valid;
end if;
end if;
end process IMP_ACK_HOLD_FLOP;
-- generate auto-read enable. This keeps fresh data at the output
-- of the FIFO whenever it is available.
autoread <= '1' -- create a read strobe when the
when (sig_rddata_valid = '0' and -- output data is NOT valid
sig_afifo_empty = '0') -- and the FIFO is not empty
Else '0';
rd_count_int <= CONV_INTEGER(rd_count_lil_end);
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CORRECT_RD_CNT
--
-- Process Description:
-- This process corrects the FIFO Read Count output for the
-- auto read function.
--
-------------------------------------------------------------
CORRECT_RD_CNT : process (sig_rddata_valid,
sig_afifo_empty ,
sig_afifo_almost_empty,
rd_count_int)
begin
if (sig_rddata_valid = '0') then
rd_count_int_corr <= 0;
rd_count_int_corr_minus1 <= 0;
corrected_empty <= '1';
corrected_almost_empty <= '0';
elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty
rd_count_int_corr <= 1;
rd_count_int_corr_minus1 <= 0;
corrected_empty <= '0';
corrected_almost_empty <= '1';
Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty
rd_count_int_corr <= 2;
rd_count_int_corr_minus1 <= 1;
corrected_empty <= '0';
corrected_almost_empty <= '0';
else -- rddata valid and modify rd count from FIFO
rd_count_int_corr <= rd_count_int+1;
rd_count_int_corr_minus1 <= rd_count_int;
corrected_empty <= '0';
corrected_almost_empty <= '0';
end if;
end process CORRECT_RD_CNT;
end imp;
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd
|
8
|
83900
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368)
`protect data_block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`protect end_protected
|
gpl-3.0
|
rbesenczi/real-time-traffic-analyzer
|
src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/ipshared/xilinx.com/lib_cdc_v1_0/d3fab4a1/hdl/src/vhdl/cdc_sync.vhd
|
21
|
47317
|
--Generic Help
--C_CDC_TYPE : Defines the type of CDC needed
-- 0 means pulse synchronizer. Used to transfer one clock pulse
-- from prmry domain to scndry domain.
-- 1 means level synchronizer. Used to transfer level signal.
-- 2 means level synchronizer with ack. Used to transfer level
-- signal. Input signal should change only when prmry_ack is detected
--
--C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal
-- Set to 0 when incoming signal is purely floped signal.
--
--C_RESET_STATE : Generally sync flops need not have resets. However, in some cases
-- it might be needed.
-- 0 means reset not needed for sync flops
-- 1 means reset needed for sync flops. i
-- In this case prmry_resetn should be in prmry clock,
-- while scndry_reset should be in scndry clock.
--
--C_SINGLE_BIT : CDC should normally be done for single bit signals only.
-- However, based on design buses can also be CDC'ed.
-- 0 means it is a bus. In this case input be connected to prmry_vect_in.
-- Output is on scndry_vect_out.
-- 1 means it is a single bit. In this case input be connected to prmry_in.
-- Output is on scndry_out.
--
--C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1
--
--C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6.
-- Value of 0, 1 is allowed only for level CDC.
-- Min value for Pulse CDC is 2
--
--Whenever this file is used following XDC constraint has to be added
-- set_false_path -to [get_pins -hier *cdc_to*/D]
--IO Ports
--
-- prmry_aclk : clock of originating domain (source domain)
-- prmry_resetn : sync reset of originating clock domain (source domain)
-- prmry_in : input signal bit. This should be a pure flop output without
-- any combi logic. This is source.
-- prmry_vect_in : bus signal. From Source domain.
-- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain.
-- Used only when C_CDC_TYPE = 2
-- scndry_aclk : destination clock.
-- scndry_resetn : sync reset of destination domain
-- scndry_out : sync'ed output in destination domain. Single bit.
-- scndry_vect_out : sync'ed output in destination domain. bus.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.FDR;
entity cdc_sync is
generic (
C_CDC_TYPE : integer range 0 to 2 := 1 ;
-- 0 is pulse synch
-- 1 is level synch
-- 2 is ack based level sync
C_RESET_STATE : integer range 0 to 1 := 0 ;
-- 0 is reset not needed
-- 1 is reset needed
C_SINGLE_BIT : integer range 0 to 1 := 1 ;
-- 0 is bus input
-- 1 is single bit input
C_FLOP_INPUT : integer range 0 to 1 := 0 ;
C_VECTOR_WIDTH : integer range 0 to 32 := 32 ;
C_MTBF_STAGES : integer range 0 to 6 := 2
-- Vector Data witdth
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
prmry_in : in std_logic ; --
prmry_vect_in : in std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) ; --
prmry_ack : out std_logic ;
--
scndry_aclk : in std_logic ; --
scndry_resetn : in std_logic ; --
--
-- Primary to Secondary Clock Crossing --
scndry_out : out std_logic ; --
--
scndry_vect_out : out std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) --
);
end cdc_sync;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of cdc_sync is
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
--attribute DONT_TOUCH : STRING;
--attribute KEEP : STRING;
--attribute DONT_TOUCH of implementation : architecture is "yes";
signal prmry_resetn1 : std_logic := '0';
signal scndry_resetn1 : std_logic := '0';
signal prmry_reset2 : std_logic := '0';
signal scndry_reset2 : std_logic := '0';
--attribute KEEP of prmry_resetn1 : signal is "true";
--attribute KEEP of scndry_resetn1 : signal is "true";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
HAS_RESET : if C_RESET_STATE = 1 generate
begin
prmry_resetn1 <= prmry_resetn;
scndry_resetn1 <= scndry_resetn;
end generate HAS_RESET;
HAS_NO_RESET : if C_RESET_STATE = 0 generate
begin
prmry_resetn1 <= '1';
scndry_resetn1 <= '1';
end generate HAS_NO_RESET;
prmry_reset2 <= not prmry_resetn1;
scndry_reset2 <= not scndry_resetn1;
-- Generate PULSE clock domain crossing
GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate
-- Primary to Secondary
signal s_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_out_d1_cdc_to : signal is "true";
signal s_out_d2 : std_logic := '0';
signal s_out_d3 : std_logic := '0';
signal s_out_d4 : std_logic := '0';
signal s_out_d5 : std_logic := '0';
signal s_out_d6 : std_logic := '0';
signal s_out_d7 : std_logic := '0';
signal s_out_re : std_logic := '0';
signal prmry_in_xored : std_logic := '0';
signal p_in_d1_cdc_from : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF REG_P_IN2_cdc_to : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d2 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d3 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d4 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d5 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d6 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d7 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Pulse Clock Crossing **
--** PRIMARY TO SECONDARY OPEN-ENDED **
--*****************************************************************************
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
prmry_in_xored <= prmry_in xor p_in_d1_cdc_from;
--------------------------------------REG_P_IN : process(prmry_aclk)
-------------------------------------- begin
-------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
-------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
-------------------------------------- p_in_d1_cdc_from <= '0';
-------------------------------------- else
-------------------------------------- p_in_d1_cdc_from <= prmry_in_xored;
-------------------------------------- end if;
-------------------------------------- end if;
-------------------------------------- end process REG_P_IN;
REG_P_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in_xored,
R => prmry_reset2
);
REG_P_IN2_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d1_cdc_to,
C => scndry_aclk,
D => p_in_d1_cdc_from,
R => scndry_reset2
);
------------------------------------ P_IN_CROSS2SCNDRY : process(scndry_aclk)
------------------------------------ begin
------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------ s_out_d2 <= '0';
------------------------------------ s_out_d3 <= '0';
------------------------------------ s_out_d4 <= '0';
------------------------------------ s_out_d5 <= '0';
------------------------------------ s_out_d6 <= '0';
------------------------------------ s_out_d7 <= '0';
------------------------------------ scndry_out <= '0';
------------------------------------ else
------------------------------------ s_out_d2 <= s_out_d1_cdc_to;
------------------------------------ s_out_d3 <= s_out_d2;
------------------------------------ s_out_d4 <= s_out_d3;
------------------------------------ s_out_d5 <= s_out_d4;
------------------------------------ s_out_d6 <= s_out_d5;
------------------------------------ s_out_d7 <= s_out_d6;
------------------------------------ scndry_out <= s_out_re;
------------------------------------ end if;
------------------------------------ end if;
------------------------------------ end process P_IN_CROSS2SCNDRY;
P_IN_CROSS2SCNDRY_s_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d2,
C => scndry_aclk,
D => s_out_d1_cdc_to,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d3,
C => scndry_aclk,
D => s_out_d2,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d4,
C => scndry_aclk,
D => s_out_d3,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d5,
C => scndry_aclk,
D => s_out_d4,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d6,
C => scndry_aclk,
D => s_out_d5,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d7 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d7,
C => scndry_aclk,
D => s_out_d6,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_scndry_out : component FDR
generic map(INIT => '0'
)port map (
Q => scndry_out,
C => scndry_aclk,
D => s_out_re,
R => scndry_reset2
);
MTBF_2 : if C_MTBF_STAGES = 2 generate
begin
s_out_re <= s_out_d2 xor s_out_d3;
end generate MTBF_2;
MTBF_3 : if C_MTBF_STAGES = 3 generate
begin
s_out_re <= s_out_d3 xor s_out_d4;
end generate MTBF_3;
MTBF_4 : if C_MTBF_STAGES = 4 generate
begin
s_out_re <= s_out_d4 xor s_out_d5;
end generate MTBF_4;
MTBF_5 : if C_MTBF_STAGES = 5 generate
begin
s_out_re <= s_out_d5 xor s_out_d6;
end generate MTBF_5;
MTBF_6 : if C_MTBF_STAGES = 6 generate
begin
s_out_re <= s_out_d6 xor s_out_d7;
end generate MTBF_6;
-- Feed secondary pulse out
end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED;
-- Generate LEVEL clock domain crossing with reset state = 0
GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate
begin
-- Primary to Secondary
SINGLE_BIT : if C_SINGLE_BIT = 1 generate
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true";
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
---------------------------------- REG_PLEVEL_IN : process(prmry_aclk)
---------------------------------- begin
---------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
---------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
---------------------------------- p_level_in_d1_cdc_from <= '0';
---------------------------------- else
---------------------------------- p_level_in_d1_cdc_from <= prmry_in;
---------------------------------- end if;
---------------------------------- end if;
---------------------------------- end process REG_PLEVEL_IN;
REG_PLEVEL_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in,
R => prmry_reset2
);
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d1_cdc_to,
C => scndry_aclk,
D => p_level_in_int,
R => scndry_reset2
);
------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
------------------------------ begin
------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------ s_level_out_d2 <= '0';
------------------------------ s_level_out_d3 <= '0';
------------------------------ s_level_out_d4 <= '0';
------------------------------ s_level_out_d5 <= '0';
------------------------------ s_level_out_d6 <= '0';
------------------------------ else
------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to;
------------------------------ s_level_out_d3 <= s_level_out_d2;
------------------------------ s_level_out_d4 <= s_level_out_d3;
------------------------------ s_level_out_d5 <= s_level_out_d4;
------------------------------ s_level_out_d6 <= s_level_out_d5;
------------------------------ end if;
------------------------------ end if;
------------------------------ end process CROSS_PLEVEL_IN2SCNDRY;
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d2,
C => scndry_aclk,
D => s_level_out_d1_cdc_to,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d3,
C => scndry_aclk,
D => s_level_out_d2,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d4,
C => scndry_aclk,
D => s_level_out_d3,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d5,
C => scndry_aclk,
D => s_level_out_d4,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d6,
C => scndry_aclk,
D => s_level_out_d5,
R => scndry_reset2
);
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_out <= s_level_out_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_out <= s_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out <= s_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out <= s_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out <= s_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out <= s_level_out_d6;
end generate MTBF_L6;
end generate SINGLE_BIT;
MULTI_BIT : if C_SINGLE_BIT = 0 generate
signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0);
signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
--attribute DONT_TOUCH of s_level_out_bus_d1_cdc_to : signal is "true";
signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_out <= '0';
prmry_ack <= '0';
INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate
begin
----------------------------------- REG_PLEVEL_IN : process(prmry_aclk)
----------------------------------- begin
----------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
----------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
----------------------------------- p_level_in_bus_d1_cdc_from <= (others => '0');
----------------------------------- else
----------------------------------- p_level_in_bus_d1_cdc_from <= prmry_vect_in;
----------------------------------- end if;
----------------------------------- end if;
----------------------------------- end process REG_PLEVEL_IN;
FOR_REG_PLEVEL_IN: for i in 0 to (C_VECTOR_WIDTH-1) generate
begin
REG_PLEVEL_IN_p_level_in_bus_d1_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_bus_d1_cdc_from (i),
C => prmry_aclk,
D => prmry_vect_in (i),
R => prmry_reset2
);
end generate FOR_REG_PLEVEL_IN;
p_level_in_bus_int <= p_level_in_bus_d1_cdc_from;
end generate INPUT_FLOP_BUS;
NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate
begin
p_level_in_bus_int <= prmry_vect_in;
end generate NO_INPUT_FLOP_BUS;
FOR_IN_cdc_to: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d1_cdc_to (i),
C => scndry_aclk,
D => p_level_in_bus_int (i),
R => scndry_reset2
);
end generate FOR_IN_cdc_to;
----------------------------------------- CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
----------------------------------------- begin
----------------------------------------- if(scndry_aclk'EVENT and scndry_aclk ='1')then
----------------------------------------- if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
----------------------------------------- s_level_out_bus_d2 <= (others => '0');
----------------------------------------- s_level_out_bus_d3 <= (others => '0');
----------------------------------------- s_level_out_bus_d4 <= (others => '0');
----------------------------------------- s_level_out_bus_d5 <= (others => '0');
----------------------------------------- s_level_out_bus_d6 <= (others => '0');
----------------------------------------- else
----------------------------------------- s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to;
----------------------------------------- s_level_out_bus_d3 <= s_level_out_bus_d2;
----------------------------------------- s_level_out_bus_d4 <= s_level_out_bus_d3;
----------------------------------------- s_level_out_bus_d5 <= s_level_out_bus_d4;
----------------------------------------- s_level_out_bus_d6 <= s_level_out_bus_d5;
----------------------------------------- end if;
----------------------------------------- end if;
----------------------------------------- end process CROSS_PLEVEL_IN2SCNDRY;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d2 (i),
C => scndry_aclk,
D => s_level_out_bus_d1_cdc_to (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d3 (i),
C => scndry_aclk,
D => s_level_out_bus_d2 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d4 (i),
C => scndry_aclk,
D => s_level_out_bus_d3 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d5 (i),
C => scndry_aclk,
D => s_level_out_bus_d4 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d6 (i),
C => scndry_aclk,
D => s_level_out_bus_d5 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6;
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_vect_out <= s_level_out_bus_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_vect_out <= s_level_out_bus_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_vect_out <= s_level_out_bus_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_vect_out <= s_level_out_bus_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_vect_out <= s_level_out_bus_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_vect_out <= s_level_out_bus_d6;
end generate MTBF_L6;
end generate MULTI_BIT;
end generate GENERATE_LEVEL_P_S_CDC;
GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate
-- Primary to Secondary
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true";
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
signal p_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of p_level_out_d1_cdc_to : signal is "true";
signal p_level_out_d2 : std_logic := '0';
signal p_level_out_d3 : std_logic := '0';
signal p_level_out_d4 : std_logic := '0';
signal p_level_out_d5 : std_logic := '0';
signal p_level_out_d6 : std_logic := '0';
signal p_level_out_d7 : std_logic := '0';
signal scndry_out_int : std_logic := '0';
signal prmry_pulse_ack : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
------------------------------------------ REG_PLEVEL_IN : process(prmry_aclk)
------------------------------------------ begin
------------------------------------------ if(prmry_aclk'EVENT and prmry_aclk ='1')then
------------------------------------------ if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------------ p_level_in_d1_cdc_from <= '0';
------------------------------------------ else
------------------------------------------ p_level_in_d1_cdc_from <= prmry_in;
------------------------------------------ end if;
------------------------------------------ end if;
------------------------------------------ end process REG_PLEVEL_IN;
REG_PLEVEL_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in,
R => prmry_reset2
);
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d1_cdc_to,
C => scndry_aclk,
D => p_level_in_int,
R => scndry_reset2
);
------------------------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
------------------------------------------------ begin
------------------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------------------ s_level_out_d2 <= '0';
------------------------------------------------ s_level_out_d3 <= '0';
------------------------------------------------ s_level_out_d4 <= '0';
------------------------------------------------ s_level_out_d5 <= '0';
------------------------------------------------ s_level_out_d6 <= '0';
------------------------------------------------ else
------------------------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to;
------------------------------------------------ s_level_out_d3 <= s_level_out_d2;
------------------------------------------------ s_level_out_d4 <= s_level_out_d3;
------------------------------------------------ s_level_out_d5 <= s_level_out_d4;
------------------------------------------------ s_level_out_d6 <= s_level_out_d5;
------------------------------------------------ end if;
------------------------------------------------ end if;
------------------------------------------------ end process CROSS_PLEVEL_IN2SCNDRY;
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d2,
C => scndry_aclk,
D => s_level_out_d1_cdc_to,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d3,
C => scndry_aclk,
D => s_level_out_d2,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d4,
C => scndry_aclk,
D => s_level_out_d3,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d5,
C => scndry_aclk,
D => s_level_out_d4,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d6,
C => scndry_aclk,
D => s_level_out_d5,
R => scndry_reset2
);
--------------------------------------------------- CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk)
--------------------------------------------------- begin
--------------------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
--------------------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
--------------------------------------------------- p_level_out_d1_cdc_to <= '0';
--------------------------------------------------- p_level_out_d2 <= '0';
--------------------------------------------------- p_level_out_d3 <= '0';
--------------------------------------------------- p_level_out_d4 <= '0';
--------------------------------------------------- p_level_out_d5 <= '0';
--------------------------------------------------- p_level_out_d6 <= '0';
--------------------------------------------------- p_level_out_d7 <= '0';
--------------------------------------------------- prmry_ack <= '0';
--------------------------------------------------- else
--------------------------------------------------- p_level_out_d1_cdc_to <= scndry_out_int;
--------------------------------------------------- p_level_out_d2 <= p_level_out_d1_cdc_to;
--------------------------------------------------- p_level_out_d3 <= p_level_out_d2;
--------------------------------------------------- p_level_out_d4 <= p_level_out_d3;
--------------------------------------------------- p_level_out_d5 <= p_level_out_d4;
--------------------------------------------------- p_level_out_d6 <= p_level_out_d5;
--------------------------------------------------- p_level_out_d7 <= p_level_out_d6;
--------------------------------------------------- prmry_ack <= prmry_pulse_ack;
--------------------------------------------------- end if;
--------------------------------------------------- end if;
--------------------------------------------------- end process CROSS_PLEVEL_SCNDRY2PRMRY;
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d1_cdc_to,
C => prmry_aclk,
D => scndry_out_int,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d2,
C => prmry_aclk,
D => p_level_out_d1_cdc_to,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d3,
C => prmry_aclk,
D => p_level_out_d2,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d4,
C => prmry_aclk,
D => p_level_out_d3,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d5,
C => prmry_aclk,
D => p_level_out_d4,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d6,
C => prmry_aclk,
D => p_level_out_d5,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d7,
C => prmry_aclk,
D => p_level_out_d6,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_prmry_ack : component FDR
generic map(INIT => '0'
)port map (
Q => prmry_ack,
C => prmry_aclk,
D => prmry_pulse_ack,
R => prmry_reset2
);
MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate
begin
scndry_out_int <= s_level_out_d2;
--prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2;
prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out_int <= s_level_out_d3;
--prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3;
prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out_int <= s_level_out_d4;
--prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4;
prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out_int <= s_level_out_d5;
--prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5;
prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out_int <= s_level_out_d6;
--prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6;
prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6;
end generate MTBF_L6;
scndry_out <= scndry_out_int;
end generate GENERATE_LEVEL_ACK_P_S_CDC;
end implementation;
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_as.vhd
|
6
|
20484
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_fifo/fifo_generator_v12_0/hdl/builtin/builtin_extdepth_low_latency.vhd
|
6
|
43742
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640)
`protect data_block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`protect end_protected
|
gpl-3.0
|
fmadotto/DS_sha256
|
src/hdl/old_design/cla.vhd
|
1
|
2172
|
-- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- cla.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
entity cla is
generic (
n : natural := 32 -- input size (default is 32 bits)
);
port (
x : in std_ulogic_vector(n-1 downto 0); -- first binary number to sum
y : in std_ulogic_vector(n-1 downto 0); -- second binary number to sum
sum : out std_ulogic_vector(n-1 downto 0); -- result of the sum
cout : out std_ulogic -- carry out
);
end entity cla;
architecture rtl of cla is
signal G : std_ulogic_vector(n-1 downto 0); -- generate signal: G_i = x_i * y_i
signal P : std_ulogic_vector(n-1 downto 0); -- propagate signal: P_i = x_i + y_i
signal S : std_ulogic_vector(n-1 downto 0); -- sum signal
signal C : std_ulogic_vector(n downto 0); -- carry signal
begin
FA_gen : for i in 0 to n-1 generate
FA_instance : entity work.full_adder
port map (
x => x(i),
y => y(i),
cin => C(i),
sum => S(i),
cout => open
);
end generate FA_gen;
C(0) <= '0'; -- there is no initial carry
PGC_gen : for j in 0 to n-1 generate
G(j) <= x(j) and y(j);
P(j) <= x(j) or y(j);
C(j+1) <= G(j) or (P(j) and C(j));
end generate PGC_gen;
sum <= S;
cout <= C(n);
end architecture rtl;
|
gpl-3.0
|
rbesenczi/real-time-traffic-analyzer
|
src/traffic_analyser_Vivado_2014_4/traffic_analyser_Vivaldo_2014_4.srcs/sources_1/bd/design_1/ip/design_1_axi_uartlite_0_0/synth/design_1_axi_uartlite_0_0.vhd
|
1
|
8972
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_uartlite:2.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_uartlite_v2_0;
USE axi_uartlite_v2_0.axi_uartlite;
ENTITY design_1_axi_uartlite_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END design_1_axi_uartlite_0_0;
ARCHITECTURE design_1_axi_uartlite_0_0_arch OF design_1_axi_uartlite_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_uartlite IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ACLK_FREQ_HZ : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_BAUDRATE : INTEGER;
C_DATA_BITS : INTEGER;
C_USE_PARITY : INTEGER;
C_ODD_PARITY : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
rx : IN STD_LOGIC;
tx : OUT STD_LOGIC
);
END COMPONENT axi_uartlite;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "axi_uartlite,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_uartlite_0_0_arch : ARCHITECTURE IS "design_1_axi_uartlite_0_0,axi_uartlite,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "design_1_axi_uartlite_0_0,axi_uartlite,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_uartlite,x_ipVersion=2.0,x_ipCoreRevision=7,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ACLK_FREQ_HZ=100000000,C_S_AXI_ADDR_WIDTH=4,C_S_AXI_DATA_WIDTH=32,C_BAUDRATE=4800,C_DATA_BITS=8,C_USE_PARITY=0,C_ODD_PARITY=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT interrupt";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD";
ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD";
BEGIN
U0 : axi_uartlite
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ACLK_FREQ_HZ => 100000000,
C_S_AXI_ADDR_WIDTH => 4,
C_S_AXI_DATA_WIDTH => 32,
C_BAUDRATE => 4800,
C_DATA_BITS => 8,
C_USE_PARITY => 0,
C_ODD_PARITY => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
interrupt => interrupt,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
rx => rx,
tx => tx
);
END design_1_axi_uartlite_0_0_arch;
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/shd_pe_fifo/shd_pe_fifo_funcsim.vhdl
|
1
|
255026
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.3 (lin64) Build 1034051 Fri Oct 3 16:31:15 MDT 2014
-- Date : Sun Oct 25 15:45:18 2015
-- Host : arthas-ubuntu running 64-bit Ubuntu 14.04.3 LTS
-- Command : write_vhdl -force -mode funcsim
-- /home/arthas/git/SHD/SHD.srcs/sources_1/ip/shd_pe_fifo/shd_pe_fifo_funcsim.vhdl
-- Design : shd_pe_fifo
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7vx690tffg1761-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_prim_wrapper is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end shd_pe_fifo_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_prim_wrapper is
signal \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => O1(11 downto 0),
ADDRARDADDR(2) => '1',
ADDRARDADDR(1) => '1',
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => I1(11 downto 0),
ADDRBWRADDR(2) => '1',
ADDRBWRADDR(1) => '1',
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => wr_clk,
CLKBWRCLK => rd_clk,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31) => '0',
DIADI(30) => '0',
DIADI(29) => '0',
DIADI(28) => '0',
DIADI(27) => '0',
DIADI(26) => '0',
DIADI(25) => '0',
DIADI(24) => '0',
DIADI(23) => '0',
DIADI(22) => '0',
DIADI(21) => '0',
DIADI(20) => '0',
DIADI(19) => '0',
DIADI(18) => '0',
DIADI(17) => '0',
DIADI(16) => '0',
DIADI(15) => '0',
DIADI(14) => '0',
DIADI(13) => '0',
DIADI(12) => '0',
DIADI(11) => '0',
DIADI(10) => '0',
DIADI(9) => '0',
DIADI(8) => '0',
DIADI(7 downto 0) => din(7 downto 0),
DIBDI(31) => '0',
DIBDI(30) => '0',
DIBDI(29) => '0',
DIBDI(28) => '0',
DIBDI(27) => '0',
DIBDI(26) => '0',
DIBDI(25) => '0',
DIBDI(24) => '0',
DIBDI(23) => '0',
DIBDI(22) => '0',
DIBDI(21) => '0',
DIBDI(20) => '0',
DIBDI(19) => '0',
DIBDI(18) => '0',
DIBDI(17) => '0',
DIBDI(16) => '0',
DIBDI(15) => '0',
DIBDI(14) => '0',
DIBDI(13) => '0',
DIBDI(12) => '0',
DIBDI(11) => '0',
DIBDI(10) => '0',
DIBDI(9) => '0',
DIBDI(8) => '0',
DIBDI(7) => '0',
DIBDI(6) => '0',
DIBDI(5) => '0',
DIBDI(4) => '0',
DIBDI(3) => '0',
DIBDI(2) => '0',
DIBDI(1) => '0',
DIBDI(0) => '0',
DIPADIP(3) => '0',
DIPADIP(2) => '0',
DIPADIP(1) => '0',
DIPADIP(0) => '0',
DIPBDIP(3) => '0',
DIPBDIP(2) => '0',
DIPBDIP(1) => '0',
DIPBDIP(0) => '0',
DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => D(7 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => WEA(0),
ENBWREN => tmp_ram_rd_en,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => Q(0),
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => WEA(0),
WEA(2) => WEA(0),
WEA(1) => WEA(0),
WEA(0) => WEA(0),
WEBWE(7) => '0',
WEBWE(6) => '0',
WEBWE(5) => '0',
WEBWE(4) => '0',
WEBWE(3) => '0',
WEBWE(2) => '0',
WEBWE(1) => '0',
WEBWE(0) => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare is
port (
comp1 : out STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 11 downto 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare : entity is "compare";
end shd_pe_fifo_compare;
architecture STRUCTURE of shd_pe_fifo_compare is
signal \n_0_gmux.gm[3].gms.ms\ : STD_LOGIC;
signal v1_reg : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gmux.gm[3].gms.ms\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(0),
I1 => RD_PNTR_WR(0),
I2 => Q(1),
I3 => RD_PNTR_WR(1),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(2),
I1 => RD_PNTR_WR(2),
I2 => Q(3),
I3 => RD_PNTR_WR(3),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(4),
I1 => RD_PNTR_WR(4),
I2 => Q(5),
I3 => RD_PNTR_WR(5),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(6),
I1 => RD_PNTR_WR(6),
I2 => Q(7),
I3 => RD_PNTR_WR(7),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gmux.gm[3].gms.ms\,
CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => comp1,
CO(0) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2),
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2),
S(1 downto 0) => v1_reg(5 downto 4)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(8),
I1 => RD_PNTR_WR(8),
I2 => Q(9),
I3 => RD_PNTR_WR(9),
O => v1_reg(4)
);
\gmux.gm[5].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => Q(10),
I1 => RD_PNTR_WR(10),
I2 => Q(11),
I3 => RD_PNTR_WR(11),
O => v1_reg(5)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_0 is
port (
comp2 : out STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_0 : entity is "compare";
end shd_pe_fifo_compare_0;
architecture STRUCTURE of shd_pe_fifo_compare_0 is
signal \n_0_gmux.gm[3].gms.ms\ : STD_LOGIC;
signal v1_reg : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gmux.gm[3].gms.ms\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(0),
I1 => RD_PNTR_WR(0),
I2 => \out\(1),
I3 => RD_PNTR_WR(1),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(2),
I1 => RD_PNTR_WR(2),
I2 => \out\(3),
I3 => RD_PNTR_WR(3),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(4),
I1 => RD_PNTR_WR(4),
I2 => \out\(5),
I3 => RD_PNTR_WR(5),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(6),
I1 => RD_PNTR_WR(6),
I2 => \out\(7),
I3 => RD_PNTR_WR(7),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gmux.gm[3].gms.ms\,
CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => comp2,
CO(0) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2),
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2),
S(1 downto 0) => v1_reg(5 downto 4)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(8),
I1 => RD_PNTR_WR(8),
I2 => \out\(9),
I3 => RD_PNTR_WR(9),
O => v1_reg(4)
);
\gmux.gm[5].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \out\(10),
I1 => RD_PNTR_WR(10),
I2 => \out\(11),
I3 => RD_PNTR_WR(11),
O => v1_reg(5)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_1 is
port (
comp0 : out STD_LOGIC;
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 11 downto 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_1 : entity is "compare";
end shd_pe_fifo_compare_1;
architecture STRUCTURE of shd_pe_fifo_compare_1 is
signal \n_0_gmux.gm[3].gms.ms\ : STD_LOGIC;
signal v1_reg : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gmux.gm[3].gms.ms\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(0),
I1 => O1(0),
I2 => WR_PNTR_RD(1),
I3 => O1(1),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(2),
I1 => O1(2),
I2 => WR_PNTR_RD(3),
I3 => O1(3),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(4),
I1 => O1(4),
I2 => WR_PNTR_RD(5),
I3 => O1(5),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(6),
I1 => O1(6),
I2 => WR_PNTR_RD(7),
I3 => O1(7),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gmux.gm[3].gms.ms\,
CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => comp0,
CO(0) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2),
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2),
S(1 downto 0) => v1_reg(5 downto 4)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(8),
I1 => O1(8),
I2 => WR_PNTR_RD(9),
I3 => O1(9),
O => v1_reg(4)
);
\gmux.gm[5].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(10),
I1 => O1(10),
I2 => WR_PNTR_RD(11),
I3 => O1(11),
O => v1_reg(5)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_compare_2 is
port (
comp1 : out STD_LOGIC;
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 11 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_compare_2 : entity is "compare";
end shd_pe_fifo_compare_2;
architecture STRUCTURE of shd_pe_fifo_compare_2 is
signal \n_0_gmux.gm[3].gms.ms\ : STD_LOGIC;
signal v1_reg : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type : string;
attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)";
attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE";
begin
\gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gmux.gm[3].gms.ms\,
CO(2 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_CO_UNCONNECTED\(2 downto 0),
CYINIT => '1',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 0) => v1_reg(3 downto 0)
);
\gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(0),
I1 => \out\(0),
I2 => WR_PNTR_RD(1),
I3 => \out\(1),
O => v1_reg(0)
);
\gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(2),
I1 => \out\(2),
I2 => WR_PNTR_RD(3),
I3 => \out\(3),
O => v1_reg(1)
);
\gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(4),
I1 => \out\(4),
I2 => WR_PNTR_RD(5),
I3 => \out\(5),
O => v1_reg(2)
);
\gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(6),
I1 => \out\(6),
I2 => WR_PNTR_RD(7),
I3 => \out\(7),
O => v1_reg(3)
);
\gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gmux.gm[3].gms.ms\,
CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => comp1,
CO(0) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(0),
CYINIT => '0',
DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2),
DI(1) => '0',
DI(0) => '0',
O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0),
S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2),
S(1 downto 0) => v1_reg(5 downto 4)
);
\gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(8),
I1 => \out\(8),
I2 => WR_PNTR_RD(9),
I3 => \out\(9),
O => v1_reg(4)
);
\gmux.gm[5].gms.ms_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => WR_PNTR_RD(10),
I1 => \out\(10),
I2 => WR_PNTR_RD(11),
I3 => \out\(11),
O => v1_reg(5)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_bin_cntr is
port (
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 11 downto 0 );
sel : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_bin_cntr : entity is "rd_bin_cntr";
end shd_pe_fifo_rd_bin_cntr;
architecture STRUCTURE of shd_pe_fifo_rd_bin_cntr is
signal \n_0_gc0.count[0]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[0]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[10]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[10]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[11]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[1]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[1]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[2]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[3]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[3]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[4]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[4]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[5]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[5]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[6]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[6]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[7]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[7]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[8]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[8]_i_2\ : STD_LOGIC;
signal \n_0_gc0.count_reg[9]_i_1\ : STD_LOGIC;
signal \n_0_gc0.count_reg[9]_i_2\ : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \NLW_gc0.count_reg[9]_i_2_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gc0.count_reg[9]_i_2_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gc0.count_reg[1]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP : string;
attribute XILINX_TRANSFORM_PINMAP of \gc0.count_reg[1]_i_2_CARRY4\ : label is "LO:O";
attribute XILINX_LEGACY_PRIM of \gc0.count_reg[5]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP of \gc0.count_reg[5]_i_2_CARRY4\ : label is "LO:O";
attribute XILINX_LEGACY_PRIM of \gc0.count_reg[9]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP of \gc0.count_reg[9]_i_2_CARRY4\ : label is "LO:O";
begin
\out\(11 downto 0) <= \^out\(11 downto 0);
\gc0.count[0]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^out\(0),
O => \n_0_gc0.count[0]_i_2\
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(0),
Q => O1(0)
);
\gc0.count_d1_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(10),
Q => O1(10)
);
\gc0.count_d1_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(11),
Q => O1(11)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(1),
Q => O1(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(2),
Q => O1(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(3),
Q => O1(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(4),
Q => O1(4)
);
\gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(5),
Q => O1(5)
);
\gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(6),
Q => O1(6)
);
\gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(7),
Q => O1(7)
);
\gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(8),
Q => O1(8)
);
\gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \^out\(9),
Q => O1(9)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => sel,
D => \n_0_gc0.count_reg[0]_i_1\,
PRE => Q(0),
Q => \^out\(0)
);
\gc0.count_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[10]_i_1\,
Q => \^out\(10)
);
\gc0.count_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[11]_i_1\,
Q => \^out\(11)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[1]_i_1\,
Q => \^out\(1)
);
\gc0.count_reg[1]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gc0.count_reg[4]_i_2\,
CO(2) => \n_0_gc0.count_reg[3]_i_2\,
CO(1) => \n_0_gc0.count_reg[2]_i_2\,
CO(0) => \n_0_gc0.count_reg[1]_i_2\,
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '1',
O(3) => \n_0_gc0.count_reg[3]_i_1\,
O(2) => \n_0_gc0.count_reg[2]_i_1\,
O(1) => \n_0_gc0.count_reg[1]_i_1\,
O(0) => \n_0_gc0.count_reg[0]_i_1\,
S(3 downto 1) => \^out\(3 downto 1),
S(0) => \n_0_gc0.count[0]_i_2\
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[2]_i_1\,
Q => \^out\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[3]_i_1\,
Q => \^out\(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[4]_i_1\,
Q => \^out\(4)
);
\gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[5]_i_1\,
Q => \^out\(5)
);
\gc0.count_reg[5]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gc0.count_reg[4]_i_2\,
CO(3) => \n_0_gc0.count_reg[8]_i_2\,
CO(2) => \n_0_gc0.count_reg[7]_i_2\,
CO(1) => \n_0_gc0.count_reg[6]_i_2\,
CO(0) => \n_0_gc0.count_reg[5]_i_2\,
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3) => \n_0_gc0.count_reg[7]_i_1\,
O(2) => \n_0_gc0.count_reg[6]_i_1\,
O(1) => \n_0_gc0.count_reg[5]_i_1\,
O(0) => \n_0_gc0.count_reg[4]_i_1\,
S(3 downto 0) => \^out\(7 downto 4)
);
\gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[6]_i_1\,
Q => \^out\(6)
);
\gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[7]_i_1\,
Q => \^out\(7)
);
\gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[8]_i_1\,
Q => \^out\(8)
);
\gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => sel,
CLR => Q(0),
D => \n_0_gc0.count_reg[9]_i_1\,
Q => \^out\(9)
);
\gc0.count_reg[9]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gc0.count_reg[8]_i_2\,
CO(3 downto 2) => \NLW_gc0.count_reg[9]_i_2_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => \n_0_gc0.count_reg[10]_i_2\,
CO(0) => \n_0_gc0.count_reg[9]_i_2\,
CYINIT => '0',
DI(3) => \NLW_gc0.count_reg[9]_i_2_CARRY4_DI_UNCONNECTED\(3),
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3) => \n_0_gc0.count_reg[11]_i_1\,
O(2) => \n_0_gc0.count_reg[10]_i_1\,
O(1) => \n_0_gc0.count_reg[9]_i_1\,
O(0) => \n_0_gc0.count_reg[8]_i_1\,
S(3 downto 0) => \^out\(11 downto 8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_fwft is
port (
empty : out STD_LOGIC;
tmp_ram_rd_en : out STD_LOGIC;
O1 : out STD_LOGIC_VECTOR ( 1 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel : out STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
p_18_out : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_fwft : entity is "rd_fwft";
end shd_pe_fifo_rd_fwft;
architecture STRUCTURE of shd_pe_fifo_rd_fwft is
signal \^o1\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair19";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[11]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \goreg_bm.dout_i[7]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair18";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
O1(1 downto 0) <= \^o1\(1 downto 0);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"BABBBBBB"
)
port map (
I0 => Q(0),
I1 => p_18_out,
I2 => rd_en,
I3 => \^o1\(0),
I4 => \^o1\(1),
O => tmp_ram_rd_en
);
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA22"
)
port map (
I0 => empty_fwft_fb,
I1 => \^o1\(1),
I2 => rd_en,
I3 => \^o1\(0),
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(1),
Q => empty
);
\gc0.count_d1[11]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00BF"
)
port map (
I0 => rd_en,
I1 => \^o1\(0),
I2 => \^o1\(1),
I3 => p_18_out,
O => sel
);
\goreg_bm.dout_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"A2"
)
port map (
I0 => \^o1\(1),
I1 => \^o1\(0),
I2 => rd_en,
O => E(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"BA"
)
port map (
I0 => \^o1\(1),
I1 => rd_en,
I2 => \^o1\(0),
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"40FF"
)
port map (
I0 => rd_en,
I1 => \^o1\(0),
I2 => \^o1\(1),
I3 => p_18_out,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => next_fwft_state(0),
Q => \^o1\(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(1),
D => next_fwft_state(1),
Q => \^o1\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_reset_blk_ramfifo is
port (
rst_d2 : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 2 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end shd_pe_fifo_reset_blk_ramfifo;
architecture STRUCTURE of shd_pe_fifo_reset_blk_ramfifo is
signal \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal \^rst_d2\ : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_d2 <= \^rst_d2\;
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => \^rst_d2\
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \^rst_d2\,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => rd_clk,
CE => rd_rst_asreg_d1,
D => '0',
PRE => rst,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => O1(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => O1(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => O1(2)
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => wr_clk,
CE => wr_rst_asreg_d1,
D => '0',
PRE => rst,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => Q(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff is
port (
Q : out STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
rd_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[10]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[10]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[11]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[11]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[9]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(10),
Q => Q(10)
);
\Q_reg_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(11),
Q => Q(11)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(3),
Q => Q(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(4),
Q => Q(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(5),
Q => Q(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(6),
Q => Q(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(7),
Q => Q(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(8),
Q => Q(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_3 is
port (
Q : out STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_3 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_3;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_3 is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[10]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[10]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[11]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[11]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[9]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[9]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(10),
Q => Q(10)
);
\Q_reg_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(11),
Q => Q(11)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(3),
Q => Q(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(4),
Q => Q(4)
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(5),
Q => Q(5)
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(6),
Q => Q(6)
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(7),
Q => Q(7)
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(8),
Q => Q(8)
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => I1(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_4 is
port (
p_0_in : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : in STD_LOGIC_VECTOR ( 11 downto 0 );
rd_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_4 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_4;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_4 is
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[10]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[3]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[4]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[5]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[6]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[7]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[8]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[9]\ : STD_LOGIC;
signal \^p_0_in\ : STD_LOGIC_VECTOR ( 11 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[10]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[10]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[11]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[11]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[9]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[9]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \wr_pntr_bin[10]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_bin[2]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[4]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_bin[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_bin[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_bin[8]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_bin[9]_i_1\ : label is "soft_lutpair3";
begin
p_0_in(11 downto 0) <= \^p_0_in\(11 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(10),
Q => \n_0_Q_reg_reg[10]\
);
\Q_reg_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(11),
Q => \^p_0_in\(11)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(3),
Q => \n_0_Q_reg_reg[3]\
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(4),
Q => \n_0_Q_reg_reg[4]\
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(5),
Q => \n_0_Q_reg_reg[5]\
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(6),
Q => \n_0_Q_reg_reg[6]\
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(7),
Q => \n_0_Q_reg_reg[7]\
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(8),
Q => \n_0_Q_reg_reg[8]\
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => D(9),
Q => \n_0_Q_reg_reg[9]\
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \n_0_Q_reg_reg[0]\,
I3 => \^p_0_in\(3),
O => \^p_0_in\(0)
);
\wr_pntr_bin[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[10]\,
I1 => \^p_0_in\(11),
O => \^p_0_in\(10)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \n_0_Q_reg_reg[3]\,
I3 => \n_0_Q_reg_reg[5]\,
I4 => \^p_0_in\(6),
I5 => \n_0_Q_reg_reg[4]\,
O => \^p_0_in\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \n_0_Q_reg_reg[5]\,
I2 => \^p_0_in\(6),
I3 => \n_0_Q_reg_reg[4]\,
I4 => \n_0_Q_reg_reg[2]\,
O => \^p_0_in\(2)
);
\wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[4]\,
I1 => \^p_0_in\(6),
I2 => \n_0_Q_reg_reg[5]\,
I3 => \n_0_Q_reg_reg[3]\,
O => \^p_0_in\(3)
);
\wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[5]\,
I1 => \^p_0_in\(6),
I2 => \n_0_Q_reg_reg[4]\,
O => \^p_0_in\(4)
);
\wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^p_0_in\(6),
I1 => \n_0_Q_reg_reg[5]\,
O => \^p_0_in\(5)
);
\wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \n_0_Q_reg_reg[7]\,
I1 => \^p_0_in\(11),
I2 => \n_0_Q_reg_reg[9]\,
I3 => \n_0_Q_reg_reg[10]\,
I4 => \n_0_Q_reg_reg[8]\,
I5 => \n_0_Q_reg_reg[6]\,
O => \^p_0_in\(6)
);
\wr_pntr_bin[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[8]\,
I1 => \n_0_Q_reg_reg[10]\,
I2 => \n_0_Q_reg_reg[9]\,
I3 => \^p_0_in\(11),
I4 => \n_0_Q_reg_reg[7]\,
O => \^p_0_in\(7)
);
\wr_pntr_bin[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \^p_0_in\(11),
I1 => \n_0_Q_reg_reg[9]\,
I2 => \n_0_Q_reg_reg[10]\,
I3 => \n_0_Q_reg_reg[8]\,
O => \^p_0_in\(8)
);
\wr_pntr_bin[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[10]\,
I1 => \n_0_Q_reg_reg[9]\,
I2 => \^p_0_in\(11),
O => \^p_0_in\(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_synchronizer_ff_5 is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 10 downto 0 );
D : in STD_LOGIC_VECTOR ( 11 downto 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_synchronizer_ff_5 : entity is "synchronizer_ff";
end shd_pe_fifo_synchronizer_ff_5;
architecture STRUCTURE of shd_pe_fifo_synchronizer_ff_5 is
signal \^o1\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[10]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[3]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[4]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[5]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[6]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[7]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[8]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[9]\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[10]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[10]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[11]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[11]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[5]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[6]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[7]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[8]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[8]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[9]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[9]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_bin[10]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_bin[2]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_bin[3]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rd_pntr_bin[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_bin[5]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rd_pntr_bin[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_bin[8]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rd_pntr_bin[9]_i_1\ : label is "soft_lutpair7";
begin
O1(10 downto 0) <= \^o1\(10 downto 0);
Q(0) <= \^q\(0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(10),
Q => \n_0_Q_reg_reg[10]\
);
\Q_reg_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(11),
Q => \^q\(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(3),
Q => \n_0_Q_reg_reg[3]\
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(4),
Q => \n_0_Q_reg_reg[4]\
);
\Q_reg_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(5),
Q => \n_0_Q_reg_reg[5]\
);
\Q_reg_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(6),
Q => \n_0_Q_reg_reg[6]\
);
\Q_reg_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(7),
Q => \n_0_Q_reg_reg[7]\
);
\Q_reg_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(8),
Q => \n_0_Q_reg_reg[8]\
);
\Q_reg_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => D(9),
Q => \n_0_Q_reg_reg[9]\
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \n_0_Q_reg_reg[0]\,
I3 => \^o1\(3),
O => \^o1\(0)
);
\rd_pntr_bin[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[10]\,
I1 => \^q\(0),
O => \^o1\(10)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \n_0_Q_reg_reg[3]\,
I3 => \n_0_Q_reg_reg[5]\,
I4 => \^o1\(6),
I5 => \n_0_Q_reg_reg[4]\,
O => \^o1\(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \n_0_Q_reg_reg[5]\,
I2 => \^o1\(6),
I3 => \n_0_Q_reg_reg[4]\,
I4 => \n_0_Q_reg_reg[2]\,
O => \^o1\(2)
);
\rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[4]\,
I1 => \^o1\(6),
I2 => \n_0_Q_reg_reg[5]\,
I3 => \n_0_Q_reg_reg[3]\,
O => \^o1\(3)
);
\rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[5]\,
I1 => \^o1\(6),
I2 => \n_0_Q_reg_reg[4]\,
O => \^o1\(4)
);
\rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o1\(6),
I1 => \n_0_Q_reg_reg[5]\,
O => \^o1\(5)
);
\rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6996966996696996"
)
port map (
I0 => \n_0_Q_reg_reg[7]\,
I1 => \^q\(0),
I2 => \n_0_Q_reg_reg[9]\,
I3 => \n_0_Q_reg_reg[10]\,
I4 => \n_0_Q_reg_reg[8]\,
I5 => \n_0_Q_reg_reg[6]\,
O => \^o1\(6)
);
\rd_pntr_bin[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[8]\,
I1 => \n_0_Q_reg_reg[10]\,
I2 => \n_0_Q_reg_reg[9]\,
I3 => \^q\(0),
I4 => \n_0_Q_reg_reg[7]\,
O => \^o1\(7)
);
\rd_pntr_bin[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \^q\(0),
I1 => \n_0_Q_reg_reg[9]\,
I2 => \n_0_Q_reg_reg[10]\,
I3 => \n_0_Q_reg_reg[8]\,
O => \^o1\(8)
);
\rd_pntr_bin[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[10]\,
I1 => \n_0_Q_reg_reg[9]\,
I2 => \^q\(0),
O => \^o1\(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_bin_cntr is
port (
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
Q : out STD_LOGIC_VECTOR ( 11 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 11 downto 0 );
sel : in STD_LOGIC;
wr_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_bin_cntr : entity is "wr_bin_cntr";
end shd_pe_fifo_wr_bin_cntr;
architecture STRUCTURE of shd_pe_fifo_wr_bin_cntr is
signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \n_0_gic0.gc0.count[0]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[0]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[10]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[10]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[11]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[1]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[1]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[2]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[3]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[3]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[4]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[4]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[5]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[5]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[6]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[6]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[7]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[7]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[8]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[8]_i_2\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[9]_i_1\ : STD_LOGIC;
signal \n_0_gic0.gc0.count_reg[9]_i_2\ : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \NLW_gic0.gc0.count_reg[9]_i_2_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_gic0.gc0.count_reg[9]_i_2_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \gic0.gc0.count_reg[1]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP : string;
attribute XILINX_TRANSFORM_PINMAP of \gic0.gc0.count_reg[1]_i_2_CARRY4\ : label is "LO:O";
attribute XILINX_LEGACY_PRIM of \gic0.gc0.count_reg[5]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP of \gic0.gc0.count_reg[5]_i_2_CARRY4\ : label is "LO:O";
attribute XILINX_LEGACY_PRIM of \gic0.gc0.count_reg[9]_i_2_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP of \gic0.gc0.count_reg[9]_i_2_CARRY4\ : label is "LO:O";
begin
Q(11 downto 0) <= \^q\(11 downto 0);
\out\(11 downto 0) <= \^out\(11 downto 0);
\gic0.gc0.count[0]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^out\(0),
O => \n_0_gic0.gc0.count[0]_i_2\
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => sel,
D => \^out\(0),
PRE => I1(0),
Q => \^q\(0)
);
\gic0.gc0.count_d1_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(10),
Q => \^q\(10)
);
\gic0.gc0.count_d1_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(11),
Q => \^q\(11)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(1),
Q => \^q\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(2),
Q => \^q\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(3),
Q => \^q\(3)
);
\gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(4),
Q => \^q\(4)
);
\gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(5),
Q => \^q\(5)
);
\gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(6),
Q => \^q\(6)
);
\gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(7),
Q => \^q\(7)
);
\gic0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(8),
Q => \^q\(8)
);
\gic0.gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^out\(9),
Q => \^q\(9)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(0),
Q => O1(0)
);
\gic0.gc0.count_d2_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(10),
Q => O1(10)
);
\gic0.gc0.count_d2_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(11),
Q => O1(11)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(1),
Q => O1(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(2),
Q => O1(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(3),
Q => O1(3)
);
\gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(4),
Q => O1(4)
);
\gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(5),
Q => O1(5)
);
\gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(6),
Q => O1(6)
);
\gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(7),
Q => O1(7)
);
\gic0.gc0.count_d2_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(8),
Q => O1(8)
);
\gic0.gc0.count_d2_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \^q\(9),
Q => O1(9)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[0]_i_1\,
Q => \^out\(0)
);
\gic0.gc0.count_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[10]_i_1\,
Q => \^out\(10)
);
\gic0.gc0.count_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[11]_i_1\,
Q => \^out\(11)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => sel,
D => \n_0_gic0.gc0.count_reg[1]_i_1\,
PRE => I1(0),
Q => \^out\(1)
);
\gic0.gc0.count_reg[1]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gic0.gc0.count_reg[4]_i_2\,
CO(2) => \n_0_gic0.gc0.count_reg[3]_i_2\,
CO(1) => \n_0_gic0.gc0.count_reg[2]_i_2\,
CO(0) => \n_0_gic0.gc0.count_reg[1]_i_2\,
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '1',
O(3) => \n_0_gic0.gc0.count_reg[3]_i_1\,
O(2) => \n_0_gic0.gc0.count_reg[2]_i_1\,
O(1) => \n_0_gic0.gc0.count_reg[1]_i_1\,
O(0) => \n_0_gic0.gc0.count_reg[0]_i_1\,
S(3 downto 1) => \^out\(3 downto 1),
S(0) => \n_0_gic0.gc0.count[0]_i_2\
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[2]_i_1\,
Q => \^out\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[3]_i_1\,
Q => \^out\(3)
);
\gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[4]_i_1\,
Q => \^out\(4)
);
\gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[5]_i_1\,
Q => \^out\(5)
);
\gic0.gc0.count_reg[5]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gic0.gc0.count_reg[4]_i_2\,
CO(3) => \n_0_gic0.gc0.count_reg[8]_i_2\,
CO(2) => \n_0_gic0.gc0.count_reg[7]_i_2\,
CO(1) => \n_0_gic0.gc0.count_reg[6]_i_2\,
CO(0) => \n_0_gic0.gc0.count_reg[5]_i_2\,
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3) => \n_0_gic0.gc0.count_reg[7]_i_1\,
O(2) => \n_0_gic0.gc0.count_reg[6]_i_1\,
O(1) => \n_0_gic0.gc0.count_reg[5]_i_1\,
O(0) => \n_0_gic0.gc0.count_reg[4]_i_1\,
S(3 downto 0) => \^out\(7 downto 4)
);
\gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[6]_i_1\,
Q => \^out\(6)
);
\gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[7]_i_1\,
Q => \^out\(7)
);
\gic0.gc0.count_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[8]_i_1\,
Q => \^out\(8)
);
\gic0.gc0.count_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => sel,
CLR => I1(0),
D => \n_0_gic0.gc0.count_reg[9]_i_1\,
Q => \^out\(9)
);
\gic0.gc0.count_reg[9]_i_2_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gic0.gc0.count_reg[8]_i_2\,
CO(3 downto 2) => \NLW_gic0.gc0.count_reg[9]_i_2_CARRY4_CO_UNCONNECTED\(3 downto 2),
CO(1) => \n_0_gic0.gc0.count_reg[10]_i_2\,
CO(0) => \n_0_gic0.gc0.count_reg[9]_i_2\,
CYINIT => '0',
DI(3) => \NLW_gic0.gc0.count_reg[9]_i_2_CARRY4_DI_UNCONNECTED\(3),
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
O(3) => \n_0_gic0.gc0.count_reg[11]_i_1\,
O(2) => \n_0_gic0.gc0.count_reg[10]_i_1\,
O(1) => \n_0_gic0.gc0.count_reg[9]_i_1\,
O(0) => \n_0_gic0.gc0.count_reg[8]_i_1\,
S(3 downto 0) => \^out\(11 downto 8)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_prim_width is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end shd_pe_fifo_blk_mem_gen_prim_width;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.shd_pe_fifo_blk_mem_gen_prim_wrapper
port map (
D(7 downto 0) => D(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_clk_x_pntrs is
port (
WR_PNTR_RD : out STD_LOGIC_VECTOR ( 11 downto 0 );
RD_PNTR_WR : out STD_LOGIC_VECTOR ( 11 downto 0 );
Q : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_clk_x_pntrs : entity is "clk_x_pntrs";
end shd_pe_fifo_clk_x_pntrs;
architecture STRUCTURE of shd_pe_fifo_clk_x_pntrs is
signal Q_0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \n_0_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[0]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[10]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[1]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[2]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[3]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[4]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[5]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[6]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[7]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[8]_i_1\ : STD_LOGIC;
signal \n_0_rd_pntr_gc[9]_i_1\ : STD_LOGIC;
signal \n_10_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_10_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_11_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_11_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_4_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_4_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_5_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_5_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_6_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_6_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_7_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_7_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_8_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_8_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_9_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_9_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 11 downto 0 );
signal p_0_in10_out : STD_LOGIC_VECTOR ( 10 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 11 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \rd_pntr_gc[6]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \rd_pntr_gc[7]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \rd_pntr_gc[8]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \rd_pntr_gc[9]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \wr_pntr_gc[6]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \wr_pntr_gc[7]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \wr_pntr_gc[8]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \wr_pntr_gc[9]_i_1\ : label is "soft_lutpair12";
begin
\gsync_stage[1].rd_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff
port map (
I1(11 downto 0) => wr_pntr_gc(11 downto 0),
I3(0) => I3(0),
Q(11 downto 0) => Q_0(11 downto 0),
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_3
port map (
I1(11 downto 0) => rd_pntr_gc(11 downto 0),
I2(0) => I2(0),
Q(11) => \n_0_gsync_stage[1].wr_stg_inst\,
Q(10) => \n_1_gsync_stage[1].wr_stg_inst\,
Q(9) => \n_2_gsync_stage[1].wr_stg_inst\,
Q(8) => \n_3_gsync_stage[1].wr_stg_inst\,
Q(7) => \n_4_gsync_stage[1].wr_stg_inst\,
Q(6) => \n_5_gsync_stage[1].wr_stg_inst\,
Q(5) => \n_6_gsync_stage[1].wr_stg_inst\,
Q(4) => \n_7_gsync_stage[1].wr_stg_inst\,
Q(3) => \n_8_gsync_stage[1].wr_stg_inst\,
Q(2) => \n_9_gsync_stage[1].wr_stg_inst\,
Q(1) => \n_10_gsync_stage[1].wr_stg_inst\,
Q(0) => \n_11_gsync_stage[1].wr_stg_inst\,
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_4
port map (
D(11 downto 0) => Q_0(11 downto 0),
I3(0) => I3(0),
p_0_in(11 downto 0) => p_0_in(11 downto 0),
rd_clk => rd_clk
);
\gsync_stage[2].wr_stg_inst\: entity work.shd_pe_fifo_synchronizer_ff_5
port map (
D(11) => \n_0_gsync_stage[1].wr_stg_inst\,
D(10) => \n_1_gsync_stage[1].wr_stg_inst\,
D(9) => \n_2_gsync_stage[1].wr_stg_inst\,
D(8) => \n_3_gsync_stage[1].wr_stg_inst\,
D(7) => \n_4_gsync_stage[1].wr_stg_inst\,
D(6) => \n_5_gsync_stage[1].wr_stg_inst\,
D(5) => \n_6_gsync_stage[1].wr_stg_inst\,
D(4) => \n_7_gsync_stage[1].wr_stg_inst\,
D(3) => \n_8_gsync_stage[1].wr_stg_inst\,
D(2) => \n_9_gsync_stage[1].wr_stg_inst\,
D(1) => \n_10_gsync_stage[1].wr_stg_inst\,
D(0) => \n_11_gsync_stage[1].wr_stg_inst\,
I2(0) => I2(0),
O1(10) => \n_1_gsync_stage[2].wr_stg_inst\,
O1(9) => \n_2_gsync_stage[2].wr_stg_inst\,
O1(8) => \n_3_gsync_stage[2].wr_stg_inst\,
O1(7) => \n_4_gsync_stage[2].wr_stg_inst\,
O1(6) => \n_5_gsync_stage[2].wr_stg_inst\,
O1(5) => \n_6_gsync_stage[2].wr_stg_inst\,
O1(4) => \n_7_gsync_stage[2].wr_stg_inst\,
O1(3) => \n_8_gsync_stage[2].wr_stg_inst\,
O1(2) => \n_9_gsync_stage[2].wr_stg_inst\,
O1(1) => \n_10_gsync_stage[2].wr_stg_inst\,
O1(0) => \n_11_gsync_stage[2].wr_stg_inst\,
Q(0) => \n_0_gsync_stage[2].wr_stg_inst\,
wr_clk => wr_clk
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_11_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(0)
);
\rd_pntr_bin_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_1_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(10)
);
\rd_pntr_bin_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_0_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(11)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_10_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_9_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_8_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(3)
);
\rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_7_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(4)
);
\rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_6_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(5)
);
\rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_5_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(6)
);
\rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_4_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(7)
);
\rd_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_3_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(8)
);
\rd_pntr_bin_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => \n_2_gsync_stage[2].wr_stg_inst\,
Q => RD_PNTR_WR(9)
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(0),
I1 => I1(1),
O => \n_0_rd_pntr_gc[0]_i_1\
);
\rd_pntr_gc[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(10),
I1 => I1(11),
O => \n_0_rd_pntr_gc[10]_i_1\
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(1),
I1 => I1(2),
O => \n_0_rd_pntr_gc[1]_i_1\
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(2),
I1 => I1(3),
O => \n_0_rd_pntr_gc[2]_i_1\
);
\rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(3),
I1 => I1(4),
O => \n_0_rd_pntr_gc[3]_i_1\
);
\rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(4),
I1 => I1(5),
O => \n_0_rd_pntr_gc[4]_i_1\
);
\rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(5),
I1 => I1(6),
O => \n_0_rd_pntr_gc[5]_i_1\
);
\rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(6),
I1 => I1(7),
O => \n_0_rd_pntr_gc[6]_i_1\
);
\rd_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(7),
I1 => I1(8),
O => \n_0_rd_pntr_gc[7]_i_1\
);
\rd_pntr_gc[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(8),
I1 => I1(9),
O => \n_0_rd_pntr_gc[8]_i_1\
);
\rd_pntr_gc[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I1(9),
I1 => I1(10),
O => \n_0_rd_pntr_gc[9]_i_1\
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[0]_i_1\,
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[10]_i_1\,
Q => rd_pntr_gc(10)
);
\rd_pntr_gc_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => I1(11),
Q => rd_pntr_gc(11)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[1]_i_1\,
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[2]_i_1\,
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[3]_i_1\,
Q => rd_pntr_gc(3)
);
\rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[4]_i_1\,
Q => rd_pntr_gc(4)
);
\rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[5]_i_1\,
Q => rd_pntr_gc(5)
);
\rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[6]_i_1\,
Q => rd_pntr_gc(6)
);
\rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[7]_i_1\,
Q => rd_pntr_gc(7)
);
\rd_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[8]_i_1\,
Q => rd_pntr_gc(8)
);
\rd_pntr_gc_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => \n_0_rd_pntr_gc[9]_i_1\,
Q => rd_pntr_gc(9)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(0),
Q => WR_PNTR_RD(0)
);
\wr_pntr_bin_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(10),
Q => WR_PNTR_RD(10)
);
\wr_pntr_bin_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(11),
Q => WR_PNTR_RD(11)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(1),
Q => WR_PNTR_RD(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(2),
Q => WR_PNTR_RD(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(3),
Q => WR_PNTR_RD(3)
);
\wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(4),
Q => WR_PNTR_RD(4)
);
\wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(5),
Q => WR_PNTR_RD(5)
);
\wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(6),
Q => WR_PNTR_RD(6)
);
\wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(7),
Q => WR_PNTR_RD(7)
);
\wr_pntr_bin_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(8),
Q => WR_PNTR_RD(8)
);
\wr_pntr_bin_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I3(0),
D => p_0_in(9),
Q => WR_PNTR_RD(9)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(0),
I1 => Q(1),
O => p_0_in10_out(0)
);
\wr_pntr_gc[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(10),
I1 => Q(11),
O => p_0_in10_out(10)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(1),
I1 => Q(2),
O => p_0_in10_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(2),
I1 => Q(3),
O => p_0_in10_out(2)
);
\wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(3),
I1 => Q(4),
O => p_0_in10_out(3)
);
\wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(4),
I1 => Q(5),
O => p_0_in10_out(4)
);
\wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(5),
I1 => Q(6),
O => p_0_in10_out(5)
);
\wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(6),
I1 => Q(7),
O => p_0_in10_out(6)
);
\wr_pntr_gc[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(7),
I1 => Q(8),
O => p_0_in10_out(7)
);
\wr_pntr_gc[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(8),
I1 => Q(9),
O => p_0_in10_out(8)
);
\wr_pntr_gc[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q(9),
I1 => Q(10),
O => p_0_in10_out(9)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(10),
Q => wr_pntr_gc(10)
);
\wr_pntr_gc_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => Q(11),
Q => wr_pntr_gc(11)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(3),
Q => wr_pntr_gc(3)
);
\wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(4),
Q => wr_pntr_gc(4)
);
\wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(5),
Q => wr_pntr_gc(5)
);
\wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(6),
Q => wr_pntr_gc(6)
);
\wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(7),
Q => wr_pntr_gc(7)
);
\wr_pntr_gc_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(8),
Q => wr_pntr_gc(8)
);
\wr_pntr_gc_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => p_0_in10_out(9),
Q => wr_pntr_gc(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_status_flags_as is
port (
p_18_out : out STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 11 downto 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
rd_en : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_status_flags_as : entity is "rd_status_flags_as";
end shd_pe_fifo_rd_status_flags_as;
architecture STRUCTURE of shd_pe_fifo_rd_status_flags_as is
signal comp0 : STD_LOGIC;
signal comp1 : STD_LOGIC;
signal n_0_ram_empty_fb_i_i_1 : STD_LOGIC;
signal \^p_18_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
p_18_out <= \^p_18_out\;
c0: entity work.shd_pe_fifo_compare_1
port map (
O1(11 downto 0) => O1(11 downto 0),
WR_PNTR_RD(11 downto 0) => WR_PNTR_RD(11 downto 0),
comp0 => comp0
);
c1: entity work.shd_pe_fifo_compare_2
port map (
WR_PNTR_RD(11 downto 0) => WR_PNTR_RD(11 downto 0),
comp1 => comp1,
\out\(11 downto 0) => \out\(11 downto 0)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAEFFFAAAAAAAA"
)
port map (
I0 => comp0,
I1 => rd_en,
I2 => I1(0),
I3 => I1(1),
I4 => \^p_18_out\,
I5 => comp1,
O => n_0_ram_empty_fb_i_i_1
);
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => n_0_ram_empty_fb_i_i_1,
PRE => Q(0),
Q => \^p_18_out\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_status_flags_as is
port (
full : out STD_LOGIC;
sel : out STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 11 downto 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 11 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_status_flags_as : entity is "wr_status_flags_as";
end shd_pe_fifo_wr_status_flags_as;
architecture STRUCTURE of shd_pe_fifo_wr_status_flags_as is
signal comp1 : STD_LOGIC;
signal comp2 : STD_LOGIC;
signal p_0_out : STD_LOGIC;
signal ram_full_i : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => p_0_out,
O => sel
);
c1: entity work.shd_pe_fifo_compare
port map (
Q(11 downto 0) => Q(11 downto 0),
RD_PNTR_WR(11 downto 0) => RD_PNTR_WR(11 downto 0),
comp1 => comp1
);
c2: entity work.shd_pe_fifo_compare_0
port map (
RD_PNTR_WR(11 downto 0) => RD_PNTR_WR(11 downto 0),
comp2 => comp2,
\out\(11 downto 0) => \out\(11 downto 0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => p_0_out
);
ram_full_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"55550400"
)
port map (
I0 => rst_full_gen_i,
I1 => comp2,
I2 => p_0_out,
I3 => wr_en,
I4 => comp1,
O => ram_full_i
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_generic_cstr is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end shd_pe_fifo_blk_mem_gen_generic_cstr;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.shd_pe_fifo_blk_mem_gen_prim_width
port map (
D(7 downto 0) => D(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_rd_logic is
port (
empty : out STD_LOGIC;
O1 : out STD_LOGIC_VECTOR ( 11 downto 0 );
tmp_ram_rd_en : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
WR_PNTR_RD : in STD_LOGIC_VECTOR ( 11 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_rd_logic : entity is "rd_logic";
end shd_pe_fifo_rd_logic;
architecture STRUCTURE of shd_pe_fifo_rd_logic is
signal \^o1\ : STD_LOGIC_VECTOR ( 11 downto 0 );
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal \n_2_gr1.rfwft\ : STD_LOGIC;
signal p_14_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 11 downto 0 );
begin
O1(11 downto 0) <= \^o1\(11 downto 0);
\gr1.rfwft\: entity work.shd_pe_fifo_rd_fwft
port map (
E(0) => E(0),
O1(1) => \n_2_gr1.rfwft\,
O1(0) => curr_fwft_state(0),
Q(1 downto 0) => Q(1 downto 0),
empty => empty,
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en,
sel => p_14_out,
tmp_ram_rd_en => tmp_ram_rd_en
);
\gras.rsts\: entity work.shd_pe_fifo_rd_status_flags_as
port map (
I1(1) => \n_2_gr1.rfwft\,
I1(0) => curr_fwft_state(0),
O1(11 downto 0) => \^o1\(11 downto 0),
Q(0) => Q(1),
WR_PNTR_RD(11 downto 0) => WR_PNTR_RD(11 downto 0),
\out\(11 downto 0) => rd_pntr_plus1(11 downto 0),
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en
);
rpntr: entity work.shd_pe_fifo_rd_bin_cntr
port map (
O1(11 downto 0) => \^o1\(11 downto 0),
Q(0) => Q(1),
\out\(11 downto 0) => rd_pntr_plus1(11 downto 0),
rd_clk => rd_clk,
sel => p_14_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_wr_logic is
port (
full : out STD_LOGIC;
WEA : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 11 downto 0 );
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
RD_PNTR_WR : in STD_LOGIC_VECTOR ( 11 downto 0 );
rst_full_gen_i : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_wr_logic : entity is "wr_logic";
end shd_pe_fifo_wr_logic;
architecture STRUCTURE of shd_pe_fifo_wr_logic is
signal \^wea\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal p_8_out : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 11 downto 0 );
begin
WEA(0) <= \^wea\(0);
\gwas.wsts\: entity work.shd_pe_fifo_wr_status_flags_as
port map (
Q(11 downto 0) => p_8_out(11 downto 0),
RD_PNTR_WR(11 downto 0) => RD_PNTR_WR(11 downto 0),
full => full,
\out\(11 downto 0) => wr_pntr_plus2(11 downto 0),
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
sel => \^wea\(0),
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.shd_pe_fifo_wr_bin_cntr
port map (
I1(0) => Q(0),
O1(11 downto 0) => O1(11 downto 0),
Q(11 downto 0) => p_8_out(11 downto 0),
\out\(11 downto 0) => wr_pntr_plus2(11 downto 0),
sel => \^wea\(0),
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_top is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_top : entity is "blk_mem_gen_top";
end shd_pe_fifo_blk_mem_gen_top;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_top is
begin
\valid.cstr\: entity work.shd_pe_fifo_blk_mem_gen_generic_cstr
port map (
D(7 downto 0) => D(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_blk_mem_gen_v8_2_synth is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth";
end shd_pe_fifo_blk_mem_gen_v8_2_synth;
architecture STRUCTURE of shd_pe_fifo_blk_mem_gen_v8_2_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.shd_pe_fifo_blk_mem_gen_top
port map (
D(7 downto 0) => D(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_pe_fifo_blk_mem_gen_v8_2__parameterized0\ is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_pe_fifo_blk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_v8_2";
end \shd_pe_fifo_blk_mem_gen_v8_2__parameterized0\;
architecture STRUCTURE of \shd_pe_fifo_blk_mem_gen_v8_2__parameterized0\ is
begin
inst_blk_mem_gen: entity work.shd_pe_fifo_blk_mem_gen_v8_2_synth
port map (
D(7 downto 0) => D(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_memory is
port (
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
WEA : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
tmp_ram_rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
O1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 11 downto 0 );
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_memory : entity is "memory";
end shd_pe_fifo_memory;
architecture STRUCTURE of shd_pe_fifo_memory is
signal doutb : STD_LOGIC_VECTOR ( 7 downto 0 );
begin
\gbm.gbmg.gbmga.ngecc.bmg\: entity work.\shd_pe_fifo_blk_mem_gen_v8_2__parameterized0\
port map (
D(7 downto 0) => doutb(7 downto 0),
I1(11 downto 0) => I1(11 downto 0),
O1(11 downto 0) => O1(11 downto 0),
Q(0) => Q(0),
WEA(0) => WEA(0),
din(7 downto 0) => din(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
\goreg_bm.dout_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(0),
Q => dout(0),
R => Q(0)
);
\goreg_bm.dout_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(1),
Q => dout(1),
R => Q(0)
);
\goreg_bm.dout_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(2),
Q => dout(2),
R => Q(0)
);
\goreg_bm.dout_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(3),
Q => dout(3),
R => Q(0)
);
\goreg_bm.dout_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(4),
Q => dout(4),
R => Q(0)
);
\goreg_bm.dout_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(5),
Q => dout(5),
R => Q(0)
);
\goreg_bm.dout_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(6),
Q => dout(6),
R => Q(0)
);
\goreg_bm.dout_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
D => doutb(7),
Q => dout(7),
R => Q(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
wr_en : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end shd_pe_fifo_fifo_generator_ramfifo;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal \n_1_gntv_or_sync_fifo.gl0.wr\ : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 11 downto 0 );
signal p_15_out : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 11 downto 0 );
signal p_20_out : STD_LOGIC_VECTOR ( 11 downto 0 );
signal p_9_out : STD_LOGIC_VECTOR ( 11 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 );
signal rst_d2 : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal tmp_ram_rd_en : STD_LOGIC;
signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.shd_pe_fifo_clk_x_pntrs
port map (
I1(11 downto 0) => p_20_out(11 downto 0),
I2(0) => wr_rst_i(0),
I3(0) => rd_rst_i(1),
Q(11 downto 0) => p_9_out(11 downto 0),
RD_PNTR_WR(11 downto 0) => p_0_out(11 downto 0),
WR_PNTR_RD(11 downto 0) => p_1_out(11 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.shd_pe_fifo_rd_logic
port map (
E(0) => p_15_out,
O1(11 downto 0) => p_20_out(11 downto 0),
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
WR_PNTR_RD(11 downto 0) => p_1_out(11 downto 0),
empty => empty,
rd_clk => rd_clk,
rd_en => rd_en,
tmp_ram_rd_en => tmp_ram_rd_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.shd_pe_fifo_wr_logic
port map (
O1(11 downto 0) => p_9_out(11 downto 0),
Q(0) => \^rst\,
RD_PNTR_WR(11 downto 0) => p_0_out(11 downto 0),
WEA(0) => \n_1_gntv_or_sync_fifo.gl0.wr\,
full => full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.shd_pe_fifo_memory
port map (
E(0) => p_15_out,
I1(11 downto 0) => p_20_out(11 downto 0),
O1(11 downto 0) => p_9_out(11 downto 0),
Q(0) => rd_rst_i(0),
WEA(0) => \n_1_gntv_or_sync_fifo.gl0.wr\,
din(7 downto 0) => din(7 downto 0),
dout(7 downto 0) => dout(7 downto 0),
rd_clk => rd_clk,
tmp_ram_rd_en => tmp_ram_rd_en,
wr_clk => wr_clk
);
rstblk: entity work.shd_pe_fifo_reset_blk_ramfifo
port map (
O1(2) => RD_RST,
O1(1 downto 0) => rd_rst_i(1 downto 0),
Q(1) => \^rst\,
Q(0) => wr_rst_i(0),
rd_clk => rd_clk,
rst => rst,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
wr_en : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_top : entity is "fifo_generator_top";
end shd_pe_fifo_fifo_generator_top;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_top is
begin
\grf.rf\: entity work.shd_pe_fifo_fifo_generator_ramfifo
port map (
din(7 downto 0) => din(7 downto 0),
dout(7 downto 0) => dout(7 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
wr_en : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
rst : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of shd_pe_fifo_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end shd_pe_fifo_fifo_generator_v12_0_synth;
architecture STRUCTURE of shd_pe_fifo_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.shd_pe_fifo_fifo_generator_top
port map (
din(7 downto 0) => din(7 downto 0),
dout(7 downto 0) => dout(7 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 11 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 11 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 11 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 11 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 11 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 11 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 11 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 11 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 11 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "fifo_generator_v12_0";
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "4kx9";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4095;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4094;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4096;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4096;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is "1kx18";
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ : entity is 0;
end \shd_pe_fifo_fifo_generator_v12_0__parameterized0\;
architecture STRUCTURE of \shd_pe_fifo_fifo_generator_v12_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(11) <= \<const0>\;
data_count(10) <= \<const0>\;
data_count(9) <= \<const0>\;
data_count(8) <= \<const0>\;
data_count(7) <= \<const0>\;
data_count(6) <= \<const0>\;
data_count(5) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
prog_full <= \<const0>\;
rd_data_count(11) <= \<const0>\;
rd_data_count(10) <= \<const0>\;
rd_data_count(9) <= \<const0>\;
rd_data_count(8) <= \<const0>\;
rd_data_count(7) <= \<const0>\;
rd_data_count(6) <= \<const0>\;
rd_data_count(5) <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(11) <= \<const0>\;
wr_data_count(10) <= \<const0>\;
wr_data_count(9) <= \<const0>\;
wr_data_count(8) <= \<const0>\;
wr_data_count(7) <= \<const0>\;
wr_data_count(6) <= \<const0>\;
wr_data_count(5) <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.shd_pe_fifo_fifo_generator_v12_0_synth
port map (
din(7 downto 0) => din(7 downto 0),
dout(7 downto 0) => dout(7 downto 0),
empty => empty,
full => full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity shd_pe_fifo is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 7 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of shd_pe_fifo : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of shd_pe_fifo : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of shd_pe_fifo : entity is "fifo_generator_v12_0,Vivado 2014.3";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of shd_pe_fifo : entity is "shd_pe_fifo,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of shd_pe_fifo : entity is "shd_pe_fifo,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=12,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=8,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=8,C_ENABLE_RLOCS=0,C_FAMILY=virtex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=4kx9,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=4095,C_PROG_FULL_THRESH_NEGATE_VAL=4094,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=12,C_RD_DEPTH=4096,C_RD_FREQ=1,C_RD_PNTR_WIDTH=12,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=12,C_WR_DEPTH=4096,C_WR_FREQ=1,C_WR_PNTR_WIDTH=12,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
end shd_pe_fifo;
architecture STRUCTURE of shd_pe_fifo is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 12;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 8;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 8;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "virtex7";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 1;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "4kx9";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 4095;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 4094;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 12;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 4096;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 12;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 12;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 4096;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 12;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.\shd_pe_fifo_fifo_generator_v12_0__parameterized0\
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(11 downto 0) => NLW_U0_data_count_UNCONNECTED(11 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(7 downto 0) => din(7 downto 0),
dout(7 downto 0) => dout(7 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(11) => '0',
prog_empty_thresh(10) => '0',
prog_empty_thresh(9) => '0',
prog_empty_thresh(8) => '0',
prog_empty_thresh(7) => '0',
prog_empty_thresh(6) => '0',
prog_empty_thresh(5) => '0',
prog_empty_thresh(4) => '0',
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(11) => '0',
prog_empty_thresh_assert(10) => '0',
prog_empty_thresh_assert(9) => '0',
prog_empty_thresh_assert(8) => '0',
prog_empty_thresh_assert(7) => '0',
prog_empty_thresh_assert(6) => '0',
prog_empty_thresh_assert(5) => '0',
prog_empty_thresh_assert(4) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(11) => '0',
prog_empty_thresh_negate(10) => '0',
prog_empty_thresh_negate(9) => '0',
prog_empty_thresh_negate(8) => '0',
prog_empty_thresh_negate(7) => '0',
prog_empty_thresh_negate(6) => '0',
prog_empty_thresh_negate(5) => '0',
prog_empty_thresh_negate(4) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => NLW_U0_prog_full_UNCONNECTED,
prog_full_thresh(11) => '0',
prog_full_thresh(10) => '0',
prog_full_thresh(9) => '0',
prog_full_thresh(8) => '0',
prog_full_thresh(7) => '0',
prog_full_thresh(6) => '0',
prog_full_thresh(5) => '0',
prog_full_thresh(4) => '0',
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(11) => '0',
prog_full_thresh_assert(10) => '0',
prog_full_thresh_assert(9) => '0',
prog_full_thresh_assert(8) => '0',
prog_full_thresh_assert(7) => '0',
prog_full_thresh_assert(6) => '0',
prog_full_thresh_assert(5) => '0',
prog_full_thresh_assert(4) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(11) => '0',
prog_full_thresh_negate(10) => '0',
prog_full_thresh_negate(9) => '0',
prog_full_thresh_negate(8) => '0',
prog_full_thresh_negate(7) => '0',
prog_full_thresh_negate(6) => '0',
prog_full_thresh_negate(5) => '0',
prog_full_thresh_negate(4) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => rd_clk,
rd_data_count(11 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(11 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(11 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(11 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
|
gpl-3.0
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth.vhd
|
8
|
79859
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57376)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.