repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/impl/vhdl/doHistStretch_fdiv_32ns_32ns_32_16.vhd
5
3100
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity doHistStretch_fdiv_32ns_32ns_32_16 is generic ( ID : integer := 2; NUM_STAGE : integer := 16; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of doHistStretch_fdiv_32ns_32ns_32_16 is --------------------- Component --------------------- component doHistStretch_ap_fdiv_14_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- doHistStretch_ap_fdiv_14_no_dsp_32_u : component doHistStretch_ap_fdiv_14_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/utt.fr/dohiststretch_v1_0/hdl/vhdl/doHistStretch_fdiv_32ns_32ns_32_16.vhd
5
3100
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity doHistStretch_fdiv_32ns_32ns_32_16 is generic ( ID : integer := 2; NUM_STAGE : integer := 16; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of doHistStretch_fdiv_32ns_32ns_32_16 is --------------------- Component --------------------- component doHistStretch_ap_fdiv_14_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- doHistStretch_ap_fdiv_14_no_dsp_32_u : component doHistStretch_ap_fdiv_14_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_pcc.vhd
3
103944
------------------------------------------------------------------------------- -- axi_datamover_pcc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_pcc.vhd -- -- Description: -- This file implements the DataMover Predictive Command Calculator (PCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_10; use axi_datamover_v5_1_10.axi_datamover_strb_gen2; ------------------------------------------------------------------------------- entity axi_datamover_pcc is generic ( C_IS_MM2S : Integer range 0 to 1 := 0; -- This parameter tells the PCC module if it is a MM2S -- instance or a S2MM instance. -- 0 = S2MM Instance -- 1 = MM2S Instance C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE Aligment output ports C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Tag field in the input command C_BTT_USED : Integer range 8 to 23 := 16; -- Sets the width of the used portion of the BTT field -- of the input command C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates if the Indeterminate BTT mode is enabled C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32; -- Indicates the Native transfer width to use for all -- transfer calculations. This will either be the DataMover -- input Stream width or the AXI4 MMap data width depending -- on DataMover parameterization. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 -- Indicates the width of the starting address offset -- bus passed to Store and Forward functions ); port ( -- Clock and Reset input ---------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------------- -- Master Command FIFO/Register Interface -------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- -------------------------------------------------------------------------------------- -- Address Channel Controller Interface ----------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- --------------------------------------------------------------------------- -- Data Channel Controller Interface ------------------------------------------------ -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap data -- -- width). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_drr : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_sequential : Out std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the MM2S DRE -- -- mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the MM2S DRE -- ------------------------------------------------------------------------------------- -- Output flag indicating that a calculation error has occured ---------------------- -- calc_error : Out std_logic; -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------- -- Special DRE Controller Interface -------------------------------------------- -- dre2mstr_cmd_ready : In std_logic ; -- -- Indication from the S2MM DRE Controller that it can -- -- accept another command. -- -- mstr2dre_cmd_valid : out std_logic ; -- -- The next command valid indication to the S2MM DRE -- -- Controller. -- -- mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The source (S2MM Stream) alignment for the S2MM DRE -- -- mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The destinstion (S2MM MMap) alignment for the S2MM DRE -- -- mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; -- -- The BTT value output to the S2MM DRE. This is needed for -- -- Scatter operations. -- -- mstr2dre_drr : out std_logic ; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : out std_logic ; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2dre_cmd_cmplt : Out std_logic ; -- -- The last child tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : out std_logic ; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- ------------------------------------------------------------------------------------- -- Store and Forward Support Start Offset --------------------------------------------- -- mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) -- -- Relays the starting address offset for a transfer to the Store and Forward -- -- functions incorporating upsizer/downsizer logic -- --------------------------------------------------------------------------------------- ); end entity axi_datamover_pcc; architecture implementation of axi_datamover_pcc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declarations ------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 1 => temp_dbeat_residue_width := 0; when 2 => temp_dbeat_residue_width := 1; when 4 => temp_dbeat_residue_width := 2; when 8 => temp_dbeat_residue_width := 3; when 16 => temp_dbeat_residue_width := 4; when 32 => temp_dbeat_residue_width := 5; when 64 => temp_dbeat_residue_width := 6; when others => -- 128-byte transfers temp_dbeat_residue_width := 7; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_burstcnt_offset -- -- Function Description: -- Calculates the bit offset from the residue bits needed to detirmine -- the load value for the burst counter. -- ------------------------------------------------------------------- function funct_get_burst_residue_width (max_burst_len : integer) return integer is Variable temp_burst_residue_width : Integer := 0; begin case max_burst_len is when 256 => temp_burst_residue_width := 8; when 128 => temp_burst_residue_width := 7; when 64 => temp_burst_residue_width := 6; when 32 => temp_burst_residue_width := 5; when 16 => temp_burst_residue_width := 4; when 8 => temp_burst_residue_width := 3; when 4 => temp_burst_residue_width := 2; when others => -- assume 2 dbeats temp_burst_residue_width := 1; end case; Return (temp_burst_residue_width); end function funct_get_burst_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_axi_size -- -- Function Description: -- Calculates the AXI SIZE Qualifier based on the data width. -- ------------------------------------------------------------------- function func_get_axi_size (native_dwidth : integer) return std_logic_vector is Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Variable temp_size : std_logic_vector(2 downto 0) := (others => '0'); begin case native_dwidth is when 8 => temp_size := AXI_SIZE_1BYTE; when 16 => temp_size := AXI_SIZE_2BYTE; when 32 => temp_size := AXI_SIZE_4BYTE; when 64 => temp_size := AXI_SIZE_8BYTE; when 128 => temp_size := AXI_SIZE_16BYTE; when 256 => temp_size := AXI_SIZE_32BYTE; when 512 => temp_size := AXI_SIZE_64BYTE; when others => -- 1024 bit dwidth temp_size := AXI_SIZE_128BYTE; end case; Return (temp_size); end function func_get_axi_size; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_ls_index -- -- Function Description: -- Calculates the Ls index of the Store and Forward -- starting offset bus based on the User Stream Width. -- ------------------------------------------------------------------- function funct_get_sf_offset_ls_index (stream_width : integer) return integer is Variable lvar_temp_ls_index : Integer := 0; begin case stream_width is when 8 => lvar_temp_ls_index := 0; when 16 => lvar_temp_ls_index := 1; when 32 => lvar_temp_ls_index := 2; when 64 => lvar_temp_ls_index := 3; when 128 => lvar_temp_ls_index := 4; when 256 => lvar_temp_ls_index := 5; when 512 => lvar_temp_ls_index := 6; when others => -- 1024 lvar_temp_ls_index := 7; end case; Return (lvar_temp_ls_index); end function funct_get_sf_offset_ls_index; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_BTT_WIDTH : integer := C_BTT_USED; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_DSA_WIDTH : integer := 6; Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1; Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; ---------------------------------------------------------------------------------------- -- Command calculation constants Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH); Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8; Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN; Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT; Constant LEN_WIDTH : integer := 8; -- 8 bits fixed Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1; Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN); Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH; Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH); Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH); Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH); Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH); Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH); Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH; Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH); Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH); Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH); Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH); Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1; -- Type Declarations -------------------------------------------- type PCC_SM_STATE_TYPE is ( INIT, WAIT_FOR_CMD, CALC_1, CALC_2, CALC_3, WAIT_ON_XFER_PUSH, CHK_IF_DONE, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT; Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT; signal sig_sm_halt_ns : std_logic := '0'; signal sig_sm_halt_reg : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0'; signal sig_sm_pop_input_reg_ns : std_logic := '0'; signal sig_sm_pop_input_reg : std_logic := '0'; signal sig_sm_ld_calc1_reg_ns : std_logic := '0'; signal sig_sm_ld_calc1_reg : std_logic := '0'; signal sig_sm_ld_calc2_reg_ns : std_logic := '0'; signal sig_sm_ld_calc2_reg : std_logic := '0'; signal sig_sm_ld_calc3_reg_ns : std_logic := '0'; signal sig_sm_ld_calc3_reg : std_logic := '0'; signal sig_parent_done : std_logic := '0'; signal sig_ld_xfer_reg : std_logic := '0'; signal sig_ld_xfer_reg_tmp : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; -- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); -- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); -- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); -- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); ---------------------------------------------------------------------------------------- -- Burst Buster signals signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_last_xfer_valid_im1 : std_logic := '0'; signal sig_brst_cnt_eq_zero_im0 : std_logic := '0'; signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0'; signal sig_brst_cnt_eq_one_im0 : std_logic := '0'; signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0'; signal sig_brst_residue_eq_zero : std_logic := '0'; signal sig_brst_residue_eq_zero_reg : std_logic := '0'; signal sig_no_btt_residue_im0 : std_logic := '0'; signal sig_no_btt_residue_ireg1 : std_logic := '0'; signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); -- Input command register signal sig_push_input_reg : std_logic := '0'; signal sig_pop_input_reg : std_logic := '0'; signal sig_input_burst_type_reg : std_logic := '0'; signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_input_drr_reg : std_logic := '0'; signal sig_input_eof_reg : std_logic := '0'; signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_input_reg_empty : std_logic := '0'; signal sig_input_reg_full : std_logic := '0'; -- Output qualifier Register -- signal sig_ld_output : std_logic := '0'; signal sig_push_xfer_reg : std_logic := '0'; signal sig_pop_xfer_reg : std_logic := '0'; signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_type_reg : std_logic := '0'; signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_drr_reg : std_logic := '0'; signal sig_xfer_eof_reg : std_logic := '0'; signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_is_seq_reg : std_logic := '0'; signal sig_xfer_cmd_cmplt_reg : std_logic := '0'; signal sig_xfer_calc_err_reg : std_logic := '0'; signal sig_xfer_reg_empty : std_logic := '0'; signal sig_xfer_reg_full : std_logic := '0'; -- Address Counter signal sig_ld_addr_cntr : std_logic := '0'; signal sig_incr_addr_cntr : std_logic := '0'; signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); -- misc signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_slice : std_logic := '0'; signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_drr_slice : std_logic := '0'; signal sig_cmd_eof_slice : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_calc_error_pushed : std_logic := '0'; -- PCC2 stuff signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_im2 : std_logic := '0'; signal sig_first_xfer_im0 : std_logic := '0'; signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover : std_logic := '0'; signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_ld_btt_cntr : std_logic := '0'; signal sig_decr_btt_cntr : std_logic := '0'; signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_btt_lt_b2mbaa_im0 : std_logic := '0'; signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0'; signal sig_btt_eq_b2mbaa_im0 : std_logic := '0'; signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0'; signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0'; -- Unaligned start address support signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_aligned_im0 : std_logic := '0'; signal sig_addr_aligned_ireg1 : std_logic := '0'; -- S2MM DRE Support signal sig_cmd2dre_valid : std_logic := '0'; signal sig_clr_cmd2dre_valid : std_logic := '0'; signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dre_eof_reg : std_logic := '0'; -- Long Timing path breakup intermediate registers signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_ireg3 : std_logic := '0'; signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover_im3 : std_logic := '0'; signal sig_mmap_reset_reg : std_logic := '0'; ---------------------------------------------------------- begin --(architecture implementation) -- Assign calculation error output calc_error <= sig_calc_error_reg; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and sig_input_reg_empty and not(sig_calc_error_pushed); -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_xfer_tag_reg ; mstr2addr_addr <= sig_xfer_addr_reg; mstr2addr_len <= sig_xfer_len_reg ; mstr2addr_size <= sig_xfer_size ; mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported mstr2addr_cmd_valid <= sig_cmd2addr_valid; mstr2addr_calc_error <= sig_xfer_calc_err_reg; mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_xfer_tag_reg ; mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_xfer_len_reg ; mstr2data_strt_strb <= sig_xfer_strt_strb_reg; mstr2data_last_strb <= sig_xfer_end_strb_reg ; mstr2data_drr <= sig_xfer_drr_reg ; mstr2data_eof <= sig_xfer_eof_reg ; mstr2data_sequential <= sig_xfer_is_seq_reg ; mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; mstr2data_cmd_valid <= sig_cmd2data_valid ; mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_calc_error <= sig_xfer_calc_err_reg ; -- Assign the DRE Controller Qualifiers mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE ------------------------------------------------------------ -- If Generate -- -- Label: DO_MM2S_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the MM2S use case. -- ------------------------------------------------------------ DO_MM2S_CASE : if (C_IS_MM2S = 1) generate begin mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE end generate DO_MM2S_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: DO_S2MM_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the S2MM use case. -- ------------------------------------------------------------ DO_S2MM_CASE : if (C_IS_MM2S = 0) generate begin mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE end generate DO_S2MM_CASE; -- Store and Forward Support Start Offset (used by Packer/Unpacker logic) mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX); -- Start internal logic. -- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines) sig_cmd_user_slice <= cache2mstr_command(7 downto 4); sig_cmd_cache_slice <= cache2mstr_command(3 downto 0); sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX); sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX); sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX); -- Check for a zero length BTT (error condition) sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; sig_xfer_size <= SIZE_TO_USE; ----------------------------------------------------------------- -- Reset fanout control ----------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_REG -- -- Process Description: -- Registers the input reset to reduce fanout. This module -- has a high number of register bits to reset. -- ------------------------------------------------------------- IMP_RESET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_reset_reg <= mmap_reset; end if; end process IMP_RESET_REG; ----------------------------------------------------------------- -- Input xfer register design sig_push_input_reg <= not(sig_sm_halt_reg) and cmd2mstr_cmd_valid and sig_input_reg_empty and not(sig_calc_error_reg); sig_pop_input_reg <= sig_sm_pop_input_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_QUAL -- -- Process Description: -- Implements the input command qualifier holding register -- ------------------------------------------------------------- REG_INPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_input_reg = '1' or sig_calc_error_pushed = '1') then sig_input_cache_type_reg <= (others => '0'); sig_input_user_type_reg <= (others => '0'); sig_input_burst_type_reg <= '0'; sig_input_tag_reg <= (others => '0'); sig_input_dsa_reg <= (others => '0'); sig_input_drr_reg <= '0'; sig_input_eof_reg <= '0'; sig_input_reg_empty <= '1'; sig_input_reg_full <= '0'; elsif (sig_push_input_reg = '1') then sig_input_cache_type_reg <= sig_cmd_cache_slice; sig_input_user_type_reg <= sig_cmd_user_slice; sig_input_burst_type_reg <= sig_cmd_type_slice; sig_input_tag_reg <= sig_cmd_tag_slice; sig_input_dsa_reg <= sig_cmd_dsa_slice; sig_input_drr_reg <= sig_cmd_drr_slice; sig_input_eof_reg <= sig_cmd_eof_slice; sig_input_reg_empty <= '0'; sig_input_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_INPUT_QUAL; ---------------------------------------------------------------------- -- Calculation Error Logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_FLOP -- -- Process Description: -- Implements the flop for the Calc Error flag, Once set, -- the flag cannot be cleared until a reset is issued. -- ------------------------------------------------------------- IMP_CALC_ERROR_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_reg <= '0'; elsif (sig_push_input_reg = '1' and sig_calc_error_reg = '0') then sig_calc_error_reg <= sig_btt_is_zero; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_PUSHED -- -- Process Description: -- Implements the flop for generating a flag indicating the -- calculation error flag has been pushed to the addr and data -- controllers. -- ------------------------------------------------------------- IMP_CALC_ERROR_PUSHED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_pushed <= '0'; elsif (sig_push_xfer_reg = '1' and sig_calc_error_pushed = '0') then sig_calc_error_pushed <= sig_calc_error_reg; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_PUSHED; --------------------------------------------------------------------- -- Strobe Generator Logic sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3 When (sig_first_xfer_im0 = '1') Else (others => '1'); sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3 When (sig_xfer_len_eq_0_ireg3 = '1' and sig_first_xfer_im0 = '1') else sig_xfer_end_strb_ireg3 When (sig_last_xfer_valid_im1 = '1') Else (others => '1'); ---------------------------------------------------------- -- Intermediate registers for STBGEN Fmax path ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_REGS -- -- Process Description: -- Intermediate registers for Strobegen inputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_strbgen_addr_ireg2 <= (others => '0'); sig_strbgen_bytes_ireg2 <= (others => '0'); sig_finish_addr_offset_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ; sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ; sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_REGS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_OUT_REGS -- -- Process Description: -- Intermediate registers for Strobegen outputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_OUT_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_xfer_strt_strb_ireg3 <= (others => '0'); sig_xfer_end_strb_ireg3 <= (others => '0'); sig_xfer_len_eq_0_ireg3 <= '0'; elsif (sig_sm_ld_calc3_reg = '1') then sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2; sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ; sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_OUT_REGS; ------------------------------------------------------------ -- Instance: I_STRT_STRB_GEN -- -- Description: -- Strobe generator instance. Generates strobe bits for -- a designated starting byte lane and the number of bytes -- to be transfered (for that data beat). -- ------------------------------------------------------------ I_STRT_STRB_GEN : entity axi_datamover_v5_1_10.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 0 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 ) port map ( start_addr_offset => sig_strbgen_addr_ireg2 , end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 num_valid_bytes => sig_strbgen_bytes_ireg2 , strb_out => sig_xfer_strt_strb_im2 ); -- The ending address offset is 1 less than the calculated -- starting address for the next sequential transfer. sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) - STRBGEN_ADDR_SLICE_1); ------------------------------------------------------------ -- Instance: I_END_STRB_GEN -- -- Description: -- End Strobe generator instance. Generates asserted strobe -- bits from byte offset 0 to the ending byte offset. -- ------------------------------------------------------------ I_END_STRB_GEN : entity axi_datamover_v5_1_10.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 1 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ) port map ( start_addr_offset => STRBGEN_ADDR_0 , end_addr_offset => sig_last_addr_offset_im2 , num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1 strb_out => sig_xfer_end_strb_im2 ); ----------------------------------------------------------------- -- Output xfer register design sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty); -- Data taking xfer after Addr and DRE sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or -- Addr taking xfer after Data and DRE (sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or -- DRE taking xfer after Data and ADDR (sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or -- data and Addr taking xfer after DRE (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or -- Addr and DRE taking xfer after Data (sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or -- Data and DRE taking xfer after Addr (sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or -- Addr, Data, and DRE all taking xfer (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_OUTPUT_QUAL -- -- Process Description: -- Implements the output xfer qualifier holding register -- ------------------------------------------------------------- REG_OUTPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_pop_xfer_reg = '1' and sig_push_xfer_reg = '0')) then -- sig_xfer_cache_reg <= (others => '0'); -- sig_xfer_user_reg <= (others => '0'); -- sig_xfer_addr_reg <= (others => '0'); -- sig_xfer_type_reg <= '0'; -- sig_xfer_len_reg <= (others => '0'); -- sig_xfer_tag_reg <= (others => '0'); -- sig_xfer_dsa_reg <= (others => '0'); -- sig_xfer_drr_reg <= '0'; -- sig_xfer_eof_reg <= '0'; -- sig_xfer_strt_strb_reg <= (others => '0'); -- sig_xfer_end_strb_reg <= (others => '0'); -- sig_xfer_is_seq_reg <= '0'; -- sig_xfer_cmd_cmplt_reg <= '0'; -- sig_xfer_calc_err_reg <= '0'; -- sig_xfer_btt_reg <= (others => '0'); -- sig_xfer_dre_eof_reg <= '0'; sig_xfer_reg_empty <= '1'; sig_xfer_reg_full <= '0'; elsif (sig_push_xfer_reg = '1') then -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else -- sig_xfer_addr_reg <= sig_xfer_address_im0 ; -- end if; -- sig_xfer_type_reg <= sig_input_burst_type_reg ; -- sig_xfer_cache_reg <= sig_input_cache_type_reg ; -- sig_xfer_user_reg <= sig_input_user_type_reg ; -- sig_xfer_len_reg <= sig_xfer_len_im2 ; -- sig_xfer_tag_reg <= sig_input_tag_reg ; -- sig_xfer_dsa_reg <= sig_input_dsa_reg ; -- sig_xfer_drr_reg <= sig_input_drr_reg and -- sig_first_xfer_im0 ; -- sig_xfer_eof_reg <= sig_input_eof_reg and -- sig_last_xfer_valid_im1 ; -- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; -- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; -- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; -- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or -- sig_calc_error_reg ; -- sig_xfer_calc_err_reg <= sig_calc_error_reg ; -- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; -- sig_xfer_dre_eof_reg <= sig_input_eof_reg ; sig_xfer_reg_empty <= '0'; sig_xfer_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_OUTPUT_QUAL; -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else sig_addr_cntr_lsh_kh ; -- end if; sig_xfer_type_reg <= sig_input_burst_type_reg ; sig_xfer_cache_reg <= sig_input_cache_type_reg ; sig_xfer_user_reg <= sig_input_user_type_reg ; sig_xfer_len_reg <= sig_xfer_len_im2 ; sig_xfer_tag_reg <= sig_input_tag_reg ; sig_xfer_dsa_reg <= sig_input_dsa_reg ; sig_xfer_drr_reg <= sig_input_drr_reg and sig_first_xfer_im0 ; sig_xfer_eof_reg <= sig_input_eof_reg and sig_last_xfer_valid_im1 ; sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or sig_calc_error_reg ; sig_xfer_calc_err_reg <= sig_calc_error_reg ; sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; sig_xfer_dre_eof_reg <= sig_input_eof_reg ; -------------------------------------------------------------- -- BTT Counter Logic sig_ld_btt_cntr <= sig_ld_addr_cntr; -- sig_decr_btt_cntr <= sig_incr_addr_cntr; -- above signal is using the incr_addr_cntr signal and hence cannot be -- used if burst type is Fixed sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_CNTR -- -- Process Description: -- Bytes to transfer counter implementation. -- ------------------------------------------------------------- IMP_BTT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_btt_cntr_im0 <= (others => '0'); elsif (sig_ld_btt_cntr = '1') then sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice); Elsif (sig_decr_btt_cntr = '1') Then sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH); else null; -- hold current state end if; end if; end process IMP_BTT_CNTR; -- Convert to logic vector for the S2MM DRE use -- The DRE will only use this value prior to the first -- decrement of the BTT Counter. Using this saves a separate -- BTT register. sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0); -- Rip the Burst Count slice from BTT counter value sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX); sig_brst_cnt_eq_zero_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_0) Else '0'; sig_brst_cnt_eq_one_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_1) Else '0'; -- Rip the BTT residue field from the BTT counter value sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0); -- Check for transfer length residue of zero prior to subtracting 1 sig_no_btt_residue_im0 <= '1' when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Unaligned address compensation -- Add the number of starting address offset byte positions to the -- final byte change value needed to calculate the AXI LEN field sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0); sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 + RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH); -- adjust the address increment down by 1 byte to compensate -- for the LEN requirement of being N-1 data beats sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE; -- Rip the new transfer length value sig_xfer_len_im2 <= STD_LOGIC_VECTOR( RESIZE( sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto DBEAT_RESIDUE_WIDTH), LEN_WIDTH) ); -- Check to see if the new xfer length is zero (1 data beat) sig_xfer_len_eq_0_im2 <= '1' when (sig_xfer_len_im2 = XFER_LEN_ZERO) Else '0'; -- Check for Last transfer condition --sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and --sig_no_btt_residue_im0 and sig_no_btt_residue_ireg1 and -- sig_addr_aligned_im0) or -- always the last databeat case sig_addr_aligned_ireg1) or -- always the last databeat case -- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining ((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining -- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0))); (sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1))); ---------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------- -- -- General Address Counter Logic (applies to any address width of 32 or greater -- The address counter is divided into 2 16-bit segements for 32-bit address support. As the -- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit -- addressing. -- ---------------------------------------------------------------------------------------------------- -- Rip the LS bits of the LS Address Counter for the StrobeGen -- starting address offset sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); -- Check if the calcualted address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat sig_addr_incr_ge_bpdb_im1 <= '1' When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH)) Else '0'; -- If the calculated address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat, then clip the -- strobegen byte value to the number of bytes per data beat, else use the -- increment value. sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)) when (sig_addr_incr_ge_bpdb_im1 = '1') else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0)); -------------------------------------------------------------------------- -- Address Counter logic sig_ld_addr_cntr <= sig_push_input_reg; -- don't increment address cntr if type is '0' (non-incrementing) sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and -- sig_input_burst_type_reg; sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0); sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) - RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH); sig_addr_aligned_im0 <= '1' when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less -- than or equal to the remaining bytes to transfer. If it is, then at least -- two tranfers have to be scheduled. sig_btt_lt_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; sig_btt_eq_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_REG1 -- -- Process Description: -- Intermediate register stage 1 for Address Counter -- derivative calculations. -- ------------------------------------------------------------- IMP_IM_REG1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_bytes_to_mbaa_ireg1 <= (others => '0'); sig_addr_aligned_ireg1 <= '0' ; sig_btt_lt_b2mbaa_ireg1 <= '0' ; sig_btt_eq_b2mbaa_ireg1 <= '0' ; sig_brst_cnt_eq_zero_ireg1 <= '0' ; sig_brst_cnt_eq_one_ireg1 <= '0' ; sig_no_btt_residue_ireg1 <= '0' ; elsif (sig_sm_ld_calc1_reg = '1') then sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ; sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ; sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ; sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ; sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0; sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ; sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ; else null; -- hold state end if; end if; end process IMP_IM_REG1; -- Select the address counter increment value to use sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) --When (sig_btt_lt_b2mbaa_im0 = '1') When (sig_btt_lt_b2mbaa_ireg1 = '1') --else sig_bytes_to_mbaa_im0 else sig_bytes_to_mbaa_ireg1 when (sig_first_xfer_im0 = '1') else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH); -- calculate the next starting address after the current -- xfer completes sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1; -- Predict next transfer's address offset for the Strobe Generator sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- Determine if an address count lsh rollover is going to occur when -- jumping to the next starting address by comparing the MS bit of the -- current address lsh to the MS bit of the predicted address lsh . -- A transition of a '1' to a '0' is a rollover. sig_addr_lsh_rollover_im3 <= '1' when ( (sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and (sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0') ) Else '0'; ---------------------------------------------------------- -- Intermediate registers for reducing the Address Counter -- Increment timing path ---------------------------------------------------------- -- calculate the next starting address after the current -- xfer completes using intermediate register values sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2; sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_ADDRINC_REG -- -- Process Description: -- Intermediate registers for address counter increment to -- break long timing paths. -- ------------------------------------------------------------- IMP_IM_ADDRINC_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1; else null; -- hold state end if; end if; end process IMP_IM_ADDRINC_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_PREDICT_ADDR_REG -- -- Process Description: -- Intermediate register for predicted address to break up -- long timing paths. -- ------------------------------------------------------------- IMP_IM_PREDICT_ADDR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_predict_addr_lsh_ireg3 <= (others => '0'); elsif (sig_sm_ld_calc3_reg = '1') then sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2; else null; -- hold state end if; end if; end process IMP_IM_PREDICT_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_ADDR_STUFF -- -- Process Description: -- Implements a general register for address counter related -- things. -- ------------------------------------------------------------- REG_ADDR_STUFF : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_adjusted_addr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1; else null; -- hold state end if; end if; end process REG_ADDR_STUFF; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_LSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_lsh_im0 <= (others => '0'); sig_addr_cntr_lsh_kh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0)); sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice; Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3; else null; -- hold current state end if; end if; end process IMP_LSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_MSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_im0_msh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1') then sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE; else null; -- hold current state end if; end if; end process IMP_MSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FIRST_XFER_FLOP -- -- Process Description: -- Implements the register flop for the first transfer flag. -- ------------------------------------------------------------- IMP_FIRST_XFER_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_incr_addr_cntr = '1') then sig_first_xfer_im0 <= '0'; elsif (sig_ld_addr_cntr = '1') then sig_first_xfer_im0 <= '1'; else null; -- hold current state end if; end if; end process IMP_FIRST_XFER_FLOP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_32 -- -- If Generate Description: -- Implements the Address segment merge logic for the 32-bit -- address width case. The address counter segments are split -- into two 16-bit sections to improve Fmax convergence. -- -- ------------------------------------------------------------ GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate begin -- Populate the transfer address value by concatonating the -- address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); end generate GEN_ADDR_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_32_LE_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 32 bits and less than -- or equal to 48 bits. In this case, an additional counter segment -- is implemented (segment 3) that is variable width of 1 -- to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and C_ADDR_WIDTH <= 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; begin -- Populate the transfer address value by concatonating the -- 3 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Adress Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter MSH (Segment 2) is at max value and will rollover -- at the next increment interval for the counter. Registering -- this signal and using it for the Seg 3 increment logic only -- works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 counter to go to -- max and the next increment operation that can bump segment 3. -- ------------------------------------------------------------- IMP_SEG2_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; end if; end if; end process IMP_SEG2_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; end generate GEN_ADDR_GT_32_LE_48; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 48 bits and less than -- or equal to 64. In this case, an additional 2 counter segments -- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits -- and segment 4 is variable width of 1 to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48; Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH); Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; signal lsig_acntr_seg3_eq_max : std_logic := '0'; signal lsig_acntr_seg3_eq_max_reg : std_logic := '0'; signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0'); begin -- Populate the transfer address value by concatonating the -- 4 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) & STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Address Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; -- See if the Segment 3 of the Address Counter is at a max value lsig_acntr_seg3_eq_max <= '1' when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_3_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter segments 2 and 3 are at max value and will rollover -- at the next increment interval for the counter. Registering -- these signals and using themt for the Seg 3/4 increment logic -- only works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 or 3 counter to go -- to max and the next increment operation. -- ------------------------------------------------------------- IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; lsig_acntr_seg3_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max; end if; end if; end process IMP_SEG2_3_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG4_ADDR_CNTR -- -- Process Description: -- Segment 4 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG4_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg4_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto SEG4_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1' and lsig_acntr_seg3_eq_max_reg = '1') then lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE; else null; -- hold current state end if; end if; end process IMP_SEG4_ADDR_CNTR; end generate GEN_ADDR_GT_48; -- Addr and data Cntlr FIFO interface handshake logic ------------------------------ sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DRE_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the DRE Module (S2MM DRE Only). -- -- Note that the S2MM DRE only needs to be loaded with a command -- for each parent command, not every child command. -- ------------------------------------------------------------- CMD2DRE_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2dre_valid = '1') then sig_cmd2dre_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1' and sig_first_xfer_im0 = '1') then sig_cmd2dre_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DRE_VALID_FLOP; ------------------------------------------------------------------------- -- PCC State machine Logic ------------------------------------------------------------- -- Combinational Process -- -- Label: PCC_SM_COMBINATIONAL -- -- Process Description: -- PCC State Machine combinational implementation -- ------------------------------------------------------------- PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state , sig_parent_done , sig_push_input_reg , sig_pop_xfer_reg , sig_calc_error_pushed) begin -- SM Defaults sig_pcc_sm_state_ns <= INIT; sig_sm_halt_ns <= '0'; sig_sm_ld_xfer_reg_ns <= '0'; sig_sm_pop_input_reg_ns <= '0'; sig_sm_ld_calc1_reg_ns <= '0'; sig_sm_ld_calc2_reg_ns <= '0'; sig_sm_ld_calc3_reg_ns <= '0'; case sig_pcc_sm_state is -------------------------------------------- when INIT => sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_halt_ns <= '1'; -------------------------------------------- when WAIT_FOR_CMD => If (sig_push_input_reg = '1') Then sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; else sig_pcc_sm_state_ns <= WAIT_FOR_CMD; End if; -------------------------------------------- when CALC_1 => sig_pcc_sm_state_ns <= CALC_2; sig_sm_ld_calc2_reg_ns <= '1'; -------------------------------------------- when CALC_2 => sig_pcc_sm_state_ns <= CALC_3; sig_sm_ld_calc3_reg_ns <= '1'; -------------------------------------------- when CALC_3 => sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; sig_sm_ld_xfer_reg_ns <= '1'; -------------------------------------------- when WAIT_ON_XFER_PUSH => if (sig_pop_xfer_reg = '1') then sig_pcc_sm_state_ns <= CHK_IF_DONE; else -- wait until output register is loaded sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; end if; -------------------------------------------- when CHK_IF_DONE => If (sig_calc_error_pushed = '1') then -- Internal error, go to trap sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; elsif (sig_parent_done = '1') Then -- done with parent command sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_pop_input_reg_ns <= '1'; else -- Still breaking up parent command sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; end if; -------------------------------------------- when ERROR_TRAP => sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; -------------------------------------------- when others => sig_pcc_sm_state_ns <= INIT; end case; end process PCC_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PCC_SM_REGISTERED -- -- Process Description: -- PCC State Machine registered implementation -- ------------------------------------------------------------- PCC_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_pcc_sm_state <= INIT; sig_sm_halt_reg <= '1' ; sig_sm_pop_input_reg <= '0' ; sig_sm_ld_calc1_reg <= '0' ; sig_sm_ld_calc2_reg <= '0' ; sig_sm_ld_calc3_reg <= '0' ; else sig_pcc_sm_state <= sig_pcc_sm_state_ns ; sig_sm_halt_reg <= sig_sm_halt_ns ; sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns; sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ; sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ; sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ; end if; end if; end process PCC_SM_REGISTERED; ------------------------------------------------------------------ -- Transfer Register Load Enable logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: LD_XFER_REG_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- LD_XFER_REG_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_xfer_reg = '1') then sig_ld_xfer_reg <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP; LD_XFER_REG_FLOP1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_xfer_reg = '1') then sig_ld_xfer_reg_tmp <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg_tmp <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP1; ------------------------------------------------------------------ -- Parent Done flag logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PARENT_DONE_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- PARENT_DONE_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_input_reg = '1') then sig_parent_done <= '0'; Elsif (sig_ld_xfer_reg_tmp = '1') Then sig_parent_done <= sig_last_xfer_valid_im1; else null; -- hold current state end if; end if; end process PARENT_DONE_FLOP; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/median/prj/solution1/syn/vhdl/FIFO_image_filter_img_1_data_stream_1_V.vhd
4
4629
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_1_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_1_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_1_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_1_V is component FIFO_image_filter_img_1_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_1_V_shiftReg : FIFO_image_filter_img_1_data_stream_1_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/FIFO_image_filter_src0_data_stream_0_V.vhd
2
4621
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_src0_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_src0_data_stream_0_V_shiftReg; architecture rtl of FIFO_image_filter_src0_data_stream_0_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_src0_data_stream_0_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_src0_data_stream_0_V is component FIFO_image_filter_src0_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_src0_data_stream_0_V_shiftReg : FIFO_image_filter_src0_data_stream_0_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/vhdl/FIFO_image_filter_src0_data_stream_0_V.vhd
2
4621
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_src0_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_src0_data_stream_0_V_shiftReg; architecture rtl of FIFO_image_filter_src0_data_stream_0_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_src0_data_stream_0_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_src0_data_stream_0_V is component FIFO_image_filter_src0_data_stream_0_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_src0_data_stream_0_V_shiftReg : FIFO_image_filter_src0_data_stream_0_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
mistryalok/Zedboard
learning/training/Microsystem/axi_interface_part2/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/b6365b74/hdl/vhdl/lite_ecc_reg.vhd
7
68156
------------------------------------------------------------------------------- -- lite_ecc_reg.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: lite_ecc_reg.vhd -- -- Description: This module contains the register components for the -- ECC status & control data when enabled. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/17/2011 v1.03a -- ~~~~~~ -- Add ECC support for 128-bit BRAM data width. -- Clean-up XST warnings. Add C_BRAM_ADDR_ADJUST_FACTOR parameter and -- modify BRAM address registers. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.axi_lite_if; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity lite_ecc_reg is generic ( C_S_AXI_PROTOCOL : string := "AXI4"; -- Used in this module to differentiate timing for error capture C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_SINGLE_PORT_BRAM : INTEGER := 1; -- Enable single port usage of BRAM C_BRAM_ADDR_ADJUST_FACTOR : integer := 2; -- Adjust factor to BRAM address width based on data width (in bits) -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC_WIDTH : integer := 8; -- Width of ECC data vector C_FAULT_INJECT : integer := 0; -- Enable fault injection registers C_ECC_ONOFF_RESET_VALUE : integer := 1; -- By default, ECC checking is on (can disable ECC @ reset by setting this to 0) -- Hard coded parameters at top level. -- Note: Kept in design for future enhancement. C_ENABLE_AXI_CTRL_REG_IF : integer := 0; -- By default the ECC AXI-Lite register interface is enabled C_CE_FAILING_REGISTERS : integer := 0; -- Enable CE (correctable error) failing registers C_UE_FAILING_REGISTERS : integer := 0; -- Enable UE (uncorrectable error) failing registers C_ECC_STATUS_REGISTERS : integer := 0; -- Enable ECC status registers C_ECC_ONOFF_REGISTER : integer := 0; -- Enable ECC on/off control register C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Clock and Reset S_AXI_AClk : in std_logic; S_AXI_AResetn : in std_logic; -- AXI-Lite Clock and Reset -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_AClk : in std_logic; -- S_AXI_CTRL_AResetn : in std_logic; Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- *** AXI-Lite ECC Register Interface Signals *** -- All synchronized to S_AXI_CTRL_AClk -- AXI-Lite Write Address Channel Signals (AW) AXI_CTRL_AWVALID : in std_logic; AXI_CTRL_AWREADY : out std_logic; AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); AXI_CTRL_WVALID : in std_logic; AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); AXI_CTRL_BVALID : out std_logic; AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); AXI_CTRL_ARVALID : in std_logic; AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); AXI_CTRL_RVALID : out std_logic; AXI_CTRL_RREADY : in std_logic; -- *** Memory Controller Interface Signals *** -- All synchronized to S_AXI_AClk Enable_ECC : out std_logic; -- Indicates if and when ECC is enabled FaultInjectClr : in std_logic; -- Clear for Fault Inject Registers CE_Failing_We : in std_logic; -- WE for CE Failing Registers -- UE_Failing_We : in std_logic; -- WE for CE Failing Registers CE_CounterReg_Inc : in std_logic; -- Increment CE Counter Register Sl_CE : in std_logic; -- Correctable Error Flag Sl_UE : in std_logic; -- Uncorrectable Error Flag BRAM_Addr_A : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR); -- v1.03a BRAM_Addr_B : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR); -- v1.03a BRAM_Addr_En : in std_logic; Active_Wr : in std_logic; -- BRAM_RdData_A : in std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); -- BRAM_RdData_B : in std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); -- Outputs FaultInjectData : out std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); FaultInjectECC : out std_logic_vector (0 to C_ECC_WIDTH-1) ); end entity lite_ecc_reg; ------------------------------------------------------------------------------- architecture implementation of lite_ecc_reg is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_RESET_ACTIVE : std_logic := '0'; constant IF_IS_AXI4 : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4")); constant IF_IS_AXI4LITE : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4LITE")); -- Start LMB BRAM v3.00a HDL constant C_HAS_FAULT_INJECT : boolean := C_FAULT_INJECT = 1; constant C_HAS_CE_FAILING_REGISTERS : boolean := C_CE_FAILING_REGISTERS = 1; constant C_HAS_UE_FAILING_REGISTERS : boolean := C_UE_FAILING_REGISTERS = 1; constant C_HAS_ECC_STATUS_REGISTERS : boolean := C_ECC_STATUS_REGISTERS = 1; constant C_HAS_ECC_ONOFF : boolean := C_ECC_ONOFF_REGISTER = 1; constant C_HAS_CE_COUNTER : boolean := C_CE_COUNTER_WIDTH /= 0; -- Register accesses -- Register addresses use word address, i.e 2 LSB don't care -- Don't decode MSB, i.e. mirrorring of registers in address space of module constant C_REGADDR_WIDTH : integer := 8; constant C_ECC_StatusReg : std_logic_vector := "00000000"; -- 0x0 = 00 0000 00 constant C_ECC_EnableIRQReg : std_logic_vector := "00000001"; -- 0x4 = 00 0000 01 constant C_ECC_OnOffReg : std_logic_vector := "00000010"; -- 0x8 = 00 0000 10 constant C_CE_CounterReg : std_logic_vector := "00000011"; -- 0xC = 00 0000 11 constant C_CE_FailingData_31_0 : std_logic_vector := "01000000"; -- 0x100 = 01 0000 00 constant C_CE_FailingData_63_31 : std_logic_vector := "01000001"; -- 0x104 = 01 0000 01 constant C_CE_FailingData_95_64 : std_logic_vector := "01000010"; -- 0x108 = 01 0000 10 constant C_CE_FailingData_127_96 : std_logic_vector := "01000011"; -- 0x10C = 01 0000 11 constant C_CE_FailingECC : std_logic_vector := "01100000"; -- 0x180 = 01 1000 00 constant C_CE_FailingAddress_31_0 : std_logic_vector := "01110000"; -- 0x1C0 = 01 1100 00 constant C_CE_FailingAddress_63_32 : std_logic_vector := "01110001"; -- 0x1C4 = 01 1100 01 constant C_UE_FailingData_31_0 : std_logic_vector := "10000000"; -- 0x200 = 10 0000 00 constant C_UE_FailingData_63_31 : std_logic_vector := "10000001"; -- 0x204 = 10 0000 01 constant C_UE_FailingData_95_64 : std_logic_vector := "10000010"; -- 0x208 = 10 0000 10 constant C_UE_FailingData_127_96 : std_logic_vector := "10000011"; -- 0x20C = 10 0000 11 constant C_UE_FailingECC : std_logic_vector := "10100000"; -- 0x280 = 10 1000 00 constant C_UE_FailingAddress_31_0 : std_logic_vector := "10110000"; -- 0x2C0 = 10 1100 00 constant C_UE_FailingAddress_63_32 : std_logic_vector := "10110000"; -- 0x2C4 = 10 1100 00 constant C_FaultInjectData_31_0 : std_logic_vector := "11000000"; -- 0x300 = 11 0000 00 constant C_FaultInjectData_63_32 : std_logic_vector := "11000001"; -- 0x304 = 11 0000 01 constant C_FaultInjectData_95_64 : std_logic_vector := "11000010"; -- 0x308 = 11 0000 10 constant C_FaultInjectData_127_96 : std_logic_vector := "11000011"; -- 0x30C = 11 0000 11 constant C_FaultInjectECC : std_logic_vector := "11100000"; -- 0x380 = 11 1000 00 -- ECC Status register bit positions constant C_ECC_STATUS_CE : natural := 30; constant C_ECC_STATUS_UE : natural := 31; constant C_ECC_STATUS_WIDTH : natural := 2; constant C_ECC_ENABLE_IRQ_CE : natural := 30; constant C_ECC_ENABLE_IRQ_UE : natural := 31; constant C_ECC_ENABLE_IRQ_WIDTH : natural := 2; constant C_ECC_ON_OFF_WIDTH : natural := 1; -- End LMB BRAM v3.00a HDL constant MSB_ZERO : std_logic_vector (31 downto C_S_AXI_ADDR_WIDTH) := (others => '0'); ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- signal S_AXI_AReset : std_logic; -- Start LMB BRAM v3.00a HDL -- Read and write data to internal registers constant C_DWIDTH : integer := 32; signal RegWrData : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); signal RegWrData_i : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); --signal RegWrData_d1 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); --signal RegWrData_d2 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); signal RegRdData : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); signal RegRdData_i : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); --signal RegRdData_d1 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); --signal RegRdData_d2 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0'); signal RegAddr : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0'); signal RegAddr_i : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0'); --signal RegAddr_d1 : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0'); --signal RegAddr_d2 : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0'); signal RegWr : std_logic; signal RegWr_i : std_logic; --signal RegWr_d1 : std_logic; --signal RegWr_d2 : std_logic; -- Fault Inject Register signal FaultInjectData_WE_0 : std_logic := '0'; signal FaultInjectData_WE_1 : std_logic := '0'; signal FaultInjectData_WE_2 : std_logic := '0'; signal FaultInjectData_WE_3 : std_logic := '0'; signal FaultInjectECC_WE : std_logic := '0'; --signal FaultInjectClr : std_logic := '0'; -- Correctable Error First Failing Register signal CE_FailingAddress : std_logic_vector(0 to 31) := (others => '0'); signal CE_Failing_We_i : std_logic := '0'; -- signal CE_FailingData : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1) := (others => '0'); -- signal CE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- Uncorrectable Error First Failing Register -- signal UE_FailingAddress : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1) := (others => '0'); -- signal UE_Failing_We_i : std_logic := '0'; -- signal UE_FailingData : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1) := (others => '0'); -- signal UE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31) := (others => '0'); -- ECC Status and Control register signal ECC_StatusReg : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31) := (others => '0'); signal ECC_StatusReg_WE : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31) := (others => '0'); signal ECC_EnableIRQReg : std_logic_vector(32-C_ECC_ENABLE_IRQ_WIDTH to 31) := (others => '0'); signal ECC_EnableIRQReg_WE : std_logic := '0'; -- ECC On/Off Control register signal ECC_OnOffReg : std_logic_vector(32-C_ECC_ON_OFF_WIDTH to 31) := (others => '0'); signal ECC_OnOffReg_WE : std_logic := '0'; -- Correctable Error Counter signal CE_CounterReg : std_logic_vector(32-C_CE_COUNTER_WIDTH to 31) := (others => '0'); signal CE_CounterReg_WE : std_logic := '0'; signal CE_CounterReg_Inc_i : std_logic := '0'; -- End LMB BRAM v3.00a HDL signal BRAM_Addr_A_d1 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a signal BRAM_Addr_A_d2 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a signal FailingAddr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal axi_lite_wstrb_int : std_logic_vector (C_S_AXI_CTRL_DATA_WIDTH/8-1 downto 0) := (others => '0'); signal Enable_ECC_i : std_logic := '0'; signal ECC_UE_i : std_logic := '0'; signal FaultInjectData_i : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0'); signal FaultInjectECC_i : std_logic_vector (0 to C_ECC_WIDTH-1) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin FaultInjectData <= FaultInjectData_i; FaultInjectECC <= FaultInjectECC_i; -- Reserve for future support. -- S_AXI_CTRL_AReset <= not (S_AXI_CTRL_AResetn); S_AXI_AReset <= not (S_AXI_AResetn); --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- -- Description: -- This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. -- -- Synchronized to AXI-Lite clock and reset. -- All RegWr, RegWrData, RegAddr, RegRdData must be synchronized to -- the AXI clock. -- --------------------------------------------------------------------------- I_AXI_LITE_IF : entity work.axi_lite_if generic map( C_S_AXI_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH, C_REGADDR_WIDTH => C_REGADDR_WIDTH, C_DWIDTH => C_DWIDTH ) port map ( -- Reserve for future support. -- LMB_Clk => S_AXI_CTRL_AClk, -- LMB_Rst => S_AXI_CTRL_AReset, LMB_Clk => S_AXI_AClk, LMB_Rst => S_AXI_AReset, S_AXI_AWADDR => AXI_CTRL_AWADDR, S_AXI_AWVALID => AXI_CTRL_AWVALID, S_AXI_AWREADY => AXI_CTRL_AWREADY, S_AXI_WDATA => AXI_CTRL_WDATA, S_AXI_WSTRB => axi_lite_wstrb_int, S_AXI_WVALID => AXI_CTRL_WVALID, S_AXI_WREADY => AXI_CTRL_WREADY, S_AXI_BRESP => AXI_CTRL_BRESP, S_AXI_BVALID => AXI_CTRL_BVALID, S_AXI_BREADY => AXI_CTRL_BREADY, S_AXI_ARADDR => AXI_CTRL_ARADDR, S_AXI_ARVALID => AXI_CTRL_ARVALID, S_AXI_ARREADY => AXI_CTRL_ARREADY, S_AXI_RDATA => AXI_CTRL_RDATA, S_AXI_RRESP => AXI_CTRL_RRESP, S_AXI_RVALID => AXI_CTRL_RVALID, S_AXI_RREADY => AXI_CTRL_RREADY, RegWr => RegWr_i, RegWrData => RegWrData_i, RegAddr => RegAddr_i, RegRdData => RegRdData_i ); -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- -- Save HDL -- If it is decided to go back and use seperate clock inputs -- One for AXI4 and one for AXI4-Lite on this core. -- For now, temporarily comment out and replace the *_i signal -- assignments. RegWr <= RegWr_i; RegWrData <= RegWrData_i; RegAddr <= RegAddr_i; RegRdData_i <= RegRdData; -- Reserve for future support. -- -- --------------------------------------------------------------------------- -- -- -- -- All registers must be synchronized to the correct clock. -- -- RegWr must be synchronized to the S_AXI_Clk -- -- RegWrData must be synchronized to the S_AXI_Clk -- -- RegAddr must be synchronized to the S_AXI_Clk -- -- RegRdData must be synchronized to the S_AXI_CTRL_Clk -- -- -- --------------------------------------------------------------------------- -- -- SYNC_AXI_CLK: process (S_AXI_AClk) -- begin -- if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then -- RegWr_d1 <= RegWr_i; -- RegWr_d2 <= RegWr_d1; -- RegWrData_d1 <= RegWrData_i; -- RegWrData_d2 <= RegWrData_d1; -- RegAddr_d1 <= RegAddr_i; -- RegAddr_d2 <= RegAddr_d1; -- end if; -- end process SYNC_AXI_CLK; -- -- RegWr <= RegWr_d2; -- RegWrData <= RegWrData_d2; -- RegAddr <= RegAddr_d2; -- -- -- SYNC_AXI_LITE_CLK: process (S_AXI_CTRL_AClk) -- begin -- if (S_AXI_CTRL_AClk'event and S_AXI_CTRL_AClk = '1' ) then -- RegRdData_d1 <= RegRdData; -- RegRdData_d2 <= RegRdData_d1; -- end if; -- end process SYNC_AXI_LITE_CLK; -- -- RegRdData_i <= RegRdData_d2; -- --------------------------------------------------------------------------- axi_lite_wstrb_int <= (others => '1'); --------------------------------------------------------------------------- -- Generate: GEN_ADDR_REG_SNG -- Purpose: Generate two deep wrap-around address pipeline to store -- read address presented to BRAM. Used to update ECC -- register value when ECC correctable or uncorrectable error -- is detected. -- -- If single port, only register Port A address. -- -- With CE flag being registered, must account for one more -- pipeline stage in stored BRAM addresss that correlates to -- failing ECC. --------------------------------------------------------------------------- GEN_ADDR_REG_SNG: if (C_SINGLE_PORT_BRAM = 1) generate -- 3rd pipeline stage on Port A (used for reads in single port mode) ONLY signal BRAM_Addr_A_d3 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a begin BRAM_ADDR_REG: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (BRAM_Addr_En = '1') then BRAM_Addr_A_d1 <= BRAM_Addr_A; BRAM_Addr_A_d2 <= BRAM_Addr_A_d1; BRAM_Addr_A_d3 <= BRAM_Addr_A_d2; else BRAM_Addr_A_d1 <= BRAM_Addr_A_d1; BRAM_Addr_A_d2 <= BRAM_Addr_A_d2; BRAM_Addr_A_d3 <= BRAM_Addr_A_d3; end if; end if; end process BRAM_ADDR_REG; --------------------------------------------------------------------------- -- Generate: GEN_L_ADDR -- Purpose: Lower order BRAM address bits fixed @ zero depending -- on BRAM data width size. --------------------------------------------------------------------------- GEN_L_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate begin FailingAddr_Ld (i) <= '0'; end generate GEN_L_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_ADDR -- Purpose: Assign valid BRAM address bits based on BRAM data width size. --------------------------------------------------------------------------- GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin GEN_FA_LITE: if IF_IS_AXI4LITE generate begin FailingAddr_Ld (i) <= BRAM_Addr_A_d1(i); -- Only a single address active at a time. end generate GEN_FA_LITE; GEN_FA_AXI: if IF_IS_AXI4 generate begin -- During the RMW portion, only one active address (use _d1 pipeline). -- During read operaitons, use 3-deep address pipeline to store address values. FailingAddr_Ld (i) <= BRAM_Addr_A_d3 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i); end generate GEN_FA_AXI; end generate GEN_ADDR; end generate GEN_ADDR_REG_SNG; --------------------------------------------------------------------------- -- Generate: GEN_ADDR_REG_DUAL -- Purpose: Generate two deep wrap-around address pipeline to store -- read address presented to BRAM. Used to update ECC -- register value when ECC correctable or uncorrectable error -- is detected. -- -- If dual port BRAM, register Port A & Port B address. -- -- Account for CE flag register delay, add 3rd BRAM address -- pipeline stage. -- --------------------------------------------------------------------------- GEN_ADDR_REG_DUAL: if (C_SINGLE_PORT_BRAM = 0) generate -- Port B pipeline stages only used in a dual port mode configuration. signal BRAM_Addr_B_d1 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a signal BRAM_Addr_B_d2 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a signal BRAM_Addr_B_d3 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a begin BRAM_ADDR_REG: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (BRAM_Addr_En = '1') then BRAM_Addr_A_d1 <= BRAM_Addr_A; BRAM_Addr_B_d1 <= BRAM_Addr_B; BRAM_Addr_B_d2 <= BRAM_Addr_B_d1; BRAM_Addr_B_d3 <= BRAM_Addr_B_d2; else BRAM_Addr_A_d1 <= BRAM_Addr_A_d1; BRAM_Addr_B_d1 <= BRAM_Addr_B_d1; BRAM_Addr_B_d2 <= BRAM_Addr_B_d2; BRAM_Addr_B_d3 <= BRAM_Addr_B_d3; end if; end if; end process BRAM_ADDR_REG; --------------------------------------------------------------------------- -- Generate: GEN_L_ADDR -- Purpose: Lower order BRAM address bits fixed @ zero depending -- on BRAM data width size. --------------------------------------------------------------------------- GEN_L_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate begin FailingAddr_Ld (i) <= '0'; end generate GEN_L_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_ADDR -- Purpose: Assign valid BRAM address bits based on BRAM data width size. --------------------------------------------------------------------------- GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin GEN_FA_LITE: if IF_IS_AXI4LITE generate begin -- Only one active operation at a time. -- Use one deep address pipeline. Determine if Port A or B based on active read or write. FailingAddr_Ld (i) <= BRAM_Addr_B_d1 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i); end generate GEN_FA_LITE; GEN_FA_AXI: if IF_IS_AXI4 generate begin -- During the RMW portion, only one active address (use _d1 pipeline) (and from Port A). -- During read operations, use 3-deep address pipeline to store address values (and from Port B). FailingAddr_Ld (i) <= BRAM_Addr_B_d3 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i); end generate GEN_FA_AXI; end generate GEN_ADDR; end generate GEN_ADDR_REG_DUAL; --------------------------------------------------------------------------- -- Generate: FAULT_INJECT -- Purpose: Implement fault injection registers -- Remove check for (C_WRITE_ACCESS /= NO_WRITES) (from LMB) --------------------------------------------------------------------------- FAULT_INJECT : if C_HAS_FAULT_INJECT generate begin -- FaultInjectClr added to top level port list. -- Original LMB BRAM HDL -- FaultInjectClr <= '1' when ((sl_ready_i = '1') and (write_access = '1')) else '0'; --------------------------------------------------------------------------- -- Generate: GEN_32_FAULT -- Purpose: Create generates based on 32-bit C_S_AXI_DATA_WIDTH --------------------------------------------------------------------------- GEN_32_FAULT : if C_S_AXI_DATA_WIDTH = 32 generate begin FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0'; FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0'; -- Create fault vector for 32-bit data widths FaultInjectDataReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); elsif FaultInjectData_WE_0 = '1' then FaultInjectData_i (0 to 31) <= RegWrData; elsif FaultInjectECC_WE = '1' then -- FaultInjectECC_i <= RegWrData(0 to C_DWIDTH-1); -- FaultInjectECC_i <= RegWrData(0 to C_ECC_WIDTH-1); -- (25:31) FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1); elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); end if; end if; end process FaultInjectDataReg; end generate GEN_32_FAULT; --------------------------------------------------------------------------- -- Generate: GEN_64_FAULT -- Purpose: Create generates based on 64-bit C_S_AXI_DATA_WIDTH --------------------------------------------------------------------------- GEN_64_FAULT : if C_S_AXI_DATA_WIDTH = 64 generate begin FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0'; FaultInjectData_WE_1 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_63_32) else '0'; FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0'; -- Create fault vector for 64-bit data widths FaultInjectDataReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); elsif FaultInjectData_WE_0 = '1' then FaultInjectData_i (32 to 63) <= RegWrData; elsif FaultInjectData_WE_1 = '1' then FaultInjectData_i (0 to 31) <= RegWrData; elsif FaultInjectECC_WE = '1' then -- FaultInjectECC_i <= RegWrData(0 to C_DWIDTH-1); -- FaultInjectECC_i <= RegWrData(0 to C_ECC_WIDTH-1); -- (24:31) FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1); elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); end if; end if; end process FaultInjectDataReg; end generate GEN_64_FAULT; -- v1.03a --------------------------------------------------------------------------- -- Generate: GEN_128_FAULT -- Purpose: Create generates based on 128-bit C_S_AXI_DATA_WIDTH --------------------------------------------------------------------------- GEN_128_FAULT : if C_S_AXI_DATA_WIDTH = 128 generate begin FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0'; FaultInjectData_WE_1 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_63_32) else '0'; FaultInjectData_WE_2 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_95_64) else '0'; FaultInjectData_WE_3 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_127_96) else '0'; FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0'; -- Create fault vector for 128-bit data widths FaultInjectDataReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); elsif FaultInjectData_WE_0 = '1' then FaultInjectData_i (96 to 127) <= RegWrData; elsif FaultInjectData_WE_1 = '1' then FaultInjectData_i (64 to 95) <= RegWrData; elsif FaultInjectData_WE_2 = '1' then FaultInjectData_i (32 to 63) <= RegWrData; elsif FaultInjectData_WE_3 = '1' then FaultInjectData_i (0 to 31) <= RegWrData; elsif FaultInjectECC_WE = '1' then FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1); elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); end if; end if; end process FaultInjectDataReg; end generate GEN_128_FAULT; end generate FAULT_INJECT; --------------------------------------------------------------------------- -- Generate: NO_FAULT_INJECT -- Purpose: Set default outputs when no fault inject capabilities. -- Remove check from C_WRITE_ACCESS (from LMB) --------------------------------------------------------------------------- NO_FAULT_INJECT : if not C_HAS_FAULT_INJECT generate begin FaultInjectData_i <= (others => '0'); FaultInjectECC_i <= (others => '0'); end generate NO_FAULT_INJECT; --------------------------------------------------------------------------- -- Generate: CE_FAILING_REGISTERS -- Purpose: Implement Correctable Error First Failing Register --------------------------------------------------------------------------- CE_FAILING_REGISTERS : if C_HAS_CE_FAILING_REGISTERS generate begin -- TBD (could come from axi_lite) -- CE_Failing_We <= '1' when (Sl_CE_i = '1' and Sl_Ready_i = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0') -- else '0'; CE_Failing_We_i <= '1' when (CE_Failing_We = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0') else '0'; CE_FailingReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then CE_FailingAddress <= (others => '0'); -- Reserve for future support. -- CE_FailingData <= (others => '0'); elsif CE_Failing_We_i = '1' then --As the AXI Addr Width can now be lesser than 32, the address is getting shifted --Eg: If addr width is 16, and Failing address is 0000_fffc, the o/p on RDATA is comming as fffc_0000 CE_FailingAddress (0 to C_S_AXI_ADDR_WIDTH-1) <= FailingAddr_Ld (C_S_AXI_ADDR_WIDTH-1 downto 0); --CE_FailingAddress <= MSB_ZERO & FailingAddr_Ld ; -- Reserve for future support. -- CE_FailingData (0 to C_S_AXI_DATA_WIDTH-1) <= FailingRdData(0 to C_DWIDTH-1); end if; end if; end process CE_FailingReg; -- Note: Remove storage of CE_FFE & CE_FFD registers. -- Here for future support. -- -- ----------------------------------------------------------------- -- -- Generate: GEN_CE_ECC_32 -- -- Purpose: Re-align ECC bits unique for 32-bit BRAM data width. -- ----------------------------------------------------------------- -- GEN_CE_ECC_32: if C_S_AXI_DATA_WIDTH = 32 generate -- begin -- -- CE_FailingECCReg : process(S_AXI_AClk) is -- begin -- if S_AXI_AClk'event and S_AXI_AClk = '1' then -- if S_AXI_AResetn = C_RESET_ACTIVE then -- CE_FailingECC <= (others => '0'); -- elsif CE_Failing_We_i = '1' then -- -- Data2Mem shifts ECC to lower data bits in remaining byte (when 32-bit data width) (33 to 39) -- CE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH+1 to C_S_AXI_DATA_WIDTH+1+C_ECC_WIDTH-1); -- end if; -- end if; -- end process CE_FailingECCReg; -- -- end generate GEN_CE_ECC_32; -- -- ----------------------------------------------------------------- -- -- Generate: GEN_CE_ECC_64 -- -- Purpose: Re-align ECC bits unique for 64-bit BRAM data width. -- ----------------------------------------------------------------- -- GEN_CE_ECC_64: if C_S_AXI_DATA_WIDTH = 64 generate -- begin -- -- CE_FailingECCReg : process(S_AXI_AClk) is -- begin -- if S_AXI_AClk'event and S_AXI_AClk = '1' then -- if S_AXI_AResetn = C_RESET_ACTIVE then -- CE_FailingECC <= (others => '0'); -- elsif CE_Failing_We_i = '1' then -- CE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1); -- end if; -- end if; -- end process CE_FailingECCReg; -- -- end generate GEN_CE_ECC_64; end generate CE_FAILING_REGISTERS; --------------------------------------------------------------------------- -- Generate: NO_CE_FAILING_REGISTERS -- Purpose: No Correctable Error Failing registers. --------------------------------------------------------------------------- NO_CE_FAILING_REGISTERS : if not C_HAS_CE_FAILING_REGISTERS generate begin CE_FailingAddress <= (others => '0'); -- CE_FailingData <= (others => '0'); -- CE_FailingECC <= (others => '0'); end generate NO_CE_FAILING_REGISTERS; -- Note: C_HAS_UE_FAILING_REGISTERS will always be set to 0 -- This generate clause will never be evaluated. -- Here for future support. -- -- --------------------------------------------------------------------------- -- -- Generate: UE_FAILING_REGISTERS -- -- Purpose: Implement Unorrectable Error First Failing Register -- --------------------------------------------------------------------------- -- -- UE_FAILING_REGISTERS : if C_HAS_UE_FAILING_REGISTERS generate -- begin -- -- -- TBD (could come from axi_lite) -- -- UE_Failing_We <= '1' when (Sl_UE_i = '1' and Sl_Ready_i = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0') -- -- else '0'; -- -- UE_Failing_We_i <= '1' when (UE_Failing_We = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0') -- else '0'; -- -- -- UE_FailingReg : process(S_AXI_AClk) is -- begin -- if S_AXI_AClk'event and S_AXI_AClk = '1' then -- if S_AXI_AResetn = C_RESET_ACTIVE then -- UE_FailingAddress <= (others => '0'); -- UE_FailingData <= (others => '0'); -- elsif UE_Failing_We = '1' then -- UE_FailingAddress <= FailingAddr_Ld; -- UE_FailingData <= FailingRdData(0 to C_DWIDTH-1); -- end if; -- end if; -- end process UE_FailingReg; -- -- ----------------------------------------------------------------- -- -- Generate: GEN_UE_ECC_32 -- -- Purpose: Re-align ECC bits unique for 32-bit BRAM data width. -- ----------------------------------------------------------------- -- GEN_UE_ECC_32: if C_S_AXI_DATA_WIDTH = 32 generate -- begin -- -- UE_FailingECCReg : process(S_AXI_AClk) is -- begin -- if S_AXI_AClk'event and S_AXI_AClk = '1' then -- if S_AXI_AResetn = C_RESET_ACTIVE then -- UE_FailingECC <= (others => '0'); -- elsif UE_Failing_We = '1' then -- -- Data2Mem shifts ECC to lower data bits in remaining byte (when 32-bit data width) (33 to 39) -- UE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH+1 to C_S_AXI_DATA_WIDTH+1+C_ECC_WIDTH-1); -- end if; -- end if; -- end process UE_FailingECCReg; -- -- end generate GEN_UE_ECC_32; -- -- ----------------------------------------------------------------- -- -- Generate: GEN_UE_ECC_64 -- -- Purpose: Re-align ECC bits unique for 64-bit BRAM data width. -- ----------------------------------------------------------------- -- GEN_UE_ECC_64: if C_S_AXI_DATA_WIDTH = 64 generate -- begin -- -- UE_FailingECCReg : process(S_AXI_AClk) is -- begin -- if S_AXI_AClk'event and S_AXI_AClk = '1' then -- if S_AXI_AResetn = C_RESET_ACTIVE then -- UE_FailingECC <= (others => '0'); -- elsif UE_Failing_We = '1' then -- UE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1); -- end if; -- end if; -- end process UE_FailingECCReg; -- -- end generate GEN_UE_ECC_64; -- -- end generate UE_FAILING_REGISTERS; -- -- -- --------------------------------------------------------------------------- -- -- Generate: NO_UE_FAILING_REGISTERS -- -- Purpose: No Uncorrectable Error Failing registers. -- --------------------------------------------------------------------------- -- -- NO_UE_FAILING_REGISTERS : if not C_HAS_UE_FAILING_REGISTERS generate -- begin -- UE_FailingAddress <= (others => '0'); -- UE_FailingData <= (others => '0'); -- UE_FailingECC <= (others => '0'); -- end generate NO_UE_FAILING_REGISTERS; --------------------------------------------------------------------------- -- Generate: ECC_STATUS_REGISTERS -- Purpose: Enable ECC status and interrupt enable registers. --------------------------------------------------------------------------- ECC_STATUS_REGISTERS : if C_HAS_ECC_STATUS_REGISTERS generate begin ECC_StatusReg_WE (C_ECC_STATUS_CE) <= Sl_CE; ECC_StatusReg_WE (C_ECC_STATUS_UE) <= Sl_UE; StatusReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then ECC_StatusReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_ECC_StatusReg then -- CE Interrupt status bit if RegWrData(C_ECC_STATUS_CE) = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '0'; -- Clear when write '1' end if; -- UE Interrupt status bit if RegWrData(C_ECC_STATUS_UE) = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '0'; -- Clear when write '1' end if; else if Sl_CE = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '1'; -- Set when CE occurs end if; if Sl_UE = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '1'; -- Set when UE occurs end if; end if; end if; end process StatusReg; ECC_EnableIRQReg_WE <= '1' when (RegWr = '1' and RegAddr = C_ECC_EnableIRQReg) else '0'; EnableIRQReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then ECC_EnableIRQReg <= (others => '0'); elsif ECC_EnableIRQReg_WE = '1' then -- CE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE) <= RegWrData(C_ECC_ENABLE_IRQ_CE); -- UE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE) <= RegWrData(C_ECC_ENABLE_IRQ_UE); end if; end if; end process EnableIRQReg; Interrupt <= (ECC_StatusReg(C_ECC_STATUS_CE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE)) or (ECC_StatusReg(C_ECC_STATUS_UE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE)); --------------------------------------------------------------------------- -- Generate output flag for UE sticky bit -- Modify order to ensure that ECC_UE gets set when Sl_UE is asserted. REG_UE : process (S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE or (Enable_ECC_i = '0') then ECC_UE_i <= '0'; elsif Sl_UE = '1' then ECC_UE_i <= '1'; elsif (ECC_StatusReg (C_ECC_STATUS_UE) = '0') then ECC_UE_i <= '0'; else ECC_UE_i <= ECC_UE_i; end if; end if; end process REG_UE; ECC_UE <= ECC_UE_i; --------------------------------------------------------------------------- end generate ECC_STATUS_REGISTERS; --------------------------------------------------------------------------- -- Generate: NO_ECC_STATUS_REGISTERS -- Purpose: No ECC status or interrupt registers enabled. --------------------------------------------------------------------------- NO_ECC_STATUS_REGISTERS : if not C_HAS_ECC_STATUS_REGISTERS generate begin ECC_EnableIRQReg <= (others => '0'); ECC_StatusReg <= (others => '0'); Interrupt <= '0'; ECC_UE <= '0'; end generate NO_ECC_STATUS_REGISTERS; --------------------------------------------------------------------------- -- Generate: GEN_ECC_ONOFF -- Purpose: Implement ECC on/off control register. --------------------------------------------------------------------------- GEN_ECC_ONOFF : if C_HAS_ECC_ONOFF generate begin ECC_OnOffReg_WE <= '1' when (RegWr = '1' and RegAddr = C_ECC_OnOffReg) else '0'; EnableIRQReg : process(S_AXI_AClk) is begin if S_AXI_AClk'event and S_AXI_AClk = '1' then if S_AXI_AResetn = C_RESET_ACTIVE then if (C_ECC_ONOFF_RESET_VALUE = 0) then ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '0'; else ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '1'; end if; -- ECC on by default at reset (but can be disabled) elsif ECC_OnOffReg_WE = '1' then ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= RegWrData(32-C_ECC_ON_OFF_WIDTH); end if; end if; end process EnableIRQReg; Enable_ECC_i <= ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH); Enable_ECC <= Enable_ECC_i; end generate GEN_ECC_ONOFF; --------------------------------------------------------------------------- -- Generate: GEN_NO_ECC_ONOFF -- Purpose: No ECC on/off control register. --------------------------------------------------------------------------- GEN_NO_ECC_ONOFF : if not C_HAS_ECC_ONOFF generate begin Enable_ECC <= '0'; -- ECC ON/OFF register is only enabled when C_ECC = 1. -- If C_ECC = 0, then no ECC on/off register (C_HAS_ECC_ONOFF = 0) then -- ECC should be disabled. ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '0'; end generate GEN_NO_ECC_ONOFF; --------------------------------------------------------------------------- -- Generate: CE_COUNTER -- Purpose: Enable Correctable Error Counter -- Fixed to size of C_CE_COUNTER_WIDTH = 8 bits. -- Parameterized here for future enhancements. --------------------------------------------------------------------------- CE_COUNTER : if C_HAS_CE_COUNTER generate -- One extra bit compare to CE_CounterReg to handle carry bit signal CE_CounterReg_plus_1 : std_logic_vector(31-C_CE_COUNTER_WIDTH to 31); begin CE_CounterReg_WE <= '1' when (RegWr = '1' and RegAddr = C_CE_CounterReg) else '0'; -- TBD (could come from axi_lite) -- CE_CounterReg_Inc <= '1' when (Sl_CE_i = '1' and Sl_Ready_i = '1' and -- CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0') -- else '0'; CE_CounterReg_Inc_i <= '1' when (CE_CounterReg_Inc = '1' and CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0') else '0'; CountReg : process(S_AXI_AClk) is begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then CE_CounterReg <= (others => '0'); elsif CE_CounterReg_WE = '1' then -- CE_CounterReg <= RegWrData(0 to C_DWIDTH-1); CE_CounterReg <= RegWrData(32-C_CE_COUNTER_WIDTH to 31); elsif CE_CounterReg_Inc_i = '1' then CE_CounterReg <= CE_CounterReg_plus_1(32-C_CE_COUNTER_WIDTH to 31); end if; end if; end process CountReg; CE_CounterReg_plus_1 <= std_logic_vector(unsigned(('0' & CE_CounterReg)) + 1); end generate CE_COUNTER; -- Note: Hit this generate when C_ECC = 0. -- Reserve for future support. -- -- --------------------------------------------------------------------------- -- -- Generate: NO_CE_COUNTER -- -- Purpose: Default for no CE counter register. -- --------------------------------------------------------------------------- -- -- NO_CE_COUNTER : if not C_HAS_CE_COUNTER generate -- begin -- CE_CounterReg <= (others => '0'); -- end generate NO_CE_COUNTER; --------------------------------------------------------------------------- -- Generate: GEN_REG_32_DATA -- Purpose: Generate read register values & signal assignments based on -- 32-bit BRAM data width. --------------------------------------------------------------------------- GEN_REG_32_DATA: if C_S_AXI_DATA_WIDTH = 32 generate begin SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg, CE_CounterReg, CE_FailingAddress, FaultInjectData_i, FaultInjectECC_i -- CE_FailingData, CE_FailingECC, -- UE_FailingAddress, UE_FailingData, UE_FailingECC ) begin RegRdData <= (others => '0'); case RegAddr is -- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg; when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg; when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg; when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg; when C_CE_FailingAddress_31_0 => RegRdData(CE_FailingAddress'range) <= CE_FailingAddress; when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- Temporary addition to readback fault inject register values when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31); when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1); -- Note: For future enhancement. -- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- CE_FailingData (0 to 31); -- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= (others => '0'); -- CE_FailingECC; -- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- UE_FailingAddress (0 to 31); -- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- UE_FailingData (0 to 31); -- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= (others => '0'); -- UE_FailingECC; when others => RegRdData <= (others => '0'); end case; end process SelRegRdData; end generate GEN_REG_32_DATA; --------------------------------------------------------------------------- -- Generate: GEN_REG_64_DATA -- Purpose: Generate read register values & signal assignments based on -- 64-bit BRAM data width. --------------------------------------------------------------------------- GEN_REG_64_DATA: if C_S_AXI_DATA_WIDTH = 64 generate begin SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg, CE_CounterReg, CE_FailingAddress, FaultInjectData_i, FaultInjectECC_i -- CE_FailingData, CE_FailingECC, -- UE_FailingAddress, UE_FailingData, UE_FailingECC ) begin RegRdData <= (others => '0'); case RegAddr is -- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg; when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg; when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg; when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg; when C_CE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= CE_FailingAddress (0 to 31); when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- Temporary addition to readback fault inject register values when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31); when C_FaultInjectData_63_32 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (32 to 63); when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1); -- Note: For future enhancement. -- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (32 to 63); -- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (0 to 31); -- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC; -- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingAddress (0 to 31); -- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingData (32 to 63); -- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (0 to 31); -- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC; when others => RegRdData <= (others => '0'); end case; end process SelRegRdData; end generate GEN_REG_64_DATA; --------------------------------------------------------------------------- -- Generate: GEN_REG_128_DATA -- Purpose: Generate read register values & signal assignments based on -- 128-bit BRAM data width. --------------------------------------------------------------------------- GEN_REG_128_DATA: if C_S_AXI_DATA_WIDTH = 128 generate begin SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg, CE_CounterReg, CE_FailingAddress, FaultInjectData_i, FaultInjectECC_i -- CE_FailingData, CE_FailingECC, -- UE_FailingAddress, UE_FailingData, UE_FailingECC ) begin RegRdData <= (others => '0'); case RegAddr is -- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg; when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg; when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg; when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg; when C_CE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= CE_FailingAddress (0 to 31); when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- Temporary addition to readback fault inject register values when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31); when C_FaultInjectData_63_32 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (32 to 63); when C_FaultInjectData_95_64 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (64 to 95); when C_FaultInjectData_127_96 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (96 to 127); when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1); -- Note: For future enhancement. -- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (96 to 127); -- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (64 to 95); -- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (32 to 63); -- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (0 to 31); -- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC; -- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingAddress (0 to 31); -- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingData (96 to 127); -- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (64 to 95); -- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (32 to 63); -- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (0 to 31); -- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC; when others => RegRdData <= (others => '0'); end case; end process SelRegRdData; end generate GEN_REG_128_DATA; --------------------------------------------------------------------------- end architecture implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/vhdl/image_filter.vhd
2
183530
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter is port ( INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0); INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0); INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0); INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0); OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0); rows : IN STD_LOGIC_VECTOR (31 downto 0); cols : IN STD_LOGIC_VECTOR (31 downto 0); ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; ap_start : IN STD_LOGIC; INPUT_STREAM_TVALID : IN STD_LOGIC; INPUT_STREAM_TREADY : OUT STD_LOGIC; OUTPUT_STREAM_TVALID : OUT STD_LOGIC; OUTPUT_STREAM_TREADY : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC ); end; architecture behav of image_filter is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "image_filter,hls_ip_2014_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=6.666670,HLS_INPUT_ARCH=dataflow,HLS_SYN_CLOCK=6.112860,HLS_SYN_LAT=-1,HLS_SYN_TPT=-1,HLS_SYN_MEM=59,HLS_SYN_DSP=3,HLS_SYN_FF=7667,HLS_SYN_LUT=13162}"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_true : BOOLEAN := true; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_const_logic_1 : STD_LOGIC := '1'; signal ap_rst_n_inv : STD_LOGIC; signal image_filter_Block_proc_U0_ap_start : STD_LOGIC; signal image_filter_Block_proc_U0_ap_done : STD_LOGIC; signal image_filter_Block_proc_U0_ap_continue : STD_LOGIC; signal image_filter_Block_proc_U0_ap_idle : STD_LOGIC; signal image_filter_Block_proc_U0_ap_ready : STD_LOGIC; signal image_filter_Block_proc_U0_rows : STD_LOGIC_VECTOR (31 downto 0); signal image_filter_Block_proc_U0_cols : STD_LOGIC_VECTOR (31 downto 0); signal image_filter_Block_proc_U0_ap_return_0 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_1 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_2 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_3 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_4 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_5 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_6 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_7 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_8 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_9 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_10 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_proc_U0_ap_return_11 : STD_LOGIC_VECTOR (11 downto 0); signal ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel : STD_LOGIC; signal p_dst_cols_V_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_dst_cols_V_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_dst_cols_V_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1 : STD_LOGIC; signal p_src_cols_V_2_loc_channel1_full_n : STD_LOGIC; signal ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel : STD_LOGIC; signal p_src_cols_V_2_loc_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_src_cols_V_2_loc_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_cols_V_2_loc_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1 : STD_LOGIC; signal p_src_rows_V_2_loc_channel1_full_n : STD_LOGIC; signal ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel : STD_LOGIC; signal p_src_rows_V_2_loc_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_src_rows_V_2_loc_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_rows_V_2_loc_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel : STD_LOGIC; signal p_src_cols_V_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_src_cols_V_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_cols_V_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V : STD_LOGIC; signal p_dst_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_p_dst_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_dst_cols_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel : STD_LOGIC; signal p_dst_rows_V_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_dst_rows_V_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_dst_rows_V_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V : STD_LOGIC; signal p_dst_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_p_dst_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_dst_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel : STD_LOGIC; signal p_src_rows_V_channel_full_n : STD_LOGIC; signal ap_reg_ready_p_src_rows_V_channel_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_rows_V_channel_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1 : STD_LOGIC; signal p_src_rows_V_channel1_full_n : STD_LOGIC; signal ap_reg_ready_p_src_rows_V_channel1_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_rows_V_channel1_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1 : STD_LOGIC; signal p_src_cols_V_channel1_full_n : STD_LOGIC; signal ap_reg_ready_p_src_cols_V_channel1_full_n : STD_LOGIC := '0'; signal ap_sig_ready_p_src_cols_V_channel1_full_n : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_ap_start : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_ap_done : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_ap_continue : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_ap_idle : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_ap_ready : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_AXIvideo2Mat_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_AXIvideo2Mat_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n : STD_LOGIC; signal image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_start : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_done : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_continue : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_idle : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_ready : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_read2 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_read16 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_read17 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_0 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_1 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_2 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_3 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_4 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_5 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_6 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1220_proc1_U0_ap_return_7 : STD_LOGIC_VECTOR (11 downto 0); signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V : STD_LOGIC; signal src0_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_src0_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_src0_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V : STD_LOGIC; signal src0_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_src0_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_src0_cols_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V : STD_LOGIC; signal src1_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_src1_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_src1_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V : STD_LOGIC; signal src1_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_src1_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_src1_cols_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V : STD_LOGIC; signal mask_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_mask_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_mask_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V : STD_LOGIC; signal mask_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_mask_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_mask_cols_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V : STD_LOGIC; signal dmask_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_dmask_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_dmask_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V : STD_LOGIC; signal dmask_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_dmask_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_dmask_cols_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_start : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_done : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_continue : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_idle : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_ready : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_p_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_p_read2 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_p_read6 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_p_read7 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_empty_n : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_read : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_full_n : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_write : STD_LOGIC; signal image_filter_Block_Mat_exit1222_proc1_U0_ap_return_0 : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Block_Mat_exit1222_proc1_U0_ap_return_1 : STD_LOGIC_VECTOR (11 downto 0); signal ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V : STD_LOGIC; signal gray_rows_V_full_n : STD_LOGIC; signal ap_reg_ready_gray_rows_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_gray_rows_V_full_n : STD_LOGIC; signal ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V : STD_LOGIC; signal gray_cols_V_full_n : STD_LOGIC; signal ap_reg_ready_gray_cols_V_full_n : STD_LOGIC := '0'; signal ap_sig_ready_gray_cols_V_full_n : STD_LOGIC; signal image_filter_FAST_t_opr_U0_ap_start : STD_LOGIC; signal image_filter_FAST_t_opr_U0_ap_done : STD_LOGIC; signal image_filter_FAST_t_opr_U0_ap_continue : STD_LOGIC; signal image_filter_FAST_t_opr_U0_ap_idle : STD_LOGIC; signal image_filter_FAST_t_opr_U0_ap_ready : STD_LOGIC; signal image_filter_FAST_t_opr_U0_p_src_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_FAST_t_opr_U0_p_src_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_FAST_t_opr_U0_p_src_data_stream_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_FAST_t_opr_U0_p_src_data_stream_V_empty_n : STD_LOGIC; signal image_filter_FAST_t_opr_U0_p_src_data_stream_V_read : STD_LOGIC; signal image_filter_FAST_t_opr_U0_p_mask_data_stream_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_FAST_t_opr_U0_p_mask_data_stream_V_full_n : STD_LOGIC; signal image_filter_FAST_t_opr_U0_p_mask_data_stream_V_write : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_ap_start : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_ap_done : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_ap_continue : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_ap_idle : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_ap_ready : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_p_src_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Dilate_0_0_1080_1920_U0_p_src_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_empty_n : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_read : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_full_n : STD_LOGIC; signal image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_write : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_ap_start : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_ap_done : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_ap_continue : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_ap_idle : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_ap_ready : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_empty_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_read : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_empty_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_read : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_empty_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_read : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_mask_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_mask_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_empty_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_read : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_full_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_write : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_full_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_write : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_full_n : STD_LOGIC; signal image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_write : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_ap_start : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_ap_done : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_ap_continue : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_ap_idle : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_ap_ready : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_rows_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Mat2AXIvideo_U0_img_cols_V_read : STD_LOGIC_VECTOR (11 downto 0); signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA : STD_LOGIC_VECTOR (31 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY : STD_LOGIC; signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP : STD_LOGIC_VECTOR (3 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB : STD_LOGIC_VECTOR (3 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID : STD_LOGIC_VECTOR (0 downto 0); signal image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_hs_continue : STD_LOGIC; signal p_src_cols_V_2_loc_channel1_U_ap_dummy_ce : STD_LOGIC; signal p_src_cols_V_2_loc_channel1_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_2_loc_channel1_write : STD_LOGIC; signal p_src_cols_V_2_loc_channel1_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_2_loc_channel1_empty_n : STD_LOGIC; signal p_src_cols_V_2_loc_channel1_read : STD_LOGIC; signal p_src_cols_V_2_loc_channel_U_ap_dummy_ce : STD_LOGIC; signal p_src_cols_V_2_loc_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_2_loc_channel_write : STD_LOGIC; signal p_src_cols_V_2_loc_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_2_loc_channel_empty_n : STD_LOGIC; signal p_src_cols_V_2_loc_channel_read : STD_LOGIC; signal p_src_rows_V_2_loc_channel1_U_ap_dummy_ce : STD_LOGIC; signal p_src_rows_V_2_loc_channel1_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_2_loc_channel1_write : STD_LOGIC; signal p_src_rows_V_2_loc_channel1_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_2_loc_channel1_empty_n : STD_LOGIC; signal p_src_rows_V_2_loc_channel1_read : STD_LOGIC; signal p_src_rows_V_2_loc_channel_U_ap_dummy_ce : STD_LOGIC; signal p_src_rows_V_2_loc_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_2_loc_channel_write : STD_LOGIC; signal p_src_rows_V_2_loc_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_2_loc_channel_empty_n : STD_LOGIC; signal p_src_rows_V_2_loc_channel_read : STD_LOGIC; signal p_dst_cols_V_channel_U_ap_dummy_ce : STD_LOGIC; signal p_dst_cols_V_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_cols_V_channel_write : STD_LOGIC; signal p_dst_cols_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_cols_V_channel_empty_n : STD_LOGIC; signal p_dst_cols_V_channel_read : STD_LOGIC; signal p_dst_cols_V_U_ap_dummy_ce : STD_LOGIC; signal p_dst_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_cols_V_write : STD_LOGIC; signal p_dst_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_cols_V_empty_n : STD_LOGIC; signal p_dst_cols_V_read : STD_LOGIC; signal p_dst_rows_V_channel_U_ap_dummy_ce : STD_LOGIC; signal p_dst_rows_V_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_rows_V_channel_write : STD_LOGIC; signal p_dst_rows_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_rows_V_channel_empty_n : STD_LOGIC; signal p_dst_rows_V_channel_read : STD_LOGIC; signal p_dst_rows_V_U_ap_dummy_ce : STD_LOGIC; signal p_dst_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_rows_V_write : STD_LOGIC; signal p_dst_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_dst_rows_V_empty_n : STD_LOGIC; signal p_dst_rows_V_read : STD_LOGIC; signal p_src_cols_V_channel_U_ap_dummy_ce : STD_LOGIC; signal p_src_cols_V_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_channel_write : STD_LOGIC; signal p_src_cols_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_channel_empty_n : STD_LOGIC; signal p_src_cols_V_channel_read : STD_LOGIC; signal p_src_rows_V_channel_U_ap_dummy_ce : STD_LOGIC; signal p_src_rows_V_channel_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_channel_write : STD_LOGIC; signal p_src_rows_V_channel_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_channel_empty_n : STD_LOGIC; signal p_src_rows_V_channel_read : STD_LOGIC; signal p_src_rows_V_channel1_U_ap_dummy_ce : STD_LOGIC; signal p_src_rows_V_channel1_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_channel1_write : STD_LOGIC; signal p_src_rows_V_channel1_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_rows_V_channel1_empty_n : STD_LOGIC; signal p_src_rows_V_channel1_read : STD_LOGIC; signal p_src_cols_V_channel1_U_ap_dummy_ce : STD_LOGIC; signal p_src_cols_V_channel1_din : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_channel1_write : STD_LOGIC; signal p_src_cols_V_channel1_dout : STD_LOGIC_VECTOR (11 downto 0); signal p_src_cols_V_channel1_empty_n : STD_LOGIC; signal p_src_cols_V_channel1_read : STD_LOGIC; signal p_src_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal p_src_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_0_V_full_n : STD_LOGIC; signal p_src_data_stream_0_V_write : STD_LOGIC; signal p_src_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_0_V_empty_n : STD_LOGIC; signal p_src_data_stream_0_V_read : STD_LOGIC; signal p_src_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC; signal p_src_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_1_V_full_n : STD_LOGIC; signal p_src_data_stream_1_V_write : STD_LOGIC; signal p_src_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_1_V_empty_n : STD_LOGIC; signal p_src_data_stream_1_V_read : STD_LOGIC; signal p_src_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC; signal p_src_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_2_V_full_n : STD_LOGIC; signal p_src_data_stream_2_V_write : STD_LOGIC; signal p_src_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_src_data_stream_2_V_empty_n : STD_LOGIC; signal p_src_data_stream_2_V_read : STD_LOGIC; signal src0_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal src0_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_0_V_full_n : STD_LOGIC; signal src0_data_stream_0_V_write : STD_LOGIC; signal src0_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_0_V_empty_n : STD_LOGIC; signal src0_data_stream_0_V_read : STD_LOGIC; signal src0_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC; signal src0_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_1_V_full_n : STD_LOGIC; signal src0_data_stream_1_V_write : STD_LOGIC; signal src0_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_1_V_empty_n : STD_LOGIC; signal src0_data_stream_1_V_read : STD_LOGIC; signal src0_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC; signal src0_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_2_V_full_n : STD_LOGIC; signal src0_data_stream_2_V_write : STD_LOGIC; signal src0_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src0_data_stream_2_V_empty_n : STD_LOGIC; signal src0_data_stream_2_V_read : STD_LOGIC; signal src1_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal src1_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_0_V_full_n : STD_LOGIC; signal src1_data_stream_0_V_write : STD_LOGIC; signal src1_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_0_V_empty_n : STD_LOGIC; signal src1_data_stream_0_V_read : STD_LOGIC; signal src1_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC; signal src1_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_1_V_full_n : STD_LOGIC; signal src1_data_stream_1_V_write : STD_LOGIC; signal src1_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_1_V_empty_n : STD_LOGIC; signal src1_data_stream_1_V_read : STD_LOGIC; signal src1_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC; signal src1_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_2_V_full_n : STD_LOGIC; signal src1_data_stream_2_V_write : STD_LOGIC; signal src1_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal src1_data_stream_2_V_empty_n : STD_LOGIC; signal src1_data_stream_2_V_read : STD_LOGIC; signal src0_rows_V_U_ap_dummy_ce : STD_LOGIC; signal src0_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal src0_rows_V_write : STD_LOGIC; signal src0_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal src0_rows_V_empty_n : STD_LOGIC; signal src0_rows_V_read : STD_LOGIC; signal src0_cols_V_U_ap_dummy_ce : STD_LOGIC; signal src0_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal src0_cols_V_write : STD_LOGIC; signal src0_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal src0_cols_V_empty_n : STD_LOGIC; signal src0_cols_V_read : STD_LOGIC; signal src1_rows_V_U_ap_dummy_ce : STD_LOGIC; signal src1_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal src1_rows_V_write : STD_LOGIC; signal src1_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal src1_rows_V_empty_n : STD_LOGIC; signal src1_rows_V_read : STD_LOGIC; signal src1_cols_V_U_ap_dummy_ce : STD_LOGIC; signal src1_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal src1_cols_V_write : STD_LOGIC; signal src1_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal src1_cols_V_empty_n : STD_LOGIC; signal src1_cols_V_read : STD_LOGIC; signal mask_rows_V_U_ap_dummy_ce : STD_LOGIC; signal mask_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal mask_rows_V_write : STD_LOGIC; signal mask_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal mask_rows_V_empty_n : STD_LOGIC; signal mask_rows_V_read : STD_LOGIC; signal mask_cols_V_U_ap_dummy_ce : STD_LOGIC; signal mask_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal mask_cols_V_write : STD_LOGIC; signal mask_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal mask_cols_V_empty_n : STD_LOGIC; signal mask_cols_V_read : STD_LOGIC; signal dmask_rows_V_U_ap_dummy_ce : STD_LOGIC; signal dmask_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal dmask_rows_V_write : STD_LOGIC; signal dmask_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal dmask_rows_V_empty_n : STD_LOGIC; signal dmask_rows_V_read : STD_LOGIC; signal dmask_cols_V_U_ap_dummy_ce : STD_LOGIC; signal dmask_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal dmask_cols_V_write : STD_LOGIC; signal dmask_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal dmask_cols_V_empty_n : STD_LOGIC; signal dmask_cols_V_read : STD_LOGIC; signal gray_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal gray_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal gray_data_stream_0_V_full_n : STD_LOGIC; signal gray_data_stream_0_V_write : STD_LOGIC; signal gray_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal gray_data_stream_0_V_empty_n : STD_LOGIC; signal gray_data_stream_0_V_read : STD_LOGIC; signal gray_rows_V_U_ap_dummy_ce : STD_LOGIC; signal gray_rows_V_din : STD_LOGIC_VECTOR (11 downto 0); signal gray_rows_V_write : STD_LOGIC; signal gray_rows_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal gray_rows_V_empty_n : STD_LOGIC; signal gray_rows_V_read : STD_LOGIC; signal gray_cols_V_U_ap_dummy_ce : STD_LOGIC; signal gray_cols_V_din : STD_LOGIC_VECTOR (11 downto 0); signal gray_cols_V_write : STD_LOGIC; signal gray_cols_V_dout : STD_LOGIC_VECTOR (11 downto 0); signal gray_cols_V_empty_n : STD_LOGIC; signal gray_cols_V_read : STD_LOGIC; signal mask_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal mask_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal mask_data_stream_0_V_full_n : STD_LOGIC; signal mask_data_stream_0_V_write : STD_LOGIC; signal mask_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal mask_data_stream_0_V_empty_n : STD_LOGIC; signal mask_data_stream_0_V_read : STD_LOGIC; signal dmask_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal dmask_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal dmask_data_stream_0_V_full_n : STD_LOGIC; signal dmask_data_stream_0_V_write : STD_LOGIC; signal dmask_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal dmask_data_stream_0_V_empty_n : STD_LOGIC; signal dmask_data_stream_0_V_read : STD_LOGIC; signal p_dst_data_stream_0_V_U_ap_dummy_ce : STD_LOGIC; signal p_dst_data_stream_0_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_0_V_full_n : STD_LOGIC; signal p_dst_data_stream_0_V_write : STD_LOGIC; signal p_dst_data_stream_0_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_0_V_empty_n : STD_LOGIC; signal p_dst_data_stream_0_V_read : STD_LOGIC; signal p_dst_data_stream_1_V_U_ap_dummy_ce : STD_LOGIC; signal p_dst_data_stream_1_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_1_V_full_n : STD_LOGIC; signal p_dst_data_stream_1_V_write : STD_LOGIC; signal p_dst_data_stream_1_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_1_V_empty_n : STD_LOGIC; signal p_dst_data_stream_1_V_read : STD_LOGIC; signal p_dst_data_stream_2_V_U_ap_dummy_ce : STD_LOGIC; signal p_dst_data_stream_2_V_din : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_2_V_full_n : STD_LOGIC; signal p_dst_data_stream_2_V_write : STD_LOGIC; signal p_dst_data_stream_2_V_dout : STD_LOGIC_VECTOR (7 downto 0); signal p_dst_data_stream_2_V_empty_n : STD_LOGIC; signal p_dst_data_stream_2_V_read : STD_LOGIC; signal ap_reg_procdone_image_filter_Block_proc_U0 : STD_LOGIC := '0'; signal ap_sig_hs_done : STD_LOGIC; signal ap_reg_procdone_image_filter_AXIvideo2Mat_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_FAST_t_opr_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0 : STD_LOGIC := '0'; signal ap_reg_procdone_image_filter_Mat2AXIvideo_U0 : STD_LOGIC := '0'; signal ap_CS : STD_LOGIC; signal ap_sig_top_allready : STD_LOGIC; component image_filter_Block_proc IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; rows : IN STD_LOGIC_VECTOR (31 downto 0); cols : IN STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_4 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_5 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_6 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_7 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_8 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_9 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_10 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_11 : OUT STD_LOGIC_VECTOR (11 downto 0) ); end component; component image_filter_AXIvideo2Mat IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR (31 downto 0); INPUT_STREAM_TVALID : IN STD_LOGIC; INPUT_STREAM_TREADY : OUT STD_LOGIC; INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR (3 downto 0); INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR (3 downto 0); INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TID : IN STD_LOGIC_VECTOR (0 downto 0); INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR (0 downto 0); img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); img_data_stream_0_V_full_n : IN STD_LOGIC; img_data_stream_0_V_write : OUT STD_LOGIC; img_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); img_data_stream_1_V_full_n : IN STD_LOGIC; img_data_stream_1_V_write : OUT STD_LOGIC; img_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); img_data_stream_2_V_full_n : IN STD_LOGIC; img_data_stream_2_V_write : OUT STD_LOGIC ); end component; component image_filter_Block_Mat_exit1220_proc1 IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (11 downto 0); p_read2 : IN STD_LOGIC_VECTOR (11 downto 0); p_read16 : IN STD_LOGIC_VECTOR (11 downto 0); p_read17 : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_0_V_empty_n : IN STD_LOGIC; p_src_data_stream_0_V_read : OUT STD_LOGIC; p_src_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_1_V_empty_n : IN STD_LOGIC; p_src_data_stream_1_V_read : OUT STD_LOGIC; p_src_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_2_V_empty_n : IN STD_LOGIC; p_src_data_stream_2_V_read : OUT STD_LOGIC; src0_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_0_V_full_n : IN STD_LOGIC; src0_data_stream_0_V_write : OUT STD_LOGIC; src0_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_1_V_full_n : IN STD_LOGIC; src0_data_stream_1_V_write : OUT STD_LOGIC; src0_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_2_V_full_n : IN STD_LOGIC; src0_data_stream_2_V_write : OUT STD_LOGIC; src1_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src1_data_stream_0_V_full_n : IN STD_LOGIC; src1_data_stream_0_V_write : OUT STD_LOGIC; src1_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src1_data_stream_1_V_full_n : IN STD_LOGIC; src1_data_stream_1_V_write : OUT STD_LOGIC; src1_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); src1_data_stream_2_V_full_n : IN STD_LOGIC; src1_data_stream_2_V_write : OUT STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_2 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_3 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_4 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_5 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_6 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_7 : OUT STD_LOGIC_VECTOR (11 downto 0) ); end component; component image_filter_Block_Mat_exit1222_proc1 IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (11 downto 0); p_read2 : IN STD_LOGIC_VECTOR (11 downto 0); p_read6 : IN STD_LOGIC_VECTOR (11 downto 0); p_read7 : IN STD_LOGIC_VECTOR (11 downto 0); src0_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_0_V_empty_n : IN STD_LOGIC; src0_data_stream_0_V_read : OUT STD_LOGIC; src0_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_1_V_empty_n : IN STD_LOGIC; src0_data_stream_1_V_read : OUT STD_LOGIC; src0_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src0_data_stream_2_V_empty_n : IN STD_LOGIC; src0_data_stream_2_V_read : OUT STD_LOGIC; gray_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); gray_data_stream_0_V_full_n : IN STD_LOGIC; gray_data_stream_0_V_write : OUT STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (11 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (11 downto 0) ); end component; component image_filter_FAST_t_opr IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_V_empty_n : IN STD_LOGIC; p_src_data_stream_V_read : OUT STD_LOGIC; p_mask_data_stream_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_mask_data_stream_V_full_n : IN STD_LOGIC; p_mask_data_stream_V_write : OUT STD_LOGIC ); end component; component image_filter_Dilate_0_0_1080_1920_s IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_V_empty_n : IN STD_LOGIC; p_src_data_stream_V_read : OUT STD_LOGIC; p_dst_data_stream_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_V_full_n : IN STD_LOGIC; p_dst_data_stream_V_write : OUT STD_LOGIC ); end component; component image_filter_PaintMask_32_0_1080_1920_s IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_0_V_empty_n : IN STD_LOGIC; p_src_data_stream_0_V_read : OUT STD_LOGIC; p_src_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_1_V_empty_n : IN STD_LOGIC; p_src_data_stream_1_V_read : OUT STD_LOGIC; p_src_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_2_V_empty_n : IN STD_LOGIC; p_src_data_stream_2_V_read : OUT STD_LOGIC; p_mask_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_mask_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_mask_data_stream_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_mask_data_stream_V_empty_n : IN STD_LOGIC; p_mask_data_stream_V_read : OUT STD_LOGIC; p_dst_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_dst_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_dst_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_0_V_full_n : IN STD_LOGIC; p_dst_data_stream_0_V_write : OUT STD_LOGIC; p_dst_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_1_V_full_n : IN STD_LOGIC; p_dst_data_stream_1_V_write : OUT STD_LOGIC; p_dst_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_2_V_full_n : IN STD_LOGIC; p_dst_data_stream_2_V_write : OUT STD_LOGIC ); end component; component image_filter_Mat2AXIvideo IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; img_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); img_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_0_V_empty_n : IN STD_LOGIC; img_data_stream_0_V_read : OUT STD_LOGIC; img_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_1_V_empty_n : IN STD_LOGIC; img_data_stream_1_V_read : OUT STD_LOGIC; img_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); img_data_stream_2_V_empty_n : IN STD_LOGIC; img_data_stream_2_V_read : OUT STD_LOGIC; OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0); OUTPUT_STREAM_TVALID : OUT STD_LOGIC; OUTPUT_STREAM_TREADY : IN STD_LOGIC; OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR (3 downto 0); OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR (0 downto 0); OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component FIFO_image_filter_p_src_cols_V_2_loc_channel1 IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_cols_V_2_loc_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_rows_V_2_loc_channel1 IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_rows_V_2_loc_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_cols_V_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_rows_V_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_cols_V_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_rows_V_channel IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_rows_V_channel1 IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_cols_V_channel1 IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_data_stream_1_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_src_data_stream_2_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src0_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src0_data_stream_1_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src0_data_stream_2_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src1_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src1_data_stream_1_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src1_data_stream_2_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src0_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src0_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src1_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_src1_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_mask_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_mask_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_dmask_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_dmask_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_gray_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_gray_rows_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_gray_cols_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (11 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (11 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_mask_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_dmask_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_data_stream_0_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_data_stream_1_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; component FIFO_image_filter_p_dst_data_stream_2_V IS port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_read_ce : IN STD_LOGIC; if_write_ce : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR (7 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR (7 downto 0); if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC ); end component; begin image_filter_Block_proc_U0 : component image_filter_Block_proc port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_Block_proc_U0_ap_start, ap_done => image_filter_Block_proc_U0_ap_done, ap_continue => image_filter_Block_proc_U0_ap_continue, ap_idle => image_filter_Block_proc_U0_ap_idle, ap_ready => image_filter_Block_proc_U0_ap_ready, rows => image_filter_Block_proc_U0_rows, cols => image_filter_Block_proc_U0_cols, ap_return_0 => image_filter_Block_proc_U0_ap_return_0, ap_return_1 => image_filter_Block_proc_U0_ap_return_1, ap_return_2 => image_filter_Block_proc_U0_ap_return_2, ap_return_3 => image_filter_Block_proc_U0_ap_return_3, ap_return_4 => image_filter_Block_proc_U0_ap_return_4, ap_return_5 => image_filter_Block_proc_U0_ap_return_5, ap_return_6 => image_filter_Block_proc_U0_ap_return_6, ap_return_7 => image_filter_Block_proc_U0_ap_return_7, ap_return_8 => image_filter_Block_proc_U0_ap_return_8, ap_return_9 => image_filter_Block_proc_U0_ap_return_9, ap_return_10 => image_filter_Block_proc_U0_ap_return_10, ap_return_11 => image_filter_Block_proc_U0_ap_return_11); image_filter_AXIvideo2Mat_U0 : component image_filter_AXIvideo2Mat port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_AXIvideo2Mat_U0_ap_start, ap_done => image_filter_AXIvideo2Mat_U0_ap_done, ap_continue => image_filter_AXIvideo2Mat_U0_ap_continue, ap_idle => image_filter_AXIvideo2Mat_U0_ap_idle, ap_ready => image_filter_AXIvideo2Mat_U0_ap_ready, INPUT_STREAM_TDATA => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA, INPUT_STREAM_TVALID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID, INPUT_STREAM_TREADY => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY, INPUT_STREAM_TKEEP => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP, INPUT_STREAM_TSTRB => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB, INPUT_STREAM_TUSER => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER, INPUT_STREAM_TLAST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST, INPUT_STREAM_TID => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID, INPUT_STREAM_TDEST => image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST, img_rows_V_read => image_filter_AXIvideo2Mat_U0_img_rows_V_read, img_cols_V_read => image_filter_AXIvideo2Mat_U0_img_cols_V_read, img_data_stream_0_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din, img_data_stream_0_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n, img_data_stream_0_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write, img_data_stream_1_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din, img_data_stream_1_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n, img_data_stream_1_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write, img_data_stream_2_V_din => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din, img_data_stream_2_V_full_n => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n, img_data_stream_2_V_write => image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write); image_filter_Block_Mat_exit1220_proc1_U0 : component image_filter_Block_Mat_exit1220_proc1 port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_Block_Mat_exit1220_proc1_U0_ap_start, ap_done => image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_continue => image_filter_Block_Mat_exit1220_proc1_U0_ap_continue, ap_idle => image_filter_Block_Mat_exit1220_proc1_U0_ap_idle, ap_ready => image_filter_Block_Mat_exit1220_proc1_U0_ap_ready, p_read => image_filter_Block_Mat_exit1220_proc1_U0_p_read, p_read2 => image_filter_Block_Mat_exit1220_proc1_U0_p_read2, p_read16 => image_filter_Block_Mat_exit1220_proc1_U0_p_read16, p_read17 => image_filter_Block_Mat_exit1220_proc1_U0_p_read17, p_src_data_stream_0_V_dout => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_dout, p_src_data_stream_0_V_empty_n => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_empty_n, p_src_data_stream_0_V_read => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_read, p_src_data_stream_1_V_dout => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_dout, p_src_data_stream_1_V_empty_n => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_empty_n, p_src_data_stream_1_V_read => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_read, p_src_data_stream_2_V_dout => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_dout, p_src_data_stream_2_V_empty_n => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_empty_n, p_src_data_stream_2_V_read => image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_read, src0_data_stream_0_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_din, src0_data_stream_0_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_full_n, src0_data_stream_0_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_write, src0_data_stream_1_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_din, src0_data_stream_1_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_full_n, src0_data_stream_1_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_write, src0_data_stream_2_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_din, src0_data_stream_2_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_full_n, src0_data_stream_2_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_write, src1_data_stream_0_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_din, src1_data_stream_0_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_full_n, src1_data_stream_0_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_write, src1_data_stream_1_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_din, src1_data_stream_1_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_full_n, src1_data_stream_1_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_write, src1_data_stream_2_V_din => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_din, src1_data_stream_2_V_full_n => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_full_n, src1_data_stream_2_V_write => image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_write, ap_return_0 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_0, ap_return_1 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_1, ap_return_2 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_2, ap_return_3 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_3, ap_return_4 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_4, ap_return_5 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_5, ap_return_6 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_6, ap_return_7 => image_filter_Block_Mat_exit1220_proc1_U0_ap_return_7); image_filter_Block_Mat_exit1222_proc1_U0 : component image_filter_Block_Mat_exit1222_proc1 port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_Block_Mat_exit1222_proc1_U0_ap_start, ap_done => image_filter_Block_Mat_exit1222_proc1_U0_ap_done, ap_continue => image_filter_Block_Mat_exit1222_proc1_U0_ap_continue, ap_idle => image_filter_Block_Mat_exit1222_proc1_U0_ap_idle, ap_ready => image_filter_Block_Mat_exit1222_proc1_U0_ap_ready, p_read => image_filter_Block_Mat_exit1222_proc1_U0_p_read, p_read2 => image_filter_Block_Mat_exit1222_proc1_U0_p_read2, p_read6 => image_filter_Block_Mat_exit1222_proc1_U0_p_read6, p_read7 => image_filter_Block_Mat_exit1222_proc1_U0_p_read7, src0_data_stream_0_V_dout => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_dout, src0_data_stream_0_V_empty_n => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_empty_n, src0_data_stream_0_V_read => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_read, src0_data_stream_1_V_dout => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_dout, src0_data_stream_1_V_empty_n => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_empty_n, src0_data_stream_1_V_read => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_read, src0_data_stream_2_V_dout => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_dout, src0_data_stream_2_V_empty_n => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_empty_n, src0_data_stream_2_V_read => image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_read, gray_data_stream_0_V_din => image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_din, gray_data_stream_0_V_full_n => image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_full_n, gray_data_stream_0_V_write => image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_write, ap_return_0 => image_filter_Block_Mat_exit1222_proc1_U0_ap_return_0, ap_return_1 => image_filter_Block_Mat_exit1222_proc1_U0_ap_return_1); image_filter_FAST_t_opr_U0 : component image_filter_FAST_t_opr port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_FAST_t_opr_U0_ap_start, ap_done => image_filter_FAST_t_opr_U0_ap_done, ap_continue => image_filter_FAST_t_opr_U0_ap_continue, ap_idle => image_filter_FAST_t_opr_U0_ap_idle, ap_ready => image_filter_FAST_t_opr_U0_ap_ready, p_src_rows_V_read => image_filter_FAST_t_opr_U0_p_src_rows_V_read, p_src_cols_V_read => image_filter_FAST_t_opr_U0_p_src_cols_V_read, p_src_data_stream_V_dout => image_filter_FAST_t_opr_U0_p_src_data_stream_V_dout, p_src_data_stream_V_empty_n => image_filter_FAST_t_opr_U0_p_src_data_stream_V_empty_n, p_src_data_stream_V_read => image_filter_FAST_t_opr_U0_p_src_data_stream_V_read, p_mask_data_stream_V_din => image_filter_FAST_t_opr_U0_p_mask_data_stream_V_din, p_mask_data_stream_V_full_n => image_filter_FAST_t_opr_U0_p_mask_data_stream_V_full_n, p_mask_data_stream_V_write => image_filter_FAST_t_opr_U0_p_mask_data_stream_V_write); image_filter_Dilate_0_0_1080_1920_U0 : component image_filter_Dilate_0_0_1080_1920_s port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_Dilate_0_0_1080_1920_U0_ap_start, ap_done => image_filter_Dilate_0_0_1080_1920_U0_ap_done, ap_continue => image_filter_Dilate_0_0_1080_1920_U0_ap_continue, ap_idle => image_filter_Dilate_0_0_1080_1920_U0_ap_idle, ap_ready => image_filter_Dilate_0_0_1080_1920_U0_ap_ready, p_src_rows_V_read => image_filter_Dilate_0_0_1080_1920_U0_p_src_rows_V_read, p_src_cols_V_read => image_filter_Dilate_0_0_1080_1920_U0_p_src_cols_V_read, p_src_data_stream_V_dout => image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_dout, p_src_data_stream_V_empty_n => image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_empty_n, p_src_data_stream_V_read => image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_read, p_dst_data_stream_V_din => image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_din, p_dst_data_stream_V_full_n => image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_full_n, p_dst_data_stream_V_write => image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_write); image_filter_PaintMask_32_0_1080_1920_U0 : component image_filter_PaintMask_32_0_1080_1920_s port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_PaintMask_32_0_1080_1920_U0_ap_start, ap_done => image_filter_PaintMask_32_0_1080_1920_U0_ap_done, ap_continue => image_filter_PaintMask_32_0_1080_1920_U0_ap_continue, ap_idle => image_filter_PaintMask_32_0_1080_1920_U0_ap_idle, ap_ready => image_filter_PaintMask_32_0_1080_1920_U0_ap_ready, p_src_rows_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_src_rows_V_read, p_src_cols_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_src_cols_V_read, p_src_data_stream_0_V_dout => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_dout, p_src_data_stream_0_V_empty_n => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_empty_n, p_src_data_stream_0_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_read, p_src_data_stream_1_V_dout => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_dout, p_src_data_stream_1_V_empty_n => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_empty_n, p_src_data_stream_1_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_read, p_src_data_stream_2_V_dout => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_dout, p_src_data_stream_2_V_empty_n => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_empty_n, p_src_data_stream_2_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_read, p_mask_rows_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_mask_rows_V_read, p_mask_cols_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_mask_cols_V_read, p_mask_data_stream_V_dout => image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_dout, p_mask_data_stream_V_empty_n => image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_empty_n, p_mask_data_stream_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_read, p_dst_rows_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_rows_V_read, p_dst_cols_V_read => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_cols_V_read, p_dst_data_stream_0_V_din => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_din, p_dst_data_stream_0_V_full_n => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_full_n, p_dst_data_stream_0_V_write => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_write, p_dst_data_stream_1_V_din => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_din, p_dst_data_stream_1_V_full_n => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_full_n, p_dst_data_stream_1_V_write => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_write, p_dst_data_stream_2_V_din => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_din, p_dst_data_stream_2_V_full_n => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_full_n, p_dst_data_stream_2_V_write => image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_write); image_filter_Mat2AXIvideo_U0 : component image_filter_Mat2AXIvideo port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => image_filter_Mat2AXIvideo_U0_ap_start, ap_done => image_filter_Mat2AXIvideo_U0_ap_done, ap_continue => image_filter_Mat2AXIvideo_U0_ap_continue, ap_idle => image_filter_Mat2AXIvideo_U0_ap_idle, ap_ready => image_filter_Mat2AXIvideo_U0_ap_ready, img_rows_V_read => image_filter_Mat2AXIvideo_U0_img_rows_V_read, img_cols_V_read => image_filter_Mat2AXIvideo_U0_img_cols_V_read, img_data_stream_0_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout, img_data_stream_0_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n, img_data_stream_0_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read, img_data_stream_1_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout, img_data_stream_1_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n, img_data_stream_1_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read, img_data_stream_2_V_dout => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout, img_data_stream_2_V_empty_n => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n, img_data_stream_2_V_read => image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read, OUTPUT_STREAM_TDATA => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA, OUTPUT_STREAM_TVALID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID, OUTPUT_STREAM_TREADY => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY, OUTPUT_STREAM_TKEEP => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP, OUTPUT_STREAM_TSTRB => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB, OUTPUT_STREAM_TUSER => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER, OUTPUT_STREAM_TLAST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST, OUTPUT_STREAM_TID => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID, OUTPUT_STREAM_TDEST => image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST); p_src_cols_V_2_loc_channel1_U : component FIFO_image_filter_p_src_cols_V_2_loc_channel1 port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_cols_V_2_loc_channel1_U_ap_dummy_ce, if_write_ce => p_src_cols_V_2_loc_channel1_U_ap_dummy_ce, if_din => p_src_cols_V_2_loc_channel1_din, if_full_n => p_src_cols_V_2_loc_channel1_full_n, if_write => p_src_cols_V_2_loc_channel1_write, if_dout => p_src_cols_V_2_loc_channel1_dout, if_empty_n => p_src_cols_V_2_loc_channel1_empty_n, if_read => p_src_cols_V_2_loc_channel1_read); p_src_cols_V_2_loc_channel_U : component FIFO_image_filter_p_src_cols_V_2_loc_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_cols_V_2_loc_channel_U_ap_dummy_ce, if_write_ce => p_src_cols_V_2_loc_channel_U_ap_dummy_ce, if_din => p_src_cols_V_2_loc_channel_din, if_full_n => p_src_cols_V_2_loc_channel_full_n, if_write => p_src_cols_V_2_loc_channel_write, if_dout => p_src_cols_V_2_loc_channel_dout, if_empty_n => p_src_cols_V_2_loc_channel_empty_n, if_read => p_src_cols_V_2_loc_channel_read); p_src_rows_V_2_loc_channel1_U : component FIFO_image_filter_p_src_rows_V_2_loc_channel1 port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_rows_V_2_loc_channel1_U_ap_dummy_ce, if_write_ce => p_src_rows_V_2_loc_channel1_U_ap_dummy_ce, if_din => p_src_rows_V_2_loc_channel1_din, if_full_n => p_src_rows_V_2_loc_channel1_full_n, if_write => p_src_rows_V_2_loc_channel1_write, if_dout => p_src_rows_V_2_loc_channel1_dout, if_empty_n => p_src_rows_V_2_loc_channel1_empty_n, if_read => p_src_rows_V_2_loc_channel1_read); p_src_rows_V_2_loc_channel_U : component FIFO_image_filter_p_src_rows_V_2_loc_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_rows_V_2_loc_channel_U_ap_dummy_ce, if_write_ce => p_src_rows_V_2_loc_channel_U_ap_dummy_ce, if_din => p_src_rows_V_2_loc_channel_din, if_full_n => p_src_rows_V_2_loc_channel_full_n, if_write => p_src_rows_V_2_loc_channel_write, if_dout => p_src_rows_V_2_loc_channel_dout, if_empty_n => p_src_rows_V_2_loc_channel_empty_n, if_read => p_src_rows_V_2_loc_channel_read); p_dst_cols_V_channel_U : component FIFO_image_filter_p_dst_cols_V_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_cols_V_channel_U_ap_dummy_ce, if_write_ce => p_dst_cols_V_channel_U_ap_dummy_ce, if_din => p_dst_cols_V_channel_din, if_full_n => p_dst_cols_V_channel_full_n, if_write => p_dst_cols_V_channel_write, if_dout => p_dst_cols_V_channel_dout, if_empty_n => p_dst_cols_V_channel_empty_n, if_read => p_dst_cols_V_channel_read); p_dst_cols_V_U : component FIFO_image_filter_p_dst_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_cols_V_U_ap_dummy_ce, if_write_ce => p_dst_cols_V_U_ap_dummy_ce, if_din => p_dst_cols_V_din, if_full_n => p_dst_cols_V_full_n, if_write => p_dst_cols_V_write, if_dout => p_dst_cols_V_dout, if_empty_n => p_dst_cols_V_empty_n, if_read => p_dst_cols_V_read); p_dst_rows_V_channel_U : component FIFO_image_filter_p_dst_rows_V_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_rows_V_channel_U_ap_dummy_ce, if_write_ce => p_dst_rows_V_channel_U_ap_dummy_ce, if_din => p_dst_rows_V_channel_din, if_full_n => p_dst_rows_V_channel_full_n, if_write => p_dst_rows_V_channel_write, if_dout => p_dst_rows_V_channel_dout, if_empty_n => p_dst_rows_V_channel_empty_n, if_read => p_dst_rows_V_channel_read); p_dst_rows_V_U : component FIFO_image_filter_p_dst_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_rows_V_U_ap_dummy_ce, if_write_ce => p_dst_rows_V_U_ap_dummy_ce, if_din => p_dst_rows_V_din, if_full_n => p_dst_rows_V_full_n, if_write => p_dst_rows_V_write, if_dout => p_dst_rows_V_dout, if_empty_n => p_dst_rows_V_empty_n, if_read => p_dst_rows_V_read); p_src_cols_V_channel_U : component FIFO_image_filter_p_src_cols_V_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_cols_V_channel_U_ap_dummy_ce, if_write_ce => p_src_cols_V_channel_U_ap_dummy_ce, if_din => p_src_cols_V_channel_din, if_full_n => p_src_cols_V_channel_full_n, if_write => p_src_cols_V_channel_write, if_dout => p_src_cols_V_channel_dout, if_empty_n => p_src_cols_V_channel_empty_n, if_read => p_src_cols_V_channel_read); p_src_rows_V_channel_U : component FIFO_image_filter_p_src_rows_V_channel port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_rows_V_channel_U_ap_dummy_ce, if_write_ce => p_src_rows_V_channel_U_ap_dummy_ce, if_din => p_src_rows_V_channel_din, if_full_n => p_src_rows_V_channel_full_n, if_write => p_src_rows_V_channel_write, if_dout => p_src_rows_V_channel_dout, if_empty_n => p_src_rows_V_channel_empty_n, if_read => p_src_rows_V_channel_read); p_src_rows_V_channel1_U : component FIFO_image_filter_p_src_rows_V_channel1 port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_rows_V_channel1_U_ap_dummy_ce, if_write_ce => p_src_rows_V_channel1_U_ap_dummy_ce, if_din => p_src_rows_V_channel1_din, if_full_n => p_src_rows_V_channel1_full_n, if_write => p_src_rows_V_channel1_write, if_dout => p_src_rows_V_channel1_dout, if_empty_n => p_src_rows_V_channel1_empty_n, if_read => p_src_rows_V_channel1_read); p_src_cols_V_channel1_U : component FIFO_image_filter_p_src_cols_V_channel1 port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_cols_V_channel1_U_ap_dummy_ce, if_write_ce => p_src_cols_V_channel1_U_ap_dummy_ce, if_din => p_src_cols_V_channel1_din, if_full_n => p_src_cols_V_channel1_full_n, if_write => p_src_cols_V_channel1_write, if_dout => p_src_cols_V_channel1_dout, if_empty_n => p_src_cols_V_channel1_empty_n, if_read => p_src_cols_V_channel1_read); p_src_data_stream_0_V_U : component FIFO_image_filter_p_src_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_data_stream_0_V_U_ap_dummy_ce, if_write_ce => p_src_data_stream_0_V_U_ap_dummy_ce, if_din => p_src_data_stream_0_V_din, if_full_n => p_src_data_stream_0_V_full_n, if_write => p_src_data_stream_0_V_write, if_dout => p_src_data_stream_0_V_dout, if_empty_n => p_src_data_stream_0_V_empty_n, if_read => p_src_data_stream_0_V_read); p_src_data_stream_1_V_U : component FIFO_image_filter_p_src_data_stream_1_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_data_stream_1_V_U_ap_dummy_ce, if_write_ce => p_src_data_stream_1_V_U_ap_dummy_ce, if_din => p_src_data_stream_1_V_din, if_full_n => p_src_data_stream_1_V_full_n, if_write => p_src_data_stream_1_V_write, if_dout => p_src_data_stream_1_V_dout, if_empty_n => p_src_data_stream_1_V_empty_n, if_read => p_src_data_stream_1_V_read); p_src_data_stream_2_V_U : component FIFO_image_filter_p_src_data_stream_2_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_src_data_stream_2_V_U_ap_dummy_ce, if_write_ce => p_src_data_stream_2_V_U_ap_dummy_ce, if_din => p_src_data_stream_2_V_din, if_full_n => p_src_data_stream_2_V_full_n, if_write => p_src_data_stream_2_V_write, if_dout => p_src_data_stream_2_V_dout, if_empty_n => p_src_data_stream_2_V_empty_n, if_read => p_src_data_stream_2_V_read); src0_data_stream_0_V_U : component FIFO_image_filter_src0_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src0_data_stream_0_V_U_ap_dummy_ce, if_write_ce => src0_data_stream_0_V_U_ap_dummy_ce, if_din => src0_data_stream_0_V_din, if_full_n => src0_data_stream_0_V_full_n, if_write => src0_data_stream_0_V_write, if_dout => src0_data_stream_0_V_dout, if_empty_n => src0_data_stream_0_V_empty_n, if_read => src0_data_stream_0_V_read); src0_data_stream_1_V_U : component FIFO_image_filter_src0_data_stream_1_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src0_data_stream_1_V_U_ap_dummy_ce, if_write_ce => src0_data_stream_1_V_U_ap_dummy_ce, if_din => src0_data_stream_1_V_din, if_full_n => src0_data_stream_1_V_full_n, if_write => src0_data_stream_1_V_write, if_dout => src0_data_stream_1_V_dout, if_empty_n => src0_data_stream_1_V_empty_n, if_read => src0_data_stream_1_V_read); src0_data_stream_2_V_U : component FIFO_image_filter_src0_data_stream_2_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src0_data_stream_2_V_U_ap_dummy_ce, if_write_ce => src0_data_stream_2_V_U_ap_dummy_ce, if_din => src0_data_stream_2_V_din, if_full_n => src0_data_stream_2_V_full_n, if_write => src0_data_stream_2_V_write, if_dout => src0_data_stream_2_V_dout, if_empty_n => src0_data_stream_2_V_empty_n, if_read => src0_data_stream_2_V_read); src1_data_stream_0_V_U : component FIFO_image_filter_src1_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src1_data_stream_0_V_U_ap_dummy_ce, if_write_ce => src1_data_stream_0_V_U_ap_dummy_ce, if_din => src1_data_stream_0_V_din, if_full_n => src1_data_stream_0_V_full_n, if_write => src1_data_stream_0_V_write, if_dout => src1_data_stream_0_V_dout, if_empty_n => src1_data_stream_0_V_empty_n, if_read => src1_data_stream_0_V_read); src1_data_stream_1_V_U : component FIFO_image_filter_src1_data_stream_1_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src1_data_stream_1_V_U_ap_dummy_ce, if_write_ce => src1_data_stream_1_V_U_ap_dummy_ce, if_din => src1_data_stream_1_V_din, if_full_n => src1_data_stream_1_V_full_n, if_write => src1_data_stream_1_V_write, if_dout => src1_data_stream_1_V_dout, if_empty_n => src1_data_stream_1_V_empty_n, if_read => src1_data_stream_1_V_read); src1_data_stream_2_V_U : component FIFO_image_filter_src1_data_stream_2_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src1_data_stream_2_V_U_ap_dummy_ce, if_write_ce => src1_data_stream_2_V_U_ap_dummy_ce, if_din => src1_data_stream_2_V_din, if_full_n => src1_data_stream_2_V_full_n, if_write => src1_data_stream_2_V_write, if_dout => src1_data_stream_2_V_dout, if_empty_n => src1_data_stream_2_V_empty_n, if_read => src1_data_stream_2_V_read); src0_rows_V_U : component FIFO_image_filter_src0_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src0_rows_V_U_ap_dummy_ce, if_write_ce => src0_rows_V_U_ap_dummy_ce, if_din => src0_rows_V_din, if_full_n => src0_rows_V_full_n, if_write => src0_rows_V_write, if_dout => src0_rows_V_dout, if_empty_n => src0_rows_V_empty_n, if_read => src0_rows_V_read); src0_cols_V_U : component FIFO_image_filter_src0_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src0_cols_V_U_ap_dummy_ce, if_write_ce => src0_cols_V_U_ap_dummy_ce, if_din => src0_cols_V_din, if_full_n => src0_cols_V_full_n, if_write => src0_cols_V_write, if_dout => src0_cols_V_dout, if_empty_n => src0_cols_V_empty_n, if_read => src0_cols_V_read); src1_rows_V_U : component FIFO_image_filter_src1_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src1_rows_V_U_ap_dummy_ce, if_write_ce => src1_rows_V_U_ap_dummy_ce, if_din => src1_rows_V_din, if_full_n => src1_rows_V_full_n, if_write => src1_rows_V_write, if_dout => src1_rows_V_dout, if_empty_n => src1_rows_V_empty_n, if_read => src1_rows_V_read); src1_cols_V_U : component FIFO_image_filter_src1_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => src1_cols_V_U_ap_dummy_ce, if_write_ce => src1_cols_V_U_ap_dummy_ce, if_din => src1_cols_V_din, if_full_n => src1_cols_V_full_n, if_write => src1_cols_V_write, if_dout => src1_cols_V_dout, if_empty_n => src1_cols_V_empty_n, if_read => src1_cols_V_read); mask_rows_V_U : component FIFO_image_filter_mask_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => mask_rows_V_U_ap_dummy_ce, if_write_ce => mask_rows_V_U_ap_dummy_ce, if_din => mask_rows_V_din, if_full_n => mask_rows_V_full_n, if_write => mask_rows_V_write, if_dout => mask_rows_V_dout, if_empty_n => mask_rows_V_empty_n, if_read => mask_rows_V_read); mask_cols_V_U : component FIFO_image_filter_mask_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => mask_cols_V_U_ap_dummy_ce, if_write_ce => mask_cols_V_U_ap_dummy_ce, if_din => mask_cols_V_din, if_full_n => mask_cols_V_full_n, if_write => mask_cols_V_write, if_dout => mask_cols_V_dout, if_empty_n => mask_cols_V_empty_n, if_read => mask_cols_V_read); dmask_rows_V_U : component FIFO_image_filter_dmask_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => dmask_rows_V_U_ap_dummy_ce, if_write_ce => dmask_rows_V_U_ap_dummy_ce, if_din => dmask_rows_V_din, if_full_n => dmask_rows_V_full_n, if_write => dmask_rows_V_write, if_dout => dmask_rows_V_dout, if_empty_n => dmask_rows_V_empty_n, if_read => dmask_rows_V_read); dmask_cols_V_U : component FIFO_image_filter_dmask_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => dmask_cols_V_U_ap_dummy_ce, if_write_ce => dmask_cols_V_U_ap_dummy_ce, if_din => dmask_cols_V_din, if_full_n => dmask_cols_V_full_n, if_write => dmask_cols_V_write, if_dout => dmask_cols_V_dout, if_empty_n => dmask_cols_V_empty_n, if_read => dmask_cols_V_read); gray_data_stream_0_V_U : component FIFO_image_filter_gray_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => gray_data_stream_0_V_U_ap_dummy_ce, if_write_ce => gray_data_stream_0_V_U_ap_dummy_ce, if_din => gray_data_stream_0_V_din, if_full_n => gray_data_stream_0_V_full_n, if_write => gray_data_stream_0_V_write, if_dout => gray_data_stream_0_V_dout, if_empty_n => gray_data_stream_0_V_empty_n, if_read => gray_data_stream_0_V_read); gray_rows_V_U : component FIFO_image_filter_gray_rows_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => gray_rows_V_U_ap_dummy_ce, if_write_ce => gray_rows_V_U_ap_dummy_ce, if_din => gray_rows_V_din, if_full_n => gray_rows_V_full_n, if_write => gray_rows_V_write, if_dout => gray_rows_V_dout, if_empty_n => gray_rows_V_empty_n, if_read => gray_rows_V_read); gray_cols_V_U : component FIFO_image_filter_gray_cols_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => gray_cols_V_U_ap_dummy_ce, if_write_ce => gray_cols_V_U_ap_dummy_ce, if_din => gray_cols_V_din, if_full_n => gray_cols_V_full_n, if_write => gray_cols_V_write, if_dout => gray_cols_V_dout, if_empty_n => gray_cols_V_empty_n, if_read => gray_cols_V_read); mask_data_stream_0_V_U : component FIFO_image_filter_mask_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => mask_data_stream_0_V_U_ap_dummy_ce, if_write_ce => mask_data_stream_0_V_U_ap_dummy_ce, if_din => mask_data_stream_0_V_din, if_full_n => mask_data_stream_0_V_full_n, if_write => mask_data_stream_0_V_write, if_dout => mask_data_stream_0_V_dout, if_empty_n => mask_data_stream_0_V_empty_n, if_read => mask_data_stream_0_V_read); dmask_data_stream_0_V_U : component FIFO_image_filter_dmask_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => dmask_data_stream_0_V_U_ap_dummy_ce, if_write_ce => dmask_data_stream_0_V_U_ap_dummy_ce, if_din => dmask_data_stream_0_V_din, if_full_n => dmask_data_stream_0_V_full_n, if_write => dmask_data_stream_0_V_write, if_dout => dmask_data_stream_0_V_dout, if_empty_n => dmask_data_stream_0_V_empty_n, if_read => dmask_data_stream_0_V_read); p_dst_data_stream_0_V_U : component FIFO_image_filter_p_dst_data_stream_0_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_data_stream_0_V_U_ap_dummy_ce, if_write_ce => p_dst_data_stream_0_V_U_ap_dummy_ce, if_din => p_dst_data_stream_0_V_din, if_full_n => p_dst_data_stream_0_V_full_n, if_write => p_dst_data_stream_0_V_write, if_dout => p_dst_data_stream_0_V_dout, if_empty_n => p_dst_data_stream_0_V_empty_n, if_read => p_dst_data_stream_0_V_read); p_dst_data_stream_1_V_U : component FIFO_image_filter_p_dst_data_stream_1_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_data_stream_1_V_U_ap_dummy_ce, if_write_ce => p_dst_data_stream_1_V_U_ap_dummy_ce, if_din => p_dst_data_stream_1_V_din, if_full_n => p_dst_data_stream_1_V_full_n, if_write => p_dst_data_stream_1_V_write, if_dout => p_dst_data_stream_1_V_dout, if_empty_n => p_dst_data_stream_1_V_empty_n, if_read => p_dst_data_stream_1_V_read); p_dst_data_stream_2_V_U : component FIFO_image_filter_p_dst_data_stream_2_V port map ( clk => ap_clk, reset => ap_rst_n_inv, if_read_ce => p_dst_data_stream_2_V_U_ap_dummy_ce, if_write_ce => p_dst_data_stream_2_V_U_ap_dummy_ce, if_din => p_dst_data_stream_2_V_din, if_full_n => p_dst_data_stream_2_V_full_n, if_write => p_dst_data_stream_2_V_write, if_dout => p_dst_data_stream_2_V_dout, if_empty_n => p_dst_data_stream_2_V_empty_n, if_read => p_dst_data_stream_2_V_read); -- ap_reg_procdone_image_filter_AXIvideo2Mat_U0 assign process. -- ap_reg_procdone_image_filter_AXIvideo2Mat_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_done)) then ap_reg_procdone_image_filter_AXIvideo2Mat_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0 assign process. -- ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done)) then ap_reg_procdone_image_filter_Block_Mat_exit1220_proc1_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0 assign process. -- ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_done)) then ap_reg_procdone_image_filter_Block_Mat_exit1222_proc1_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_Block_proc_U0 assign process. -- ap_reg_procdone_image_filter_Block_proc_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_0; elsif ((image_filter_Block_proc_U0_ap_done = ap_const_logic_1)) then ap_reg_procdone_image_filter_Block_proc_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0 assign process. -- ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_Dilate_0_0_1080_1920_U0_ap_done)) then ap_reg_procdone_image_filter_Dilate_0_0_1080_1920_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_FAST_t_opr_U0 assign process. -- ap_reg_procdone_image_filter_FAST_t_opr_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_FAST_t_opr_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_FAST_t_opr_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_FAST_t_opr_U0_ap_done)) then ap_reg_procdone_image_filter_FAST_t_opr_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_Mat2AXIvideo_U0 assign process. -- ap_reg_procdone_image_filter_Mat2AXIvideo_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then ap_reg_procdone_image_filter_Mat2AXIvideo_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0 assign process. -- ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0 <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_sig_hs_done)) then ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = image_filter_PaintMask_32_0_1080_1920_U0_ap_done)) then ap_reg_procdone_image_filter_PaintMask_32_0_1080_1920_U0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_dmask_cols_V_full_n assign process. -- ap_reg_ready_dmask_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_dmask_cols_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_dmask_cols_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = dmask_cols_V_full_n))) then ap_reg_ready_dmask_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_dmask_rows_V_full_n assign process. -- ap_reg_ready_dmask_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_dmask_rows_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_dmask_rows_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = dmask_rows_V_full_n))) then ap_reg_ready_dmask_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_gray_cols_V_full_n assign process. -- ap_reg_ready_gray_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_gray_cols_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_continue))) then ap_reg_ready_gray_cols_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_done) and (ap_const_logic_1 = gray_cols_V_full_n))) then ap_reg_ready_gray_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_gray_rows_V_full_n assign process. -- ap_reg_ready_gray_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_gray_rows_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_continue))) then ap_reg_ready_gray_rows_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_done) and (ap_const_logic_1 = gray_rows_V_full_n))) then ap_reg_ready_gray_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_mask_cols_V_full_n assign process. -- ap_reg_ready_mask_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_mask_cols_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_mask_cols_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = mask_cols_V_full_n))) then ap_reg_ready_mask_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_mask_rows_V_full_n assign process. -- ap_reg_ready_mask_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_mask_rows_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_mask_rows_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = mask_rows_V_full_n))) then ap_reg_ready_mask_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_dst_cols_V_channel_full_n assign process. -- ap_reg_ready_p_dst_cols_V_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_dst_cols_V_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_dst_cols_V_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (p_dst_cols_V_channel_full_n = ap_const_logic_1))) then ap_reg_ready_p_dst_cols_V_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_dst_cols_V_full_n assign process. -- ap_reg_ready_p_dst_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_dst_cols_V_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_dst_cols_V_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_dst_cols_V_full_n))) then ap_reg_ready_p_dst_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_dst_rows_V_channel_full_n assign process. -- ap_reg_ready_p_dst_rows_V_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_dst_rows_V_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_dst_rows_V_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_dst_rows_V_channel_full_n))) then ap_reg_ready_p_dst_rows_V_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_dst_rows_V_full_n assign process. -- ap_reg_ready_p_dst_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_dst_rows_V_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_dst_rows_V_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_dst_rows_V_full_n))) then ap_reg_ready_p_dst_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n assign process. -- ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_cols_V_2_loc_channel1_full_n))) then ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_cols_V_2_loc_channel_full_n assign process. -- ap_reg_ready_p_src_cols_V_2_loc_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_cols_V_2_loc_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_cols_V_2_loc_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_cols_V_2_loc_channel_full_n))) then ap_reg_ready_p_src_cols_V_2_loc_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_cols_V_channel1_full_n assign process. -- ap_reg_ready_p_src_cols_V_channel1_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_cols_V_channel1_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_cols_V_channel1_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_cols_V_channel1_full_n))) then ap_reg_ready_p_src_cols_V_channel1_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_cols_V_channel_full_n assign process. -- ap_reg_ready_p_src_cols_V_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_cols_V_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_cols_V_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_cols_V_channel_full_n))) then ap_reg_ready_p_src_cols_V_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n assign process. -- ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_rows_V_2_loc_channel1_full_n))) then ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_rows_V_2_loc_channel_full_n assign process. -- ap_reg_ready_p_src_rows_V_2_loc_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_rows_V_2_loc_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_rows_V_2_loc_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_rows_V_2_loc_channel_full_n))) then ap_reg_ready_p_src_rows_V_2_loc_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_rows_V_channel1_full_n assign process. -- ap_reg_ready_p_src_rows_V_channel1_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_rows_V_channel1_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_rows_V_channel1_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_rows_V_channel1_full_n))) then ap_reg_ready_p_src_rows_V_channel1_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_p_src_rows_V_channel_full_n assign process. -- ap_reg_ready_p_src_rows_V_channel_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_p_src_rows_V_channel_full_n <= ap_const_logic_0; else if (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (image_filter_Block_proc_U0_ap_continue = ap_const_logic_1))) then ap_reg_ready_p_src_rows_V_channel_full_n <= ap_const_logic_0; elsif (((image_filter_Block_proc_U0_ap_done = ap_const_logic_1) and (ap_const_logic_1 = p_src_rows_V_channel_full_n))) then ap_reg_ready_p_src_rows_V_channel_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_src0_cols_V_full_n assign process. -- ap_reg_ready_src0_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_src0_cols_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_src0_cols_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = src0_cols_V_full_n))) then ap_reg_ready_src0_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_src0_rows_V_full_n assign process. -- ap_reg_ready_src0_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_src0_rows_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_src0_rows_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = src0_rows_V_full_n))) then ap_reg_ready_src0_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_src1_cols_V_full_n assign process. -- ap_reg_ready_src1_cols_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_src1_cols_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_src1_cols_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = src1_cols_V_full_n))) then ap_reg_ready_src1_cols_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ready_src1_rows_V_full_n assign process. -- ap_reg_ready_src1_rows_V_full_n_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ready_src1_rows_V_full_n <= ap_const_logic_0; else if (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_continue))) then ap_reg_ready_src1_rows_V_full_n <= ap_const_logic_0; elsif (((ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_done) and (ap_const_logic_1 = src1_rows_V_full_n))) then ap_reg_ready_src1_rows_V_full_n <= ap_const_logic_1; end if; end if; end if; end process; -- ap_CS assign process. -- ap_CS_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then ap_CS <= ap_const_logic_0; end if; end process; INPUT_STREAM_TREADY <= image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TREADY; OUTPUT_STREAM_TDATA <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDATA; OUTPUT_STREAM_TDEST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TDEST; OUTPUT_STREAM_TID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TID; OUTPUT_STREAM_TKEEP <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TKEEP; OUTPUT_STREAM_TLAST <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TLAST; OUTPUT_STREAM_TSTRB <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TSTRB; OUTPUT_STREAM_TUSER <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TUSER; OUTPUT_STREAM_TVALID <= image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TVALID; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_dmask_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_dmask_cols_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_dmask_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_dmask_rows_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_mask_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_mask_cols_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_mask_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_mask_rows_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_src0_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_src0_cols_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_src0_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_src0_rows_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_src1_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_src1_cols_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V_assign_proc : process(image_filter_Block_Mat_exit1220_proc1_U0_ap_done, ap_reg_ready_src1_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_src1_rows_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V <= image_filter_Block_Mat_exit1220_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V_assign_proc : process(image_filter_Block_Mat_exit1222_proc1_U0_ap_done, ap_reg_ready_gray_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_gray_cols_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V <= image_filter_Block_Mat_exit1222_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V assign process. -- ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V_assign_proc : process(image_filter_Block_Mat_exit1222_proc1_U0_ap_done, ap_reg_ready_gray_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_gray_rows_V_full_n)) then ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V <= image_filter_Block_Mat_exit1222_proc1_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_dst_cols_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_dst_cols_V_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_dst_cols_V_channel_full_n) begin if ((ap_reg_ready_p_dst_cols_V_channel_full_n = ap_const_logic_1)) then ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_dst_rows_V_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_dst_rows_V_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_dst_rows_V_channel_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_dst_rows_V_channel_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_cols_V_2_loc_channel_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_cols_V_2_loc_channel_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1 assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1 <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1 <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_cols_V_channel_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_cols_V_channel_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1 assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_cols_V_channel1_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_cols_V_channel1_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1 <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1 <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_rows_V_2_loc_channel_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_rows_V_2_loc_channel_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1 assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1 <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1 <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_rows_V_channel_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_rows_V_channel_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel <= image_filter_Block_proc_U0_ap_done; end if; end process; -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1 assign process. -- ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1_assign_proc : process(image_filter_Block_proc_U0_ap_done, ap_reg_ready_p_src_rows_V_channel1_full_n) begin if ((ap_const_logic_1 = ap_reg_ready_p_src_rows_V_channel1_full_n)) then ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1 <= ap_const_logic_0; else ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1 <= image_filter_Block_proc_U0_ap_done; end if; end process; ap_done <= ap_sig_hs_done; -- ap_idle assign process. -- ap_idle_assign_proc : process(image_filter_Block_proc_U0_ap_idle, image_filter_AXIvideo2Mat_U0_ap_idle, image_filter_Block_Mat_exit1220_proc1_U0_ap_idle, image_filter_Block_Mat_exit1222_proc1_U0_ap_idle, image_filter_FAST_t_opr_U0_ap_idle, image_filter_Dilate_0_0_1080_1920_U0_ap_idle, image_filter_PaintMask_32_0_1080_1920_U0_ap_idle, image_filter_Mat2AXIvideo_U0_ap_idle, p_src_cols_V_2_loc_channel1_empty_n, p_src_cols_V_2_loc_channel_empty_n, p_src_rows_V_2_loc_channel1_empty_n, p_src_rows_V_2_loc_channel_empty_n, p_dst_cols_V_channel_empty_n, p_dst_cols_V_empty_n, p_dst_rows_V_channel_empty_n, p_dst_rows_V_empty_n, p_src_cols_V_channel_empty_n, p_src_rows_V_channel_empty_n, p_src_rows_V_channel1_empty_n, p_src_cols_V_channel1_empty_n, src0_rows_V_empty_n, src0_cols_V_empty_n, src1_rows_V_empty_n, src1_cols_V_empty_n, mask_rows_V_empty_n, mask_cols_V_empty_n, dmask_rows_V_empty_n, dmask_cols_V_empty_n, gray_rows_V_empty_n, gray_cols_V_empty_n) begin if (((image_filter_Block_proc_U0_ap_idle = ap_const_logic_1) and (ap_const_logic_1 = image_filter_AXIvideo2Mat_U0_ap_idle) and (ap_const_logic_1 = image_filter_Block_Mat_exit1220_proc1_U0_ap_idle) and (ap_const_logic_1 = image_filter_Block_Mat_exit1222_proc1_U0_ap_idle) and (ap_const_logic_1 = image_filter_FAST_t_opr_U0_ap_idle) and (ap_const_logic_1 = image_filter_Dilate_0_0_1080_1920_U0_ap_idle) and (ap_const_logic_1 = image_filter_PaintMask_32_0_1080_1920_U0_ap_idle) and (ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_idle) and (ap_const_logic_0 = p_src_cols_V_2_loc_channel1_empty_n) and (ap_const_logic_0 = p_src_cols_V_2_loc_channel_empty_n) and (ap_const_logic_0 = p_src_rows_V_2_loc_channel1_empty_n) and (ap_const_logic_0 = p_src_rows_V_2_loc_channel_empty_n) and (ap_const_logic_0 = p_dst_cols_V_channel_empty_n) and (ap_const_logic_0 = p_dst_cols_V_empty_n) and (ap_const_logic_0 = p_dst_rows_V_channel_empty_n) and (ap_const_logic_0 = p_dst_rows_V_empty_n) and (ap_const_logic_0 = p_src_cols_V_channel_empty_n) and (ap_const_logic_0 = p_src_rows_V_channel_empty_n) and (ap_const_logic_0 = p_src_rows_V_channel1_empty_n) and (ap_const_logic_0 = p_src_cols_V_channel1_empty_n) and (ap_const_logic_0 = src0_rows_V_empty_n) and (ap_const_logic_0 = src0_cols_V_empty_n) and (ap_const_logic_0 = src1_rows_V_empty_n) and (ap_const_logic_0 = src1_cols_V_empty_n) and (ap_const_logic_0 = mask_rows_V_empty_n) and (ap_const_logic_0 = mask_cols_V_empty_n) and (ap_const_logic_0 = dmask_rows_V_empty_n) and (ap_const_logic_0 = dmask_cols_V_empty_n) and (ap_const_logic_0 = gray_rows_V_empty_n) and (ap_const_logic_0 = gray_cols_V_empty_n))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready <= ap_sig_top_allready; -- ap_rst_n_inv assign process. -- ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; ap_sig_hs_continue <= ap_const_logic_1; -- ap_sig_hs_done assign process. -- ap_sig_hs_done_assign_proc : process(image_filter_Mat2AXIvideo_U0_ap_done) begin if ((ap_const_logic_1 = image_filter_Mat2AXIvideo_U0_ap_done)) then ap_sig_hs_done <= ap_const_logic_1; else ap_sig_hs_done <= ap_const_logic_0; end if; end process; -- ap_sig_ready_dmask_cols_V_full_n assign process. -- ap_sig_ready_dmask_cols_V_full_n_assign_proc : process(dmask_cols_V_full_n, ap_reg_ready_dmask_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_dmask_cols_V_full_n)) then ap_sig_ready_dmask_cols_V_full_n <= dmask_cols_V_full_n; else ap_sig_ready_dmask_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_dmask_rows_V_full_n assign process. -- ap_sig_ready_dmask_rows_V_full_n_assign_proc : process(dmask_rows_V_full_n, ap_reg_ready_dmask_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_dmask_rows_V_full_n)) then ap_sig_ready_dmask_rows_V_full_n <= dmask_rows_V_full_n; else ap_sig_ready_dmask_rows_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_gray_cols_V_full_n assign process. -- ap_sig_ready_gray_cols_V_full_n_assign_proc : process(gray_cols_V_full_n, ap_reg_ready_gray_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_gray_cols_V_full_n)) then ap_sig_ready_gray_cols_V_full_n <= gray_cols_V_full_n; else ap_sig_ready_gray_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_gray_rows_V_full_n assign process. -- ap_sig_ready_gray_rows_V_full_n_assign_proc : process(gray_rows_V_full_n, ap_reg_ready_gray_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_gray_rows_V_full_n)) then ap_sig_ready_gray_rows_V_full_n <= gray_rows_V_full_n; else ap_sig_ready_gray_rows_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_mask_cols_V_full_n assign process. -- ap_sig_ready_mask_cols_V_full_n_assign_proc : process(mask_cols_V_full_n, ap_reg_ready_mask_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_mask_cols_V_full_n)) then ap_sig_ready_mask_cols_V_full_n <= mask_cols_V_full_n; else ap_sig_ready_mask_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_mask_rows_V_full_n assign process. -- ap_sig_ready_mask_rows_V_full_n_assign_proc : process(mask_rows_V_full_n, ap_reg_ready_mask_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_mask_rows_V_full_n)) then ap_sig_ready_mask_rows_V_full_n <= mask_rows_V_full_n; else ap_sig_ready_mask_rows_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_dst_cols_V_channel_full_n assign process. -- ap_sig_ready_p_dst_cols_V_channel_full_n_assign_proc : process(p_dst_cols_V_channel_full_n, ap_reg_ready_p_dst_cols_V_channel_full_n) begin if ((ap_reg_ready_p_dst_cols_V_channel_full_n = ap_const_logic_0)) then ap_sig_ready_p_dst_cols_V_channel_full_n <= p_dst_cols_V_channel_full_n; else ap_sig_ready_p_dst_cols_V_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_dst_cols_V_full_n assign process. -- ap_sig_ready_p_dst_cols_V_full_n_assign_proc : process(p_dst_cols_V_full_n, ap_reg_ready_p_dst_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_dst_cols_V_full_n)) then ap_sig_ready_p_dst_cols_V_full_n <= p_dst_cols_V_full_n; else ap_sig_ready_p_dst_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_dst_rows_V_channel_full_n assign process. -- ap_sig_ready_p_dst_rows_V_channel_full_n_assign_proc : process(p_dst_rows_V_channel_full_n, ap_reg_ready_p_dst_rows_V_channel_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_dst_rows_V_channel_full_n)) then ap_sig_ready_p_dst_rows_V_channel_full_n <= p_dst_rows_V_channel_full_n; else ap_sig_ready_p_dst_rows_V_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_dst_rows_V_full_n assign process. -- ap_sig_ready_p_dst_rows_V_full_n_assign_proc : process(p_dst_rows_V_full_n, ap_reg_ready_p_dst_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_dst_rows_V_full_n)) then ap_sig_ready_p_dst_rows_V_full_n <= p_dst_rows_V_full_n; else ap_sig_ready_p_dst_rows_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n assign process. -- ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n_assign_proc : process(p_src_cols_V_2_loc_channel1_full_n, ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_cols_V_2_loc_channel1_full_n)) then ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n <= p_src_cols_V_2_loc_channel1_full_n; else ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_cols_V_2_loc_channel_full_n assign process. -- ap_sig_ready_p_src_cols_V_2_loc_channel_full_n_assign_proc : process(p_src_cols_V_2_loc_channel_full_n, ap_reg_ready_p_src_cols_V_2_loc_channel_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_cols_V_2_loc_channel_full_n)) then ap_sig_ready_p_src_cols_V_2_loc_channel_full_n <= p_src_cols_V_2_loc_channel_full_n; else ap_sig_ready_p_src_cols_V_2_loc_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_cols_V_channel1_full_n assign process. -- ap_sig_ready_p_src_cols_V_channel1_full_n_assign_proc : process(p_src_cols_V_channel1_full_n, ap_reg_ready_p_src_cols_V_channel1_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_cols_V_channel1_full_n)) then ap_sig_ready_p_src_cols_V_channel1_full_n <= p_src_cols_V_channel1_full_n; else ap_sig_ready_p_src_cols_V_channel1_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_cols_V_channel_full_n assign process. -- ap_sig_ready_p_src_cols_V_channel_full_n_assign_proc : process(p_src_cols_V_channel_full_n, ap_reg_ready_p_src_cols_V_channel_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_cols_V_channel_full_n)) then ap_sig_ready_p_src_cols_V_channel_full_n <= p_src_cols_V_channel_full_n; else ap_sig_ready_p_src_cols_V_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n assign process. -- ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n_assign_proc : process(p_src_rows_V_2_loc_channel1_full_n, ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_rows_V_2_loc_channel1_full_n)) then ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n <= p_src_rows_V_2_loc_channel1_full_n; else ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_rows_V_2_loc_channel_full_n assign process. -- ap_sig_ready_p_src_rows_V_2_loc_channel_full_n_assign_proc : process(p_src_rows_V_2_loc_channel_full_n, ap_reg_ready_p_src_rows_V_2_loc_channel_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_rows_V_2_loc_channel_full_n)) then ap_sig_ready_p_src_rows_V_2_loc_channel_full_n <= p_src_rows_V_2_loc_channel_full_n; else ap_sig_ready_p_src_rows_V_2_loc_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_rows_V_channel1_full_n assign process. -- ap_sig_ready_p_src_rows_V_channel1_full_n_assign_proc : process(p_src_rows_V_channel1_full_n, ap_reg_ready_p_src_rows_V_channel1_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_rows_V_channel1_full_n)) then ap_sig_ready_p_src_rows_V_channel1_full_n <= p_src_rows_V_channel1_full_n; else ap_sig_ready_p_src_rows_V_channel1_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_p_src_rows_V_channel_full_n assign process. -- ap_sig_ready_p_src_rows_V_channel_full_n_assign_proc : process(p_src_rows_V_channel_full_n, ap_reg_ready_p_src_rows_V_channel_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_p_src_rows_V_channel_full_n)) then ap_sig_ready_p_src_rows_V_channel_full_n <= p_src_rows_V_channel_full_n; else ap_sig_ready_p_src_rows_V_channel_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_src0_cols_V_full_n assign process. -- ap_sig_ready_src0_cols_V_full_n_assign_proc : process(src0_cols_V_full_n, ap_reg_ready_src0_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_src0_cols_V_full_n)) then ap_sig_ready_src0_cols_V_full_n <= src0_cols_V_full_n; else ap_sig_ready_src0_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_src0_rows_V_full_n assign process. -- ap_sig_ready_src0_rows_V_full_n_assign_proc : process(src0_rows_V_full_n, ap_reg_ready_src0_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_src0_rows_V_full_n)) then ap_sig_ready_src0_rows_V_full_n <= src0_rows_V_full_n; else ap_sig_ready_src0_rows_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_src1_cols_V_full_n assign process. -- ap_sig_ready_src1_cols_V_full_n_assign_proc : process(src1_cols_V_full_n, ap_reg_ready_src1_cols_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_src1_cols_V_full_n)) then ap_sig_ready_src1_cols_V_full_n <= src1_cols_V_full_n; else ap_sig_ready_src1_cols_V_full_n <= ap_const_logic_1; end if; end process; -- ap_sig_ready_src1_rows_V_full_n assign process. -- ap_sig_ready_src1_rows_V_full_n_assign_proc : process(src1_rows_V_full_n, ap_reg_ready_src1_rows_V_full_n) begin if ((ap_const_logic_0 = ap_reg_ready_src1_rows_V_full_n)) then ap_sig_ready_src1_rows_V_full_n <= src1_rows_V_full_n; else ap_sig_ready_src1_rows_V_full_n <= ap_const_logic_1; end if; end process; ap_sig_top_allready <= image_filter_AXIvideo2Mat_U0_ap_ready; dmask_cols_V_U_ap_dummy_ce <= ap_const_logic_1; dmask_cols_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_7; dmask_cols_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; dmask_cols_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_cols_V; dmask_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; dmask_data_stream_0_V_din <= image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_din; dmask_data_stream_0_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_read; dmask_data_stream_0_V_write <= image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_write; dmask_rows_V_U_ap_dummy_ce <= ap_const_logic_1; dmask_rows_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_6; dmask_rows_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; dmask_rows_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_dmask_rows_V; gray_cols_V_U_ap_dummy_ce <= ap_const_logic_1; gray_cols_V_din <= image_filter_Block_Mat_exit1222_proc1_U0_ap_return_1; gray_cols_V_read <= image_filter_FAST_t_opr_U0_ap_ready; gray_cols_V_write <= ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_cols_V; gray_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; gray_data_stream_0_V_din <= image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_din; gray_data_stream_0_V_read <= image_filter_FAST_t_opr_U0_p_src_data_stream_V_read; gray_data_stream_0_V_write <= image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_write; gray_rows_V_U_ap_dummy_ce <= ap_const_logic_1; gray_rows_V_din <= image_filter_Block_Mat_exit1222_proc1_U0_ap_return_0; gray_rows_V_read <= image_filter_FAST_t_opr_U0_ap_ready; gray_rows_V_write <= ap_chn_write_image_filter_Block_Mat_exit1222_proc1_U0_gray_rows_V; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDATA <= INPUT_STREAM_TDATA; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TDEST <= INPUT_STREAM_TDEST; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TID <= INPUT_STREAM_TID; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TKEEP <= INPUT_STREAM_TKEEP; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TLAST <= INPUT_STREAM_TLAST; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TSTRB <= INPUT_STREAM_TSTRB; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TUSER <= INPUT_STREAM_TUSER; image_filter_AXIvideo2Mat_U0_INPUT_STREAM_TVALID <= INPUT_STREAM_TVALID; image_filter_AXIvideo2Mat_U0_ap_continue <= ap_const_logic_1; image_filter_AXIvideo2Mat_U0_ap_start <= (ap_start and p_src_cols_V_channel_empty_n and p_src_rows_V_channel_empty_n); image_filter_AXIvideo2Mat_U0_img_cols_V_read <= p_src_cols_V_channel_dout; image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_full_n <= p_src_data_stream_0_V_full_n; image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_full_n <= p_src_data_stream_1_V_full_n; image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_full_n <= p_src_data_stream_2_V_full_n; image_filter_AXIvideo2Mat_U0_img_rows_V_read <= p_src_rows_V_channel_dout; -- image_filter_Block_Mat_exit1220_proc1_U0_ap_continue assign process. -- image_filter_Block_Mat_exit1220_proc1_U0_ap_continue_assign_proc : process(ap_sig_ready_src0_rows_V_full_n, ap_sig_ready_src0_cols_V_full_n, ap_sig_ready_src1_rows_V_full_n, ap_sig_ready_src1_cols_V_full_n, ap_sig_ready_mask_rows_V_full_n, ap_sig_ready_mask_cols_V_full_n, ap_sig_ready_dmask_rows_V_full_n, ap_sig_ready_dmask_cols_V_full_n) begin if (((ap_const_logic_1 = ap_sig_ready_src0_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_src0_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_src1_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_src1_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_mask_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_mask_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_dmask_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_dmask_cols_V_full_n))) then image_filter_Block_Mat_exit1220_proc1_U0_ap_continue <= ap_const_logic_1; else image_filter_Block_Mat_exit1220_proc1_U0_ap_continue <= ap_const_logic_0; end if; end process; image_filter_Block_Mat_exit1220_proc1_U0_ap_start <= (p_src_cols_V_2_loc_channel_empty_n and p_src_rows_V_2_loc_channel_empty_n and p_src_rows_V_channel1_empty_n and p_src_cols_V_channel1_empty_n); image_filter_Block_Mat_exit1220_proc1_U0_p_read <= p_src_rows_V_2_loc_channel_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_read16 <= p_src_rows_V_channel1_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_read17 <= p_src_cols_V_channel1_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_read2 <= p_src_cols_V_2_loc_channel_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_dout <= p_src_data_stream_0_V_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_empty_n <= p_src_data_stream_0_V_empty_n; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_dout <= p_src_data_stream_1_V_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_empty_n <= p_src_data_stream_1_V_empty_n; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_dout <= p_src_data_stream_2_V_dout; image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_empty_n <= p_src_data_stream_2_V_empty_n; image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_full_n <= src0_data_stream_0_V_full_n; image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_full_n <= src0_data_stream_1_V_full_n; image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_full_n <= src0_data_stream_2_V_full_n; image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_full_n <= src1_data_stream_0_V_full_n; image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_full_n <= src1_data_stream_1_V_full_n; image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_full_n <= src1_data_stream_2_V_full_n; -- image_filter_Block_Mat_exit1222_proc1_U0_ap_continue assign process. -- image_filter_Block_Mat_exit1222_proc1_U0_ap_continue_assign_proc : process(ap_sig_ready_gray_rows_V_full_n, ap_sig_ready_gray_cols_V_full_n) begin if (((ap_const_logic_1 = ap_sig_ready_gray_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_gray_cols_V_full_n))) then image_filter_Block_Mat_exit1222_proc1_U0_ap_continue <= ap_const_logic_1; else image_filter_Block_Mat_exit1222_proc1_U0_ap_continue <= ap_const_logic_0; end if; end process; image_filter_Block_Mat_exit1222_proc1_U0_ap_start <= (p_src_cols_V_2_loc_channel1_empty_n and p_src_rows_V_2_loc_channel1_empty_n and src0_rows_V_empty_n and src0_cols_V_empty_n); image_filter_Block_Mat_exit1222_proc1_U0_gray_data_stream_0_V_full_n <= gray_data_stream_0_V_full_n; image_filter_Block_Mat_exit1222_proc1_U0_p_read <= p_src_rows_V_2_loc_channel1_dout; image_filter_Block_Mat_exit1222_proc1_U0_p_read2 <= p_src_cols_V_2_loc_channel1_dout; image_filter_Block_Mat_exit1222_proc1_U0_p_read6 <= src0_rows_V_dout; image_filter_Block_Mat_exit1222_proc1_U0_p_read7 <= src0_cols_V_dout; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_dout <= src0_data_stream_0_V_dout; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_empty_n <= src0_data_stream_0_V_empty_n; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_dout <= src0_data_stream_1_V_dout; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_empty_n <= src0_data_stream_1_V_empty_n; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_dout <= src0_data_stream_2_V_dout; image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_empty_n <= src0_data_stream_2_V_empty_n; -- image_filter_Block_proc_U0_ap_continue assign process. -- image_filter_Block_proc_U0_ap_continue_assign_proc : process(ap_sig_ready_p_dst_cols_V_channel_full_n, ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n, ap_sig_ready_p_src_cols_V_2_loc_channel_full_n, ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n, ap_sig_ready_p_src_rows_V_2_loc_channel_full_n, ap_sig_ready_p_src_cols_V_channel_full_n, ap_sig_ready_p_dst_cols_V_full_n, ap_sig_ready_p_dst_rows_V_channel_full_n, ap_sig_ready_p_dst_rows_V_full_n, ap_sig_ready_p_src_rows_V_channel_full_n, ap_sig_ready_p_src_rows_V_channel1_full_n, ap_sig_ready_p_src_cols_V_channel1_full_n) begin if (((ap_sig_ready_p_dst_cols_V_channel_full_n = ap_const_logic_1) and (ap_const_logic_1 = ap_sig_ready_p_src_cols_V_2_loc_channel1_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_cols_V_2_loc_channel_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_rows_V_2_loc_channel1_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_rows_V_2_loc_channel_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_cols_V_channel_full_n) and (ap_const_logic_1 = ap_sig_ready_p_dst_cols_V_full_n) and (ap_const_logic_1 = ap_sig_ready_p_dst_rows_V_channel_full_n) and (ap_const_logic_1 = ap_sig_ready_p_dst_rows_V_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_rows_V_channel_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_rows_V_channel1_full_n) and (ap_const_logic_1 = ap_sig_ready_p_src_cols_V_channel1_full_n))) then image_filter_Block_proc_U0_ap_continue <= ap_const_logic_1; else image_filter_Block_proc_U0_ap_continue <= ap_const_logic_0; end if; end process; image_filter_Block_proc_U0_ap_start <= ap_start; image_filter_Block_proc_U0_cols <= cols; image_filter_Block_proc_U0_rows <= rows; image_filter_Dilate_0_0_1080_1920_U0_ap_continue <= ap_const_logic_1; image_filter_Dilate_0_0_1080_1920_U0_ap_start <= (mask_rows_V_empty_n and mask_cols_V_empty_n); image_filter_Dilate_0_0_1080_1920_U0_p_dst_data_stream_V_full_n <= dmask_data_stream_0_V_full_n; image_filter_Dilate_0_0_1080_1920_U0_p_src_cols_V_read <= mask_cols_V_dout; image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_dout <= mask_data_stream_0_V_dout; image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_empty_n <= mask_data_stream_0_V_empty_n; image_filter_Dilate_0_0_1080_1920_U0_p_src_rows_V_read <= mask_rows_V_dout; image_filter_FAST_t_opr_U0_ap_continue <= ap_const_logic_1; image_filter_FAST_t_opr_U0_ap_start <= (gray_rows_V_empty_n and gray_cols_V_empty_n); image_filter_FAST_t_opr_U0_p_mask_data_stream_V_full_n <= mask_data_stream_0_V_full_n; image_filter_FAST_t_opr_U0_p_src_cols_V_read <= gray_cols_V_dout; image_filter_FAST_t_opr_U0_p_src_data_stream_V_dout <= gray_data_stream_0_V_dout; image_filter_FAST_t_opr_U0_p_src_data_stream_V_empty_n <= gray_data_stream_0_V_empty_n; image_filter_FAST_t_opr_U0_p_src_rows_V_read <= gray_rows_V_dout; image_filter_Mat2AXIvideo_U0_OUTPUT_STREAM_TREADY <= OUTPUT_STREAM_TREADY; image_filter_Mat2AXIvideo_U0_ap_continue <= ap_sig_hs_continue; image_filter_Mat2AXIvideo_U0_ap_start <= (p_dst_cols_V_channel_empty_n and p_dst_rows_V_channel_empty_n); image_filter_Mat2AXIvideo_U0_img_cols_V_read <= p_dst_cols_V_channel_dout; image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_dout <= p_dst_data_stream_0_V_dout; image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_empty_n <= p_dst_data_stream_0_V_empty_n; image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_dout <= p_dst_data_stream_1_V_dout; image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_empty_n <= p_dst_data_stream_1_V_empty_n; image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_dout <= p_dst_data_stream_2_V_dout; image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_empty_n <= p_dst_data_stream_2_V_empty_n; image_filter_Mat2AXIvideo_U0_img_rows_V_read <= p_dst_rows_V_channel_dout; image_filter_PaintMask_32_0_1080_1920_U0_ap_continue <= ap_const_logic_1; image_filter_PaintMask_32_0_1080_1920_U0_ap_start <= (p_dst_cols_V_empty_n and p_dst_rows_V_empty_n and src1_rows_V_empty_n and src1_cols_V_empty_n and dmask_rows_V_empty_n and dmask_cols_V_empty_n); image_filter_PaintMask_32_0_1080_1920_U0_p_dst_cols_V_read <= p_dst_cols_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_full_n <= p_dst_data_stream_0_V_full_n; image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_full_n <= p_dst_data_stream_1_V_full_n; image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_full_n <= p_dst_data_stream_2_V_full_n; image_filter_PaintMask_32_0_1080_1920_U0_p_dst_rows_V_read <= p_dst_rows_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_mask_cols_V_read <= dmask_cols_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_dout <= dmask_data_stream_0_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_mask_data_stream_V_empty_n <= dmask_data_stream_0_V_empty_n; image_filter_PaintMask_32_0_1080_1920_U0_p_mask_rows_V_read <= dmask_rows_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_src_cols_V_read <= src1_cols_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_dout <= src1_data_stream_0_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_empty_n <= src1_data_stream_0_V_empty_n; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_dout <= src1_data_stream_1_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_empty_n <= src1_data_stream_1_V_empty_n; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_dout <= src1_data_stream_2_V_dout; image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_empty_n <= src1_data_stream_2_V_empty_n; image_filter_PaintMask_32_0_1080_1920_U0_p_src_rows_V_read <= src1_rows_V_dout; mask_cols_V_U_ap_dummy_ce <= ap_const_logic_1; mask_cols_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_5; mask_cols_V_read <= image_filter_Dilate_0_0_1080_1920_U0_ap_ready; mask_cols_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_cols_V; mask_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; mask_data_stream_0_V_din <= image_filter_FAST_t_opr_U0_p_mask_data_stream_V_din; mask_data_stream_0_V_read <= image_filter_Dilate_0_0_1080_1920_U0_p_src_data_stream_V_read; mask_data_stream_0_V_write <= image_filter_FAST_t_opr_U0_p_mask_data_stream_V_write; mask_rows_V_U_ap_dummy_ce <= ap_const_logic_1; mask_rows_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_4; mask_rows_V_read <= image_filter_Dilate_0_0_1080_1920_U0_ap_ready; mask_rows_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_mask_rows_V; p_dst_cols_V_U_ap_dummy_ce <= ap_const_logic_1; p_dst_cols_V_channel_U_ap_dummy_ce <= ap_const_logic_1; p_dst_cols_V_channel_din <= image_filter_Block_proc_U0_ap_return_5; p_dst_cols_V_channel_read <= image_filter_Mat2AXIvideo_U0_ap_ready; p_dst_cols_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V_channel; p_dst_cols_V_din <= image_filter_Block_proc_U0_ap_return_4; p_dst_cols_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; p_dst_cols_V_write <= ap_chn_write_image_filter_Block_proc_U0_p_dst_cols_V; p_dst_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; p_dst_data_stream_0_V_din <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_din; p_dst_data_stream_0_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_0_V_read; p_dst_data_stream_0_V_write <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_0_V_write; p_dst_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1; p_dst_data_stream_1_V_din <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_din; p_dst_data_stream_1_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_1_V_read; p_dst_data_stream_1_V_write <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_1_V_write; p_dst_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1; p_dst_data_stream_2_V_din <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_din; p_dst_data_stream_2_V_read <= image_filter_Mat2AXIvideo_U0_img_data_stream_2_V_read; p_dst_data_stream_2_V_write <= image_filter_PaintMask_32_0_1080_1920_U0_p_dst_data_stream_2_V_write; p_dst_rows_V_U_ap_dummy_ce <= ap_const_logic_1; p_dst_rows_V_channel_U_ap_dummy_ce <= ap_const_logic_1; p_dst_rows_V_channel_din <= image_filter_Block_proc_U0_ap_return_3; p_dst_rows_V_channel_read <= image_filter_Mat2AXIvideo_U0_ap_ready; p_dst_rows_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V_channel; p_dst_rows_V_din <= image_filter_Block_proc_U0_ap_return_2; p_dst_rows_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; p_dst_rows_V_write <= ap_chn_write_image_filter_Block_proc_U0_p_dst_rows_V; p_src_cols_V_2_loc_channel1_U_ap_dummy_ce <= ap_const_logic_1; p_src_cols_V_2_loc_channel1_din <= image_filter_Block_proc_U0_ap_return_9; p_src_cols_V_2_loc_channel1_read <= image_filter_Block_Mat_exit1222_proc1_U0_ap_ready; p_src_cols_V_2_loc_channel1_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel1; p_src_cols_V_2_loc_channel_U_ap_dummy_ce <= ap_const_logic_1; p_src_cols_V_2_loc_channel_din <= image_filter_Block_proc_U0_ap_return_8; p_src_cols_V_2_loc_channel_read <= image_filter_Block_Mat_exit1220_proc1_U0_ap_ready; p_src_cols_V_2_loc_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_2_loc_channel; p_src_cols_V_channel1_U_ap_dummy_ce <= ap_const_logic_1; p_src_cols_V_channel1_din <= image_filter_Block_proc_U0_ap_return_11; p_src_cols_V_channel1_read <= image_filter_Block_Mat_exit1220_proc1_U0_ap_ready; p_src_cols_V_channel1_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel1; p_src_cols_V_channel_U_ap_dummy_ce <= ap_const_logic_1; p_src_cols_V_channel_din <= image_filter_Block_proc_U0_ap_return_1; p_src_cols_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready; p_src_cols_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_cols_V_channel; p_src_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; p_src_data_stream_0_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_din; p_src_data_stream_0_V_read <= image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_0_V_read; p_src_data_stream_0_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_0_V_write; p_src_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1; p_src_data_stream_1_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_din; p_src_data_stream_1_V_read <= image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_1_V_read; p_src_data_stream_1_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_1_V_write; p_src_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1; p_src_data_stream_2_V_din <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_din; p_src_data_stream_2_V_read <= image_filter_Block_Mat_exit1220_proc1_U0_p_src_data_stream_2_V_read; p_src_data_stream_2_V_write <= image_filter_AXIvideo2Mat_U0_img_data_stream_2_V_write; p_src_rows_V_2_loc_channel1_U_ap_dummy_ce <= ap_const_logic_1; p_src_rows_V_2_loc_channel1_din <= image_filter_Block_proc_U0_ap_return_7; p_src_rows_V_2_loc_channel1_read <= image_filter_Block_Mat_exit1222_proc1_U0_ap_ready; p_src_rows_V_2_loc_channel1_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel1; p_src_rows_V_2_loc_channel_U_ap_dummy_ce <= ap_const_logic_1; p_src_rows_V_2_loc_channel_din <= image_filter_Block_proc_U0_ap_return_6; p_src_rows_V_2_loc_channel_read <= image_filter_Block_Mat_exit1220_proc1_U0_ap_ready; p_src_rows_V_2_loc_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_2_loc_channel; p_src_rows_V_channel1_U_ap_dummy_ce <= ap_const_logic_1; p_src_rows_V_channel1_din <= image_filter_Block_proc_U0_ap_return_10; p_src_rows_V_channel1_read <= image_filter_Block_Mat_exit1220_proc1_U0_ap_ready; p_src_rows_V_channel1_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel1; p_src_rows_V_channel_U_ap_dummy_ce <= ap_const_logic_1; p_src_rows_V_channel_din <= image_filter_Block_proc_U0_ap_return_0; p_src_rows_V_channel_read <= image_filter_AXIvideo2Mat_U0_ap_ready; p_src_rows_V_channel_write <= ap_chn_write_image_filter_Block_proc_U0_p_src_rows_V_channel; src0_cols_V_U_ap_dummy_ce <= ap_const_logic_1; src0_cols_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_1; src0_cols_V_read <= image_filter_Block_Mat_exit1222_proc1_U0_ap_ready; src0_cols_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_cols_V; src0_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; src0_data_stream_0_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_din; src0_data_stream_0_V_read <= image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_0_V_read; src0_data_stream_0_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_0_V_write; src0_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1; src0_data_stream_1_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_din; src0_data_stream_1_V_read <= image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_1_V_read; src0_data_stream_1_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_1_V_write; src0_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1; src0_data_stream_2_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_din; src0_data_stream_2_V_read <= image_filter_Block_Mat_exit1222_proc1_U0_src0_data_stream_2_V_read; src0_data_stream_2_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src0_data_stream_2_V_write; src0_rows_V_U_ap_dummy_ce <= ap_const_logic_1; src0_rows_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_0; src0_rows_V_read <= image_filter_Block_Mat_exit1222_proc1_U0_ap_ready; src0_rows_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src0_rows_V; src1_cols_V_U_ap_dummy_ce <= ap_const_logic_1; src1_cols_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_3; src1_cols_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; src1_cols_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_cols_V; src1_data_stream_0_V_U_ap_dummy_ce <= ap_const_logic_1; src1_data_stream_0_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_din; src1_data_stream_0_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_0_V_read; src1_data_stream_0_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_0_V_write; src1_data_stream_1_V_U_ap_dummy_ce <= ap_const_logic_1; src1_data_stream_1_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_din; src1_data_stream_1_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_1_V_read; src1_data_stream_1_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_1_V_write; src1_data_stream_2_V_U_ap_dummy_ce <= ap_const_logic_1; src1_data_stream_2_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_din; src1_data_stream_2_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_p_src_data_stream_2_V_read; src1_data_stream_2_V_write <= image_filter_Block_Mat_exit1220_proc1_U0_src1_data_stream_2_V_write; src1_rows_V_U_ap_dummy_ce <= ap_const_logic_1; src1_rows_V_din <= image_filter_Block_Mat_exit1220_proc1_U0_ap_return_2; src1_rows_V_read <= image_filter_PaintMask_32_0_1080_1920_U0_ap_ready; src1_rows_V_write <= ap_chn_write_image_filter_Block_Mat_exit1220_proc1_U0_src1_rows_V; end behav;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_ftch_noqueue.vhd
3
24145
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_noqueue.vhd -- Description: This entity is the no queue version -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data Width C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; C_SG_WORDS_TO_FETCH : integer range 8 to 13 := 8; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_ENABLE_CH1 : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; -- -- Channel Control -- desc_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; ftch_active : in std_logic ; -- ftch_queue_empty : out std_logic ; -- ftch_queue_full : out std_logic ; -- sof_ftch_desc : in std_logic ; desc2_flush : in std_logic ; -- ftch2_active : in std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch2_queue_full : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- m_axis_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- next_bd : in std_logic_vector (31 downto 0); data_concat_tlast : in std_logic ; -- data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ftch_tvalid : out std_logic ; -- m_axis_ftch_tready : in std_logic ; -- m_axis_ftch_tlast : out std_logic ; -- m_axis_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch_tvalid_new : out std_logic ; -- m_axis_ftch_desc_available : out std_logic ; m_axis2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis2_ftch_tvalid : out std_logic ; -- m_axis2_ftch_tready : in std_logic ; -- m_axis2_ftch_tlast : out std_logic ; -- m_axis2_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA downto 0); -- m_axis2_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis2_ftch_tdata_mcdma_nxt : out std_logic_vector -- (31 downto 0); -- m_axis2_ftch_tvalid_new : out std_logic ; -- m_axis2_ftch_desc_available : out std_logic ; m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel 1 internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tready : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; signal ftch_active_int : std_logic := '0'; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal ftch_tdata_new : std_logic_vector (96+31*C_ENABLE_CDMA downto 0); signal queue_wren, queue_rden : std_logic := '0'; signal queue_din : std_logic_vector (32 downto 0); signal queue_dout : std_logic_vector (32 downto 0); signal queue_empty, queue_full : std_logic := '0'; signal sof_ftch_desc_del, sof_ftch_desc_pulse : std_logic := '0'; signal sof_ftch_desc_del1 : std_logic := '0'; signal queue_sinit : std_logic := '0'; signal data_concat_mcdma_nxt : std_logic_vector (31 downto 0) := (others => '0'); signal current_bd : std_logic_vector (31 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin queue_sinit <= not m_axi_sg_aresetn; ftch_active_int <= ftch_active or ftch2_active; CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin ftch_tdata_new (95 downto 0) <= data_concat;-- when (ftch_active = '1') else (others =>'0'); ftch_tdata_new (127 downto 96) <= current_bd; end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); ftch_tdata_new (96 downto 65) <= current_bd; end generate DMA_FIELDS; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- NXT_BD_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate begin NEXT_BD_S2MM : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then data_concat_mcdma_nxt <= (others => '0'); elsif (ftch2_active = '1') then data_concat_mcdma_nxt <= next_bd; end if; end if; end process NEXT_BD_S2MM; end generate NXT_BD_MCDMA; WRITE_CURDESC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then current_bd <= (others => '0'); -- -- -- Write LSB Address on command write elsif(ftch_cmnd_wr = '1' and ftch_active_int = '1')then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process WRITE_CURDESC_PROCESS; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 and C_ENABLE_CH1 = 1 generate begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch_active; queue_rden <= not queue_empty and m_axis_mm2s_cntrl_tready; queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => 16, --FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; NO_CONTROL_STREAM : if C_SG_WORDS_TO_FETCH /= 13 or C_ENABLE_CH1 = 0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NO_CONTROL_STREAM; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- Map internal stream to external --------------------------------------------------------------------------- ftch_tready <= (m_axis_ftch_tready and ftch_active) or (m_axis2_ftch_tready and ftch2_active); m_axis_ftch_tdata_new <= ftch_tdata_new; m_axis_ftch_tdata_mcdma_new <= data_concat_mcdma; m_axis_ftch_tvalid_new <= data_concat_valid and ftch_active; m_axis_ftch_desc_available <= data_concat_tlast and ftch_active; REG_FOR_STS_CNTRL : if C_SG_WORDS_TO_FETCH = 13 generate begin LATCH_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis2_ftch_tvalid_new <= '0'; m_axis2_ftch_desc_available <= '0'; else m_axis2_ftch_tvalid_new <= data_concat_valid and ftch2_active; m_axis2_ftch_desc_available <= data_concat_valid and ftch2_active; end if; end if; end process LATCH_PROCESS; LATCH2_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis2_ftch_tdata_new <= (others => '0'); elsif (data_concat_valid = '1' and ftch2_active = '1') then m_axis2_ftch_tdata_new <= ftch_tdata_new; end if; end if; end process LATCH2_PROCESS; end generate REG_FOR_STS_CNTRL; NO_REG_FOR_STS_CNTRL : if C_SG_WORDS_TO_FETCH /= 13 generate begin m_axis2_ftch_tvalid_new <= data_concat_valid and ftch2_active; m_axis2_ftch_desc_available <= data_concat_valid and ftch2_active; m_axis2_ftch_tdata_new <= ftch_tdata_new; m_axis2_ftch_tdata_mcdma_new <= data_concat_mcdma; m_axis2_ftch_tdata_mcdma_nxt <= data_concat_mcdma_nxt; end generate NO_REG_FOR_STS_CNTRL; m_axis_mm2s_tready <= ftch_tready; m_axis2_mm2s_tready <= ftch_tready; --------------------------------------------------------------------------- -- generate psuedo empty flag for Idle generation --------------------------------------------------------------------------- Q_EMPTY_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk='1')then if(m_axi_sg_aresetn = '0' or desc_flush = '1')then ftch_queue_empty <= '1'; -- Else on valid and ready modify empty flag elsif(ftch_tvalid = '1' and m_axis_ftch_tready = '1' and ftch_active = '1')then -- On last mark as empty if(ftch_tlast = '1' )then ftch_queue_empty <= '1'; -- Otherwise mark as not empty else ftch_queue_empty <= '0'; end if; end if; end if; end process Q_EMPTY_PROCESS; Q2_EMPTY_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk='1')then if(m_axi_sg_aresetn = '0' or desc2_flush = '1')then ftch2_queue_empty <= '1'; -- Else on valid and ready modify empty flag elsif(ftch_tvalid = '1' and m_axis2_ftch_tready = '1' and ftch2_active = '1')then -- On last mark as empty if(ftch_tlast = '1' )then ftch2_queue_empty <= '1'; -- Otherwise mark as not empty else ftch2_queue_empty <= '0'; end if; end if; end if; end process Q2_EMPTY_PROCESS; -- do not need to indicate full to axi_sg_ftch_sm. Only -- needed for queue case to allow other channel to be serviced -- if it had queue room ftch_queue_full <= '0'; ftch2_queue_full <= '0'; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port writing_curdesc_out <= writing_curdesc and ftch_active; writing2_curdesc_out <= writing_curdesc and ftch2_active; -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/vhdl/image_filter_Dilate_0_0_1080_1920_s.vhd
2
92940
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_Dilate_0_0_1080_1920_s is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_V_empty_n : IN STD_LOGIC; p_src_data_stream_V_read : OUT STD_LOGIC; p_dst_data_stream_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_V_full_n : IN STD_LOGIC; p_dst_data_stream_V_write : OUT STD_LOGIC ); end; architecture behav of image_filter_Dilate_0_0_1080_1920_s is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (4 downto 0) := "00001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (4 downto 0) := "00010"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (4 downto 0) := "00100"; constant ap_ST_pp0_stg0_fsm_3 : STD_LOGIC_VECTOR (4 downto 0) := "01000"; constant ap_ST_st12_fsm_4 : STD_LOGIC_VECTOR (4 downto 0) := "10000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv11_0 : STD_LOGIC_VECTOR (10 downto 0) := "00000000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv11_5 : STD_LOGIC_VECTOR (10 downto 0) := "00000000101"; constant ap_const_lv11_2 : STD_LOGIC_VECTOR (10 downto 0) := "00000000010"; constant ap_const_lv11_7FD : STD_LOGIC_VECTOR (10 downto 0) := "11111111101"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv11_7FF : STD_LOGIC_VECTOR (10 downto 0) := "11111111111"; constant ap_const_lv11_1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_const_lv11_4 : STD_LOGIC_VECTOR (10 downto 0) := "00000000100"; constant ap_const_lv12_FFC : STD_LOGIC_VECTOR (11 downto 0) := "111111111100"; constant ap_const_lv12_FFF : STD_LOGIC_VECTOR (11 downto 0) := "111111111111"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv12_FFB : STD_LOGIC_VECTOR (11 downto 0) := "111111111011"; constant ap_const_lv12_FFA : STD_LOGIC_VECTOR (11 downto 0) := "111111111010"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv10_0 : STD_LOGIC_VECTOR (9 downto 0) := "0000000000"; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (4 downto 0) := "00001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_24 : BOOLEAN; signal p_025_0_i_i_reg_263 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_bdd_48 : BOOLEAN; signal heightloop_fu_324_p2 : STD_LOGIC_VECTOR (10 downto 0); signal heightloop_reg_1240 : STD_LOGIC_VECTOR (10 downto 0); signal widthloop_fu_330_p2 : STD_LOGIC_VECTOR (10 downto 0); signal widthloop_reg_1245 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_14_cast_fu_342_p1 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_14_cast_reg_1250 : STD_LOGIC_VECTOR (11 downto 0); signal p_neg226_i_i_cast_fu_350_p2 : STD_LOGIC_VECTOR (1 downto 0); signal p_neg226_i_i_cast_reg_1255 : STD_LOGIC_VECTOR (1 downto 0); signal ref_fu_356_p2 : STD_LOGIC_VECTOR (10 downto 0); signal ref_reg_1261 : STD_LOGIC_VECTOR (10 downto 0); signal ref_cast_fu_362_p1 : STD_LOGIC_VECTOR (11 downto 0); signal ref_cast_reg_1267 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_2_i_fu_366_p2 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_2_i_reg_1272 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_2_i1_fu_376_p2 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_2_i1_reg_1277 : STD_LOGIC_VECTOR (1 downto 0); signal i_V_fu_391_p2 : STD_LOGIC_VECTOR (10 downto 0); signal i_V_reg_1286 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_76 : BOOLEAN; signal tmp_17_fu_397_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_17_reg_1291 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_16_fu_386_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_23_fu_409_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_23_reg_1296 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_fu_436_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_reg_1301 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_104_reg_1306 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_105_fu_457_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_105_reg_1310 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_108_fu_473_p3 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_108_reg_1316 : STD_LOGIC_VECTOR (1 downto 0); signal or_cond_i1_fu_506_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_i1_reg_1322 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_110_reg_1327 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_111_fu_520_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_111_reg_1332 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_112_fu_524_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_112_reg_1337 : STD_LOGIC_VECTOR (1 downto 0); signal or_cond_i2_fu_553_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_i2_reg_1344 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_114_reg_1349 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_115_fu_567_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_115_reg_1354 : STD_LOGIC_VECTOR (1 downto 0); signal sel_tmp8_fu_575_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp8_reg_1359 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC; signal ap_sig_bdd_116 : BOOLEAN; signal sel_tmp3_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp3_reg_1364 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp4_fu_602_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp4_reg_1369 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp7_fu_607_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp7_reg_1374 : STD_LOGIC_VECTOR (0 downto 0); signal locy_2_t_fu_625_p2 : STD_LOGIC_VECTOR (1 downto 0); signal locy_2_t_reg_1379 : STD_LOGIC_VECTOR (1 downto 0); signal brmerge_fu_630_p2 : STD_LOGIC_VECTOR (0 downto 0); signal brmerge_reg_1383 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_19_fu_638_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_19_reg_1387 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_3 : STD_LOGIC; signal ap_sig_bdd_135 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppstg_tmp_19_reg_1387_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond2_reg_1419 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond2_reg_1419_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_bdd_154 : BOOLEAN; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it5 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it6 : STD_LOGIC := '0'; signal or_cond219_i_i_reg_1396 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_bdd_172 : BOOLEAN; signal ap_reg_ppiten_pp0_it7 : STD_LOGIC := '0'; signal ap_reg_ppstg_tmp_19_reg_1387_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_19_reg_1387_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_19_reg_1387_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal j_V_fu_643_p2 : STD_LOGIC_VECTOR (10 downto 0); signal or_cond219_i_i_fu_665_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it4 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it5 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_117_fu_676_p1 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_117_reg_1400 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_i_fu_698_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_reg_1405 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_i_reg_1405_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_i_reg_1405_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_i_fu_703_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_i_reg_1409 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_120_reg_1414 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_120_reg_1414_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_120_reg_1414_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond2_fu_723_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_or_cond2_reg_1419_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_29_fu_729_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_29_reg_1423 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_tmp_29_reg_1423_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal col_assign_fu_734_p2 : STD_LOGIC_VECTOR (1 downto 0); signal col_assign_reg_1427 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_col_assign_reg_1427_pp0_it1 : STD_LOGIC_VECTOR (1 downto 0); signal k_buf_0_val_0_addr_reg_1433 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_1_addr_reg_1439 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_2_addr_reg_1445 : STD_LOGIC_VECTOR (10 downto 0); signal col_assign_1_fu_762_p2 : STD_LOGIC_VECTOR (1 downto 0); signal col_assign_1_reg_1451 : STD_LOGIC_VECTOR (1 downto 0); signal ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 : STD_LOGIC_VECTOR (1 downto 0); signal k_buf_0_val_0_q0 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_2_0_reg_1457 : STD_LOGIC_VECTOR (7 downto 0); signal k_buf_0_val_1_q0 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_0_reg_1464 : STD_LOGIC_VECTOR (7 downto 0); signal k_buf_0_val_2_q0 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_2_0_reg_1471 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_0_1_fu_910_p3 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_0_1_reg_1477 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_0_1_6_reg_1483 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it4 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it5 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_1_6_reg_1490 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_2_lo_reg_1496 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_0_2_fu_1026_p3 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_0_2_reg_1501 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_1_fu_1033_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_128_1_reg_1506 : STD_LOGIC_VECTOR (0 downto 0); signal src_kernel_win_0_val_0_1_lo_reg_1511 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it5 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it6 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_1_lo_reg_1517 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_1_1_fu_1060_p3 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_1_1_reg_1523 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_0_2_lo_reg_1529 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_1_2_fu_1074_p3 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_1_2_reg_1534 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_2_fu_1080_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_128_2_reg_1539 : STD_LOGIC_VECTOR (0 downto 0); signal temp_0_i_i_i_057_i_i_1_2_1_fu_1100_p3 : STD_LOGIC_VECTOR (7 downto 0); signal temp_0_i_i_i_057_i_i_1_2_1_reg_1544 : STD_LOGIC_VECTOR (7 downto 0); signal k_buf_0_val_0_address0 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_0_ce0 : STD_LOGIC; signal k_buf_0_val_0_address1 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_0_ce1 : STD_LOGIC; signal k_buf_0_val_0_we1 : STD_LOGIC; signal k_buf_0_val_0_d1 : STD_LOGIC_VECTOR (7 downto 0); signal k_buf_0_val_1_address0 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_1_ce0 : STD_LOGIC; signal k_buf_0_val_1_address1 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_1_ce1 : STD_LOGIC; signal k_buf_0_val_1_we1 : STD_LOGIC; signal k_buf_0_val_1_d1 : STD_LOGIC_VECTOR (7 downto 0); signal k_buf_0_val_2_address0 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_2_ce0 : STD_LOGIC; signal k_buf_0_val_2_address1 : STD_LOGIC_VECTOR (10 downto 0); signal k_buf_0_val_2_ce1 : STD_LOGIC; signal k_buf_0_val_2_we1 : STD_LOGIC; signal k_buf_0_val_2_d1 : STD_LOGIC_VECTOR (7 downto 0); signal p_012_0_i_i_reg_252 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_cseq_ST_st12_fsm_4 : STD_LOGIC; signal ap_sig_bdd_355 : BOOLEAN; signal tmp_69_fu_755_p1 : STD_LOGIC_VECTOR (63 downto 0); signal src_kernel_win_0_val_0_1_fu_106 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_0_0_fu_934_p3 : STD_LOGIC_VECTOR (7 downto 0); signal col_buf_0_val_0_0_9_fu_989_p3 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_0_2_fu_110 : STD_LOGIC_VECTOR (7 downto 0); signal col_buf_0_val_0_0_3_fu_114 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_2_1_fu_118 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_1_fu_122 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_0_fu_946_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_11_fu_1006_p3 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_1_2_fu_126 : STD_LOGIC_VECTOR (7 downto 0); signal col_buf_0_val_0_0_5_fu_130 : STD_LOGIC_VECTOR (7 downto 0); signal src_kernel_win_0_val_2_2_fu_134 : STD_LOGIC_VECTOR (7 downto 0); signal col_buf_0_val_0_0_6_fu_138 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_1_fu_142 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_8_fu_877_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_2_fu_146 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_6_fu_868_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_7_fu_150 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_4_fu_851_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_0_0_fu_166 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_0_1_fu_170 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_0_2_fu_174 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_fu_316_p1 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_100_fu_320_p1 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_14_fu_336_p2 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_101_fu_346_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_102_fu_372_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_15_cast_cast_fu_382_p1 : STD_LOGIC_VECTOR (11 downto 0); signal ImagLoc_y_fu_403_p2 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_103_fu_415_p4 : STD_LOGIC_VECTOR (10 downto 0); signal icmp_fu_425_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_25_fu_431_p2 : STD_LOGIC_VECTOR (0 downto 0); signal p_i_i_fu_450_p3 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_i5_fu_461_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_106_fu_466_p1 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_107_fu_470_p1 : STD_LOGIC_VECTOR (1 downto 0); signal y_1_fu_481_p2 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_109_fu_487_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i1_fu_501_p2 : STD_LOGIC_VECTOR (0 downto 0); signal rev_fu_495_p2 : STD_LOGIC_VECTOR (0 downto 0); signal y_1_1_fu_528_p2 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_113_fu_534_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i2_fu_548_p2 : STD_LOGIC_VECTOR (0 downto 0); signal rev1_fu_542_p2 : STD_LOGIC_VECTOR (0 downto 0); signal locy_fu_571_p2 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_s_fu_585_p3 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_80_fu_591_p3 : STD_LOGIC_VECTOR (1 downto 0); signal locy_1_t_fu_597_p2 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_81_fu_613_p3 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_82_fu_619_p3 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_116_fu_649_p4 : STD_LOGIC_VECTOR (9 downto 0); signal icmp2_fu_659_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_18_cast_fu_634_p1 : STD_LOGIC_VECTOR (11 downto 0); signal ImagLoc_x_fu_670_p2 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_119_fu_684_p3 : STD_LOGIC_VECTOR (0 downto 0); signal rev2_fu_692_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_26_fu_717_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_118_fu_680_p1 : STD_LOGIC_VECTOR (1 downto 0); signal p_assign_fu_739_p3 : STD_LOGIC_VECTOR (10 downto 0); signal p_assign_1_i_fu_745_p3 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_121_fu_751_p1 : STD_LOGIC_VECTOR (1 downto 0); signal sel_tmp1_fu_833_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp5_fu_846_p2 : STD_LOGIC_VECTOR (0 downto 0); signal right_border_buf_0_val_1_2_3_fu_838_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_5_fu_860_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_0_1_fu_904_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp9_fu_929_p3 : STD_LOGIC_VECTOR (7 downto 0); signal sel_tmp6_fu_941_p3 : STD_LOGIC_VECTOR (7 downto 0); signal sel_tmp_fu_971_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sel_tmp2_fu_984_p2 : STD_LOGIC_VECTOR (0 downto 0); signal col_buf_0_val_0_0_2_fu_976_p3 : STD_LOGIC_VECTOR (7 downto 0); signal right_border_buf_0_val_1_2_fu_998_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_0_2_fu_1021_p2 : STD_LOGIC_VECTOR (0 downto 0); signal temp_0_i_i_i_057_i_i_1_1_fu_1050_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_1_1_fu_1055_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_128_1_2_fu_1070_p2 : STD_LOGIC_VECTOR (0 downto 0); signal temp_0_i_i_i_057_i_i_1_2_fu_1090_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_128_2_1_fu_1095_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_128_2_2_fu_1107_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (4 downto 0); component image_filter_FAST_t_opr_k_buf_val_0_V IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (10 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (7 downto 0); address1 : IN STD_LOGIC_VECTOR (10 downto 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR (7 downto 0) ); end component; begin k_buf_0_val_0_U : component image_filter_FAST_t_opr_k_buf_val_0_V generic map ( DataWidth => 8, AddressRange => 1920, AddressWidth => 11) port map ( clk => ap_clk, reset => ap_rst, address0 => k_buf_0_val_0_address0, ce0 => k_buf_0_val_0_ce0, q0 => k_buf_0_val_0_q0, address1 => k_buf_0_val_0_address1, ce1 => k_buf_0_val_0_ce1, we1 => k_buf_0_val_0_we1, d1 => k_buf_0_val_0_d1); k_buf_0_val_1_U : component image_filter_FAST_t_opr_k_buf_val_0_V generic map ( DataWidth => 8, AddressRange => 1920, AddressWidth => 11) port map ( clk => ap_clk, reset => ap_rst, address0 => k_buf_0_val_1_address0, ce0 => k_buf_0_val_1_ce0, q0 => k_buf_0_val_1_q0, address1 => k_buf_0_val_1_address1, ce1 => k_buf_0_val_1_ce1, we1 => k_buf_0_val_1_we1, d1 => k_buf_0_val_1_d1); k_buf_0_val_2_U : component image_filter_FAST_t_opr_k_buf_val_0_V generic map ( DataWidth => 8, AddressRange => 1920, AddressWidth => 11) port map ( clk => ap_clk, reset => ap_rst, address0 => k_buf_0_val_2_address0, ce0 => k_buf_0_val_2_ce0, q0 => k_buf_0_val_2_q0, address1 => k_buf_0_val_2_address1, ce1 => k_buf_0_val_2_ce1, we1 => k_buf_0_val_2_we1, d1 => k_buf_0_val_2_d1); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_done_reg assign process. -- ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (tmp_16_fu_386_p2 = ap_const_lv1_0))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = tmp_19_fu_638_p2))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then if (not((ap_const_logic_1 = ap_reg_ppiten_pp0_it2))) then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; elsif ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) then ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it5 assign process. -- ap_reg_ppiten_pp0_it5_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it5 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppiten_pp0_it5 <= ap_reg_ppiten_pp0_it4; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it6 assign process. -- ap_reg_ppiten_pp0_it6_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it6 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppiten_pp0_it6 <= ap_reg_ppiten_pp0_it5; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it7 assign process. -- ap_reg_ppiten_pp0_it7_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; else if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppiten_pp0_it7 <= ap_reg_ppiten_pp0_it6; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then ap_reg_ppiten_pp0_it7 <= ap_const_logic_0; end if; end if; end if; end process; -- p_012_0_i_i_reg_252 assign process. -- p_012_0_i_i_reg_252_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_4)) then p_012_0_i_i_reg_252 <= i_V_reg_1286; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_48))) then p_012_0_i_i_reg_252 <= ap_const_lv11_0; end if; end if; end process; -- p_025_0_i_i_reg_263 assign process. -- p_025_0_i_i_reg_263_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_fu_638_p2)))) then p_025_0_i_i_reg_263 <= j_V_fu_643_p2; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then p_025_0_i_i_reg_263 <= ap_const_lv11_0; end if; end if; end process; -- src_kernel_win_0_val_0_1_fu_106 assign process. -- src_kernel_win_0_val_0_1_fu_106_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2))) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2))))) then src_kernel_win_0_val_0_1_fu_106 <= right_border_buf_0_val_2_0_reg_1457; elsif (((not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_1)) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_0)) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and not((ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_1)) and not((ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_0))))) then src_kernel_win_0_val_0_1_fu_106 <= col_buf_0_val_0_0_9_fu_989_p3; elsif ((((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_1)) or ((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_0)) or ((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and not((locy_2_t_reg_1379 = ap_const_lv2_1)) and not((locy_2_t_reg_1379 = ap_const_lv2_0))))) then src_kernel_win_0_val_0_1_fu_106 <= src_kernel_win_0_val_0_0_fu_934_p3; end if; end if; end process; -- src_kernel_win_0_val_1_1_fu_122 assign process. -- src_kernel_win_0_val_1_1_fu_122_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2))) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2))))) then src_kernel_win_0_val_1_1_fu_122 <= right_border_buf_0_val_1_0_reg_1464; elsif (((not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_1)) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_0)) or (not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it2) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it2) and not((ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_1)) and not((ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_0))))) then src_kernel_win_0_val_1_1_fu_122 <= right_border_buf_0_val_1_2_11_fu_1006_p3; elsif ((((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_1)) or ((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_0)) or ((ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and (ap_const_lv1_0 = tmp_104_reg_1306) and not((locy_2_t_reg_1379 = ap_const_lv2_1)) and not((locy_2_t_reg_1379 = ap_const_lv2_0))))) then src_kernel_win_0_val_1_1_fu_122 <= src_kernel_win_0_val_1_0_fu_946_p3; end if; end if; end process; -- src_kernel_win_0_val_2_1_fu_118 assign process. -- src_kernel_win_0_val_2_1_fu_118_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it1) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it1) and not((col_assign_1_reg_1451 = ap_const_lv2_1)) and not((col_assign_1_reg_1451 = ap_const_lv2_0)))) then src_kernel_win_0_val_2_1_fu_118 <= right_border_buf_0_val_0_2_fu_174; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it1) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it1) and (col_assign_1_reg_1451 = ap_const_lv2_0))) then src_kernel_win_0_val_2_1_fu_118 <= right_border_buf_0_val_0_0_fu_166; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it1) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_i_reg_1405_pp0_it1) and (col_assign_1_reg_1451 = ap_const_lv2_1))) then src_kernel_win_0_val_2_1_fu_118 <= right_border_buf_0_val_0_1_fu_170; elsif (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and (ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = tmp_104_reg_1306) and not((locy_2_t_reg_1379 = ap_const_lv2_1)) and not((locy_2_t_reg_1379 = ap_const_lv2_0))) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and (ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_120_reg_1414_pp0_it1))))) then src_kernel_win_0_val_2_1_fu_118 <= k_buf_0_val_2_q0; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and (ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_0))) then src_kernel_win_0_val_2_1_fu_118 <= k_buf_0_val_0_q0; elsif ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and (ap_const_lv1_0 = brmerge_reg_1383) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = tmp_104_reg_1306) and (locy_2_t_reg_1379 = ap_const_lv2_1))) then src_kernel_win_0_val_2_1_fu_118 <= k_buf_0_val_1_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))) then ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 <= col_assign_1_reg_1451; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it2 <= ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it1; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it3 <= ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it2; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it4 <= ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it3; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it5 <= ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it4; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6 <= ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it5; ap_reg_ppstg_or_cond2_reg_1419_pp0_it2 <= ap_reg_ppstg_or_cond2_reg_1419_pp0_it1; ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it4 <= src_kernel_win_0_val_0_1_6_reg_1483; ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it5 <= ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it4; ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it5 <= src_kernel_win_0_val_0_1_lo_reg_1511; ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it6 <= ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it5; ap_reg_ppstg_tmp_120_reg_1414_pp0_it2 <= ap_reg_ppstg_tmp_120_reg_1414_pp0_it1; ap_reg_ppstg_tmp_19_reg_1387_pp0_it2 <= ap_reg_ppstg_tmp_19_reg_1387_pp0_it1; ap_reg_ppstg_tmp_19_reg_1387_pp0_it3 <= ap_reg_ppstg_tmp_19_reg_1387_pp0_it2; ap_reg_ppstg_tmp_19_reg_1387_pp0_it4 <= ap_reg_ppstg_tmp_19_reg_1387_pp0_it3; ap_reg_ppstg_tmp_i_reg_1405_pp0_it2 <= ap_reg_ppstg_tmp_i_reg_1405_pp0_it1; src_kernel_win_0_val_0_1_6_reg_1483 <= src_kernel_win_0_val_0_1_fu_106; src_kernel_win_0_val_1_1_6_reg_1490 <= src_kernel_win_0_val_1_1_fu_122; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then ap_reg_ppstg_col_assign_reg_1427_pp0_it1 <= col_assign_reg_1427; ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it1 <= or_cond219_i_i_reg_1396; ap_reg_ppstg_or_cond2_reg_1419_pp0_it1 <= or_cond2_reg_1419; ap_reg_ppstg_tmp_120_reg_1414_pp0_it1 <= tmp_120_reg_1414; ap_reg_ppstg_tmp_19_reg_1387_pp0_it1 <= tmp_19_reg_1387; ap_reg_ppstg_tmp_29_reg_1423_pp0_it1 <= tmp_29_reg_1423; ap_reg_ppstg_tmp_i_reg_1405_pp0_it1 <= tmp_i_reg_1405; tmp_19_reg_1387 <= tmp_19_fu_638_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then brmerge_reg_1383 <= brmerge_fu_630_p2; locy_2_t_reg_1379 <= locy_2_t_fu_625_p2; sel_tmp3_reg_1364 <= sel_tmp3_fu_579_p2; sel_tmp4_reg_1369 <= sel_tmp4_fu_602_p2; sel_tmp7_reg_1374 <= sel_tmp7_fu_607_p2; sel_tmp8_reg_1359 <= sel_tmp8_fu_575_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_reg_1387)) and (ap_const_lv1_0 = or_cond2_reg_1419) and (ap_const_lv1_0 = tmp_120_reg_1414) and (ap_const_lv1_0 = tmp_i_reg_1405))) then col_assign_1_reg_1451 <= col_assign_1_fu_762_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_fu_638_p2)) and not((ap_const_lv1_0 = or_cond2_fu_723_p2)) and (ap_const_lv1_0 = tmp_29_fu_729_p2))) then col_assign_reg_1427 <= col_assign_fu_734_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and not((ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_1)) and not((ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_0)))) then col_buf_0_val_0_0_3_fu_114 <= k_buf_0_val_0_q0; right_border_buf_0_val_0_2_fu_174 <= k_buf_0_val_2_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_1))) then col_buf_0_val_0_0_5_fu_130 <= k_buf_0_val_0_q0; right_border_buf_0_val_0_1_fu_170 <= k_buf_0_val_2_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_0))) then col_buf_0_val_0_0_6_fu_138 <= k_buf_0_val_0_q0; right_border_buf_0_val_0_0_fu_166 <= k_buf_0_val_2_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_48))) then heightloop_reg_1240 <= heightloop_fu_324_p2; p_neg226_i_i_cast_reg_1255 <= p_neg226_i_i_cast_fu_350_p2; ref_cast_reg_1267(0) <= ref_cast_fu_362_p1(0); ref_cast_reg_1267(1) <= ref_cast_fu_362_p1(1); ref_cast_reg_1267(2) <= ref_cast_fu_362_p1(2); ref_cast_reg_1267(3) <= ref_cast_fu_362_p1(3); ref_cast_reg_1267(4) <= ref_cast_fu_362_p1(4); ref_cast_reg_1267(5) <= ref_cast_fu_362_p1(5); ref_cast_reg_1267(6) <= ref_cast_fu_362_p1(6); ref_cast_reg_1267(7) <= ref_cast_fu_362_p1(7); ref_cast_reg_1267(8) <= ref_cast_fu_362_p1(8); ref_cast_reg_1267(9) <= ref_cast_fu_362_p1(9); ref_cast_reg_1267(10) <= ref_cast_fu_362_p1(10); ref_reg_1261 <= ref_fu_356_p2; tmp_14_cast_reg_1250(0) <= tmp_14_cast_fu_342_p1(0); tmp_14_cast_reg_1250(1) <= tmp_14_cast_fu_342_p1(1); tmp_14_cast_reg_1250(2) <= tmp_14_cast_fu_342_p1(2); tmp_14_cast_reg_1250(3) <= tmp_14_cast_fu_342_p1(3); tmp_14_cast_reg_1250(4) <= tmp_14_cast_fu_342_p1(4); tmp_14_cast_reg_1250(5) <= tmp_14_cast_fu_342_p1(5); tmp_14_cast_reg_1250(6) <= tmp_14_cast_fu_342_p1(6); tmp_14_cast_reg_1250(7) <= tmp_14_cast_fu_342_p1(7); tmp_14_cast_reg_1250(8) <= tmp_14_cast_fu_342_p1(8); tmp_14_cast_reg_1250(9) <= tmp_14_cast_fu_342_p1(9); tmp_14_cast_reg_1250(10) <= tmp_14_cast_fu_342_p1(10); tmp_2_i1_reg_1277 <= tmp_2_i1_fu_376_p2; tmp_2_i_reg_1272 <= tmp_2_i_fu_366_p2; widthloop_reg_1245 <= widthloop_fu_330_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then i_V_reg_1286 <= i_V_fu_391_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_reg_1387)))) then k_buf_0_val_0_addr_reg_1433 <= tmp_69_fu_755_p1(11 - 1 downto 0); k_buf_0_val_1_addr_reg_1439 <= tmp_69_fu_755_p1(11 - 1 downto 0); k_buf_0_val_2_addr_reg_1445 <= tmp_69_fu_755_p1(11 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_fu_638_p2)))) then or_cond219_i_i_reg_1396 <= or_cond219_i_i_fu_665_p2; or_cond_i_reg_1409 <= or_cond_i_fu_703_p2; tmp_117_reg_1400 <= tmp_117_fu_676_p1; tmp_120_reg_1414 <= ImagLoc_x_fu_670_p2(11 downto 11); tmp_i_reg_1405 <= tmp_i_fu_698_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_fu_638_p2)))) then or_cond2_reg_1419 <= or_cond2_fu_723_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((tmp_16_fu_386_p2 = ap_const_lv1_0)))) then or_cond_i1_reg_1322 <= or_cond_i1_fu_506_p2; or_cond_i2_reg_1344 <= or_cond_i2_fu_553_p2; or_cond_reg_1301 <= or_cond_fu_436_p2; tmp_104_reg_1306 <= ImagLoc_y_fu_403_p2(11 downto 11); tmp_105_reg_1310 <= tmp_105_fu_457_p1; tmp_108_reg_1316 <= tmp_108_fu_473_p3; tmp_110_reg_1327 <= y_1_fu_481_p2(11 downto 11); tmp_111_reg_1332 <= tmp_111_fu_520_p1; tmp_112_reg_1337 <= tmp_112_fu_524_p1; tmp_114_reg_1349 <= y_1_1_fu_528_p2(11 downto 11); tmp_115_reg_1354 <= tmp_115_fu_567_p1; tmp_17_reg_1291 <= tmp_17_fu_397_p2; tmp_23_reg_1296 <= tmp_23_fu_409_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then right_border_buf_0_val_1_0_reg_1464 <= k_buf_0_val_1_q0; right_border_buf_0_val_2_0_reg_1457 <= k_buf_0_val_0_q0; src_kernel_win_0_val_2_0_reg_1471 <= k_buf_0_val_2_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and not((ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_1)) and not((ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_0))) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_1)) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) and (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_0)))) then right_border_buf_0_val_1_2_1_fu_142 <= right_border_buf_0_val_1_2_8_fu_877_p3; right_border_buf_0_val_1_2_2_fu_146 <= right_border_buf_0_val_1_2_6_fu_868_p3; right_border_buf_0_val_1_2_7_fu_150 <= right_border_buf_0_val_1_2_4_fu_851_p3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it3)))) then src_kernel_win_0_val_0_1_lo_reg_1511 <= src_kernel_win_0_val_0_1_fu_106; src_kernel_win_0_val_1_1_lo_reg_1517 <= src_kernel_win_0_val_1_1_fu_122; temp_0_i_i_i_057_i_i_1_1_1_reg_1523 <= temp_0_i_i_i_057_i_i_1_1_1_fu_1060_p3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it5) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it4)))) then src_kernel_win_0_val_0_2_fu_110 <= ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it4; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it4)))) then src_kernel_win_0_val_0_2_lo_reg_1529 <= src_kernel_win_0_val_0_2_fu_110; temp_0_i_i_i_057_i_i_1_1_2_reg_1534 <= temp_0_i_i_i_057_i_i_1_1_2_fu_1074_p3; tmp_128_2_reg_1539 <= tmp_128_2_fu_1080_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)))) then src_kernel_win_0_val_1_2_fu_126 <= src_kernel_win_0_val_1_1_fu_122; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it2)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it2)))) then src_kernel_win_0_val_1_2_lo_reg_1496 <= src_kernel_win_0_val_1_2_fu_126; temp_0_i_i_i_057_i_i_1_0_2_reg_1501 <= temp_0_i_i_i_057_i_i_1_0_2_fu_1026_p3; tmp_128_1_reg_1506 <= tmp_128_1_fu_1033_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then src_kernel_win_0_val_2_2_fu_134 <= src_kernel_win_0_val_2_1_fu_118; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it1)))) then temp_0_i_i_i_057_i_i_1_0_1_reg_1477 <= temp_0_i_i_i_057_i_i_1_0_1_fu_910_p3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it5)))) then temp_0_i_i_i_057_i_i_1_2_1_reg_1544 <= temp_0_i_i_i_057_i_i_1_2_1_fu_1100_p3; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = tmp_19_fu_638_p2)) and not((ap_const_lv1_0 = or_cond2_fu_723_p2)))) then tmp_29_reg_1423 <= tmp_29_fu_729_p2; end if; end if; end process; tmp_14_cast_reg_1250(11) <= '0'; ref_cast_reg_1267(11) <= '0'; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_48, tmp_16_fu_386_p2, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4, ap_reg_ppiten_pp0_it6, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not(ap_sig_bdd_48)) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if ((tmp_16_fu_386_p2 = ap_const_lv1_0)) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_st3_fsm_2; end if; when ap_ST_st3_fsm_2 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_3; when ap_ST_pp0_stg0_fsm_3 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it7) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it6)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it4)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_3; elsif ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it7) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it6))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it4))))) then ap_NS_fsm <= ap_ST_st12_fsm_4; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_3; end if; when ap_ST_st12_fsm_4 => ap_NS_fsm <= ap_ST_st2_fsm_1; when others => ap_NS_fsm <= "XXXXX"; end case; end process; ImagLoc_x_fu_670_p2 <= std_logic_vector(unsigned(tmp_18_cast_fu_634_p1) + unsigned(ap_const_lv12_FFF)); ImagLoc_y_fu_403_p2 <= std_logic_vector(unsigned(tmp_15_cast_cast_fu_382_p1) + unsigned(ap_const_lv12_FFC)); -- ap_done assign process. -- ap_done_assign_proc : process(ap_done_reg, ap_sig_cseq_ST_st2_fsm_1, tmp_16_fu_386_p2) begin if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (tmp_16_fu_386_p2 = ap_const_lv1_0)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, tmp_16_fu_386_p2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (tmp_16_fu_386_p2 = ap_const_lv1_0))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_sig_bdd_116 assign process. -- ap_sig_bdd_116_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_116 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_bdd_135 assign process. -- ap_sig_bdd_135_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_135 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_154 assign process. -- ap_sig_bdd_154_assign_proc : process(p_src_data_stream_V_empty_n, brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1) begin ap_sig_bdd_154 <= ((p_src_data_stream_V_empty_n = ap_const_logic_0) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1))); end process; -- ap_sig_bdd_172 assign process. -- ap_sig_bdd_172_assign_proc : process(p_dst_data_stream_V_full_n, ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6) begin ap_sig_bdd_172 <= ((p_dst_data_stream_V_full_n = ap_const_logic_0) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6))); end process; -- ap_sig_bdd_24 assign process. -- ap_sig_bdd_24_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_24 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_355 assign process. -- ap_sig_bdd_355_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_355 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4)); end process; -- ap_sig_bdd_48 assign process. -- ap_sig_bdd_48_assign_proc : process(ap_start, ap_done_reg) begin ap_sig_bdd_48 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; -- ap_sig_bdd_76 assign process. -- ap_sig_bdd_76_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_76 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_3 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_3_assign_proc : process(ap_sig_bdd_135) begin if (ap_sig_bdd_135) then ap_sig_cseq_ST_pp0_stg0_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_3 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st12_fsm_4 assign process. -- ap_sig_cseq_ST_st12_fsm_4_assign_proc : process(ap_sig_bdd_355) begin if (ap_sig_bdd_355) then ap_sig_cseq_ST_st12_fsm_4 <= ap_const_logic_1; else ap_sig_cseq_ST_st12_fsm_4 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_24) begin if (ap_sig_bdd_24) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_76) begin if (ap_sig_bdd_76) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st3_fsm_2 assign process. -- ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_116) begin if (ap_sig_bdd_116) then ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0; end if; end process; brmerge_fu_630_p2 <= (tmp_23_reg_1296 or or_cond_reg_1301); col_assign_1_fu_762_p2 <= std_logic_vector(unsigned(tmp_121_fu_751_p1) + unsigned(p_neg226_i_i_cast_reg_1255)); col_assign_fu_734_p2 <= std_logic_vector(unsigned(tmp_118_fu_680_p1) + unsigned(p_neg226_i_i_cast_reg_1255)); col_buf_0_val_0_0_2_fu_976_p3 <= col_buf_0_val_0_0_5_fu_130 when (sel_tmp_fu_971_p2(0) = '1') else col_buf_0_val_0_0_3_fu_114; col_buf_0_val_0_0_9_fu_989_p3 <= col_buf_0_val_0_0_6_fu_138 when (sel_tmp2_fu_984_p2(0) = '1') else col_buf_0_val_0_0_2_fu_976_p3; heightloop_fu_324_p2 <= std_logic_vector(unsigned(tmp_fu_316_p1) + unsigned(ap_const_lv11_5)); i_V_fu_391_p2 <= std_logic_vector(unsigned(p_012_0_i_i_reg_252) + unsigned(ap_const_lv11_1)); icmp2_fu_659_p2 <= "0" when (tmp_116_fu_649_p4 = ap_const_lv10_0) else "1"; icmp_fu_425_p2 <= "1" when (signed(tmp_103_fu_415_p4) > signed(ap_const_lv11_0)) else "0"; j_V_fu_643_p2 <= std_logic_vector(unsigned(p_025_0_i_i_reg_263) + unsigned(ap_const_lv11_1)); k_buf_0_val_0_address0 <= tmp_69_fu_755_p1(11 - 1 downto 0); k_buf_0_val_0_address1 <= k_buf_0_val_0_addr_reg_1433; -- k_buf_0_val_0_ce0 assign process. -- k_buf_0_val_0_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_3, ap_reg_ppiten_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then k_buf_0_val_0_ce0 <= ap_const_logic_1; else k_buf_0_val_0_ce0 <= ap_const_logic_0; end if; end process; -- k_buf_0_val_0_ce1 assign process. -- k_buf_0_val_0_ce1_assign_proc : process(ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then k_buf_0_val_0_ce1 <= ap_const_logic_1; else k_buf_0_val_0_ce1 <= ap_const_logic_0; end if; end process; k_buf_0_val_0_d1 <= p_src_data_stream_V_dout; -- k_buf_0_val_0_we1 assign process. -- k_buf_0_val_0_we1_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7))))))) then k_buf_0_val_0_we1 <= ap_const_logic_1; else k_buf_0_val_0_we1 <= ap_const_logic_0; end if; end process; k_buf_0_val_1_address0 <= tmp_69_fu_755_p1(11 - 1 downto 0); k_buf_0_val_1_address1 <= k_buf_0_val_1_addr_reg_1439; -- k_buf_0_val_1_ce0 assign process. -- k_buf_0_val_1_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_3, ap_reg_ppiten_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then k_buf_0_val_1_ce0 <= ap_const_logic_1; else k_buf_0_val_1_ce0 <= ap_const_logic_0; end if; end process; -- k_buf_0_val_1_ce1 assign process. -- k_buf_0_val_1_ce1_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7, ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) begin if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1)) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1))))) then k_buf_0_val_1_ce1 <= ap_const_logic_1; else k_buf_0_val_1_ce1 <= ap_const_logic_0; end if; end process; k_buf_0_val_1_d1 <= k_buf_0_val_0_q0; -- k_buf_0_val_1_we1 assign process. -- k_buf_0_val_1_we1_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7, ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) begin if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1)) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1))))) then k_buf_0_val_1_we1 <= ap_const_logic_1; else k_buf_0_val_1_we1 <= ap_const_logic_0; end if; end process; k_buf_0_val_2_address0 <= tmp_69_fu_755_p1(11 - 1 downto 0); k_buf_0_val_2_address1 <= k_buf_0_val_2_addr_reg_1445; -- k_buf_0_val_2_ce0 assign process. -- k_buf_0_val_2_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_3, ap_reg_ppiten_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_3) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then k_buf_0_val_2_ce0 <= ap_const_logic_1; else k_buf_0_val_2_ce0 <= ap_const_logic_0; end if; end process; -- k_buf_0_val_2_ce1 assign process. -- k_buf_0_val_2_ce1_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7, ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) begin if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1)) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1))))) then k_buf_0_val_2_ce1 <= ap_const_logic_1; else k_buf_0_val_2_ce1 <= ap_const_logic_0; end if; end process; k_buf_0_val_2_d1 <= k_buf_0_val_1_q0; -- k_buf_0_val_2_we1 assign process. -- k_buf_0_val_2_we1_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7, ap_reg_ppstg_tmp_29_reg_1423_pp0_it1) begin if (((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and (ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1)) or (not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))) and not((ap_const_lv1_0 = ap_reg_ppstg_tmp_29_reg_1423_pp0_it1))))) then k_buf_0_val_2_we1 <= ap_const_logic_1; else k_buf_0_val_2_we1 <= ap_const_logic_0; end if; end process; locy_1_t_fu_597_p2 <= std_logic_vector(unsigned(tmp_112_reg_1337) - unsigned(tmp_80_fu_591_p3)); locy_2_t_fu_625_p2 <= std_logic_vector(unsigned(tmp_112_reg_1337) - unsigned(tmp_82_fu_619_p3)); locy_fu_571_p2 <= std_logic_vector(unsigned(tmp_105_reg_1310) - unsigned(tmp_108_reg_1316)); or_cond219_i_i_fu_665_p2 <= (tmp_17_reg_1291 and icmp2_fu_659_p2); or_cond2_fu_723_p2 <= (tmp_26_fu_717_p2 and tmp_i_fu_698_p2); or_cond_fu_436_p2 <= (icmp_fu_425_p2 and tmp_25_fu_431_p2); or_cond_i1_fu_506_p2 <= (tmp_i1_fu_501_p2 and rev_fu_495_p2); or_cond_i2_fu_553_p2 <= (tmp_i2_fu_548_p2 and rev1_fu_542_p2); or_cond_i_fu_703_p2 <= (tmp_i_fu_698_p2 and rev2_fu_692_p2); p_assign_1_i_fu_745_p3 <= tmp_117_reg_1400 when (or_cond_i_reg_1409(0) = '1') else p_assign_fu_739_p3; p_assign_fu_739_p3 <= ap_const_lv11_0 when (tmp_120_reg_1414(0) = '1') else tmp_2_i_reg_1272; p_dst_data_stream_V_din <= ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it6 when (tmp_128_2_2_fu_1107_p2(0) = '1') else temp_0_i_i_i_057_i_i_1_2_1_reg_1544; -- p_dst_data_stream_V_write assign process. -- p_dst_data_stream_V_write_assign_proc : process(ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if ((not((ap_const_lv1_0 = ap_reg_ppstg_or_cond219_i_i_reg_1396_pp0_it6)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then p_dst_data_stream_V_write <= ap_const_logic_1; else p_dst_data_stream_V_write <= ap_const_logic_0; end if; end process; p_i_i_fu_450_p3 <= ap_const_lv11_2 when (tmp_25_fu_431_p2(0) = '1') else ref_reg_1261; p_neg226_i_i_cast_fu_350_p2 <= (tmp_101_fu_346_p1 xor ap_const_lv2_3); -- p_src_data_stream_V_read assign process. -- p_src_data_stream_V_read_assign_proc : process(brmerge_reg_1383, ap_reg_ppstg_tmp_19_reg_1387_pp0_it1, ap_reg_ppstg_or_cond2_reg_1419_pp0_it1, ap_sig_bdd_154, ap_reg_ppiten_pp0_it2, ap_sig_bdd_172, ap_reg_ppiten_pp0_it7) begin if ((not((ap_const_lv1_0 = ap_reg_ppstg_tmp_19_reg_1387_pp0_it1)) and not((ap_const_lv1_0 = brmerge_reg_1383)) and not((ap_const_lv1_0 = ap_reg_ppstg_or_cond2_reg_1419_pp0_it1)) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_154 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)) or (ap_sig_bdd_172 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it7)))))) then p_src_data_stream_V_read <= ap_const_logic_1; else p_src_data_stream_V_read <= ap_const_logic_0; end if; end process; ref_cast_fu_362_p1 <= std_logic_vector(resize(unsigned(ref_fu_356_p2),12)); ref_fu_356_p2 <= std_logic_vector(unsigned(tmp_fu_316_p1) + unsigned(ap_const_lv11_7FF)); rev1_fu_542_p2 <= (tmp_113_fu_534_p3 xor ap_const_lv1_1); rev2_fu_692_p2 <= (tmp_119_fu_684_p3 xor ap_const_lv1_1); rev_fu_495_p2 <= (tmp_109_fu_487_p3 xor ap_const_lv1_1); right_border_buf_0_val_1_2_11_fu_1006_p3 <= right_border_buf_0_val_1_2_1_fu_142 when (sel_tmp2_fu_984_p2(0) = '1') else right_border_buf_0_val_1_2_fu_998_p3; right_border_buf_0_val_1_2_3_fu_838_p3 <= right_border_buf_0_val_1_2_7_fu_150 when (sel_tmp1_fu_833_p2(0) = '1') else k_buf_0_val_1_q0; right_border_buf_0_val_1_2_4_fu_851_p3 <= right_border_buf_0_val_1_2_7_fu_150 when (sel_tmp5_fu_846_p2(0) = '1') else right_border_buf_0_val_1_2_3_fu_838_p3; right_border_buf_0_val_1_2_5_fu_860_p3 <= k_buf_0_val_1_q0 when (sel_tmp1_fu_833_p2(0) = '1') else right_border_buf_0_val_1_2_2_fu_146; right_border_buf_0_val_1_2_6_fu_868_p3 <= right_border_buf_0_val_1_2_2_fu_146 when (sel_tmp5_fu_846_p2(0) = '1') else right_border_buf_0_val_1_2_5_fu_860_p3; right_border_buf_0_val_1_2_8_fu_877_p3 <= k_buf_0_val_1_q0 when (sel_tmp5_fu_846_p2(0) = '1') else right_border_buf_0_val_1_2_1_fu_142; right_border_buf_0_val_1_2_fu_998_p3 <= right_border_buf_0_val_1_2_2_fu_146 when (sel_tmp_fu_971_p2(0) = '1') else right_border_buf_0_val_1_2_7_fu_150; sel_tmp1_fu_833_p2 <= "1" when (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_1) else "0"; sel_tmp2_fu_984_p2 <= "1" when (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_0) else "0"; sel_tmp3_fu_579_p2 <= "1" when (locy_fu_571_p2 = ap_const_lv2_1) else "0"; sel_tmp4_fu_602_p2 <= "1" when (tmp_112_reg_1337 = tmp_80_fu_591_p3) else "0"; sel_tmp5_fu_846_p2 <= "1" when (ap_reg_ppstg_col_assign_reg_1427_pp0_it1 = ap_const_lv2_0) else "0"; sel_tmp6_fu_941_p3 <= right_border_buf_0_val_2_0_reg_1457 when (sel_tmp4_reg_1369(0) = '1') else src_kernel_win_0_val_2_0_reg_1471; sel_tmp7_fu_607_p2 <= "1" when (locy_1_t_fu_597_p2 = ap_const_lv2_1) else "0"; sel_tmp8_fu_575_p2 <= "1" when (tmp_105_reg_1310 = tmp_108_reg_1316) else "0"; sel_tmp9_fu_929_p3 <= right_border_buf_0_val_2_0_reg_1457 when (sel_tmp8_reg_1359(0) = '1') else src_kernel_win_0_val_2_0_reg_1471; sel_tmp_fu_971_p2 <= "1" when (ap_reg_ppstg_col_assign_1_reg_1451_pp0_it2 = ap_const_lv2_1) else "0"; src_kernel_win_0_val_0_0_fu_934_p3 <= right_border_buf_0_val_1_0_reg_1464 when (sel_tmp3_reg_1364(0) = '1') else sel_tmp9_fu_929_p3; src_kernel_win_0_val_1_0_fu_946_p3 <= right_border_buf_0_val_1_0_reg_1464 when (sel_tmp7_reg_1374(0) = '1') else sel_tmp6_fu_941_p3; temp_0_i_i_i_057_i_i_1_0_1_fu_910_p3 <= src_kernel_win_0_val_2_1_fu_118 when (tmp_128_0_1_fu_904_p2(0) = '1') else src_kernel_win_0_val_2_2_fu_134; temp_0_i_i_i_057_i_i_1_0_2_fu_1026_p3 <= src_kernel_win_0_val_2_1_fu_118 when (tmp_128_0_2_fu_1021_p2(0) = '1') else temp_0_i_i_i_057_i_i_1_0_1_reg_1477; temp_0_i_i_i_057_i_i_1_1_1_fu_1060_p3 <= src_kernel_win_0_val_1_1_6_reg_1490 when (tmp_128_1_1_fu_1055_p2(0) = '1') else temp_0_i_i_i_057_i_i_1_1_fu_1050_p3; temp_0_i_i_i_057_i_i_1_1_2_fu_1074_p3 <= src_kernel_win_0_val_1_1_lo_reg_1517 when (tmp_128_1_2_fu_1070_p2(0) = '1') else temp_0_i_i_i_057_i_i_1_1_1_reg_1523; temp_0_i_i_i_057_i_i_1_1_fu_1050_p3 <= src_kernel_win_0_val_1_2_lo_reg_1496 when (tmp_128_1_reg_1506(0) = '1') else temp_0_i_i_i_057_i_i_1_0_2_reg_1501; temp_0_i_i_i_057_i_i_1_2_1_fu_1100_p3 <= ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it5 when (tmp_128_2_1_fu_1095_p2(0) = '1') else temp_0_i_i_i_057_i_i_1_2_fu_1090_p3; temp_0_i_i_i_057_i_i_1_2_fu_1090_p3 <= src_kernel_win_0_val_0_2_lo_reg_1529 when (tmp_128_2_reg_1539(0) = '1') else temp_0_i_i_i_057_i_i_1_1_2_reg_1534; tmp_100_fu_320_p1 <= p_src_cols_V_read(11 - 1 downto 0); tmp_101_fu_346_p1 <= p_src_cols_V_read(2 - 1 downto 0); tmp_102_fu_372_p1 <= p_src_rows_V_read(2 - 1 downto 0); tmp_103_fu_415_p4 <= ImagLoc_y_fu_403_p2(11 downto 1); tmp_105_fu_457_p1 <= p_i_i_fu_450_p3(2 - 1 downto 0); tmp_106_fu_466_p1 <= ImagLoc_y_fu_403_p2(2 - 1 downto 0); tmp_107_fu_470_p1 <= ref_reg_1261(2 - 1 downto 0); tmp_108_fu_473_p3 <= tmp_106_fu_466_p1 when (tmp_i5_fu_461_p2(0) = '1') else tmp_107_fu_470_p1; tmp_109_fu_487_p3 <= y_1_fu_481_p2(11 downto 11); tmp_111_fu_520_p1 <= y_1_fu_481_p2(2 - 1 downto 0); tmp_112_fu_524_p1 <= p_i_i_fu_450_p3(2 - 1 downto 0); tmp_113_fu_534_p3 <= y_1_1_fu_528_p2(11 downto 11); tmp_115_fu_567_p1 <= y_1_1_fu_528_p2(2 - 1 downto 0); tmp_116_fu_649_p4 <= p_025_0_i_i_reg_263(10 downto 1); tmp_117_fu_676_p1 <= ImagLoc_x_fu_670_p2(11 - 1 downto 0); tmp_118_fu_680_p1 <= ImagLoc_x_fu_670_p2(2 - 1 downto 0); tmp_119_fu_684_p3 <= ImagLoc_x_fu_670_p2(11 downto 11); tmp_121_fu_751_p1 <= p_assign_1_i_fu_745_p3(2 - 1 downto 0); tmp_128_0_1_fu_904_p2 <= "1" when (unsigned(src_kernel_win_0_val_2_1_fu_118) > unsigned(src_kernel_win_0_val_2_2_fu_134)) else "0"; tmp_128_0_2_fu_1021_p2 <= "1" when (unsigned(src_kernel_win_0_val_2_1_fu_118) > unsigned(temp_0_i_i_i_057_i_i_1_0_1_reg_1477)) else "0"; tmp_128_1_1_fu_1055_p2 <= "1" when (unsigned(src_kernel_win_0_val_1_1_6_reg_1490) > unsigned(temp_0_i_i_i_057_i_i_1_1_fu_1050_p3)) else "0"; tmp_128_1_2_fu_1070_p2 <= "1" when (unsigned(src_kernel_win_0_val_1_1_lo_reg_1517) > unsigned(temp_0_i_i_i_057_i_i_1_1_1_reg_1523)) else "0"; tmp_128_1_fu_1033_p2 <= "1" when (unsigned(src_kernel_win_0_val_1_2_fu_126) > unsigned(temp_0_i_i_i_057_i_i_1_0_2_fu_1026_p3)) else "0"; tmp_128_2_1_fu_1095_p2 <= "1" when (unsigned(ap_reg_ppstg_src_kernel_win_0_val_0_1_6_reg_1483_pp0_it5) > unsigned(temp_0_i_i_i_057_i_i_1_2_fu_1090_p3)) else "0"; tmp_128_2_2_fu_1107_p2 <= "1" when (unsigned(ap_reg_ppstg_src_kernel_win_0_val_0_1_lo_reg_1511_pp0_it6) > unsigned(temp_0_i_i_i_057_i_i_1_2_1_reg_1544)) else "0"; tmp_128_2_fu_1080_p2 <= "1" when (unsigned(src_kernel_win_0_val_0_2_fu_110) > unsigned(temp_0_i_i_i_057_i_i_1_1_2_fu_1074_p3)) else "0"; tmp_14_cast_fu_342_p1 <= std_logic_vector(resize(unsigned(tmp_14_fu_336_p2),12)); tmp_14_fu_336_p2 <= std_logic_vector(unsigned(tmp_100_fu_320_p1) + unsigned(ap_const_lv11_7FD)); tmp_15_cast_cast_fu_382_p1 <= std_logic_vector(resize(unsigned(p_012_0_i_i_reg_252),12)); tmp_16_fu_386_p2 <= "1" when (unsigned(p_012_0_i_i_reg_252) < unsigned(heightloop_reg_1240)) else "0"; tmp_17_fu_397_p2 <= "1" when (unsigned(p_012_0_i_i_reg_252) > unsigned(ap_const_lv11_4)) else "0"; tmp_18_cast_fu_634_p1 <= std_logic_vector(resize(unsigned(p_025_0_i_i_reg_263),12)); tmp_19_fu_638_p2 <= "1" when (unsigned(p_025_0_i_i_reg_263) < unsigned(widthloop_reg_1245)) else "0"; tmp_23_fu_409_p2 <= "1" when (signed(ImagLoc_y_fu_403_p2) < signed(ap_const_lv12_FFF)) else "0"; tmp_25_fu_431_p2 <= "1" when (signed(ImagLoc_y_fu_403_p2) < signed(ref_cast_reg_1267)) else "0"; tmp_26_fu_717_p2 <= "0" when (p_025_0_i_i_reg_263 = ap_const_lv11_0) else "1"; tmp_29_fu_729_p2 <= "1" when (signed(ImagLoc_x_fu_670_p2) < signed(tmp_14_cast_reg_1250)) else "0"; tmp_2_i1_fu_376_p2 <= std_logic_vector(unsigned(tmp_102_fu_372_p1) + unsigned(ap_const_lv2_3)); tmp_2_i_fu_366_p2 <= std_logic_vector(unsigned(tmp_100_fu_320_p1) + unsigned(ap_const_lv11_7FF)); tmp_69_fu_755_p1 <= std_logic_vector(resize(unsigned(p_assign_1_i_fu_745_p3),64)); tmp_80_fu_591_p3 <= tmp_111_reg_1332 when (or_cond_i1_reg_1322(0) = '1') else tmp_s_fu_585_p3; tmp_81_fu_613_p3 <= ap_const_lv2_0 when (tmp_114_reg_1349(0) = '1') else tmp_2_i1_reg_1277; tmp_82_fu_619_p3 <= tmp_115_reg_1354 when (or_cond_i2_reg_1344(0) = '1') else tmp_81_fu_613_p3; tmp_fu_316_p1 <= p_src_rows_V_read(11 - 1 downto 0); tmp_i1_fu_501_p2 <= "1" when (signed(y_1_fu_481_p2) < signed(p_src_rows_V_read)) else "0"; tmp_i2_fu_548_p2 <= "1" when (signed(y_1_1_fu_528_p2) < signed(p_src_rows_V_read)) else "0"; tmp_i5_fu_461_p2 <= "1" when (signed(ImagLoc_y_fu_403_p2) < signed(p_src_rows_V_read)) else "0"; tmp_i_fu_698_p2 <= "1" when (signed(ImagLoc_x_fu_670_p2) < signed(p_src_cols_V_read)) else "0"; tmp_s_fu_585_p3 <= ap_const_lv2_0 when (tmp_110_reg_1327(0) = '1') else tmp_2_i1_reg_1277; widthloop_fu_330_p2 <= std_logic_vector(unsigned(tmp_100_fu_320_p1) + unsigned(ap_const_lv11_2)); y_1_1_fu_528_p2 <= std_logic_vector(unsigned(tmp_15_cast_cast_fu_382_p1) + unsigned(ap_const_lv12_FFA)); y_1_fu_481_p2 <= std_logic_vector(unsigned(tmp_15_cast_cast_fu_382_p1) + unsigned(ap_const_lv12_FFB)); end behav;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd
6
88906
------------------------------------------------------------------------------- -- axi_datamover_s2mm_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the width of the alignment control inputs -- Should be log2(C_DWIDTH) ); port ( -- Clock and Reset Input ---------------------------------------------- -- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------------------------------------- -- Alignment Control (Independent from Stream Input timing) ---------- -- dre_align_ready : Out std_logic; -- dre_align_valid : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Flush Control (Aligned to input Stream timing) -------------------- -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Stream Input Channel ---------------------------------------------- -- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Stream Output Channel --------------------------------------------- -- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_s2mm_dre; architecture implementation of axi_datamover_s2mm_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; Signal sig_cntl_accept : std_logic := '0'; Signal sig_dre_halted : std_logic := '0'; begin --(architecture implementation) -- Misc port assignments dre_align_ready <= sig_dre_halted or sig_flush_db2_complete ; dre_in_tready <= sig_input_accept ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; -- Internal logic sig_cntl_accept <= dre_align_valid and (sig_dre_halted or sig_flush_db2_complete); sig_pipeline_halt <= sig_dre_halted or (sig_dre_tvalid_i and not(dre_out_tready)); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt); sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and not(sig_pipeline_halt) and not(sig_input_flush_stall); sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; ------------------------------------------------------------------------------------ -- DRE Halted logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_HALTED_FLOP -- -- Process Description: -- Implements a flop for the Halted state flag. All DRE -- operation is halted until a new alignment control is -- loaded. The DRE automatically goes into halted state -- at reset and at completion of a flush operation. -- ------------------------------------------------------------- IMP_DRE_HALTED_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or (sig_flush_db2_complete = '1' and dre_align_valid = '0'))then sig_dre_halted <= '1'; -- default to halted state elsif (sig_cntl_accept = '1') then sig_dre_halted <= '0'; else null; -- hold current state end if; end if; end process IMP_DRE_HALTED_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Input Register for the flush command -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate Signal s_case_i_64 : Integer range 0 to 7 := 0; signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate Signal s_case_i_32 : Integer range 0 to 3 := 0; signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate Signal s_case_i_16 : Integer range 0 to 1 := 0; signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic := '0'; Signal sig_shift_case_reg : std_logic := '0'; Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/Microsystem/axi_interface_part2/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/b6365b74/hdl/vhdl/axi_bram_ctrl_top.vhd
6
43430
------------------------------------------------------------------------------- -- axi_bram_ctrl_top.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_bram_ctrl_top.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller IP core. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl_top.vhd (v4_0) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- ecc_gen.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/9/2011 v1.03a -- ~~~~~~ -- Update Create_Size_Default function to support 512 & 1024-bit BRAM. -- Replace usage of Create_Size_Default function. -- ^^^^^^ -- JLJ 2/15/2011 v1.03a -- ~~~~~~ -- Initial integration of Hsiao ECC algorithm. -- Add C_ECC_TYPE top level parameter on full_axi module. -- Update ECC signal sizes for 128-bit support. -- ^^^^^^ -- JLJ 2/16/2011 v1.03a -- ~~~~~~ -- Update WE size based on 128-bit ECC configuration. -- ^^^^^^ -- JLJ 2/22/2011 v1.03a -- ~~~~~~ -- Add C_ECC_TYPE top level parameter on axi_lite module. -- ^^^^^^ -- JLJ 2/23/2011 v1.03a -- ~~~~~~ -- Set C_ECC_TYPE = 1 for Hsiao DV regressions. -- ^^^^^^ -- JLJ 2/24/2011 v1.03a -- ~~~~~~ -- Move Find_ECC_Size function to package. -- ^^^^^^ -- JLJ 3/17/2011 v1.03a -- ~~~~~~ -- Add comments as noted in Spyglass runs. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove C_FAMILY from top level. -- Remove C_FAMILY in axi_lite sub module. -- ^^^^^^ -- JLJ 6/23/2011 v1.03a -- ~~~~~~ -- Migrate 9-bit ECC to 16-bit ECC for 128-bit BRAM data width. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; library work; use work.axi_lite; use work.full_axi; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity axi_bram_ctrl_top is generic ( -- AXI Parameters C_BRAM_ADDR_WIDTH : integer := 12; -- Width of AXI address bus (in bits) C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_TYPE : integer := 1; C_FAULT_INJECT : integer := 0; -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE : integer := 1 -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) -- Reserved parameters for future implementations. -- C_ENABLE_AXI_CTRL_REG_IF : integer := 1; -- By default the ECC AXI-Lite register interface is enabled -- C_CE_FAILING_REGISTERS : integer := 1; -- Enable CE (correctable error) failing registers -- C_UE_FAILING_REGISTERS : integer := 1; -- Enable UE (uncorrectable error) failing registers -- C_ECC_STATUS_REGISTERS : integer := 1; -- Enable ECC status registers -- C_ECC_ONOFF_REGISTER : integer := 1; -- Enable ECC on/off control register -- C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- AXI Write Address Channel Signals (AW) S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; -- AXI Write Data Channel Signals (W) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; -- AXI Write Data Response Channel Signals (B) S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; -- AXI Read Address Channel Signals (AR) S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; -- AXI Read Data Channel Signals (R) S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Clock and Reset -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK : in std_logic; -- S_AXI_CTRL_ARESETN : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- BRAM Interface Signals (Port A) BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- BRAM Interface Signals (Port B) BRAM_Rst_B : out std_logic; BRAM_Clk_B : out std_logic; BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity axi_bram_ctrl_top; ------------------------------------------------------------------------------- architecture implementation of axi_bram_ctrl_top is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- All functions defined in axi_bram_ctrl_funcs package. ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Model behavior of AXI Interconnect in simulation for wrapping of ID values. constant C_SIM_ONLY : std_logic := '1'; -- Reset active level (common through core) constant C_RESET_ACTIVE : std_logic := '0'; -- Create top level constant to assign fixed value to ARSIZE and AWSIZE -- when narrow bursting is parameterized out of the IP core instantiation. -- constant AXI_FIXED_SIZE_WO_NARROW : std_logic_vector (2 downto 0) := Create_Size_Default; -- v1.03a constant AXI_FIXED_SIZE_WO_NARROW : integer := log2 (C_S_AXI_DATA_WIDTH/8); -- Only instantiate logic based on C_S_AXI_PROTOCOL. constant IF_IS_AXI4 : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4")); constant IF_IS_AXI4LITE : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4LITE")); -- Determine external ECC width. -- Use function defined in axi_bram_ctrl_funcs package. constant C_ECC_WIDTH : integer := Find_ECC_Size (C_ECC, C_S_AXI_DATA_WIDTH); constant C_ECC_FULL_BIT_WIDTH : integer := Find_ECC_Full_Bit_Size (C_ECC, C_S_AXI_DATA_WIDTH); -- Set internal parameters for ECC register enabling when C_ECC = 1 constant C_ENABLE_AXI_CTRL_REG_IF_I : integer := C_ECC; constant C_CE_FAILING_REGISTERS_I : integer := C_ECC; constant C_UE_FAILING_REGISTERS_I : integer := 0; -- Remove all UE registers -- Catastrophic error indicated with ECC_UE & Interrupt flags. constant C_ECC_STATUS_REGISTERS_I : integer := C_ECC; constant C_ECC_ONOFF_REGISTER_I : integer := C_ECC; constant C_CE_COUNTER_WIDTH : integer := 8 * C_ECC; -- Counter only sized when C_ECC = 1. -- Selects CE counter width/threshold to assert ECC_Interrupt -- Hard coded at 8-bits to capture and count up to 256 correctable errors. --constant C_ECC_TYPE : integer := 1; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- -- Internal BRAM Signals -- Port A signal bram_en_a_int : std_logic := '0'; signal bram_we_a_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_addr_a_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_wrdata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Port B signal bram_addr_b_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_en_b_int : std_logic := '0'; signal bram_we_b_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_wrdata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal axi_awsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal axi_arsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal S_AXI_ARREADY_int : std_logic := '0'; signal S_AXI_AWREADY_int : std_logic := '0'; signal S_AXI_RID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); signal S_AXI_BID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin -- *** BRAM Port A Output Signals *** BRAM_Rst_A <= not (S_AXI_ARESETN); BRAM_Clk_A <= S_AXI_ACLK; BRAM_En_A <= bram_en_a_int; BRAM_WE_A ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_a_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_A <= bram_addr_a_int; bram_rddata_a_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_A ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_A ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_A ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; -- *** BRAM Port B Output Signals *** GEN_PORT_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Rst_B <= not (S_AXI_ARESETN); BRAM_WE_B ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_b_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_B <= bram_addr_b_int; BRAM_En_B <= bram_en_b_int; bram_rddata_b_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- 13.3 -- BRAM_WrData_B <= bram_wrdata_b_int; -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_B ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_B ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_B ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; end generate GEN_PORT_B; GEN_NO_PORT_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Rst_B <= '0'; BRAM_WE_B <= (others => '0'); BRAM_WrData_B <= (others => '0'); BRAM_Addr_B <= (others => '0'); BRAM_En_B <= '0'; end generate GEN_NO_PORT_B; --------------------------------------------------------------------------- -- -- Generate: GEN_BRAM_CLK_B -- Purpose: Only drive BRAM_Clk_B when dual port BRAM is enabled. -- --------------------------------------------------------------------------- GEN_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Clk_B <= S_AXI_ACLK; end generate GEN_BRAM_CLK_B; --------------------------------------------------------------------------- -- -- Generate: GEN_NO_BRAM_CLK_B -- Purpose: Drive default value for BRAM_Clk_B when single port -- BRAM is enabled and no clock is necessary on the inactive -- BRAM port. -- --------------------------------------------------------------------------- GEN_NO_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Clk_B <= '0'; end generate GEN_NO_BRAM_CLK_B; --------------------------------------------------------------------------- -- Generate top level ARSIZE and AWSIZE signals for rd_chnl and wr_chnl -- respectively, based on design parameter setting of generic, -- C_S_AXI_SUPPORTS_NARROW_BURST. --------------------------------------------------------------------------- -- -- Generate: GEN_W_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on top level AXI signal inputs. -- --------------------------------------------------------------------------- GEN_W_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 1) and (IF_IS_AXI4) generate begin axi_awsize_int <= S_AXI_AWSIZE; axi_arsize_int <= S_AXI_ARSIZE; end generate GEN_W_NARROW; --------------------------------------------------------------------------- -- -- Generate: GEN_WO_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on hard coded -- value that indicates all AXI transfers will be equal in -- size to the AXI data bus. -- --------------------------------------------------------------------------- GEN_WO_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 0) or (IF_IS_AXI4LITE) generate begin -- axi_awsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- When AXI-LITE (no narrow transfers supported) -- axi_arsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- v1.03a axi_awsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); axi_arsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); end generate GEN_WO_NARROW; S_AXI_ARREADY <= S_AXI_ARREADY_int; S_AXI_AWREADY <= S_AXI_AWREADY_int; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI_LITE -- Purpose: Create internal signals for lower level write and read -- channel modules to discard unused AXI signals when the -- AXI protocol is set up for AXI-LITE. -- --------------------------------------------------------------------------- GEN_AXI4LITE: if (IF_IS_AXI4LITE) generate begin -- For simulation purposes ONLY -- AXI Interconnect handles this in real system topologies. S_AXI_BID <= S_AXI_BID_int; S_AXI_RID <= S_AXI_RID_int; ----------------------------------------------------------------------- -- -- Generate: GEN_SIM_ONLY -- Purpose: Mimic behavior of AXI Interconnect in simulation. -- In real hardware system, AXI Interconnect stores and -- wraps value of ARID to RID and AWID to BID. -- ----------------------------------------------------------------------- GEN_SIM_ONLY: if (C_SIM_ONLY = '1') generate begin ------------------------------------------------------------------- -- Must register and wrap the AWID signal REG_BID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_BID_int <= (others => '0'); elsif (S_AXI_AWVALID = '1') and (S_AXI_AWREADY_int = '1') then S_AXI_BID_int <= S_AXI_AWID; else S_AXI_BID_int <= S_AXI_BID_int; end if; end if; end process REG_BID; ------------------------------------------------------------------- -- Must register and wrap the ARID signal REG_RID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_RID_int <= (others => '0'); elsif (S_AXI_ARVALID = '1') and (S_AXI_ARREADY_int = '1') then S_AXI_RID_int <= S_AXI_ARID; else S_AXI_RID_int <= S_AXI_RID_int; end if; end if; end process REG_RID; ------------------------------------------------------------------- end generate GEN_SIM_ONLY; --------------------------------------------------------------------------- -- -- Generate: GEN_HW -- Purpose: Drive default values of RID and BID. In real system -- these are left unconnected and AXI Interconnect is -- responsible for values. -- --------------------------------------------------------------------------- GEN_HW: if (C_SIM_ONLY = '0') generate begin S_AXI_BID_int <= (others => '0'); S_AXI_RID_int <= (others => '0'); end generate GEN_HW; --------------------------------------------------------------------------- -- Instance: I_AXI_LITE -- -- Description: -- This module is for the AXI-Lite -- instantiation of the BRAM controller interface. -- -- Responsible for shared address pipelining between the -- write address (AW) and read address (AR) channels. -- Controls (seperately) the data flows for the write data -- (W), write response (B), and read data (R) channels. -- -- Creates a shared port to BRAM (for all read and write -- transactions) or dual BRAM port utilization based on a -- generic parameter setting. -- -- Instantiates ECC register block if enabled and -- generates ECC logic, when enabled. -- -- --------------------------------------------------------------------------- I_AXI_LITE : entity work.axi_lite generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , -- C_FAMILY => C_FAMILY , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , AXI_AWADDR => S_AXI_AWADDR , AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY_int , AXI_WDATA => S_AXI_WDATA , AXI_WSTRB => S_AXI_WSTRB , AXI_WVALID => S_AXI_WVALID , AXI_WREADY => S_AXI_WREADY , AXI_BRESP => S_AXI_BRESP , AXI_BVALID => S_AXI_BVALID , AXI_BREADY => S_AXI_BREADY , AXI_ARADDR => S_AXI_ARADDR , AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY_int , AXI_RDATA => S_AXI_RDATA , AXI_RRESP => S_AXI_RRESP , AXI_RLAST => S_AXI_RLAST , AXI_RVALID => S_AXI_RVALID , AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_RdData_A => bram_rddata_a_int , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int ); end generate GEN_AXI4LITE; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI -- Purpose: Only create internal signals for lower level write and read -- channel modules to assign AXI signals when the -- AXI protocol is set up for non AXI-LITE IF connections. -- For AXI4, all AXI signals are assigned to lower level modules. -- -- For AXI-Lite connections, generate statement above will -- create default values on these signals (assigned here). -- --------------------------------------------------------------------------- GEN_AXI4: if (IF_IS_AXI4) generate begin --------------------------------------------------------------------------- -- Instance: I_FULL_AXI -- -- Description: -- Full AXI BRAM controller logic. -- Instantiates wr_chnl and rd_chnl modules. -- If enabled, ECC register interface is included. -- --------------------------------------------------------------------------- I_FULL_AXI : entity work.full_axi generic map ( C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_S_AXI_SUPPORTS_NARROW_BURST => C_S_AXI_SUPPORTS_NARROW_BURST , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_FAULT_INJECT => C_FAULT_INJECT , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , S_AXI_AWID => S_AXI_AWID , S_AXI_AWADDR => S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_AWLEN => S_AXI_AWLEN , S_AXI_AWSIZE => axi_awsize_int , S_AXI_AWBURST => S_AXI_AWBURST , S_AXI_AWLOCK => S_AXI_AWLOCK , S_AXI_AWCACHE => S_AXI_AWCACHE , S_AXI_AWPROT => S_AXI_AWPROT , S_AXI_AWVALID => S_AXI_AWVALID , S_AXI_AWREADY => S_AXI_AWREADY_int , S_AXI_WDATA => S_AXI_WDATA , S_AXI_WSTRB => S_AXI_WSTRB , S_AXI_WLAST => S_AXI_WLAST , S_AXI_WVALID => S_AXI_WVALID , S_AXI_WREADY => S_AXI_WREADY , S_AXI_BID => S_AXI_BID , S_AXI_BRESP => S_AXI_BRESP , S_AXI_BVALID => S_AXI_BVALID , S_AXI_BREADY => S_AXI_BREADY , S_AXI_ARID => S_AXI_ARID , S_AXI_ARADDR => S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_ARLEN => S_AXI_ARLEN , S_AXI_ARSIZE => axi_arsize_int , S_AXI_ARBURST => S_AXI_ARBURST , S_AXI_ARLOCK => S_AXI_ARLOCK , S_AXI_ARCACHE => S_AXI_ARCACHE , S_AXI_ARPROT => S_AXI_ARPROT , S_AXI_ARVALID => S_AXI_ARVALID , S_AXI_ARREADY => S_AXI_ARREADY_int , S_AXI_RID => S_AXI_RID , S_AXI_RDATA => S_AXI_RDATA , S_AXI_RRESP => S_AXI_RRESP , S_AXI_RLAST => S_AXI_RLAST , S_AXI_RVALID => S_AXI_RVALID , S_AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , S_AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , S_AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , S_AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , S_AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , S_AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , S_AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , S_AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , S_AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , S_AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , S_AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , S_AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , S_AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , S_AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , S_AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , S_AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , S_AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_RdData_A => bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) ); -- v1.02a -- Seperate instantiations for wr_chnl and rd_chnl moved to -- full_axi module. end generate GEN_AXI4; end architecture implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/demo/ipi_proj/srcs/ip/fmc_imageon_hdmi_in_v2_01_a/src/fmc_imageon_hdmi_in.vhd
7
9295
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Aug 31, 2011 -- Design Name: FMC-IMAGEON -- Module Name: fmc_imageon_hdmi_in.vhd -- Project Name: FMC-IMAGEON -- Target Devices: Spartan-6, Virtex-6 -- Artix-7, Kintex-7, Virtex-7, Zynq -- Avnet Boards: FMC-IMAGEON -- -- Tool versions: ISE 14.3 -- -- Description: FMC-IMAGEON HDMI input interface. -- -- Dependencies: -- -- Revision: Aug 31, 2011: 1.01 Initial version -- Nov 11, 2011: 1.02 Add CCIR656 decode logic -- Remove VSYNC/HSYNC ports -- Feb 06, 2012: 1.03 Fix sync de-embed logic -- Change IOB attribute from "TRUE" to "FORCE" -- Oct 19, 2012: 2.01a Remove XSVI bus interface -- Remove xsvi_ prefixes to video_ -- Rename active_video to de -- Change IP_GROUP to FMC-IMAGEON -- ------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity fmc_imageon_hdmi_in is Generic ( C_DATA_WIDTH : integer := 16; C_FAMILY : string := "virtex6" ); Port ( clk : in std_logic; -- IO Pins io_hdmii_spdif : in std_logic; io_hdmii_video : in std_logic_vector(15 downto 0); -- Audio Port audio_spdif : out std_logic; -- Video Ports -- video_vsync : out std_logic; -- video_hsync : out std_logic; video_vblank : out std_logic; video_hblank : out std_logic; video_de : out std_logic; video_data : out std_logic_vector((C_DATA_WIDTH-1) downto 0); -- Debug Port debug_o : out std_logic_vector(23 downto 0) ); end fmc_imageon_hdmi_in; architecture rtl of fmc_imageon_hdmi_in is -- -- IOB registers -- signal spdif_r : std_logic; signal video_r : std_logic_vector (15 downto 0); attribute IOB : string; attribute IOB of spdif_r: signal is "FORCE"; attribute IOB of video_r: signal is "FORCE"; -- -- Input Delay -- signal video_d1 : std_logic_vector(15 downto 0); signal video_d2 : std_logic_vector(15 downto 0); signal video_d3 : std_logic_vector(15 downto 0); signal video_d4 : std_logic_vector(15 downto 0); -- -- CCIR656 Decode Logic -- signal sc : std_logic; signal sav_va : std_logic; signal eav_va : std_logic; signal sav_vb : std_logic; signal eav_vb : std_logic; signal sav_va_d1 : std_logic; signal sav_va_d2 : std_logic; signal sav_va_d3 : std_logic; signal sav_va_d4 : std_logic; signal sav_vb_d1 : std_logic; signal sav_vb_d2 : std_logic; signal sav_vb_d3 : std_logic; signal sav_vb_d4 : std_logic; signal sync_code : std_logic; signal vblank : std_logic; signal hblank : std_logic; signal de : std_logic; begin -- -- IOB registers -- io_iregs_l : process (clk) begin if Rising_Edge(clk) then spdif_r <= io_hdmii_spdif; video_r <= io_hdmii_video; end if; end process; -- -- Input Delay -- input_delay_l : process (clk) begin if Rising_Edge(clk) then -- Delay DATA by 4 cycles to have a 4 cycle view of data video_d1 <= video_r; video_d2 <= video_d1; video_d3 <= video_d2; video_d4 <= video_d3; end if; end process; -- -- CCIR656 Decode Logic -- ccir656_decode_l : process ( video_r, video_d1, video_d2, video_d3, video_d4 ) begin -- Sync Code sc <= '0'; if ( (video_d3 = X"FFFF") and (video_d2 = X"0000") and (video_d1 = X"0000") ) then sc <= '1'; end if; -- Start of Active Video (active line) sav_va <= '0'; if ( (video_d3 = X"FFFF") and (video_d2 = X"0000") and (video_d1 = X"0000") and (video_r = X"8080") ) then sav_va <= '1'; end if; -- End of Active Video (active line) eav_va <= '0'; if ( (video_d3 = X"FFFF") and (video_d2 = X"0000") and (video_d1 = X"0000") and (video_r = X"9D9D") ) then eav_va <= '1'; end if; -- Start of Inactive Video (blank line) sav_vb <= '0'; if ( (video_d3 = X"FFFF") and (video_d2 = X"0000") and (video_d1 = X"0000") and (video_r = X"ABAB") ) then sav_vb <= '1'; end if; -- End of Inactive Video (blank line) eav_vb <= '0'; if ( (video_d3 = X"FFFF") and (video_d2 = X"0000") and (video_d2 = X"0000") and (video_r = X"B6B6") ) then eav_vb <= '1'; end if; end process; ccir656_syncgen_l : process (clk) begin if Rising_Edge(clk) then -- Delay SAV by 4 cycles sav_va_d1 <= sav_va; sav_va_d2 <= sav_va_d1; sav_va_d3 <= sav_va_d2; sav_va_d4 <= sav_va_d3; -- sav_vb_d1 <= sav_vb; sav_vb_d2 <= sav_vb_d1; sav_vb_d3 <= sav_vb_d2; sav_vb_d4 <= sav_vb_d3; -- Create generic Sync Code event indicator (for use with ChipScope) sync_code <= sc; -- Create DE strobe based on SAV/EAV events if ( sav_va_d4 = '1' ) then de <= '1'; end if; if ( eav_va = '1' or eav_vb = '1' ) then de <= '0'; end if; -- Create VBLANK strobes based on SAV events --if ( sav_vb = '1' ) then if ( sav_vb = '1' or eav_vb = '1' ) then vblank <= '1'; end if; if ( sav_va = '1' ) then vblank <= '0'; end if; -- Create HBLANK strobes based on SAV/EAV events if ( sav_va_d4 = '1' or sav_vb_d4 = '1' ) then hblank <= '0'; end if; if ( eav_va = '1' or eav_vb = '1' ) then hblank <= '1'; end if; end if; end process; -- -- Video Ports -- VIDEO_PORTS_16BIT_GEN : if (C_DATA_WIDTH = 16) generate video_ports_16bit_oregs_l : process (clk) begin if rising_edge( clk ) then -- video_vsync <= '0'; -- video_hsync <= '0'; video_vblank <= vblank; video_hblank <= hblank; video_de <= de; video_data <= video_d4; end if; end process; end generate VIDEO_PORTS_16BIT_GEN; -- -- Audio Port -- audio_spdif <= spdif_r; -- -- Debug Port -- Can be used to connect to ChipScope for debugging. -- Having a port makes these signals accessible for debug via EDK. -- debug_l : process (clk) begin if Rising_Edge(clk) then debug_o(15 downto 0) <= video_r; debug_o( 16) <= spdif_r; debug_o( 17) <= de; debug_o( 18) <= hblank; debug_o( 19) <= vblank; debug_o( 20) <= sav_va; debug_o( 21) <= sav_vb; debug_o( 22) <= eav_va or eav_vb; debug_o( 23) <= sync_code; end if; end process; end rtl;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_mm2s_omit_wrap.vhd
18
16390
------------------------------------------------------------------------------- -- axi_datamover_mm2s_omit_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_omit_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Omit Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_omit_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 0; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 0; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input -------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------- -- MM2S Halt request input control------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------------- -- Error discrete output ---------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- ----------------------------------------------------------- -- Optional MM2S Command and Status clock and Reset ----------- -- Only used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- --------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) -------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ---------------------------------------------------------------- -- Address Posting contols ------------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ---------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ----------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_omit_wrap; architecture implementation of axi_datamover_mm2s_omit_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; begin --(architecture implementation) mm2s_dbg_data <= X"BEEF0000" ; -- 32 bit Constant indicating OMIT type -- Just tie off output ports mm2s_halt_cmplt <= mm2s_halt ; mm2s_err <= '0' ; mm2s_cmd_wready <= '0' ; mm2s_sts_wvalid <= '0' ; mm2s_sts_wdata <= (others => '0'); mm2s_sts_wstrb <= (others => '0'); mm2s_sts_wlast <= '0' ; mm2s_arid <= (others => '0'); mm2s_araddr <= (others => '0'); mm2s_arlen <= (others => '0'); mm2s_arsize <= (others => '0'); mm2s_arburst <= (others => '0'); mm2s_arprot <= (others => '0'); mm2s_arcache <= (others => '0'); mm2s_aruser <= (others => '0'); mm2s_arvalid <= '0' ; mm2s_rready <= '0' ; mm2s_strm_wdata <= (others => '0'); mm2s_strm_wstrb <= (others => '0'); mm2s_strm_wlast <= '0' ; mm2s_strm_wvalid <= '0' ; mm2s_addr_req_posted <= '0' ; mm2s_rd_xfer_cmplt <= '0' ; -- Input ports are ignored end implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/ipi_proj/srcs/ip/vsrc_sel_v1_0/vhdl/video_src_sel.vhd
6
8306
-- *************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- *************************************************************************** ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; Library UNISIM; use UNISIM.vcomponents.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity vsrc_sel is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_CHANNELS : integer := 1 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ -- User logic ports video_clk_1 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); video_clk_2 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); hsync_1 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); hsync_2 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); vsync_1 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); vsync_2 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); de_1 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); de_2 : in std_logic_vector(C_NUM_CHANNELS-1 downto 0); video_clk : out std_logic_vector(C_NUM_CHANNELS-1 downto 0); hsync : out std_logic_vector(C_NUM_CHANNELS-1 downto 0); vsync : out std_logic_vector(C_NUM_CHANNELS-1 downto 0); de : out std_logic_vector(C_NUM_CHANNELS-1 downto 0); video_sel : in std_logic ); attribute MAX_FANOUT : string; attribute SIGIS : string; -- attribute SIGIS of Bus2IP_Clk : signal is "CLK"; -- attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity vsrc_sel; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of vsrc_sel is begin de <= de_1 when video_sel = '0' else de_2; hsync <= hsync_1 when video_sel = '0' else hsync_2; vsync <= vsync_1 when video_sel = '0' else vsync_2; VIDEO_SEL_GEN:for i in 0 to C_NUM_CHANNELS-1 generate begin BUFGMUX_INST : BUFGMUX generic map ( CLK_SEL_TYPE => "SYNC" -- Not supported. Must be "SYNC". ) port map ( O => video_clk(i), -- 1-bit output: Clock buffer output I0 => video_clk_1(i), -- 1-bit input: Clock buffer input (S=0) I1 => video_clk_2(i), -- 1-bit input: Clock buffer input (S=1) S => video_sel-- 1-bit input: Clock buffer select ); end generate VIDEO_SEL_GEN; end IMP;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_s2mm_full_wrap.vhd
5
92755
------------------------------------------------------------------------------- -- axi_datamover_s2mm_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_full_wrap.vhd -- -- Description: -- This file implements the DataMover S2MM FULL Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all ; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset ; use axi_datamover_v5_1.axi_datamover_cmd_status ; use axi_datamover_v5_1.axi_datamover_pcc ; use axi_datamover_v5_1.axi_datamover_ibttcc ; use axi_datamover_v5_1.axi_datamover_indet_btt ; use axi_datamover_v5_1.axi_datamover_s2mm_realign ; use axi_datamover_v5_1.axi_datamover_addr_cntl ; use axi_datamover_v5_1.axi_datamover_wrdata_cntl ; use axi_datamover_v5_1.axi_datamover_wr_status_cntl; Use axi_datamover_v5_1.axi_datamover_skid2mm_buf ; Use axi_datamover_v5_1.axi_datamover_skid_buf ; Use axi_datamover_v5_1.axi_datamover_wr_sf ; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_full_wrap is generic ( C_INCLUDE_S2MM : Integer range 0 to 2 := 1; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Lite S2MM functionality C_S2MM_AWID : Integer range 0 to 255 := 9; -- Specifies the constant value to output on -- the ARID output port C_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_S2MM_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S2MM_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_S2MM_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) General Purpose Store and Forward function -- 0 = Omit GP Store and Forward -- 1 = Include GP Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- S2MM Primary Clock and Reset inputs ---------------------------- s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- ------------------------------------------------------------------- -- S2MM Primary Reset input --------------------------------------- s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------- -- S2MM Halt request input control -------------------------------- s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------------- -- S2MM Error discrete output ------------------------------------- s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------------- -- Optional Command and Status Clock and Reset ------------------- -- Only used when C_S2MM_STSCMD_IS_ASYNC = 1 -- -- s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ----------------------------------------------------- s2mm_cmd_wvalid : in std_logic; -- s2mm_cmd_wready : out std_logic; -- s2mm_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_S2MM_ADDR_WIDTH+36)-1 downto 0); -- -------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) -------------------------------------------------------- s2mm_sts_wvalid : out std_logic; -- s2mm_sts_wready : in std_logic; -- s2mm_sts_wdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- s2mm_sts_wstrb : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- s2mm_sts_wlast : out std_logic; -- ---------------------------------------------------------------------------------------------------- -- Address posting controls --------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI Address Channel I/O -------------------------------------- s2mm_awid : out std_logic_vector(C_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- s2mm_awaddr : out std_logic_vector(C_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel PROT output -- -- s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ----------- -- s2mm__awlock : out std_logic_vector(2 downto 0); -- -- s2mm__awcache : out std_logic_vector(4 downto 0); -- -- s2mm__awqos : out std_logic_vector(3 downto 0); -- -- s2mm__awregion : out std_logic_vector(3 downto 0); -- ----------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O --------------------------------------------- s2mm_wdata : Out std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0); -- s2mm_wstrb : Out std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0); -- s2mm_wlast : Out std_logic; -- s2mm_wvalid : Out std_logic; -- s2mm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ----------------------------------------- s2mm_bresp : In std_logic_vector(1 downto 0); -- s2mm_bvalid : In std_logic; -- s2mm_bready : Out std_logic; -- -------------------------------------------------------------------------------------- -- S2MM AXI Master Stream Channel I/O ----------------------------------------------- s2mm_strm_wdata : In std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0); -- s2mm_strm_wstrb : In std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0); -- s2mm_strm_wlast : In std_logic; -- s2mm_strm_wvalid : In std_logic; -- s2mm_strm_wready : Out std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ----------------------------------------------------------------- ); end entity axi_datamover_s2mm_full_wrap; architecture implementation of axi_datamover_s2mm_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_wdemux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Write Strobe demux select control. -- ------------------------------------------------------------------- function func_calc_wdemux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_wdemux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin if (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_status_width -- -- Function Description: -- This function sets the width of the Status pipe depending on the -- Store and Forward inclusion or ommision. -- ------------------------------------------------------------------- function funct_set_status_width (store_forward_enabled : integer) return integer is Variable temp_status_bit_width : Integer := 8; begin If (store_forward_enabled = 1) Then temp_status_bit_width := 32; Else temp_status_bit_width := 8; End if; Return (temp_status_bit_width); end function funct_set_status_width; ------------------------------------------------------------------- -- Function -- -- Function Name: get_bits_needed -- -- Function Description: -- -- ------------------------------------------------------------------- function get_bits_needed (max_bytes : integer) return integer is Variable fvar_temp_bit_width : Integer := 1; begin if (max_bytes <= 1) then fvar_temp_bit_width := 1; elsif (max_bytes <= 3) then fvar_temp_bit_width := 2; elsif (max_bytes <= 7) then fvar_temp_bit_width := 3; elsif (max_bytes <= 15) then fvar_temp_bit_width := 4; elsif (max_bytes <= 31) then fvar_temp_bit_width := 5; elsif (max_bytes <= 63) then fvar_temp_bit_width := 6; elsif (max_bytes <= 127) then fvar_temp_bit_width := 7; elsif (max_bytes <= 255) then fvar_temp_bit_width := 8; elsif (max_bytes <= 511) then fvar_temp_bit_width := 9; elsif (max_bytes <= 1023) then fvar_temp_bit_width := 10; elsif (max_bytes <= 2047) then fvar_temp_bit_width := 11; elsif (max_bytes <= 4095) then fvar_temp_bit_width := 12; elsif (max_bytes <= 8191) then fvar_temp_bit_width := 13; else -- 8k - 16K fvar_temp_bit_width := 14; end if; Return (fvar_temp_bit_width); end function get_bits_needed; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_need_realigner -- -- Function Description: -- Determines if the Realigner module needs to be included. -- ------------------------------------------------------------------- function funct_need_realigner (indet_btt_enabled : integer; dre_included : integer; gp_sf_included : integer) return integer is Variable temp_val : Integer := 0; begin If ((indet_btt_enabled = 1) or (dre_included = 1) or (gp_sf_included = 1)) Then temp_val := 1; else temp_val := 0; End if; Return (temp_val); end function funct_need_realigner; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for S2MM -- modules downstream from the upsizing Store and Forward. If -- Store and forward is present, then the effective Stream width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Stream width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled > 0) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_bytes_per_dbeat -- -- Function Description: -- This function calculates the number of bytes transfered per -- databeat on the MMap AXI4 Write Data Channel by the S2MM. The -- value is based on input parameterization of included functions -- in the S2MM block. -- ------------------------------------------------------------------- function funct_get_bytes_per_dbeat (ibtt_enabled : integer ; gpsf_enabled : integer ; stream_dwidth : integer ; mmap_dwidth : integer ) return integer is Variable fvar_temp_bytes_per_xfer : Integer := 4; begin If (ibtt_enabled > 0 or gpsf_enabled > 0) Then -- transfers will be upsized to mmap data width fvar_temp_bytes_per_xfer := mmap_dwidth/8; Else -- transfers will be in stream data widths (may be narrow transfers on mmap) fvar_temp_bytes_per_xfer := stream_dwidth/8; End if; Return (fvar_temp_bytes_per_xfer); end function funct_get_bytes_per_dbeat; -- Constant Declarations ---------------------------------------- Constant SF_ENABLED : integer := C_INCLUDE_S2MM_GP_SF + C_S2MM_SUPPORT_INDET_BTT; Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_S2MM_MDATA_WIDTH, C_S2MM_SDATA_WIDTH, SF_ENABLED); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant IS_NOT_MM2S : integer range 0 to 1 := 0; Constant S2MM_AWID_VALUE : integer range 0 to 255 := C_S2MM_AWID; Constant S2MM_AWID_WIDTH : integer range 1 to 8 := C_S2MM_ID_WIDTH; Constant S2MM_ADDR_WIDTH : integer range 32 to 64 := C_S2MM_ADDR_WIDTH; Constant S2MM_MDATA_WIDTH : integer range 32 to 1024 := C_S2MM_MDATA_WIDTH; Constant S2MM_SDATA_WIDTH : integer range 8 to 1024 := C_S2MM_SDATA_WIDTH; Constant S2MM_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant S2MM_CMD_WIDTH : integer := (S2MM_TAG_WIDTH+S2MM_ADDR_WIDTH+32); Constant INCLUDE_S2MM_STSFIFO : integer range 0 to 1 := C_INCLUDE_S2MM_STSFIFO; Constant S2MM_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_S2MM_STSCMD_FIFO_DEPTH; Constant S2MM_STSCMD_IS_ASYNC : integer range 0 to 1 := C_S2MM_STSCMD_IS_ASYNC; Constant S2MM_BURST_SIZE : integer range 2 to 256 := C_S2MM_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant WR_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_wdemux_sel_bits(S2MM_MDATA_WIDTH); Constant S2MM_BTT_USED : integer range 8 to 23 := C_S2MM_BTT_USED; Constant BITS_PER_BYTE : integer := 8; Constant INCLUDE_S2MM_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_S2MM_DRE, S2MM_SDATA_WIDTH); Constant DRE_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_S2MM_ADDR_PIPE_DEPTH; Constant S2MM_DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_S2MM_DRE, S2MM_SDATA_WIDTH); Constant DRE_SUPPORT_SCATTER : integer range 0 to 1 := 1; Constant ENABLE_INDET_BTT_SF : integer range 0 to 1 := C_S2MM_SUPPORT_INDET_BTT; Constant ENABLE_GP_SF : integer range 0 to 1 := C_INCLUDE_S2MM_GP_SF ; Constant BYTES_PER_MMAP_DBEAT : integer := funct_get_bytes_per_dbeat(ENABLE_INDET_BTT_SF , ENABLE_GP_SF , S2MM_SDATA_WIDTH , S2MM_MDATA_WIDTH); Constant MAX_BYTES_PER_BURST : integer := BYTES_PER_MMAP_DBEAT*S2MM_BURST_SIZE; Constant IBTT_XFER_BYTES_WIDTH : integer := get_bits_needed(MAX_BYTES_PER_BURST); Constant WR_STATUS_CNTL_FIFO_DEPTH : integer range 1 to 32 := WR_DATA_CNTL_FIFO_DEPTH+2; -- 2 added for going -- full thresholding -- in WSC Constant WSC_STATUS_WIDTH : integer range 8 to 32 := funct_set_status_width(ENABLE_INDET_BTT_SF); Constant WSC_BYTES_RCVD_WIDTH : integer range 8 to 32 := S2MM_BTT_USED; Constant ADD_REALIGNER : integer := funct_need_realigner(ENABLE_INDET_BTT_SF , INCLUDE_S2MM_DRE , ENABLE_GP_SF); -- Calculates the minimum needed depth of the GP Store and Forward FIFO -- based on the S2MM pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * S2MM_BURST_SIZE; -- Assigns the depth of the optional GP Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(S2MM_MDATA_WIDTH, S2MM_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_s2mm_cmd_wdata : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(S2MM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(S2MM_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_last : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2addr_data_rdy : std_logic := '0'; signal sig_data2all_tlast_error : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2wsc_calc_error : std_logic := '0'; signal sig_addr2wsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2wsc_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal sig_data2wsc_cmd_empty : std_logic := '0'; signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_wsc2stat_status : std_logic_vector(WSC_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2wsc_status_ready : std_logic := '0'; signal sig_wsc2stat_status_valid : std_logic := '0'; signal sig_wsc2mstr_halt_pipe : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(S2MM_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_tag : std_logic_vector(S2MM_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(S2MM_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_addr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wvalid : std_logic := '0'; signal sig_skid2data_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_skid2axi_wvalid : std_logic := '0'; signal sig_axi2skid_wready : std_logic := '0'; signal sig_skid2axi_wdata : std_logic_vector(S2MM_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_skid2axi_wstrb : std_logic_vector((S2MM_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_skid2axi_wlast : std_logic := '0'; signal sig_data2wsc_sof : std_logic := '0'; signal sig_data2wsc_eof : std_logic := '0'; signal sig_data2wsc_valid : std_logic := '0'; signal sig_wsc2data_ready : std_logic := '0'; signal sig_data2wsc_eop : std_logic := '0'; signal sig_data2wsc_bytes_rcvd : std_logic_vector(WSC_BYTES_RCVD_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2pcc_xfer_valid : std_logic := '0'; signal sig_pcc2sf_xfer_ready : std_logic := '0'; signal sig_sf2pcc_cmd_cmplt : std_logic := '0'; signal sig_sf2pcc_packet_eop : std_logic := '0'; signal sig_sf2pcc_xfer_bytes : std_logic_vector(IBTT_XFER_BYTES_WIDTH-1 downto 0) := (others => '0'); signal sig_ibtt2wdc_tvalid : std_logic := '0'; signal sig_wdc2ibtt_tready : std_logic := '0'; signal sig_ibtt2wdc_tdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_ibtt2wdc_tstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_ibtt2wdc_tlast : std_logic := '0'; signal sig_ibtt2wdc_eop : std_logic := '0'; signal sig_ibtt2wdc_stbs_asserted : std_logic_vector(7 downto 0) := (others => '0'); signal sig_dre2ibtt_tvalid : std_logic := '0'; signal sig_ibtt2dre_tready : std_logic := '0'; signal sig_dre2ibtt_tdata : std_logic_vector(S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2ibtt_tstrb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2ibtt_tlast : std_logic := '0'; signal sig_dre2ibtt_eop : std_logic := '0'; signal sig_dre2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2dre_cmd_valid : std_logic := '0'; signal sig_mstr2dre_tag : std_logic_vector(S2MM_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2dre_dre_src_align : std_logic_vector(S2MM_DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2dre_dre_dest_align : std_logic_vector(S2MM_DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2dre_btt : std_logic_vector(S2MM_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2dre_drr : std_logic := '0'; signal sig_mstr2dre_eof : std_logic := '0'; signal sig_mstr2dre_cmd_cmplt : std_logic := '0'; signal sig_mstr2dre_calc_error : std_logic := '0'; signal sig_realign2wdc_eop_error : std_logic := '0'; signal sig_dre2all_halted : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_wsc2rst_stop_cmplt : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal skid2dre_wvalid : std_logic := '0'; signal dre2skid_wready : std_logic := '0'; signal skid2dre_wdata : std_logic_vector(S2MM_SDATA_WIDTH-1 downto 0) := (others => '0'); signal skid2dre_wstrb : std_logic_vector((S2MM_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal skid2dre_wlast : std_logic := '0'; signal sig_s2mm_allow_addr_req : std_logic := '0'; signal sig_ok_to_post_wr_addr : std_logic := '0'; signal sig_s2mm_addr_req_posted : std_logic := '0'; signal sig_s2mm_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_ibtt2wdc_error : std_logic := '0'; signal sig_sf_strt_addr_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2dre_sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal s2mm_awcache_int : std_logic_vector (3 downto 0); signal s2mm_awuser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug/Test Port Assignments s2mm_dbg_data <= sig_dbg_data_mux_out; -- Note that only the s2mm_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (s2mm_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"CAFE1111" ; -- 32 bit Constant indicating S2MM FULL type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2wsc_status_ready; sig_dbg_data_1(7) <= sig_wsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2wsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_wsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_wsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_wsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_wsc2stat_status(6) ; -- Slave Error --sig_dbg_data_1(19) <= sig_wsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2wsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_wsc2stat_status_valid ; -- Status Valid Handshake sig_dbg_data_1(29 downto 22) <= sig_mstr2data_len ; -- WDC Cmd FIFO LEN input sig_dbg_data_1(30) <= sig_mstr2data_cmd_valid ; -- WDC Cmd FIFO Valid Inpute sig_dbg_data_1(31) <= sig_data2mstr_cmd_ready ; -- WDC Cmd FIFO Ready Output ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADD_DEBUG_EOP -- -- If Generate Description: -- -- This IfGen adds in the EOP status marker to the debug -- vector data when Indet BTT Store and Forward is enabled. -- ------------------------------------------------------------ GEN_ADD_DEBUG_EOP : if (ENABLE_INDET_BTT_SF = 1) generate begin sig_dbg_data_1(19) <= sig_wsc2stat_status(31) ; -- EOP Marker end generate GEN_ADD_DEBUG_EOP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DEBUG_EOP -- -- If Generate Description: -- -- This IfGen zeros the debug vector bit used for the EOP -- status marker when Indet BTT Store and Forward is not -- enabled. -- ------------------------------------------------------------ GEN_NO_DEBUG_EOP : if (ENABLE_INDET_BTT_SF = 0) generate begin sig_dbg_data_1(19) <= '0' ; -- EOP Marker end generate GEN_NO_DEBUG_EOP; ---- End of Debug/Test Support -------------------------------- -- Assign the Address posting control outputs s2mm_addr_req_posted <= sig_s2mm_addr_req_posted ; s2mm_wr_xfer_cmplt <= sig_s2mm_wr_xfer_cmplt ; s2mm_ld_nxt_len <= sig_s2mm_ld_nxt_len ; s2mm_wr_len <= sig_s2mm_wr_len ; -- Write Data Channel I/O s2mm_wvalid <= sig_skid2axi_wvalid; sig_axi2skid_wready <= s2mm_wready ; s2mm_wdata <= sig_skid2axi_wdata ; s2mm_wlast <= sig_skid2axi_wlast ; GEN_S2MM_TKEEP_ENABLE2 : if C_ENABLE_S2MM_TKEEP = 1 generate begin s2mm_wstrb <= sig_skid2axi_wstrb ; end generate GEN_S2MM_TKEEP_ENABLE2; GEN_S2MM_TKEEP_DISABLE2 : if C_ENABLE_S2MM_TKEEP = 0 generate begin s2mm_wstrb <= (others => '1'); end generate GEN_S2MM_TKEEP_DISABLE2; GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off s2mm_awcache <= "0011"; -- pre Interface-X guidelines for Masters s2mm_awuser <= "0000"; -- pre Interface-X guidelines for Masters sig_s2mm_cache_data <= (others => '0'); --s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off s2mm_awcache <= s2mm_awcache_int; -- pre Interface-X guidelines for Masters s2mm_awuser <= s2mm_awuser_int; -- pre Interface-X guidelines for Masters sig_s2mm_cache_data <= s2mm_cmd_wdata(79 downto 72); -- sig_s2mm_cache_data <= s2mm_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Internal error output discrete s2mm_err <= sig_calc2dm_calc_err or sig_data2all_tlast_error; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_s2mm_cmd_wdata <= s2mm_cmd_wdata(S2MM_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC ) port map ( primary_aclk => s2mm_aclk , primary_aresetn => s2mm_aresetn , secondary_awclk => s2mm_cmdsts_awclk , secondary_aresetn => s2mm_cmdsts_aresetn , halt_req => s2mm_halt , halt_cmplt => s2mm_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => sig_wsc2rst_stop_cmplt , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_S2MM_STSFIFO , C_STSCMD_FIFO_DEPTH => S2MM_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => S2MM_STSCMD_IS_ASYNC , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_STS_WIDTH => WSC_STATUS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , secondary_awclk => s2mm_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => s2mm_cmd_wvalid , cmd_wready => s2mm_cmd_wready , cmd_wdata => sig_s2mm_cmd_wdata , cache_data => sig_s2mm_cache_data , sts_wvalid => s2mm_sts_wvalid , sts_wready => s2mm_sts_wready , sts_wdata => s2mm_sts_wdata , sts_wstrb => s2mm_sts_wstrb , sts_wlast => s2mm_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_wsc2stat_status , stat2mstr_status_ready => sig_stat2wsc_status_ready , mst2stst_status_valid => sig_wsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_WR_STATUS_CNTLR -- -- Description: -- Write Status Controller Block -- ------------------------------------------------------------ I_WR_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_wr_status_cntl generic map ( C_ENABLE_INDET_BTT => ENABLE_INDET_BTT_SF , C_SF_BYTES_RCVD_WIDTH => WSC_BYTES_RCVD_WIDTH , C_STS_FIFO_DEPTH => WR_STATUS_CNTL_FIFO_DEPTH , C_STS_WIDTH => WSC_STATUS_WIDTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2wsc_stop_request => sig_rst2all_stop_request , wsc2rst_stop_cmplt => sig_wsc2rst_stop_cmplt , addr2wsc_addr_posted => sig_addr2data_addr_posted , s2mm_bresp => s2mm_bresp , s2mm_bvalid => s2mm_bvalid , s2mm_bready => s2mm_bready , calc2wsc_calc_error => sig_calc2dm_calc_err , addr2wsc_calc_error => sig_addr2wsc_calc_error , addr2wsc_fifo_empty => sig_addr2wsc_cmd_fifo_empty , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_error => sig_data2wsc_calc_err , data2wsc_last_error => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , data2wsc_valid => sig_data2wsc_valid , wsc2data_ready => sig_wsc2data_ready , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2stat_status => sig_wsc2stat_status , stat2wsc_status_ready => sig_stat2wsc_status_ready , wsc2stat_status_valid => sig_wsc2stat_status_valid , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_PCC -- -- If Generate Description: -- Include the normal Predictive Command Calculator function, -- Store and Forward is not an included feature. -- -- ------------------------------------------------------------ GEN_INCLUDE_PCC : if (ENABLE_INDET_BTT_SF = 0) generate begin ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_NOT_MM2S , C_DRE_ALIGN_WIDTH => S2MM_DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_MAX_BURST_LEN => S2MM_BURST_SIZE , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_BTT_USED => S2MM_BTT_USED , C_SUPPORT_INDET_BTT => ENABLE_INDET_BTT_SF , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => open , mstr2data_dre_dest_align => open , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_dre2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2dre_cmd_valid , mstr2dre_tag => sig_mstr2dre_tag , mstr2dre_dre_src_align => sig_mstr2dre_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2dre_dre_dest_align , mstr2dre_btt => sig_mstr2dre_btt , mstr2dre_drr => sig_mstr2dre_drr , mstr2dre_eof => sig_mstr2dre_eof , mstr2dre_cmd_cmplt => sig_mstr2dre_cmd_cmplt , mstr2dre_calc_error => sig_mstr2dre_calc_error , mstr2dre_strt_offset => sig_mstr2dre_sf_strt_offset ); end generate GEN_INCLUDE_PCC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_IBTTCC -- -- If Generate Description: -- Include the Indeterminate BTT Command Calculator function, -- Store and Forward is enabled in the S2MM. -- -- ------------------------------------------------------------ GEN_INCLUDE_IBTTCC : if (ENABLE_INDET_BTT_SF = 1) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_MSTR_SFCC -- -- Description: -- Instantiates the Store and Forward Command Calculator -- Block. -- ------------------------------------------------------------ I_S2MM_MSTR_IBTTCC : entity axi_datamover_v5_1.axi_datamover_ibttcc generic map ( C_SF_XFER_BYTES_WIDTH => IBTT_XFER_BYTES_WIDTH , C_DRE_ALIGN_WIDTH => S2MM_DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_MAX_BURST_LEN => S2MM_BURST_SIZE , C_CMD_WIDTH => S2MM_CMD_WIDTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_BTT_USED => S2MM_BTT_USED , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , sf2pcc_xfer_valid => sig_sf2pcc_xfer_valid , pcc2sf_xfer_ready => sig_pcc2sf_xfer_ready , sf2pcc_cmd_cmplt => sig_sf2pcc_cmd_cmplt , sf2pcc_packet_eop => sig_sf2pcc_packet_eop , sf2pcc_xfer_bytes => sig_sf2pcc_xfer_bytes , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_dre2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2dre_cmd_valid , mstr2dre_tag => sig_mstr2dre_tag , mstr2dre_dre_src_align => sig_mstr2dre_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2dre_dre_dest_align , mstr2dre_btt => sig_mstr2dre_btt , mstr2dre_drr => sig_mstr2dre_drr , mstr2dre_eof => sig_mstr2dre_eof , mstr2dre_cmd_cmplt => sig_mstr2dre_cmd_cmplt , mstr2dre_calc_error => sig_mstr2dre_calc_error , mstr2dre_strt_offset => sig_mstr2dre_sf_strt_offset ); end generate GEN_INCLUDE_IBTTCC; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '1' generate begin ------------------------------------------------------------ -- Instance: I_S2MM_STRM_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registerd Slave Stream inputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_S2MM_STRM_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => S2MM_SDATA_WIDTH ) port map ( -- System Ports aclk => s2mm_aclk , arst => sig_mmap_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => s2mm_strm_wvalid , s_ready => s2mm_strm_wready , s_data => s2mm_strm_wdata , s_strb => s2mm_strm_wstrb , s_last => s2mm_strm_wlast , -- Master Side (Stream Data Output m_valid => skid2dre_wvalid , m_ready => dre2skid_wready , m_data => skid2dre_wdata , m_strb => skid2dre_wstrb , m_last => skid2dre_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(4) = '0' generate begin skid2dre_wvalid <= s2mm_strm_wvalid; s2mm_strm_wready <= dre2skid_wready; skid2dre_wdata <= s2mm_strm_wdata; skid2dre_wstrb <= s2mm_strm_wstrb; skid2dre_wlast <= s2mm_strm_wlast; end generate DISABLE_AXIS_SKID; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_REALIGNER -- -- If Generate Description: -- Omit the S2MM Realignment Engine -- -- ------------------------------------------------------------ GEN_NO_REALIGNER : if (ADD_REALIGNER = 0) generate begin -- Set to Always ready for DRE to PCC Command Interface sig_dre2mstr_cmd_ready <= LOGIC_HIGH; -- Without DRE and Scatter, the end of packet is the TLAST --sig_dre2ibtt_eop <= skid2dre_wlast ; sig_dre2ibtt_eop <= sig_dre2ibtt_tlast ; -- use skid buffered version -- Cant't detect undrrun/overrun here sig_realign2wdc_eop_error <= '0'; ENABLE_NOREALIGNER_SKID : if C_ENABLE_SKID_BUF(3) = '1' generate begin ------------------------------------------------------------ -- Instance: I_NO_REALIGN_SKID_BUF -- -- Description: -- Instance for a Skid Buffer which provides for -- Fmax timing improvement between the Null Absorber and -- the Write Data controller when the Realigner is not -- present (no DRE and no Store and Forward case). -- ------------------------------------------------------------ I_NO_REALIGN_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => S2MM_SDATA_WIDTH ) port map ( -- System Ports aclk => s2mm_aclk , arst => sig_mmap_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => LOGIC_LOW , -- Slave Side (Null Absorber Input) s_valid => skid2dre_wvalid , s_ready => dre2skid_wready , s_data => skid2dre_wdata , s_strb => skid2dre_wstrb , s_last => skid2dre_wlast , -- Master Side (Stream Data Output to WData Cntlr) m_valid => sig_dre2ibtt_tvalid , m_ready => sig_ibtt2dre_tready , m_data => sig_dre2ibtt_tdata , m_strb => sig_dre2ibtt_tstrb , m_last => sig_dre2ibtt_tlast ); end generate ENABLE_NOREALIGNER_SKID; DISABLE_NOREALIGNER_SKID : if C_ENABLE_SKID_BUF(3) = '0' generate begin sig_dre2ibtt_tvalid <= skid2dre_wvalid; dre2skid_wready <= sig_ibtt2dre_tready; sig_dre2ibtt_tdata <= skid2dre_wdata; sig_dre2ibtt_tstrb <= skid2dre_wstrb; sig_dre2ibtt_tlast <= skid2dre_wlast; end generate DISABLE_NOREALIGNER_SKID; end generate GEN_NO_REALIGNER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_REALIGNER -- -- If Generate Description: -- Include the S2MM realigner Module. It hosts the S2MM DRE -- and the Scatter Block. -- -- Note that the General Purpose Store and Forward Module -- needs the Scatter function to detect input overrun and -- underrun events on the AXI Stream input. Thus the Realigner -- is included whenever the GP Store and Forward is enabled. -- ------------------------------------------------------------ GEN_INCLUDE_REALIGNER : if (ADD_REALIGNER = 1) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_REALIGNER -- -- Description: -- Instance for the S2MM Data Realignment Module. -- ------------------------------------------------------------ I_S2MM_REALIGNER : entity axi_datamover_v5_1.axi_datamover_s2mm_realign generic map ( C_ENABLE_INDET_BTT => ENABLE_INDET_BTT_SF , C_INCLUDE_DRE => INCLUDE_S2MM_DRE , C_DRE_CNTL_FIFO_DEPTH => DRE_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => S2MM_DRE_ALIGN_WIDTH , C_SUPPORT_SCATTER => DRE_SUPPORT_SCATTER , C_BTT_USED => S2MM_BTT_USED , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , -- Write Data Controller or Store and Forward I/O ------- wdc2dre_wready => sig_ibtt2dre_tready , dre2wdc_wvalid => sig_dre2ibtt_tvalid , dre2wdc_wdata => sig_dre2ibtt_tdata , dre2wdc_wstrb => sig_dre2ibtt_tstrb , dre2wdc_wlast => sig_dre2ibtt_tlast , dre2wdc_eop => sig_dre2ibtt_eop , -- Starting offset output ------------------------------- dre2sf_strt_offset => sig_sf_strt_addr_offset , -- AXI Slave Stream In ----------------------------------- s2mm_strm_wready => dre2skid_wready , s2mm_strm_wvalid => skid2dre_wvalid , s2mm_strm_wdata => skid2dre_wdata , s2mm_strm_wstrb => skid2dre_wstrb , s2mm_strm_wlast => skid2dre_wlast , -- Command Calculator Interface -------------------------- dre2mstr_cmd_ready => sig_dre2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2dre_cmd_valid , mstr2dre_tag => sig_mstr2dre_tag , mstr2dre_dre_src_align => sig_mstr2dre_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2dre_dre_dest_align , mstr2dre_btt => sig_mstr2dre_btt , mstr2dre_drr => sig_mstr2dre_drr , mstr2dre_eof => sig_mstr2dre_eof , mstr2dre_cmd_cmplt => sig_mstr2dre_cmd_cmplt , mstr2dre_calc_error => sig_mstr2dre_calc_error , mstr2dre_strt_offset => sig_mstr2dre_sf_strt_offset , -- Premature TLAST assertion error flag dre2all_tlast_error => sig_realign2wdc_eop_error , -- DRE Halted Status dre2all_halted => sig_dre2all_halted ); end generate GEN_INCLUDE_REALIGNER; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ENABLE_INDET_BTT_SF -- -- If Generate Description: -- Include the Indeterminate BTT Logic with specialized -- Store and Forward function, This also requires the -- Scatter Engine in the Realigner module. -- -- ------------------------------------------------------------ GEN_ENABLE_INDET_BTT_SF : if (ENABLE_INDET_BTT_SF = 1) generate begin -- Pass the Realigner EOP error through sig_ibtt2wdc_error <= sig_realign2wdc_eop_error; -- Use only external address posting enable sig_s2mm_allow_addr_req <= s2mm_allow_addr_req ; ------------------------------------------------------------ -- Instance: I_INDET_BTT -- -- Description: -- Instance for the Indeterminate BTT with Store and Forward -- module. -- ------------------------------------------------------------ I_INDET_BTT : entity axi_datamover_v5_1.axi_datamover_indet_btt generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_IBTT_XFER_BYTES_WIDTH => IBTT_XFER_BYTES_WIDTH , C_STRT_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_MAX_BURST_LEN => S2MM_BURST_SIZE , C_MMAP_DWIDTH => S2MM_MDATA_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF , C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP , C_ENABLE_DRE => INCLUDE_S2MM_DRE , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , ibtt2wdc_stbs_asserted => sig_ibtt2wdc_stbs_asserted, ibtt2wdc_eop => sig_ibtt2wdc_eop , ibtt2wdc_tdata => sig_ibtt2wdc_tdata , ibtt2wdc_tstrb => sig_ibtt2wdc_tstrb , ibtt2wdc_tlast => sig_ibtt2wdc_tlast , ibtt2wdc_tvalid => sig_ibtt2wdc_tvalid , wdc2ibtt_tready => sig_wdc2ibtt_tready , dre2ibtt_tvalid => sig_dre2ibtt_tvalid , ibtt2dre_tready => sig_ibtt2dre_tready , dre2ibtt_tdata => sig_dre2ibtt_tdata , dre2ibtt_tstrb => sig_dre2ibtt_tstrb , dre2ibtt_tlast => sig_dre2ibtt_tlast , dre2ibtt_eop => sig_dre2ibtt_eop , dre2ibtt_strt_addr_offset => sig_sf_strt_addr_offset , sf2pcc_xfer_valid => sig_sf2pcc_xfer_valid , pcc2sf_xfer_ready => sig_pcc2sf_xfer_ready , sf2pcc_cmd_cmplt => sig_sf2pcc_cmd_cmplt , sf2pcc_packet_eop => sig_sf2pcc_packet_eop , sf2pcc_xfer_bytes => sig_sf2pcc_xfer_bytes ); end generate GEN_ENABLE_INDET_BTT_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_SF -- -- If Generate Description: -- Bypasses any store and Forward functions. -- -- ------------------------------------------------------------ GEN_NO_SF : if (ENABLE_INDET_BTT_SF = 0 and ENABLE_GP_SF = 0) generate begin -- Use only external address posting enable sig_s2mm_allow_addr_req <= s2mm_allow_addr_req ; -- Housekeep unused signal in this case sig_ok_to_post_wr_addr <= '0' ; -- SFCC Interface Signals that are not used sig_pcc2sf_xfer_ready <= '0' ; sig_sf2pcc_xfer_valid <= '0' ; sig_sf2pcc_cmd_cmplt <= '0' ; sig_sf2pcc_packet_eop <= '0' ; sig_sf2pcc_xfer_bytes <= (others => '0') ; -- Just pass DRE signals through sig_ibtt2dre_tready <= sig_wdc2ibtt_tready ; sig_ibtt2wdc_tvalid <= sig_dre2ibtt_tvalid ; sig_ibtt2wdc_tdata <= sig_dre2ibtt_tdata ; sig_ibtt2wdc_tstrb <= sig_dre2ibtt_tstrb ; sig_ibtt2wdc_tlast <= sig_dre2ibtt_tlast ; sig_ibtt2wdc_eop <= sig_dre2ibtt_eop ; sig_ibtt2wdc_stbs_asserted <= (others => '0') ; -- Pass the Realigner EOP error through sig_ibtt2wdc_error <= sig_realign2wdc_eop_error; end generate GEN_NO_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_GP_SF -- -- If Generate Description: -- Include the General Purpose Store and Forward module. -- This If Generate can only be enabled when -- Indeterminate BTT mode is not enabled. The General Purpose -- Store and Forward is instantiated in place of the Indet -- BTT Store and Forward. -- ------------------------------------------------------------ GEN_INCLUDE_GP_SF : if (ENABLE_INDET_BTT_SF = 0 and ENABLE_GP_SF = 1) generate begin -- Merge the external address posting control with the -- SF address posting control. sig_s2mm_allow_addr_req <= s2mm_allow_addr_req and sig_ok_to_post_wr_addr ; -- Zero these out since Indet BTT is not enabled, they -- are only used by the WDC in that mode sig_ibtt2wdc_stbs_asserted <= (others => '0') ; sig_ibtt2wdc_eop <= '0' ; -- SFCC Interface Signals that are not used sig_pcc2sf_xfer_ready <= '0' ; sig_sf2pcc_xfer_valid <= '0' ; sig_sf2pcc_cmd_cmplt <= '0' ; sig_sf2pcc_packet_eop <= '0' ; sig_sf2pcc_xfer_bytes <= (others => '0') ; ------------------------------------------------------------ -- Instance: I_S2MM_GP_SF -- -- Description: -- Instance for the S2MM (Write) General Purpose Store and -- Forward Module. This module can only be enabled when -- Indeterminate BTT mode is not enabled. It is connected -- in place of the IBTT Module when GP SF is enabled. -- ------------------------------------------------------------ I_S2MM_GP_SF : entity axi_datamover_v5_1.axi_datamover_wr_sf generic map ( C_WR_ADDR_PIPE_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MMAP_DWIDTH => S2MM_MDATA_WIDTH , C_STREAM_DWIDTH => S2MM_SDATA_WIDTH , C_STRT_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ----------------------------- aclk => s2mm_aclk , reset => sig_mmap_rst , -- Slave Stream Input -------------------------------- sf2sin_tready => sig_ibtt2dre_tready , sin2sf_tvalid => sig_dre2ibtt_tvalid , sin2sf_tdata => sig_dre2ibtt_tdata , sin2sf_tkeep => sig_dre2ibtt_tstrb , sin2sf_tlast => sig_dre2ibtt_tlast , sin2sf_error => sig_realign2wdc_eop_error , -- Starting Address Offset Input --------------------- sin2sf_strt_addr_offset => sig_sf_strt_addr_offset , -- DataMover Write Side Address Pipelining Control Interface -------- ok_to_post_wr_addr => sig_ok_to_post_wr_addr , wr_addr_posted => sig_s2mm_addr_req_posted , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , wr_ld_nxt_len => sig_s2mm_ld_nxt_len , wr_len => sig_s2mm_wr_len , -- Write Side Stream Out to DataMover S2MM ------------- sout2sf_tready => sig_wdc2ibtt_tready , sf2sout_tvalid => sig_ibtt2wdc_tvalid , sf2sout_tdata => sig_ibtt2wdc_tdata , sf2sout_tkeep => sig_ibtt2wdc_tstrb , sf2sout_tlast => sig_ibtt2wdc_tlast , sf2sout_error => sig_ibtt2wdc_error ); end generate GEN_INCLUDE_GP_SF; ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => S2MM_ADDR_WIDTH , C_ADDR_ID => S2MM_AWID_VALUE , C_ADDR_ID_WIDTH => S2MM_AWID_WIDTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => s2mm_awid , addr2axi_aaddr => s2mm_awaddr , addr2axi_alen => s2mm_awlen , addr2axi_asize => s2mm_awsize , addr2axi_aburst => s2mm_awburst , addr2axi_aprot => s2mm_awprot , addr2axi_avalid => s2mm_awvalid , addr2axi_acache => s2mm_awcache_int , addr2axi_auser => s2mm_awuser_int , axi2addr_aready => s2mm_awready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , -- mstr2addr_cache_info => sig_cache2mstr_command , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_s2mm_allow_addr_req , addr_req_posted => sig_s2mm_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2wsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2wsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_WR_DATA_CNTL -- -- Description: -- Write Data Controller Block -- ------------------------------------------------------------ I_WR_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_wrdata_cntl generic map ( C_REALIGNER_INCLUDED => ADD_REALIGNER , C_ENABLE_INDET_BTT => ENABLE_INDET_BTT_SF , C_SF_BYTES_RCVD_WIDTH => WSC_BYTES_RCVD_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => WR_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => S2MM_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => s2mm_aclk , mmap_reset => sig_mmap_rst , rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , data2skid_saddr_lsb => sig_data2skid_addr_lsb , data2skid_wdata => sig_data2skid_wdata , data2skid_wstrb => sig_data2skid_wstrb , data2skid_wlast => sig_data2skid_wlast , data2skid_wvalid => sig_data2skid_wvalid , skid2data_wready => sig_skid2data_wready , s2mm_strm_wvalid => sig_ibtt2wdc_tvalid , s2mm_strm_wready => sig_wdc2ibtt_tready , s2mm_strm_wdata => sig_ibtt2wdc_tdata , s2mm_strm_wstrb => sig_ibtt2wdc_tstrb , s2mm_strm_wlast => sig_ibtt2wdc_tlast , s2mm_strm_eop => sig_ibtt2wdc_eop , s2mm_stbs_asserted => sig_ibtt2wdc_stbs_asserted, realign2wdc_eop_error => sig_ibtt2wdc_error , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_last , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => sig_data2addr_data_rdy , data2all_tlast_error => sig_data2all_tlast_error , data2all_dcntlr_halted => sig_data2all_dcntlr_halted, data2skid_halt => sig_data2skid_halt , data2wsc_tag => sig_data2wsc_tag , data2wsc_calc_err => sig_data2wsc_calc_err , data2wsc_last_err => sig_data2wsc_last_err , data2wsc_cmd_cmplt => sig_data2wsc_cmd_cmplt , wsc2data_ready => sig_wsc2data_ready , data2wsc_valid => sig_data2wsc_valid , data2wsc_eop => sig_data2wsc_eop , data2wsc_bytes_rcvd => sig_data2wsc_bytes_rcvd , wsc2mstr_halt_pipe => sig_wsc2mstr_halt_pipe ); --ENABLE_AXIMMAP_SKID : if C_ENABLE_SKID_BUF(4) = '1' generate --begin ------------------------------------------------------------ -- Instance: I_S2MM_MMAP_SKID_BUF -- -- Description: -- Instance for the S2MM Skid Buffer which provides for -- registered outputs and supports bi-dir throttling. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- ------------------------------------------------------------ I_S2MM_MMAP_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid2mm_buf generic map ( C_MDATA_WIDTH => S2MM_MDATA_WIDTH , C_SDATA_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_ADDR_LSB_WIDTH => SEL_ADDR_WIDTH ) port map ( -- System Ports ACLK => s2mm_aclk , ARST => sig_stream_rst , -- Slave Side (Wr Data Controller Input Side ) S_ADDR_LSB => sig_data2skid_addr_lsb, S_VALID => sig_data2skid_wvalid , S_READY => sig_skid2data_wready , S_Data => sig_data2skid_wdata , S_STRB => sig_data2skid_wstrb , S_Last => sig_data2skid_wlast , -- Master Side (MMap Write Data Output Side) M_VALID => sig_skid2axi_wvalid , M_READY => sig_axi2skid_wready , M_Data => sig_skid2axi_wdata , M_STRB => sig_skid2axi_wstrb , M_Last => sig_skid2axi_wlast ); --end generate ENABLE_AXIMMAP_SKID; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/impl/vhdl/FIFO_image_filter_img_0_data_stream_1_V.vhd
4
4629
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_0_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_0_data_stream_1_V_shiftReg; architecture rtl of FIFO_image_filter_img_0_data_stream_1_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_0_data_stream_1_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_0_data_stream_1_V is component FIFO_image_filter_img_0_data_stream_1_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_0_data_stream_1_V_shiftReg : FIFO_image_filter_img_0_data_stream_1_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_updt_q_mngr.vhd
3
39575
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_q_mngr.vhd -- Description: This entity is the descriptor update queue manager -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Control **-- -- --***********************************-- -- ch1_updt_curdesc_wren : out std_logic ; -- ch1_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_active : in std_logic ; -- ch1_updt_queue_empty : out std_logic ; -- ch1_updt_ioc : out std_logic ; -- ch1_updt_ioc_irq_set : in std_logic ; -- -- ch1_dma_interr : out std_logic ; -- ch1_dma_slverr : out std_logic ; -- ch1_dma_decerr : out std_logic ; -- ch1_dma_interr_set : in std_logic ; -- ch1_dma_slverr_set : in std_logic ; -- ch1_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Control **-- -- --***********************************-- -- ch2_updt_active : in std_logic ; -- -- ch2_updt_curdesc_wren : out std_logic ; -- -- ch2_updt_curdesc : out std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_queue_empty : out std_logic ; -- ch2_updt_ioc : out std_logic ; -- ch2_updt_ioc_irq_set : in std_logic ; -- -- ch2_dma_interr : out std_logic ; -- ch2_dma_slverr : out std_logic ; -- ch2_dma_decerr : out std_logic ; -- ch2_dma_interr_set : in std_logic ; -- ch2_dma_slverr_set : in std_logic ; -- ch2_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Update Interface In **-- -- --***********************************-- -- s_axis_ch1_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Update Interface In **-- -- --***********************************-- -- s_axis_ch2_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- --***************************************-- -- --** Update Interface to AXI DataMover **-- -- --***************************************-- -- -- S2MM Stream Out To DataMover -- s_axis_s2mm_tdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_tlast : out std_logic ; -- s_axis_s2mm_tvalid : out std_logic ; -- s_axis_s2mm_tready : in std_logic -- ); end axi_sg_updt_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_ch1_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch1_updt_tlast : std_logic := '0'; signal m_axis_ch1_updt_tvalid : std_logic := '0'; signal m_axis_ch1_updt_tready : std_logic := '0'; signal m_axis_ch2_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch2_updt_tlast : std_logic := '0'; signal m_axis_ch2_updt_tvalid : std_logic := '0'; signal m_axis_ch2_updt_tready : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** CHANNEL 1 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 1 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin ------------------------------------------------------------------------------- I_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_INCLUDE_MM2S => C_INCLUDE_CH1 , C_INCLUDE_S2MM => C_INCLUDE_CH2 , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch1_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , -- updt2_curdesc_wren => ch2_updt_curdesc_wren , -- updt2_curdesc => ch2_updt_curdesc , updt2_active => ch2_updt_active , updt2_queue_empty => ch2_updt_queue_empty , updt2_ioc => ch2_updt_ioc , updt2_ioc_irq_set => ch2_updt_ioc_irq_set , dma2_interr => ch2_dma_interr , dma2_slverr => ch2_dma_slverr , dma2_decerr => ch2_dma_decerr , dma2_interr_set => ch2_dma_interr_set , dma2_slverr_set => ch2_dma_slverr_set , dma2_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Update Pointer Stream s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata , m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast , m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid , m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready , -- m_axis2_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis2_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis2_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_QUEUE; --***************************************************************************** --** CHANNEL 1 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate begin I_NO_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , updt2_active => ch2_updt_active , updt2_queue_empty => ch2_updt_queue_empty , updt2_ioc => ch2_updt_ioc , updt2_ioc_irq_set => ch2_updt_ioc_irq_set , dma2_interr => ch2_dma_interr , dma2_slverr => ch2_dma_slverr , dma2_decerr => ch2_dma_decerr , dma2_interr_set => ch2_dma_interr_set , dma2_slverr_set => ch2_dma_slverr_set , dma2_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Update Pointer Stream s_axis2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis2_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis2_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis2_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => s_axis_s2mm_tdata, --m_axis_ch1_updt_tdata , m_axis_updt_tlast => s_axis_s2mm_tlast, --m_axis_ch1_updt_tlast , m_axis_updt_tvalid => s_axis_s2mm_tvalid, --m_axis_ch1_updt_tvalid , m_axis_updt_tready => s_axis_s2mm_tready --m_axis_ch1_updt_tready , -- m_axis_updt_tdata => m_axis_ch1_updt_tdata , -- m_axis_updt_tlast => m_axis_ch1_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , -- m_axis_updt_tready => m_axis_ch1_updt_tready , -- S2MM Stream Out To DataMover -- m_axis2_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis2_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis2_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis2_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_NO_QUEUE; -- Channel 1 NOT included therefore tie ch1 outputs off --GEN_NO_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 0 generate --begin -- ch1_updt_curdesc_wren <= '0'; -- ch1_updt_curdesc <= (others => '0'); -- ch1_updt_queue_empty <= '1'; -- ch1_updt_ioc <= '0'; -- ch1_dma_interr <= '0'; -- ch1_dma_slverr <= '0'; -- ch1_dma_decerr <= '0'; -- m_axis_ch1_updt_tdata <= (others => '0'); -- m_axis_ch1_updt_tlast <= '0'; -- m_axis_ch1_updt_tvalid <= '0'; -- s_axis_ch1_updtptr_tready <= '0'; -- s_axis_ch1_updtsts_tready <= '0'; --end generate GEN_NO_CH1_UPDATE_Q_IF; --***************************************************************************** --** CHANNEL 2 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 2 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- --GEN_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 1 generate -- --begin -- -- --************************************************************************* -- --** CHANNEL 2 - DESCRIPTOR QUEUE ** -- --************************************************************************* -- -- If Descriptor Update queueing enabled then instantiate Queue Logic -- GEN_CH2_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate -- begin -- --------------------------------------------------------------------------- -- I_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_queue -- generic map( -- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , -- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , -- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , -- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , -- C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , -- C_SG_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , -- C_FAMILY => C_FAMILY -- ) -- port map( -- --------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- --------------------------------------------------------------- -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- s_axis_updt_aclk => s_axis_ch2_updt_aclk , -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren => ch2_updt_curdesc_wren , -- updt_curdesc => ch2_updt_curdesc , -- updt_active => ch2_updt_active , -- updt_queue_empty => ch2_updt_queue_empty , -- updt_ioc => ch2_updt_ioc , -- updt_ioc_irq_set => ch2_updt_ioc_irq_set , -- -- dma_interr => ch2_dma_interr , -- dma_slverr => ch2_dma_slverr , -- dma_decerr => ch2_dma_decerr , -- dma_interr_set => ch2_dma_interr_set , -- dma_slverr_set => ch2_dma_slverr_set , -- dma_decerr_set => ch2_dma_decerr_set , -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , -- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , -- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , -- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- -- -- Update Status Stream -- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , -- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , -- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , -- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis_updt_tready => m_axis_ch2_updt_tready -- ); -- -- end generate GEN_CH2_QUEUE; -- -- -- --***************************************************************************** -- --** CHANNEL 2 - NO DESCRIPTOR QUEUE ** -- --***************************************************************************** -- -- -- No update queue enabled, therefore map internal stream logic -- -- directly to channel port. -- GEN_CH2_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate -- I_NO_CH2_UPDT_DESC_QUEUE : entity axi_sg_v4_1.axi_sg_updt_noqueue -- generic map( -- C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , -- C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , -- C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , -- C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH -- ) -- port map( -- --------------------------------------------------------------- -- -- AXI Scatter Gather Interface -- --------------------------------------------------------------- -- m_axi_sg_aclk => m_axi_sg_aclk , -- m_axi_sg_aresetn => m_axi_sg_aresetn , -- -- --********************************-- -- --** Control and Status **-- -- --********************************-- -- updt_curdesc_wren => ch2_updt_curdesc_wren , -- updt_curdesc => ch2_updt_curdesc , -- updt_active => ch2_updt_active , -- updt_queue_empty => ch2_updt_queue_empty , -- updt_ioc => ch2_updt_ioc , -- updt_ioc_irq_set => ch2_updt_ioc_irq_set , -- -- dma_interr => ch2_dma_interr , -- dma_slverr => ch2_dma_slverr , -- dma_decerr => ch2_dma_decerr , -- dma_interr_set => ch2_dma_interr_set , -- dma_slverr_set => ch2_dma_slverr_set , -- dma_decerr_set => ch2_dma_decerr_set , -- -- --********************************-- -- --** Update Interfaces In **-- -- --********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , -- s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , -- s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , -- s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- -- -- Update Status Stream -- s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , -- s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , -- s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , -- s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , -- -- --********************************-- -- --** Update Interfaces Out **-- -- --********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata => m_axis_ch2_updt_tdata , -- m_axis_updt_tlast => m_axis_ch2_updt_tlast , -- m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , -- m_axis_updt_tready => m_axis_ch2_updt_tready -- ); -- -- end generate GEN_CH2_NO_QUEUE; -- --end generate GEN_CH2_UPDATE_Q_IF; -- ---- Channel 2 NOT included therefore tie ch2 outputs off --GEN_NO_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 0 generate --begin -- ch2_updt_curdesc_wren <= '0'; -- ch2_updt_curdesc <= (others => '0'); -- ch2_updt_queue_empty <= '1'; -- -- ch2_updt_ioc <= '0'; -- ch2_dma_interr <= '0'; -- ch2_dma_slverr <= '0'; -- ch2_dma_decerr <= '0'; -- -- m_axis_ch2_updt_tdata <= (others => '0'); -- m_axis_ch2_updt_tlast <= '0'; -- m_axis_ch2_updt_tvalid <= '0'; -- -- s_axis_ch2_updtptr_tready <= '0'; -- s_axis_ch2_updtsts_tready <= '0'; -- --end generate GEN_NO_CH2_UPDATE_Q_IF; ------------------------------------------------------------------------------- -- MUX For DataMover ------------------------------------------------------------------------------- --TO_DATAMVR_MUX : process(ch1_updt_active, -- ch2_updt_active, -- m_axis_ch1_updt_tdata, -- m_axis_ch1_updt_tlast, -- m_axis_ch1_updt_tvalid, -- m_axis_ch2_updt_tdata, -- m_axis_ch2_updt_tlast, -- m_axis_ch2_updt_tvalid) -- begin -- if(ch1_updt_active = '1')then -- s_axis_s2mm_tdata <= m_axis_ch1_updt_tdata; -- s_axis_s2mm_tlast <= m_axis_ch1_updt_tlast; -- s_axis_s2mm_tvalid <= m_axis_ch1_updt_tvalid; -- elsif(ch2_updt_active = '1')then -- s_axis_s2mm_tdata <= m_axis_ch2_updt_tdata; -- s_axis_s2mm_tlast <= m_axis_ch2_updt_tlast; -- s_axis_s2mm_tvalid <= m_axis_ch2_updt_tvalid; -- else -- s_axis_s2mm_tdata <= (others => '0'); -- s_axis_s2mm_tlast <= '0'; -- s_axis_s2mm_tvalid <= '0'; -- end if; -- end process TO_DATAMVR_MUX; -- --m_axis_ch1_updt_tready <= s_axis_s2mm_tready; --m_axis_ch2_updt_tready <= s_axis_s2mm_tready; -- end implementation;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/FIFO_image_filter_gray_rows_V.vhd
2
4556
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_gray_rows_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_gray_rows_V_shiftReg; architecture rtl of FIFO_image_filter_gray_rows_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_gray_rows_V is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_gray_rows_V is component FIFO_image_filter_gray_rows_V_shiftReg is generic ( DATA_WIDTH : integer := 12; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_gray_rows_V_shiftReg : FIFO_image_filter_gray_rows_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
gpl-3.0
freecores/usb_fpga_1_11
examples/usb-fpga-1.11/1.11c/ucecho/fpga/ucecho.vhd
42
580
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ucecho is port( pc : in unsigned(7 downto 0); pb : out unsigned(7 downto 0); CLK : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal pb_buf : unsigned(7 downto 0); begin dpUCECHO: process(CLK) begin if CLK' event and CLK = '1' then if ( pc >= 97 ) and ( pc <= 122) then pb_buf <= pc - 32; else pb_buf <= pc; end if; pb <= pb_buf; end if; end process dpUCECHO; end RTL;
gpl-3.0
freecores/usb_fpga_1_11
examples/usb-fpga-1.2/ucecho/fpga/ucecho.vhd
42
580
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ucecho is port( pc : in unsigned(7 downto 0); pb : out unsigned(7 downto 0); CLK : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal pb_buf : unsigned(7 downto 0); begin dpUCECHO: process(CLK) begin if CLK' event and CLK = '1' then if ( pc >= 97 ) and ( pc <= 122) then pb_buf <= pc - 32; else pb_buf <= pc; end if; pb <= pb_buf; end if; end process dpUCECHO; end RTL;
gpl-3.0
freecores/usb_fpga_1_11
examples/usb-fpga-1.15/1.15a/ucecho/fpga/ucecho.vhd
42
580
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ucecho is port( pc : in unsigned(7 downto 0); pb : out unsigned(7 downto 0); CLK : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal pb_buf : unsigned(7 downto 0); begin dpUCECHO: process(CLK) begin if CLK' event and CLK = '1' then if ( pc >= 97 ) and ( pc <= 122) then pb_buf <= pc - 32; else pb_buf <= pc; end if; pb <= pb_buf; end if; end process dpUCECHO; end RTL;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_strb_gen2.vhd
18
101757
------------------------------------------------------------------------------- -- axi_datamover_strb_gen2.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_strb_gen2.vhd -- -- Description: -- Second generation AXI Strobe Generator module. This design leverages -- look up table approach vs real-time calculation. This design method is -- used to reduce logic levels and improve final Fmax timing. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_strb_gen2 is generic ( C_OP_MODE : Integer range 0 to 1 := 0; -- 0 = offset/length mode -- 1 = offset/offset mode, C_STRB_WIDTH : Integer := 8; -- number of addr bits needed C_OFFSET_WIDTH : Integer := 3; -- log2(C_STRB_WIDTH) C_NUM_BYTES_WIDTH : Integer := 4 -- log2(C_STRB_WIDTH)+1 in offset/length mode (C_OP_MODE = 0) -- log2(C_STRB_WIDTH) in offset/offset mode (C_OP_MODE = 1) ); port ( -- Starting offset input ----------------------------------------------------- -- start_addr_offset : In std_logic_vector(C_OFFSET_WIDTH-1 downto 0); -- -- Specifies the starting address offset of the strobe value -- ------------------------------------------------------------------------------ -- used in both offset/offset and offset/length modes -- Endig Offset Input -------------------------------------------------------- -- end_addr_offset : In std_logic_vector(C_OFFSET_WIDTH-1 downto 0); -- -- Specifies the ending address offset of the strobe value -- -- used in only offset/offset mode (C_OP_MODE = 1) -- ------------------------------------------------------------------------------ -- Number of valid Bytes input (from starting offset) ------------------------ -- num_valid_bytes : In std_logic_vector(C_NUM_BYTES_WIDTH-1 downto 0); -- -- Specifies the number of valid bytes from starting offset -- -- used in only offset/length mode (C_OP_MODE = 0) -- ------------------------------------------------------------------------------ -- Generated Strobe output --------------------------------------------------- -- strb_out : out std_logic_vector(C_STRB_WIDTH-1 downto 0) -- ------------------------------------------------------------------------------ ); end entity axi_datamover_strb_gen2; architecture implementation of axi_datamover_strb_gen2 is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_2 -- -- Function Description: -- returns the 2-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_2 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(1 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11"; when others => var_start_vector := "10"; end case; Return (var_start_vector); end function get_start_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_2 -- -- Function Description: -- Returns the 2-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_2 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(1 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "01"; when others => var_end_vector := "11"; end case; Return (var_end_vector); end function get_end_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_4 -- -- Function Description: -- returns the 4-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_4 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(3 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111"; when 1 => var_start_vector := "1110"; when 2 => var_start_vector := "1100"; when others => var_start_vector := "1000"; end case; Return (var_start_vector); end function get_start_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_4 -- -- Function Description: -- Returns the 4-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_4 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(3 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0001"; when 1 => var_end_vector := "0011"; when 2 => var_end_vector := "0111"; when others => var_end_vector := "1111"; end case; Return (var_end_vector); end function get_end_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_8 -- -- Function Description: -- returns the 8-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_8 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(7 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11111111"; when 1 => var_start_vector := "11111110"; when 2 => var_start_vector := "11111100"; when 3 => var_start_vector := "11111000"; when 4 => var_start_vector := "11110000"; when 5 => var_start_vector := "11100000"; when 6 => var_start_vector := "11000000"; when others => var_start_vector := "10000000"; end case; Return (var_start_vector); end function get_start_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_8 -- -- Function Description: -- Returns the 8-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_8 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(7 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "00000001"; when 1 => var_end_vector := "00000011"; when 2 => var_end_vector := "00000111"; when 3 => var_end_vector := "00001111"; when 4 => var_end_vector := "00011111"; when 5 => var_end_vector := "00111111"; when 6 => var_end_vector := "01111111"; when others => var_end_vector := "11111111"; end case; Return (var_end_vector); end function get_end_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_16 -- -- Function Description: -- returns the 16-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_16 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(15 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111111111111111"; when 1 => var_start_vector := "1111111111111110"; when 2 => var_start_vector := "1111111111111100"; when 3 => var_start_vector := "1111111111111000"; when 4 => var_start_vector := "1111111111110000"; when 5 => var_start_vector := "1111111111100000"; when 6 => var_start_vector := "1111111111000000"; when 7 => var_start_vector := "1111111110000000"; when 8 => var_start_vector := "1111111100000000"; when 9 => var_start_vector := "1111111000000000"; when 10 => var_start_vector := "1111110000000000"; when 11 => var_start_vector := "1111100000000000"; when 12 => var_start_vector := "1111000000000000"; when 13 => var_start_vector := "1110000000000000"; when 14 => var_start_vector := "1100000000000000"; when others => var_start_vector := "1000000000000000"; end case; Return (var_start_vector); end function get_start_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_16 -- -- Function Description: -- Returns the 16-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_16 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(15 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0000000000000001"; when 1 => var_end_vector := "0000000000000011"; when 2 => var_end_vector := "0000000000000111"; when 3 => var_end_vector := "0000000000001111"; when 4 => var_end_vector := "0000000000011111"; when 5 => var_end_vector := "0000000000111111"; when 6 => var_end_vector := "0000000001111111"; when 7 => var_end_vector := "0000000011111111"; when 8 => var_end_vector := "0000000111111111"; when 9 => var_end_vector := "0000001111111111"; when 10 => var_end_vector := "0000011111111111"; when 11 => var_end_vector := "0000111111111111"; when 12 => var_end_vector := "0001111111111111"; when 13 => var_end_vector := "0011111111111111"; when 14 => var_end_vector := "0111111111111111"; when others => var_end_vector := "1111111111111111"; end case; Return (var_end_vector); end function get_end_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_32 -- -- Function Description: -- returns the 32-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_32 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(31 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "11111111111111111111111111111111"; when 1 => var_start_vector := "11111111111111111111111111111110"; when 2 => var_start_vector := "11111111111111111111111111111100"; when 3 => var_start_vector := "11111111111111111111111111111000"; when 4 => var_start_vector := "11111111111111111111111111110000"; when 5 => var_start_vector := "11111111111111111111111111100000"; when 6 => var_start_vector := "11111111111111111111111111000000"; when 7 => var_start_vector := "11111111111111111111111110000000"; when 8 => var_start_vector := "11111111111111111111111100000000"; when 9 => var_start_vector := "11111111111111111111111000000000"; when 10 => var_start_vector := "11111111111111111111110000000000"; when 11 => var_start_vector := "11111111111111111111100000000000"; when 12 => var_start_vector := "11111111111111111111000000000000"; when 13 => var_start_vector := "11111111111111111110000000000000"; when 14 => var_start_vector := "11111111111111111100000000000000"; when 15 => var_start_vector := "11111111111111111000000000000000"; when 16 => var_start_vector := "11111111111111110000000000000000"; when 17 => var_start_vector := "11111111111111100000000000000000"; when 18 => var_start_vector := "11111111111111000000000000000000"; when 19 => var_start_vector := "11111111111110000000000000000000"; when 20 => var_start_vector := "11111111111100000000000000000000"; when 21 => var_start_vector := "11111111111000000000000000000000"; when 22 => var_start_vector := "11111111110000000000000000000000"; when 23 => var_start_vector := "11111111100000000000000000000000"; when 24 => var_start_vector := "11111111000000000000000000000000"; when 25 => var_start_vector := "11111110000000000000000000000000"; when 26 => var_start_vector := "11111100000000000000000000000000"; when 27 => var_start_vector := "11111000000000000000000000000000"; when 28 => var_start_vector := "11110000000000000000000000000000"; when 29 => var_start_vector := "11100000000000000000000000000000"; when 30 => var_start_vector := "11000000000000000000000000000000"; when others => var_start_vector := "10000000000000000000000000000000"; end case; Return (var_start_vector); end function get_start_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_32 -- -- Function Description: -- Returns the 32-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_32 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(31 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "00000000000000000000000000000001"; when 1 => var_end_vector := "00000000000000000000000000000011"; when 2 => var_end_vector := "00000000000000000000000000000111"; when 3 => var_end_vector := "00000000000000000000000000001111"; when 4 => var_end_vector := "00000000000000000000000000011111"; when 5 => var_end_vector := "00000000000000000000000000111111"; when 6 => var_end_vector := "00000000000000000000000001111111"; when 7 => var_end_vector := "00000000000000000000000011111111"; when 8 => var_end_vector := "00000000000000000000000111111111"; when 9 => var_end_vector := "00000000000000000000001111111111"; when 10 => var_end_vector := "00000000000000000000011111111111"; when 11 => var_end_vector := "00000000000000000000111111111111"; when 12 => var_end_vector := "00000000000000000001111111111111"; when 13 => var_end_vector := "00000000000000000011111111111111"; when 14 => var_end_vector := "00000000000000000111111111111111"; when 15 => var_end_vector := "00000000000000001111111111111111"; when 16 => var_end_vector := "00000000000000011111111111111111"; when 17 => var_end_vector := "00000000000000111111111111111111"; when 18 => var_end_vector := "00000000000001111111111111111111"; when 19 => var_end_vector := "00000000000011111111111111111111"; when 20 => var_end_vector := "00000000000111111111111111111111"; when 21 => var_end_vector := "00000000001111111111111111111111"; when 22 => var_end_vector := "00000000011111111111111111111111"; when 23 => var_end_vector := "00000000111111111111111111111111"; when 24 => var_end_vector := "00000001111111111111111111111111"; when 25 => var_end_vector := "00000011111111111111111111111111"; when 26 => var_end_vector := "00000111111111111111111111111111"; when 27 => var_end_vector := "00001111111111111111111111111111"; when 28 => var_end_vector := "00011111111111111111111111111111"; when 29 => var_end_vector := "00111111111111111111111111111111"; when 30 => var_end_vector := "01111111111111111111111111111111"; when others => var_end_vector := "11111111111111111111111111111111"; end case; Return (var_end_vector); end function get_end_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_64 -- -- Function Description: -- returns the 64-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_64 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(63 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111111"; when 1 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111110"; when 2 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111100"; when 3 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111111000"; when 4 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111110000"; when 5 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111100000"; when 6 => var_start_vector := "1111111111111111111111111111111111111111111111111111111111000000"; when 7 => var_start_vector := "1111111111111111111111111111111111111111111111111111111110000000"; when 8 => var_start_vector := "1111111111111111111111111111111111111111111111111111111100000000"; when 9 => var_start_vector := "1111111111111111111111111111111111111111111111111111111000000000"; when 10 => var_start_vector := "1111111111111111111111111111111111111111111111111111110000000000"; when 11 => var_start_vector := "1111111111111111111111111111111111111111111111111111100000000000"; when 12 => var_start_vector := "1111111111111111111111111111111111111111111111111111000000000000"; when 13 => var_start_vector := "1111111111111111111111111111111111111111111111111110000000000000"; when 14 => var_start_vector := "1111111111111111111111111111111111111111111111111100000000000000"; when 15 => var_start_vector := "1111111111111111111111111111111111111111111111111000000000000000"; when 16 => var_start_vector := "1111111111111111111111111111111111111111111111110000000000000000"; when 17 => var_start_vector := "1111111111111111111111111111111111111111111111100000000000000000"; when 18 => var_start_vector := "1111111111111111111111111111111111111111111111000000000000000000"; when 19 => var_start_vector := "1111111111111111111111111111111111111111111110000000000000000000"; when 20 => var_start_vector := "1111111111111111111111111111111111111111111100000000000000000000"; when 21 => var_start_vector := "1111111111111111111111111111111111111111111000000000000000000000"; when 22 => var_start_vector := "1111111111111111111111111111111111111111110000000000000000000000"; when 23 => var_start_vector := "1111111111111111111111111111111111111111100000000000000000000000"; when 24 => var_start_vector := "1111111111111111111111111111111111111111000000000000000000000000"; when 25 => var_start_vector := "1111111111111111111111111111111111111110000000000000000000000000"; when 26 => var_start_vector := "1111111111111111111111111111111111111100000000000000000000000000"; when 27 => var_start_vector := "1111111111111111111111111111111111111000000000000000000000000000"; when 28 => var_start_vector := "1111111111111111111111111111111111110000000000000000000000000000"; when 29 => var_start_vector := "1111111111111111111111111111111111100000000000000000000000000000"; when 30 => var_start_vector := "1111111111111111111111111111111111000000000000000000000000000000"; when 31 => var_start_vector := "1111111111111111111111111111111110000000000000000000000000000000"; when 32 => var_start_vector := "1111111111111111111111111111111100000000000000000000000000000000"; when 33 => var_start_vector := "1111111111111111111111111111111000000000000000000000000000000000"; when 34 => var_start_vector := "1111111111111111111111111111110000000000000000000000000000000000"; when 35 => var_start_vector := "1111111111111111111111111111100000000000000000000000000000000000"; when 36 => var_start_vector := "1111111111111111111111111111000000000000000000000000000000000000"; when 37 => var_start_vector := "1111111111111111111111111110000000000000000000000000000000000000"; when 38 => var_start_vector := "1111111111111111111111111100000000000000000000000000000000000000"; when 39 => var_start_vector := "1111111111111111111111111000000000000000000000000000000000000000"; when 40 => var_start_vector := "1111111111111111111111110000000000000000000000000000000000000000"; when 41 => var_start_vector := "1111111111111111111111100000000000000000000000000000000000000000"; when 42 => var_start_vector := "1111111111111111111111000000000000000000000000000000000000000000"; when 43 => var_start_vector := "1111111111111111111110000000000000000000000000000000000000000000"; when 44 => var_start_vector := "1111111111111111111100000000000000000000000000000000000000000000"; when 45 => var_start_vector := "1111111111111111111000000000000000000000000000000000000000000000"; when 46 => var_start_vector := "1111111111111111110000000000000000000000000000000000000000000000"; when 47 => var_start_vector := "1111111111111111100000000000000000000000000000000000000000000000"; when 48 => var_start_vector := "1111111111111111000000000000000000000000000000000000000000000000"; when 49 => var_start_vector := "1111111111111110000000000000000000000000000000000000000000000000"; when 50 => var_start_vector := "1111111111111100000000000000000000000000000000000000000000000000"; when 51 => var_start_vector := "1111111111111000000000000000000000000000000000000000000000000000"; when 52 => var_start_vector := "1111111111110000000000000000000000000000000000000000000000000000"; when 53 => var_start_vector := "1111111111100000000000000000000000000000000000000000000000000000"; when 54 => var_start_vector := "1111111111000000000000000000000000000000000000000000000000000000"; when 55 => var_start_vector := "1111111110000000000000000000000000000000000000000000000000000000"; when 56 => var_start_vector := "1111111100000000000000000000000000000000000000000000000000000000"; when 57 => var_start_vector := "1111111000000000000000000000000000000000000000000000000000000000"; when 58 => var_start_vector := "1111110000000000000000000000000000000000000000000000000000000000"; when 59 => var_start_vector := "1111100000000000000000000000000000000000000000000000000000000000"; when 60 => var_start_vector := "1111000000000000000000000000000000000000000000000000000000000000"; when 61 => var_start_vector := "1110000000000000000000000000000000000000000000000000000000000000"; when 62 => var_start_vector := "1100000000000000000000000000000000000000000000000000000000000000"; when others => var_start_vector := "1000000000000000000000000000000000000000000000000000000000000000"; end case; Return (var_start_vector); end function get_start_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_64 -- -- Function Description: -- Returns the 64-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_64 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(63 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000001"; when 1 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000011"; when 2 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000000111"; when 3 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000001111"; when 4 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000011111"; when 5 => var_end_vector := "0000000000000000000000000000000000000000000000000000000000111111"; when 6 => var_end_vector := "0000000000000000000000000000000000000000000000000000000001111111"; when 7 => var_end_vector := "0000000000000000000000000000000000000000000000000000000011111111"; when 8 => var_end_vector := "0000000000000000000000000000000000000000000000000000000111111111"; when 9 => var_end_vector := "0000000000000000000000000000000000000000000000000000001111111111"; when 10 => var_end_vector := "0000000000000000000000000000000000000000000000000000011111111111"; when 11 => var_end_vector := "0000000000000000000000000000000000000000000000000000111111111111"; when 12 => var_end_vector := "0000000000000000000000000000000000000000000000000001111111111111"; when 13 => var_end_vector := "0000000000000000000000000000000000000000000000000011111111111111"; when 14 => var_end_vector := "0000000000000000000000000000000000000000000000000111111111111111"; when 15 => var_end_vector := "0000000000000000000000000000000000000000000000001111111111111111"; when 16 => var_end_vector := "0000000000000000000000000000000000000000000000011111111111111111"; when 17 => var_end_vector := "0000000000000000000000000000000000000000000000111111111111111111"; when 18 => var_end_vector := "0000000000000000000000000000000000000000000001111111111111111111"; when 19 => var_end_vector := "0000000000000000000000000000000000000000000011111111111111111111"; when 20 => var_end_vector := "0000000000000000000000000000000000000000000111111111111111111111"; when 21 => var_end_vector := "0000000000000000000000000000000000000000001111111111111111111111"; when 22 => var_end_vector := "0000000000000000000000000000000000000000011111111111111111111111"; when 23 => var_end_vector := "0000000000000000000000000000000000000000111111111111111111111111"; when 24 => var_end_vector := "0000000000000000000000000000000000000001111111111111111111111111"; when 25 => var_end_vector := "0000000000000000000000000000000000000011111111111111111111111111"; when 26 => var_end_vector := "0000000000000000000000000000000000000111111111111111111111111111"; when 27 => var_end_vector := "0000000000000000000000000000000000001111111111111111111111111111"; when 28 => var_end_vector := "0000000000000000000000000000000000011111111111111111111111111111"; when 29 => var_end_vector := "0000000000000000000000000000000000111111111111111111111111111111"; when 30 => var_end_vector := "0000000000000000000000000000000001111111111111111111111111111111"; when 31 => var_end_vector := "0000000000000000000000000000000011111111111111111111111111111111"; when 32 => var_end_vector := "0000000000000000000000000000000111111111111111111111111111111111"; when 33 => var_end_vector := "0000000000000000000000000000001111111111111111111111111111111111"; when 34 => var_end_vector := "0000000000000000000000000000011111111111111111111111111111111111"; when 35 => var_end_vector := "0000000000000000000000000000111111111111111111111111111111111111"; when 36 => var_end_vector := "0000000000000000000000000001111111111111111111111111111111111111"; when 37 => var_end_vector := "0000000000000000000000000011111111111111111111111111111111111111"; when 38 => var_end_vector := "0000000000000000000000000111111111111111111111111111111111111111"; when 39 => var_end_vector := "0000000000000000000000001111111111111111111111111111111111111111"; when 40 => var_end_vector := "0000000000000000000000011111111111111111111111111111111111111111"; when 41 => var_end_vector := "0000000000000000000000111111111111111111111111111111111111111111"; when 42 => var_end_vector := "0000000000000000000001111111111111111111111111111111111111111111"; when 43 => var_end_vector := "0000000000000000000011111111111111111111111111111111111111111111"; when 44 => var_end_vector := "0000000000000000000111111111111111111111111111111111111111111111"; when 45 => var_end_vector := "0000000000000000001111111111111111111111111111111111111111111111"; when 46 => var_end_vector := "0000000000000000011111111111111111111111111111111111111111111111"; when 47 => var_end_vector := "0000000000000000111111111111111111111111111111111111111111111111"; when 48 => var_end_vector := "0000000000000001111111111111111111111111111111111111111111111111"; when 49 => var_end_vector := "0000000000000011111111111111111111111111111111111111111111111111"; when 50 => var_end_vector := "0000000000000111111111111111111111111111111111111111111111111111"; when 51 => var_end_vector := "0000000000001111111111111111111111111111111111111111111111111111"; when 52 => var_end_vector := "0000000000011111111111111111111111111111111111111111111111111111"; when 53 => var_end_vector := "0000000000111111111111111111111111111111111111111111111111111111"; when 54 => var_end_vector := "0000000001111111111111111111111111111111111111111111111111111111"; when 55 => var_end_vector := "0000000011111111111111111111111111111111111111111111111111111111"; when 56 => var_end_vector := "0000000111111111111111111111111111111111111111111111111111111111"; when 57 => var_end_vector := "0000001111111111111111111111111111111111111111111111111111111111"; when 58 => var_end_vector := "0000011111111111111111111111111111111111111111111111111111111111"; when 59 => var_end_vector := "0000111111111111111111111111111111111111111111111111111111111111"; when 60 => var_end_vector := "0001111111111111111111111111111111111111111111111111111111111111"; when 61 => var_end_vector := "0011111111111111111111111111111111111111111111111111111111111111"; when 62 => var_end_vector := "0111111111111111111111111111111111111111111111111111111111111111"; when others => var_end_vector := "1111111111111111111111111111111111111111111111111111111111111111"; end case; Return (var_end_vector); end function get_end_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_128 -- -- Function Description: -- returns the 128-bit vector filled with '1's from the start -- offset to the end of of the vector -- ------------------------------------------------------------------- function get_start_128 (start_offset : natural) return std_logic_vector is Variable var_start_vector : std_logic_vector(127 downto 0) := (others => '0'); begin case start_offset is when 0 => var_start_vector(127 downto 0) := (others => '1'); when 1 => var_start_vector(127 downto 1) := (others => '1'); var_start_vector( 0 downto 0) := (others => '0'); when 2 => var_start_vector(127 downto 2) := (others => '1'); var_start_vector( 1 downto 0) := (others => '0'); when 3 => var_start_vector(127 downto 3) := (others => '1'); var_start_vector( 2 downto 0) := (others => '0'); when 4 => var_start_vector(127 downto 4) := (others => '1'); var_start_vector( 3 downto 0) := (others => '0'); when 5 => var_start_vector(127 downto 5) := (others => '1'); var_start_vector( 4 downto 0) := (others => '0'); when 6 => var_start_vector(127 downto 6) := (others => '1'); var_start_vector( 5 downto 0) := (others => '0'); when 7 => var_start_vector(127 downto 7) := (others => '1'); var_start_vector( 6 downto 0) := (others => '0'); when 8 => var_start_vector(127 downto 8) := (others => '1'); var_start_vector( 7 downto 0) := (others => '0'); when 9 => var_start_vector(127 downto 9) := (others => '1'); var_start_vector( 8 downto 0) := (others => '0'); when 10 => var_start_vector(127 downto 10) := (others => '1'); var_start_vector( 9 downto 0) := (others => '0'); when 11 => var_start_vector(127 downto 11) := (others => '1'); var_start_vector( 10 downto 0) := (others => '0'); when 12 => var_start_vector(127 downto 12) := (others => '1'); var_start_vector( 11 downto 0) := (others => '0'); when 13 => var_start_vector(127 downto 13) := (others => '1'); var_start_vector( 12 downto 0) := (others => '0'); when 14 => var_start_vector(127 downto 14) := (others => '1'); var_start_vector( 13 downto 0) := (others => '0'); when 15 => var_start_vector(127 downto 15) := (others => '1'); var_start_vector( 14 downto 0) := (others => '0'); when 16 => var_start_vector(127 downto 16) := (others => '1'); var_start_vector( 15 downto 0) := (others => '0'); when 17 => var_start_vector(127 downto 17) := (others => '1'); var_start_vector( 16 downto 0) := (others => '0'); when 18 => var_start_vector(127 downto 18) := (others => '1'); var_start_vector( 17 downto 0) := (others => '0'); when 19 => var_start_vector(127 downto 19) := (others => '1'); var_start_vector( 18 downto 0) := (others => '0'); when 20 => var_start_vector(127 downto 20) := (others => '1'); var_start_vector( 19 downto 0) := (others => '0'); when 21 => var_start_vector(127 downto 21) := (others => '1'); var_start_vector( 20 downto 0) := (others => '0'); when 22 => var_start_vector(127 downto 22) := (others => '1'); var_start_vector( 21 downto 0) := (others => '0'); when 23 => var_start_vector(127 downto 23) := (others => '1'); var_start_vector( 22 downto 0) := (others => '0'); when 24 => var_start_vector(127 downto 24) := (others => '1'); var_start_vector( 23 downto 0) := (others => '0'); when 25 => var_start_vector(127 downto 25) := (others => '1'); var_start_vector( 24 downto 0) := (others => '0'); when 26 => var_start_vector(127 downto 26) := (others => '1'); var_start_vector( 25 downto 0) := (others => '0'); when 27 => var_start_vector(127 downto 27) := (others => '1'); var_start_vector( 26 downto 0) := (others => '0'); when 28 => var_start_vector(127 downto 28) := (others => '1'); var_start_vector( 27 downto 0) := (others => '0'); when 29 => var_start_vector(127 downto 29) := (others => '1'); var_start_vector( 28 downto 0) := (others => '0'); when 30 => var_start_vector(127 downto 30) := (others => '1'); var_start_vector( 29 downto 0) := (others => '0'); when 31 => var_start_vector(127 downto 31) := (others => '1'); var_start_vector( 30 downto 0) := (others => '0'); when 32 => var_start_vector(127 downto 32) := (others => '1'); var_start_vector( 31 downto 0) := (others => '0'); when 33 => var_start_vector(127 downto 33) := (others => '1'); var_start_vector( 32 downto 0) := (others => '0'); when 34 => var_start_vector(127 downto 34) := (others => '1'); var_start_vector( 33 downto 0) := (others => '0'); when 35 => var_start_vector(127 downto 35) := (others => '1'); var_start_vector( 34 downto 0) := (others => '0'); when 36 => var_start_vector(127 downto 36) := (others => '1'); var_start_vector( 35 downto 0) := (others => '0'); when 37 => var_start_vector(127 downto 37) := (others => '1'); var_start_vector( 36 downto 0) := (others => '0'); when 38 => var_start_vector(127 downto 38) := (others => '1'); var_start_vector( 37 downto 0) := (others => '0'); when 39 => var_start_vector(127 downto 39) := (others => '1'); var_start_vector( 38 downto 0) := (others => '0'); when 40 => var_start_vector(127 downto 40) := (others => '1'); var_start_vector( 39 downto 0) := (others => '0'); when 41 => var_start_vector(127 downto 41) := (others => '1'); var_start_vector( 40 downto 0) := (others => '0'); when 42 => var_start_vector(127 downto 42) := (others => '1'); var_start_vector( 41 downto 0) := (others => '0'); when 43 => var_start_vector(127 downto 43) := (others => '1'); var_start_vector( 42 downto 0) := (others => '0'); when 44 => var_start_vector(127 downto 44) := (others => '1'); var_start_vector( 43 downto 0) := (others => '0'); when 45 => var_start_vector(127 downto 45) := (others => '1'); var_start_vector( 44 downto 0) := (others => '0'); when 46 => var_start_vector(127 downto 46) := (others => '1'); var_start_vector( 45 downto 0) := (others => '0'); when 47 => var_start_vector(127 downto 47) := (others => '1'); var_start_vector( 46 downto 0) := (others => '0'); when 48 => var_start_vector(127 downto 48) := (others => '1'); var_start_vector( 47 downto 0) := (others => '0'); when 49 => var_start_vector(127 downto 49) := (others => '1'); var_start_vector( 48 downto 0) := (others => '0'); when 50 => var_start_vector(127 downto 50) := (others => '1'); var_start_vector( 49 downto 0) := (others => '0'); when 51 => var_start_vector(127 downto 51) := (others => '1'); var_start_vector( 50 downto 0) := (others => '0'); when 52 => var_start_vector(127 downto 52) := (others => '1'); var_start_vector( 51 downto 0) := (others => '0'); when 53 => var_start_vector(127 downto 53) := (others => '1'); var_start_vector( 52 downto 0) := (others => '0'); when 54 => var_start_vector(127 downto 54) := (others => '1'); var_start_vector( 53 downto 0) := (others => '0'); when 55 => var_start_vector(127 downto 55) := (others => '1'); var_start_vector( 54 downto 0) := (others => '0'); when 56 => var_start_vector(127 downto 56) := (others => '1'); var_start_vector( 55 downto 0) := (others => '0'); when 57 => var_start_vector(127 downto 57) := (others => '1'); var_start_vector( 56 downto 0) := (others => '0'); when 58 => var_start_vector(127 downto 58) := (others => '1'); var_start_vector( 57 downto 0) := (others => '0'); when 59 => var_start_vector(127 downto 59) := (others => '1'); var_start_vector( 58 downto 0) := (others => '0'); when 60 => var_start_vector(127 downto 60) := (others => '1'); var_start_vector( 59 downto 0) := (others => '0'); when 61 => var_start_vector(127 downto 61) := (others => '1'); var_start_vector( 60 downto 0) := (others => '0'); when 62 => var_start_vector(127 downto 62) := (others => '1'); var_start_vector( 61 downto 0) := (others => '0'); when 63 => var_start_vector(127 downto 63) := (others => '1'); var_start_vector( 62 downto 0) := (others => '0'); when 64 => var_start_vector(127 downto 64) := (others => '1'); var_start_vector( 63 downto 0) := (others => '0'); when 65 => var_start_vector(127 downto 65) := (others => '1'); var_start_vector( 64 downto 0) := (others => '0'); when 66 => var_start_vector(127 downto 66) := (others => '1'); var_start_vector( 65 downto 0) := (others => '0'); when 67 => var_start_vector(127 downto 67) := (others => '1'); var_start_vector( 66 downto 0) := (others => '0'); when 68 => var_start_vector(127 downto 68) := (others => '1'); var_start_vector( 67 downto 0) := (others => '0'); when 69 => var_start_vector(127 downto 69) := (others => '1'); var_start_vector( 68 downto 0) := (others => '0'); when 70 => var_start_vector(127 downto 70) := (others => '1'); var_start_vector( 69 downto 0) := (others => '0'); when 71 => var_start_vector(127 downto 71) := (others => '1'); var_start_vector( 70 downto 0) := (others => '0'); when 72 => var_start_vector(127 downto 72) := (others => '1'); var_start_vector( 71 downto 0) := (others => '0'); when 73 => var_start_vector(127 downto 73) := (others => '1'); var_start_vector( 72 downto 0) := (others => '0'); when 74 => var_start_vector(127 downto 74) := (others => '1'); var_start_vector( 73 downto 0) := (others => '0'); when 75 => var_start_vector(127 downto 75) := (others => '1'); var_start_vector( 74 downto 0) := (others => '0'); when 76 => var_start_vector(127 downto 76) := (others => '1'); var_start_vector( 75 downto 0) := (others => '0'); when 77 => var_start_vector(127 downto 77) := (others => '1'); var_start_vector( 76 downto 0) := (others => '0'); when 78 => var_start_vector(127 downto 78) := (others => '1'); var_start_vector( 77 downto 0) := (others => '0'); when 79 => var_start_vector(127 downto 79) := (others => '1'); var_start_vector( 78 downto 0) := (others => '0'); when 80 => var_start_vector(127 downto 80) := (others => '1'); var_start_vector( 79 downto 0) := (others => '0'); when 81 => var_start_vector(127 downto 81) := (others => '1'); var_start_vector( 80 downto 0) := (others => '0'); when 82 => var_start_vector(127 downto 82) := (others => '1'); var_start_vector( 81 downto 0) := (others => '0'); when 83 => var_start_vector(127 downto 83) := (others => '1'); var_start_vector( 82 downto 0) := (others => '0'); when 84 => var_start_vector(127 downto 84) := (others => '1'); var_start_vector( 83 downto 0) := (others => '0'); when 85 => var_start_vector(127 downto 85) := (others => '1'); var_start_vector( 84 downto 0) := (others => '0'); when 86 => var_start_vector(127 downto 86) := (others => '1'); var_start_vector( 85 downto 0) := (others => '0'); when 87 => var_start_vector(127 downto 87) := (others => '1'); var_start_vector( 86 downto 0) := (others => '0'); when 88 => var_start_vector(127 downto 88) := (others => '1'); var_start_vector( 87 downto 0) := (others => '0'); when 89 => var_start_vector(127 downto 89) := (others => '1'); var_start_vector( 88 downto 0) := (others => '0'); when 90 => var_start_vector(127 downto 90) := (others => '1'); var_start_vector( 89 downto 0) := (others => '0'); when 91 => var_start_vector(127 downto 91) := (others => '1'); var_start_vector( 90 downto 0) := (others => '0'); when 92 => var_start_vector(127 downto 92) := (others => '1'); var_start_vector( 91 downto 0) := (others => '0'); when 93 => var_start_vector(127 downto 93) := (others => '1'); var_start_vector( 92 downto 0) := (others => '0'); when 94 => var_start_vector(127 downto 94) := (others => '1'); var_start_vector( 93 downto 0) := (others => '0'); when 95 => var_start_vector(127 downto 95) := (others => '1'); var_start_vector( 94 downto 0) := (others => '0'); when 96 => var_start_vector(127 downto 96) := (others => '1'); var_start_vector( 95 downto 0) := (others => '0'); when 97 => var_start_vector(127 downto 97) := (others => '1'); var_start_vector( 96 downto 0) := (others => '0'); when 98 => var_start_vector(127 downto 98) := (others => '1'); var_start_vector( 97 downto 0) := (others => '0'); when 99 => var_start_vector(127 downto 99) := (others => '1'); var_start_vector( 98 downto 0) := (others => '0'); when 100 => var_start_vector(127 downto 100) := (others => '1'); var_start_vector( 99 downto 0) := (others => '0'); when 101 => var_start_vector(127 downto 101) := (others => '1'); var_start_vector(100 downto 0) := (others => '0'); when 102 => var_start_vector(127 downto 102) := (others => '1'); var_start_vector(101 downto 0) := (others => '0'); when 103 => var_start_vector(127 downto 103) := (others => '1'); var_start_vector(102 downto 0) := (others => '0'); when 104 => var_start_vector(127 downto 104) := (others => '1'); var_start_vector(103 downto 0) := (others => '0'); when 105 => var_start_vector(127 downto 105) := (others => '1'); var_start_vector(104 downto 0) := (others => '0'); when 106 => var_start_vector(127 downto 106) := (others => '1'); var_start_vector(105 downto 0) := (others => '0'); when 107 => var_start_vector(127 downto 107) := (others => '1'); var_start_vector(106 downto 0) := (others => '0'); when 108 => var_start_vector(127 downto 108) := (others => '1'); var_start_vector(107 downto 0) := (others => '0'); when 109 => var_start_vector(127 downto 109) := (others => '1'); var_start_vector(108 downto 0) := (others => '0'); when 110 => var_start_vector(127 downto 110) := (others => '1'); var_start_vector(109 downto 0) := (others => '0'); when 111 => var_start_vector(127 downto 111) := (others => '1'); var_start_vector(110 downto 0) := (others => '0'); when 112 => var_start_vector(127 downto 112) := (others => '1'); var_start_vector(111 downto 0) := (others => '0'); when 113 => var_start_vector(127 downto 113) := (others => '1'); var_start_vector(112 downto 0) := (others => '0'); when 114 => var_start_vector(127 downto 114) := (others => '1'); var_start_vector(113 downto 0) := (others => '0'); when 115 => var_start_vector(127 downto 115) := (others => '1'); var_start_vector(114 downto 0) := (others => '0'); when 116 => var_start_vector(127 downto 116) := (others => '1'); var_start_vector(115 downto 0) := (others => '0'); when 117 => var_start_vector(127 downto 117) := (others => '1'); var_start_vector(116 downto 0) := (others => '0'); when 118 => var_start_vector(127 downto 118) := (others => '1'); var_start_vector(117 downto 0) := (others => '0'); when 119 => var_start_vector(127 downto 119) := (others => '1'); var_start_vector(118 downto 0) := (others => '0'); when 120 => var_start_vector(127 downto 120) := (others => '1'); var_start_vector(119 downto 0) := (others => '0'); when 121 => var_start_vector(127 downto 121) := (others => '1'); var_start_vector(120 downto 0) := (others => '0'); when 122 => var_start_vector(127 downto 122) := (others => '1'); var_start_vector(121 downto 0) := (others => '0'); when 123 => var_start_vector(127 downto 123) := (others => '1'); var_start_vector(122 downto 0) := (others => '0'); when 124 => var_start_vector(127 downto 124) := (others => '1'); var_start_vector(123 downto 0) := (others => '0'); when 125 => var_start_vector(127 downto 125) := (others => '1'); var_start_vector(124 downto 0) := (others => '0'); when 126 => var_start_vector(127 downto 126) := (others => '1'); var_start_vector(125 downto 0) := (others => '0'); when others => var_start_vector(127 downto 127) := (others => '1'); var_start_vector(126 downto 0) := (others => '0'); end case; Return (var_start_vector); end function get_start_128; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_128 -- -- Function Description: -- Returns the 128-bit vector filled with '1's from the lsbit -- of the vector to the end offset. -- ------------------------------------------------------------------- function get_end_128 (end_offset : natural) return std_logic_vector is Variable var_end_vector : std_logic_vector(127 downto 0) := (others => '0'); begin case end_offset is when 0 => var_end_vector(127 downto 1) := (others => '0'); var_end_vector( 0 downto 0) := (others => '1'); when 1 => var_end_vector(127 downto 2) := (others => '0'); var_end_vector( 1 downto 0) := (others => '1'); when 2 => var_end_vector(127 downto 3) := (others => '0'); var_end_vector( 2 downto 0) := (others => '1'); when 3 => var_end_vector(127 downto 4) := (others => '0'); var_end_vector( 3 downto 0) := (others => '1'); when 4 => var_end_vector(127 downto 5) := (others => '0'); var_end_vector( 4 downto 0) := (others => '1'); when 5 => var_end_vector(127 downto 6) := (others => '0'); var_end_vector( 5 downto 0) := (others => '1'); when 6 => var_end_vector(127 downto 7) := (others => '0'); var_end_vector( 6 downto 0) := (others => '1'); when 7 => var_end_vector(127 downto 8) := (others => '0'); var_end_vector( 7 downto 0) := (others => '1'); when 8 => var_end_vector(127 downto 9) := (others => '0'); var_end_vector( 8 downto 0) := (others => '1'); when 9 => var_end_vector(127 downto 10) := (others => '0'); var_end_vector( 9 downto 0) := (others => '1'); when 10 => var_end_vector(127 downto 11) := (others => '0'); var_end_vector( 10 downto 0) := (others => '1'); when 11 => var_end_vector(127 downto 12) := (others => '0'); var_end_vector( 11 downto 0) := (others => '1'); when 12 => var_end_vector(127 downto 13) := (others => '0'); var_end_vector( 12 downto 0) := (others => '1'); when 13 => var_end_vector(127 downto 14) := (others => '0'); var_end_vector( 13 downto 0) := (others => '1'); when 14 => var_end_vector(127 downto 15) := (others => '0'); var_end_vector( 14 downto 0) := (others => '1'); when 15 => var_end_vector(127 downto 16) := (others => '0'); var_end_vector( 15 downto 0) := (others => '1'); when 16 => var_end_vector(127 downto 17) := (others => '0'); var_end_vector( 16 downto 0) := (others => '1'); when 17 => var_end_vector(127 downto 18) := (others => '0'); var_end_vector( 17 downto 0) := (others => '1'); when 18 => var_end_vector(127 downto 19) := (others => '0'); var_end_vector( 18 downto 0) := (others => '1'); when 19 => var_end_vector(127 downto 20) := (others => '0'); var_end_vector( 19 downto 0) := (others => '1'); when 20 => var_end_vector(127 downto 21) := (others => '0'); var_end_vector( 20 downto 0) := (others => '1'); when 21 => var_end_vector(127 downto 22) := (others => '0'); var_end_vector( 21 downto 0) := (others => '1'); when 22 => var_end_vector(127 downto 23) := (others => '0'); var_end_vector( 22 downto 0) := (others => '1'); when 23 => var_end_vector(127 downto 24) := (others => '0'); var_end_vector( 23 downto 0) := (others => '1'); when 24 => var_end_vector(127 downto 25) := (others => '0'); var_end_vector( 24 downto 0) := (others => '1'); when 25 => var_end_vector(127 downto 26) := (others => '0'); var_end_vector( 25 downto 0) := (others => '1'); when 26 => var_end_vector(127 downto 27) := (others => '0'); var_end_vector( 26 downto 0) := (others => '1'); when 27 => var_end_vector(127 downto 28) := (others => '0'); var_end_vector( 27 downto 0) := (others => '1'); when 28 => var_end_vector(127 downto 29) := (others => '0'); var_end_vector( 28 downto 0) := (others => '1'); when 29 => var_end_vector(127 downto 30) := (others => '0'); var_end_vector( 29 downto 0) := (others => '1'); when 30 => var_end_vector(127 downto 31) := (others => '0'); var_end_vector( 30 downto 0) := (others => '1'); when 31 => var_end_vector(127 downto 32) := (others => '0'); var_end_vector( 31 downto 0) := (others => '1'); when 32 => var_end_vector(127 downto 33) := (others => '0'); var_end_vector( 32 downto 0) := (others => '1'); when 33 => var_end_vector(127 downto 34) := (others => '0'); var_end_vector( 33 downto 0) := (others => '1'); when 34 => var_end_vector(127 downto 35) := (others => '0'); var_end_vector( 34 downto 0) := (others => '1'); when 35 => var_end_vector(127 downto 36) := (others => '0'); var_end_vector( 35 downto 0) := (others => '1'); when 36 => var_end_vector(127 downto 37) := (others => '0'); var_end_vector( 36 downto 0) := (others => '1'); when 37 => var_end_vector(127 downto 38) := (others => '0'); var_end_vector( 37 downto 0) := (others => '1'); when 38 => var_end_vector(127 downto 39) := (others => '0'); var_end_vector( 38 downto 0) := (others => '1'); when 39 => var_end_vector(127 downto 40) := (others => '0'); var_end_vector( 39 downto 0) := (others => '1'); when 40 => var_end_vector(127 downto 41) := (others => '0'); var_end_vector( 40 downto 0) := (others => '1'); when 41 => var_end_vector(127 downto 42) := (others => '0'); var_end_vector( 41 downto 0) := (others => '1'); when 42 => var_end_vector(127 downto 43) := (others => '0'); var_end_vector( 42 downto 0) := (others => '1'); when 43 => var_end_vector(127 downto 44) := (others => '0'); var_end_vector( 43 downto 0) := (others => '1'); when 44 => var_end_vector(127 downto 45) := (others => '0'); var_end_vector( 44 downto 0) := (others => '1'); when 45 => var_end_vector(127 downto 46) := (others => '0'); var_end_vector( 45 downto 0) := (others => '1'); when 46 => var_end_vector(127 downto 47) := (others => '0'); var_end_vector( 46 downto 0) := (others => '1'); when 47 => var_end_vector(127 downto 48) := (others => '0'); var_end_vector( 47 downto 0) := (others => '1'); when 48 => var_end_vector(127 downto 49) := (others => '0'); var_end_vector( 48 downto 0) := (others => '1'); when 49 => var_end_vector(127 downto 50) := (others => '0'); var_end_vector( 49 downto 0) := (others => '1'); when 50 => var_end_vector(127 downto 51) := (others => '0'); var_end_vector( 50 downto 0) := (others => '1'); when 51 => var_end_vector(127 downto 52) := (others => '0'); var_end_vector( 51 downto 0) := (others => '1'); when 52 => var_end_vector(127 downto 53) := (others => '0'); var_end_vector( 52 downto 0) := (others => '1'); when 53 => var_end_vector(127 downto 54) := (others => '0'); var_end_vector( 53 downto 0) := (others => '1'); when 54 => var_end_vector(127 downto 55) := (others => '0'); var_end_vector( 54 downto 0) := (others => '1'); when 55 => var_end_vector(127 downto 56) := (others => '0'); var_end_vector( 55 downto 0) := (others => '1'); when 56 => var_end_vector(127 downto 57) := (others => '0'); var_end_vector( 56 downto 0) := (others => '1'); when 57 => var_end_vector(127 downto 58) := (others => '0'); var_end_vector( 57 downto 0) := (others => '1'); when 58 => var_end_vector(127 downto 59) := (others => '0'); var_end_vector( 58 downto 0) := (others => '1'); when 59 => var_end_vector(127 downto 60) := (others => '0'); var_end_vector( 59 downto 0) := (others => '1'); when 60 => var_end_vector(127 downto 61) := (others => '0'); var_end_vector( 60 downto 0) := (others => '1'); when 61 => var_end_vector(127 downto 62) := (others => '0'); var_end_vector( 61 downto 0) := (others => '1'); when 62 => var_end_vector(127 downto 63) := (others => '0'); var_end_vector( 62 downto 0) := (others => '1'); when 63 => var_end_vector(127 downto 64) := (others => '0'); var_end_vector( 63 downto 0) := (others => '1'); when 64 => var_end_vector(127 downto 65) := (others => '0'); var_end_vector( 64 downto 0) := (others => '1'); when 65 => var_end_vector(127 downto 66) := (others => '0'); var_end_vector( 65 downto 0) := (others => '1'); when 66 => var_end_vector(127 downto 67) := (others => '0'); var_end_vector( 66 downto 0) := (others => '1'); when 67 => var_end_vector(127 downto 68) := (others => '0'); var_end_vector( 67 downto 0) := (others => '1'); when 68 => var_end_vector(127 downto 69) := (others => '0'); var_end_vector( 68 downto 0) := (others => '1'); when 69 => var_end_vector(127 downto 70) := (others => '0'); var_end_vector( 69 downto 0) := (others => '1'); when 70 => var_end_vector(127 downto 71) := (others => '0'); var_end_vector( 70 downto 0) := (others => '1'); when 71 => var_end_vector(127 downto 72) := (others => '0'); var_end_vector( 71 downto 0) := (others => '1'); when 72 => var_end_vector(127 downto 73) := (others => '0'); var_end_vector( 72 downto 0) := (others => '1'); when 73 => var_end_vector(127 downto 74) := (others => '0'); var_end_vector( 73 downto 0) := (others => '1'); when 74 => var_end_vector(127 downto 75) := (others => '0'); var_end_vector( 74 downto 0) := (others => '1'); when 75 => var_end_vector(127 downto 76) := (others => '0'); var_end_vector( 75 downto 0) := (others => '1'); when 76 => var_end_vector(127 downto 77) := (others => '0'); var_end_vector( 76 downto 0) := (others => '1'); when 77 => var_end_vector(127 downto 78) := (others => '0'); var_end_vector( 77 downto 0) := (others => '1'); when 78 => var_end_vector(127 downto 79) := (others => '0'); var_end_vector( 78 downto 0) := (others => '1'); when 79 => var_end_vector(127 downto 80) := (others => '0'); var_end_vector( 79 downto 0) := (others => '1'); when 80 => var_end_vector(127 downto 81) := (others => '0'); var_end_vector( 80 downto 0) := (others => '1'); when 81 => var_end_vector(127 downto 82) := (others => '0'); var_end_vector( 81 downto 0) := (others => '1'); when 82 => var_end_vector(127 downto 83) := (others => '0'); var_end_vector( 82 downto 0) := (others => '1'); when 83 => var_end_vector(127 downto 84) := (others => '0'); var_end_vector( 83 downto 0) := (others => '1'); when 84 => var_end_vector(127 downto 85) := (others => '0'); var_end_vector( 84 downto 0) := (others => '1'); when 85 => var_end_vector(127 downto 86) := (others => '0'); var_end_vector( 85 downto 0) := (others => '1'); when 86 => var_end_vector(127 downto 87) := (others => '0'); var_end_vector( 86 downto 0) := (others => '1'); when 87 => var_end_vector(127 downto 88) := (others => '0'); var_end_vector( 87 downto 0) := (others => '1'); when 88 => var_end_vector(127 downto 89) := (others => '0'); var_end_vector( 88 downto 0) := (others => '1'); when 89 => var_end_vector(127 downto 90) := (others => '0'); var_end_vector( 89 downto 0) := (others => '1'); when 90 => var_end_vector(127 downto 91) := (others => '0'); var_end_vector( 90 downto 0) := (others => '1'); when 91 => var_end_vector(127 downto 92) := (others => '0'); var_end_vector( 91 downto 0) := (others => '1'); when 92 => var_end_vector(127 downto 93) := (others => '0'); var_end_vector( 92 downto 0) := (others => '1'); when 93 => var_end_vector(127 downto 94) := (others => '0'); var_end_vector( 93 downto 0) := (others => '1'); when 94 => var_end_vector(127 downto 95) := (others => '0'); var_end_vector( 94 downto 0) := (others => '1'); when 95 => var_end_vector(127 downto 96) := (others => '0'); var_end_vector( 95 downto 0) := (others => '1'); when 96 => var_end_vector(127 downto 97) := (others => '0'); var_end_vector( 96 downto 0) := (others => '1'); when 97 => var_end_vector(127 downto 98) := (others => '0'); var_end_vector( 97 downto 0) := (others => '1'); when 98 => var_end_vector(127 downto 99) := (others => '0'); var_end_vector( 98 downto 0) := (others => '1'); when 99 => var_end_vector(127 downto 100) := (others => '0'); var_end_vector( 99 downto 0) := (others => '1'); when 100 => var_end_vector(127 downto 101) := (others => '0'); var_end_vector(100 downto 0) := (others => '1'); when 101 => var_end_vector(127 downto 102) := (others => '0'); var_end_vector(101 downto 0) := (others => '1'); when 102 => var_end_vector(127 downto 103) := (others => '0'); var_end_vector(102 downto 0) := (others => '1'); when 103 => var_end_vector(127 downto 104) := (others => '0'); var_end_vector(103 downto 0) := (others => '1'); when 104 => var_end_vector(127 downto 105) := (others => '0'); var_end_vector(104 downto 0) := (others => '1'); when 105 => var_end_vector(127 downto 106) := (others => '0'); var_end_vector(105 downto 0) := (others => '1'); when 106 => var_end_vector(127 downto 107) := (others => '0'); var_end_vector(106 downto 0) := (others => '1'); when 107 => var_end_vector(127 downto 108) := (others => '0'); var_end_vector(107 downto 0) := (others => '1'); when 108 => var_end_vector(127 downto 109) := (others => '0'); var_end_vector(108 downto 0) := (others => '1'); when 109 => var_end_vector(127 downto 110) := (others => '0'); var_end_vector(109 downto 0) := (others => '1'); when 110 => var_end_vector(127 downto 111) := (others => '0'); var_end_vector(110 downto 0) := (others => '1'); when 111 => var_end_vector(127 downto 112) := (others => '0'); var_end_vector(111 downto 0) := (others => '1'); when 112 => var_end_vector(127 downto 113) := (others => '0'); var_end_vector(112 downto 0) := (others => '1'); when 113 => var_end_vector(127 downto 114) := (others => '0'); var_end_vector(113 downto 0) := (others => '1'); when 114 => var_end_vector(127 downto 115) := (others => '0'); var_end_vector(114 downto 0) := (others => '1'); when 115 => var_end_vector(127 downto 116) := (others => '0'); var_end_vector(115 downto 0) := (others => '1'); when 116 => var_end_vector(127 downto 117) := (others => '0'); var_end_vector(116 downto 0) := (others => '1'); when 117 => var_end_vector(127 downto 118) := (others => '0'); var_end_vector(117 downto 0) := (others => '1'); when 118 => var_end_vector(127 downto 119) := (others => '0'); var_end_vector(118 downto 0) := (others => '1'); when 119 => var_end_vector(127 downto 120) := (others => '0'); var_end_vector(119 downto 0) := (others => '1'); when 120 => var_end_vector(127 downto 121) := (others => '0'); var_end_vector(120 downto 0) := (others => '1'); when 121 => var_end_vector(127 downto 122) := (others => '0'); var_end_vector(121 downto 0) := (others => '1'); when 122 => var_end_vector(127 downto 123) := (others => '0'); var_end_vector(122 downto 0) := (others => '1'); when 123 => var_end_vector(127 downto 124) := (others => '0'); var_end_vector(123 downto 0) := (others => '1'); when 124 => var_end_vector(127 downto 125) := (others => '0'); var_end_vector(124 downto 0) := (others => '1'); when 125 => var_end_vector(127 downto 126) := (others => '0'); var_end_vector(125 downto 0) := (others => '1'); when 126 => var_end_vector(127 downto 127) := (others => '0'); var_end_vector(126 downto 0) := (others => '1'); when others => var_end_vector(127 downto 0) := (others => '1'); end case; Return (var_end_vector); end function get_end_128; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_value -- -- Function Description: -- Returns a value that cannot exceed a clip value. -- ------------------------------------------------------------------- function funct_clip_value (input_value : natural; max_value : natural) return natural is Variable temp_value : Natural := 0; begin If (input_value <= max_value) Then temp_value := input_value; Else temp_value := max_value; End if; Return (temp_value); end function funct_clip_value; -- Constants Constant INTERNAL_CALC_WIDTH : integer := C_NUM_BYTES_WIDTH+(C_OP_MODE*2); -- Add 2 bits of math headroom -- if op Mode = 1 -- Signals signal sig_ouput_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_start_offset_un : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal sig_end_offset_un : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the output strobe value strb_out <= sig_ouput_stbs ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OFF_OFF_CASE -- -- If Generate Description: -- Calculates the internal start and end offsets for the -- case when start and end offsets are being provided. -- -- ------------------------------------------------------------ GEN_OFF_OFF_CASE : if (C_OP_MODE = 1) generate begin sig_start_offset_un <= RESIZE(UNSIGNED(start_addr_offset), INTERNAL_CALC_WIDTH); sig_end_offset_un <= RESIZE(UNSIGNED(end_addr_offset), INTERNAL_CALC_WIDTH); end generate GEN_OFF_OFF_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OFF_LEN_CASE -- -- If Generate Description: -- Calculates the internal start and end offsets for the -- case when start offset and length are being provided. -- ------------------------------------------------------------ GEN_OFF_LEN_CASE : if (C_OP_MODE = 0) generate -- Local Constants Declarations Constant L_INTERNAL_CALC_WIDTH : integer := INTERNAL_CALC_WIDTH; Constant L_ONE : unsigned := TO_UNSIGNED(1, L_INTERNAL_CALC_WIDTH); Constant L_ZERO : unsigned := TO_UNSIGNED(0, L_INTERNAL_CALC_WIDTH); Constant MAX_VALUE : natural := C_STRB_WIDTH-1; -- local signals signal lsig_addr_offset_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_num_valid_bytes_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_length_adjust_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_incr_offset_bytes_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_end_addr_us : unsigned(L_INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0'); signal lsig_end_addr_int : integer := 0; signal lsig_strt_addr_int : integer := 0; begin lsig_addr_offset_us <= RESIZE(UNSIGNED(start_addr_offset), L_INTERNAL_CALC_WIDTH); lsig_num_valid_bytes_us <= RESIZE(UNSIGNED(num_valid_bytes) , L_INTERNAL_CALC_WIDTH); lsig_length_adjust_us <= L_ZERO When (lsig_num_valid_bytes_us = L_ZERO) Else L_ONE; lsig_incr_offset_bytes_us <= lsig_num_valid_bytes_us - lsig_length_adjust_us; lsig_end_addr_us <= lsig_addr_offset_us + lsig_incr_offset_bytes_us; lsig_strt_addr_int <= TO_INTEGER(lsig_addr_offset_us); lsig_end_addr_int <= TO_INTEGER(lsig_end_addr_us); sig_start_offset_un <= TO_UNSIGNED(funct_clip_value(lsig_strt_addr_int, MAX_VALUE), INTERNAL_CALC_WIDTH); sig_end_offset_un <= TO_UNSIGNED(funct_clip_value(lsig_end_addr_int, MAX_VALUE), INTERNAL_CALC_WIDTH) ; end generate GEN_OFF_LEN_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_ouput_stbs <= (others => '1') ; end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 1; Signal lsig_start_vect : std_logic_vector(1 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(1 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_2(lsig_start_offset); lsig_end_vect <= get_end_2(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 4-bit strobe width case. -- -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 3; Signal lsig_start_vect : std_logic_vector(3 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(3 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(3 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_4(lsig_start_offset); lsig_end_vect <= get_end_4(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 8-bit strobe width case. -- -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 7; Signal lsig_start_vect : std_logic_vector(7 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(7 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_8(lsig_start_offset); lsig_end_vect <= get_end_8(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 15; Signal lsig_start_vect : std_logic_vector(15 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(15 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(15 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_16(lsig_start_offset); lsig_end_vect <= get_end_16(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 32-bit strobe width case. -- -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 31; Signal lsig_start_vect : std_logic_vector(31 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(31 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(31 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_32(lsig_start_offset); lsig_end_vect <= get_end_32(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 64-bit strobe width case. -- -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 63; Signal lsig_start_vect : std_logic_vector(63 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(63 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(63 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_64(lsig_start_offset); lsig_end_vect <= get_end_64(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the strobes for the 64-bit strobe width case. -- -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_start_offset : Natural := 0; Signal lsig_end_offset : Natural := 127; Signal lsig_start_vect : std_logic_vector(127 downto 0) := (others => '0'); Signal lsig_end_vect : std_logic_vector(127 downto 0) := (others => '0'); Signal lsig_cmplt_vect : std_logic_vector(127 downto 0) := (others => '0'); begin lsig_start_offset <= TO_INTEGER(sig_start_offset_un) ; lsig_end_offset <= TO_INTEGER(sig_end_offset_un ) ; lsig_start_vect <= get_start_128(lsig_start_offset); lsig_end_vect <= get_end_128(lsig_end_offset) ; lsig_cmplt_vect <= lsig_start_vect and lsig_end_vect; sig_ouput_stbs <= lsig_cmplt_vect ; end generate GEN_128BIT_CASE; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/2a047f91/hdl/src/vhdl/axi_dma_mm2s_sm.vhd
2
28379
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; attribute mark_debug of mm2s_cmnd_wr_i : signal is "true"; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_rdmux.vhd
13
69082
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_sg_rdmux; architecture implementation of axi_sg_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/b6365b74/hdl/vhdl/coregen_comp_defs.vhd
4
13824
------------------------------------------------------------------------------- -- coregen_comp_defs - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2013 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: coregen_comp_defs.vhd -- Version: initial -- Description: -- Component declarations for all black box netlists generated by -- running COREGEN and AXI BRAM CTRL when XST elaborated the client core -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- coregen_comp_defs.vhd ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; PACKAGE coregen_comp_defs IS ------------------------------------------------------------------------------------- -- Start Block Memory Generator Component for blk_mem_gen_v8_2 -- Component declaration for blk_mem_gen_v8_2 pulled from the blk_mem_gen_v8_2.v -- Verilog file used to match paramter order for NCSIM compatibility ------------------------------------------------------------------------------------- component blk_mem_gen_v8_2 generic ( ---------------------------------------------------------------------------- -- Generic Declarations ---------------------------------------------------------------------------- --Device Family & Elaboration Directory Parameters: C_FAMILY : STRING := "virtex4"; C_XDEVICEFAMILY : STRING := "virtex4"; -- C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_AXI_TYPE : INTEGER := 1; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; --General Memory Parameters: C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 9; C_ALGORITHM : INTEGER := 0; C_PRIM_TYPE : INTEGER := 3; --Memory Initialization Parameters: C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := "111111111"; C_RST_TYPE : STRING := "SYNC"; --Port A Parameters: --Reset Parameters: C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := "0"; --Enable Parameters: C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; --Byte Write Enable Parameters: C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; --Write Mode: C_WRITE_MODE_A : STRING := "WRITE_FIRST"; --Data-Addr Width Parameters: C_WRITE_WIDTH_A : INTEGER := 4; C_READ_WIDTH_A : INTEGER := 4; C_WRITE_DEPTH_A : INTEGER := 4096; C_READ_DEPTH_A : INTEGER := 4096; C_ADDRA_WIDTH : INTEGER := 12; --Port B Parameters: --Reset Parameters: C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := "0"; --Enable Parameters: C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; --Byte Write Enable Parameters: C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; --Write Mode: C_WRITE_MODE_B : STRING := "WRITE_FIRST"; --Data-Addr Width Parameters: C_WRITE_WIDTH_B : INTEGER := 4; C_READ_WIDTH_B : INTEGER := 4; C_WRITE_DEPTH_B : INTEGER := 4096; C_READ_DEPTH_B : INTEGER := 4096; C_ADDRB_WIDTH : INTEGER := 12; --Output Registers/ Pipelining Parameters: C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; --Input/Output Registers for SoftECC : C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; --ECC Parameters C_USE_ECC : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; --Simulation Model Parameters: C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 0; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( ---------------------------------------------------------------------------- -- Input and Output Declarations ---------------------------------------------------------------------------- -- Native BMG Input and Output Port Declarations --Port A: CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '0'; REGCEA : IN STD_LOGIC := '0'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); --Port B: CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '0'; REGCEB : IN STD_LOGIC := '0'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); --ECC: INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_AClk : IN STD_LOGIC := '0'; S_ARESETN : IN STD_LOGIC := '0'; -- AXI Full/Lite Slave Write (write side) S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN STD_LOGIC := '0'; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_WLAST : IN STD_LOGIC := '0'; S_AXI_WVALID : IN STD_LOGIC := '0'; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC := '0'; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN STD_LOGIC := '0'; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC := '0'; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC := '0'; S_AXI_INJECTDBITERR : IN STD_LOGIC := '0'; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT; --blk_mem_gen_v8_2 END coregen_comp_defs;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_reset.vhd
12
23104
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_reset.vhd -- -- Description: -- This file implements the DataMover Reset module. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_reset is generic ( C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0 -- 0 = Use Synchronous Command/Statys User Interface -- 1 = Use Asynchronous Command/Statys User Interface ); port ( -- Primary Clock and Reset Inputs ----------------- -- primary_aclk : in std_logic; -- primary_aresetn : in std_logic; -- --------------------------------------------------- -- Async operation clock and reset from User ------ -- Used for Command/Status User interface -- -- synchronization when C_STSCMD_IS_ASYNC = 1 -- -- secondary_awclk : in std_logic; -- secondary_aresetn : in std_logic; -- --------------------------------------------------- -- Halt request input control ------------------------------- halt_req : in std_logic; -- -- Active high soft shutdown request (can be a pulse) -- -- -- Halt Complete status flag -- halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Soft Shutdown internal interface ------------------------------------------------ -- flush_stop_request : Out std_logic; -- -- Active high soft stop request to modules -- -- data_cntlr_stopped : in std_logic; -- -- Active high flag indicating the data controller is flushed and stopped -- -- addr_cntlr_stopped : in std_logic; -- -- Active high flag indicating the address controller is flushed and stopped -- -- aux1_stopped : in std_logic; -- -- Active high flag flush complete for auxillary 1 module -- -- Tie high if unused -- -- aux2_stopped : in std_logic; -- -- Active high flag flush complete for auxillary 2 module -- -- Tie high if unused -- ------------------------------------------------------------------------------------ -- HW Reset outputs to reset groups ------------------------------------- -- cmd_stat_rst_user : Out std_logic; -- -- The reset to the Command/Status Module User interface side -- -- cmd_stat_rst_int : Out std_logic; -- -- The reset to the Command/Status Module internal interface side -- -- mmap_rst : Out std_logic; -- -- The reset to the Memory Map interface side -- -- stream_rst : Out std_logic -- -- The reset to the Stream interface side -- -------------------------------------------------------------------------- ); end entity axi_sg_reset; architecture implementation of axi_sg_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Signals signal sig_cmd_stat_rst_user_n : std_logic := '0'; signal sig_cmd_stat_rst_user_reg_n_cdc_from : std_logic := '0'; signal sig_cmd_stat_rst_int_reg_n : std_logic := '0'; signal sig_mmap_rst_reg_n : std_logic := '0'; signal sig_stream_rst_reg_n : std_logic := '0'; signal sig_syncd_sec_rst : std_logic := '0'; -- soft shutdown support signal sig_internal_reset : std_logic := '0'; signal sig_s_h_halt_reg : std_logic := '0'; signal sig_halt_cmplt : std_logic := '0'; -- additional CDC synchronization signals signal sig_sec_neg_edge_plus_delay : std_logic := '0'; signal sig_secondary_aresetn_reg : std_logic := '0'; signal sig_prim2sec_rst_reg1_n_cdc_to : std_logic := '0'; signal sig_prim2sec_rst_reg2_n : std_logic := '0'; begin --(architecture implementation) -- Assign outputs cmd_stat_rst_user <= not(sig_cmd_stat_rst_user_n); cmd_stat_rst_int <= not(sig_cmd_stat_rst_int_reg_n) or sig_syncd_sec_rst; mmap_rst <= not(sig_mmap_rst_reg_n) or sig_syncd_sec_rst; stream_rst <= not(sig_stream_rst_reg_n) or sig_syncd_sec_rst; -- Internal logic Implmentation ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_CMDSTAT_RESET -- -- If Generate Description: -- This IfGen assigns the reset for the -- Synchronous Command/Status User interface case -- ------------------------------------------------------------ GEN_SYNC_CMDSTAT_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_syncd_sec_rst <= '0'; sig_cmd_stat_rst_user_n <= not(sig_cmd_stat_rst_user_reg_n_cdc_from); end generate GEN_SYNC_CMDSTAT_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_CMDSTAT_RESET -- -- If Generate Description: -- This IfGen assigns the reset for the -- Asynchronous Command/Status User interface case -- ------------------------------------------------------------ GEN_ASYNC_CMDSTAT_RESET : if (C_STSCMD_IS_ASYNC = 1) generate signal sig_sec_reset_in_reg_n : std_logic := '0'; -- Secondary reset pulse stretcher signal sig_secondary_dly1 : std_logic := '0'; signal sig_secondary_dly2 : std_logic := '0'; signal sig_neg_edge_detect : std_logic := '0'; signal sig_sec2prim_reset : std_logic := '0'; signal sig_sec2prim_reset_reg : std_logic := '0'; signal sig_sec2prim_reset_reg2 : std_logic := '0'; signal sig_sec2prim_rst_syncro1 : std_logic := '0'; signal sig_sec2prim_rst_syncro2 : std_logic := '0'; begin -- Generate the reset in the primary clock domain. Use the longer -- of the pulse stretched reset or the actual reset. sig_syncd_sec_rst <= sig_sec2prim_reset_reg2 or sig_sec2prim_rst_syncro2; -- Check for falling edge of secondary_aresetn input sig_neg_edge_detect <= '1' when (sig_sec_reset_in_reg_n = '1' and secondary_aresetn = '0') else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSE_STRETCH_FLOPS -- -- Process Description: -- This process implements a 3 clock wide pulse whenever the -- secondary reset is asserted -- ------------------------------------------------------------- IMP_PUSE_STRETCH_FLOPS : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then If (sig_secondary_dly2 = '1') Then sig_secondary_dly1 <= '0' ; sig_secondary_dly2 <= '0' ; Elsif (sig_neg_edge_detect = '1') Then sig_secondary_dly1 <= '1'; else sig_secondary_dly2 <= sig_secondary_dly1 ; End if; end if; end process IMP_PUSE_STRETCH_FLOPS; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SYNC_NEG_EDGE -- -- Process Description: -- First (source clock) stage synchronizer for CDC of -- negative edge detection, -- ------------------------------------------------------------- SYNC_NEG_EDGE : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_sec_neg_edge_plus_delay <= sig_neg_edge_detect or sig_secondary_dly1 or sig_secondary_dly2; end if; end process SYNC_NEG_EDGE; -- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SEC2PRIM_RST_SYNCRO -- -- Process Description: -- This process registers the secondary reset input to -- the primary clock domain. -- ------------------------------------------------------------- SEC2PRIM_RST_SYNCRO : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_sec2prim_reset_reg <= sig_sec_neg_edge_plus_delay ; sig_sec2prim_reset_reg2 <= sig_sec2prim_reset_reg; end if; end process SEC2PRIM_RST_SYNCRO; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SEC_RST -- -- Process Description: -- First (source clock) stage synchronizer for CDC of -- secondary reset input, -- ------------------------------------------------------------- REG_SEC_RST : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_secondary_aresetn_reg <= secondary_aresetn; end if; end process REG_SEC_RST; -- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SEC2PRIM_RST_SYNCRO_2 -- -- Process Description: -- Second stage (destination) synchronizers for the secondary -- reset CDC to the primary clock. -- ------------------------------------------------------------- SEC2PRIM_RST_SYNCRO_2 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then -- CDC sig_sec2prim_rst_syncro1 <= not(secondary_aresetn); sig_sec2prim_rst_syncro1 <= not(sig_secondary_aresetn_reg); sig_sec2prim_rst_syncro2 <= sig_sec2prim_rst_syncro1; end if; end process SEC2PRIM_RST_SYNCRO_2; -- Generate the Command and Status side reset sig_cmd_stat_rst_user_n <= sig_sec_reset_in_reg_n and sig_prim2sec_rst_reg2_n; -- CDC sig_cmd_stat_rst_user_reg_n_cdc_from; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_RESET_ASYNC -- -- Process Description: -- This process registers the secondary reset input to -- generate the Command/Status User interface reset. -- ------------------------------------------------------------- REG_RESET_ASYNC : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_sec_reset_in_reg_n <= secondary_aresetn; end if; end process REG_RESET_ASYNC; -- CDC add ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SYNC_PRIM2SEC_RST -- -- Process Description: -- Second (destination clock) stage synchronizers for CDC of -- primary reset input, -- ------------------------------------------------------------- SYNC_PRIM2SEC_RST : process (secondary_awclk) begin if (secondary_awclk'event and secondary_awclk = '1') then sig_prim2sec_rst_reg1_n_cdc_to <= sig_cmd_stat_rst_user_reg_n_cdc_from; sig_prim2sec_rst_reg2_n <= sig_prim2sec_rst_reg1_n_cdc_to; end if; end process SYNC_PRIM2SEC_RST; -- end generate GEN_ASYNC_CMDSTAT_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_CMDSTAT_PRIM_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Command/Status User interface reset. -- ------------------------------------------------------------- REG_CMDSTAT_PRIM_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_cmd_stat_rst_user_reg_n_cdc_from <= primary_aresetn; end if; end process REG_CMDSTAT_PRIM_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_CMDSTAT_INT_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Command/Status internal interface reset. -- ------------------------------------------------------------- REG_CMDSTAT_INT_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_cmd_stat_rst_int_reg_n <= primary_aresetn; end if; end process REG_CMDSTAT_INT_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_MMAP_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Memory Map interface reset. -- ------------------------------------------------------------- REG_MMAP_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_rst_reg_n <= primary_aresetn; end if; end process REG_MMAP_RESET; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_STREAM_RESET -- -- Process Description: -- This process registers the primary reset input to -- generate the Stream interface reset. -- ------------------------------------------------------------- REG_STREAM_RESET : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_stream_rst_reg_n <= primary_aresetn; end if; end process REG_STREAM_RESET; -- Soft Shutdown logic ------------------------------------------------------ sig_internal_reset <= not(sig_cmd_stat_rst_int_reg_n) or sig_syncd_sec_rst; flush_stop_request <= sig_s_h_halt_reg; halt_cmplt <= sig_halt_cmplt; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_HALT_REQ -- -- Process Description: -- Implements a sample and hold flop for the halt request -- input. Can only be cleared on a HW reset. -- ------------------------------------------------------------- REG_HALT_REQ : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_internal_reset = '1') then sig_s_h_halt_reg <= '0'; elsif (halt_req = '1') then sig_s_h_halt_reg <= '1'; else null; -- hold current state end if; end if; end process REG_HALT_REQ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT -- -- Process Description: -- Implements a the flop for the halt complete status -- output. Can only be cleared on a HW reset. -- ------------------------------------------------------------- IMP_HALT_CMPLT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_internal_reset = '1') then sig_halt_cmplt <= '0'; elsif (data_cntlr_stopped = '1' and addr_cntlr_stopped = '1' and aux1_stopped = '1' and aux2_stopped = '1') then sig_halt_cmplt <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_mssai_skid_buf.vhd
6
24680
------------------------------------------------------------------------------- -- axi_datamover_mssai_skid_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mssai_skid_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode that -- also incorporates the MS Strobe Asserted detection function needed by the -- module. This provides a register isolation of the MS asserted strobe index -- Scatter needed to improve Fmax. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; Use axi_datamover_v5_1.axi_datamover_ms_strb_set; ------------------------------------------------------------------------------- entity axi_datamover_mssai_skid_buf is generic ( C_WDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_INDEX_WIDTH : Integer range 1 to 8 := 2 -- Sets the width of the MS asserted strobe index output value ); port ( -- Clock and Reset Ports ----------------------- aclk : In std_logic ; -- arst : In std_logic ; -- ------------------------------------------------ -- Shutdown control (assert for 1 clk pulse) --- skid_stop : In std_logic ; -- ------------------------------------------------ -- Slave Side (Stream Data Input) ------------------------------------ s_valid : In std_logic ; -- s_ready : Out std_logic ; -- s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- s_last : In std_logic ; -- ---------------------------------------------------------------------- -- Master Side (Stream Data Output ----------------------------------- m_valid : Out std_logic ; -- m_ready : In std_logic ; -- m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- m_last : Out std_logic ; -- -- m_mssa_index : Out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- m_strb_error : Out std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_mssai_skid_buf; architecture implementation of axi_datamover_mssai_skid_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant declarations ------------------------- Constant STROBE_WIDTH : integer := C_WDATA_WIDTH/8; -- Signals declarations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_stop_request : std_logic := '0'; signal sig_stopped : std_logic := '0'; signal sig_sready_stop : std_logic := '0'; signal sig_sready_early_stop : std_logic := '0'; signal sig_sready_stop_set : std_logic := '0'; signal sig_sready_stop_reg : std_logic := '0'; signal sig_mvalid_stop_reg : std_logic := '0'; signal sig_mvalid_stop : std_logic := '0'; signal sig_mvalid_early_stop : std_logic := '0'; signal sig_mvalid_stop_set : std_logic := '0'; signal sig_slast_with_stop : std_logic := '0'; signal sig_sstrb_stop_mask : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_sstrb_with_stop : std_logic_vector(STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_mssa_index_out : std_logic_vector(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_mssa_index_reg_out : std_logic_vector(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_strb_error_reg_out : std_logic := '0'; -- Fmax improvements signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_dup2 : std_logic := '0'; signal sig_s_ready_dup3 : std_logic := '0'; signal sig_s_ready_dup4 : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_skid_mux_sel2 : std_logic := '0'; signal sig_skid_mux_sel3 : std_logic := '0'; signal sig_skid_mux_sel4 : std_logic := '0'; -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup2 : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup3 : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup4 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup2 : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup3 : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup4 : signal is "no"; begin --(architecture implementation) m_valid <= sig_m_valid_out; s_ready <= sig_s_ready_out; m_strb <= sig_strb_reg_out; m_last <= sig_last_reg_out; m_data <= sig_data_reg_out; m_mssa_index <= sig_mssa_index_reg_out; m_strb_error <= sig_strb_error_reg_out; -- Special shutdown logic version of Slast. -- A halt request forces a tlast through the skig buffer sig_slast_with_stop <= s_last or sig_stop_request; sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask; -- Assign the special s_ready FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup); -- Generate the skid input register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel2 <= not(sig_s_ready_dup2); sig_skid_mux_sel3 <= not(sig_s_ready_dup3); sig_skid_mux_sel4 <= not(sig_s_ready_dup4); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel2 = '1') Else s_data; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel3 = '1') Else sig_sstrb_with_stop; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel4 = '1') Else sig_slast_with_stop; -- m_valid combinational logic sig_m_valid_comb <= s_valid or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(m_ready))); -- s_ready combinational logic sig_s_ready_comb <= m_ready or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(s_valid))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (aclk) begin if (aclk'event and aclk = '1') then sig_reset_reg <= arst; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers s_ready handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_sready_stop = '1' or sig_sready_early_stop = '1') then -- Special stop condition sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; sig_s_ready_dup2 <= '0'; sig_s_ready_dup3 <= '0'; sig_s_ready_dup4 <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; sig_s_ready_dup2 <= '1'; sig_s_ready_dup3 <= '1'; sig_s_ready_dup4 <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; sig_s_ready_dup2 <= sig_s_ready_comb; sig_s_ready_dup3 <= sig_s_ready_comb; sig_s_ready_dup4 <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers m_valid handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA sig_mvalid_stop = '1' or sig_mvalid_stop_set = '1') then -- Special stop condition sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the skid register for the -- Skid Buffer Data signals. Note that reset has been removed -- to reduce route of resets for very wide data buses. -- ------------------------------------------------------------- SKID_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= s_data; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the skid registers for the -- Skid Buffer control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_sstrb_with_stop; sig_last_skid_reg <= sig_slast_with_stop; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the output register for the -- Skid Buffer Data signals. Note that reset has been removed -- to reduce route of resets for very wide data buses. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_mvalid_stop_reg = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; -------- Special Stop Logic -------------------------------------- sig_sready_stop <= sig_sready_stop_reg; sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately sig_sready_stop_set <= sig_sready_early_stop; sig_mvalid_stop <= sig_mvalid_stop_reg; sig_mvalid_early_stop <= sig_m_valid_dup and m_ready and skid_stop; sig_mvalid_stop_set <= sig_mvalid_early_stop or (sig_stop_request and not(sig_m_valid_dup)) or (sig_m_valid_dup and m_ready and sig_stop_request); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STOP_REQ_FLOP -- -- Process Description: -- This process implements the Stop request flop. It is a -- sample and hold register that can only be cleared by reset. -- ------------------------------------------------------------- IMP_STOP_REQ_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_stop_request <= '0'; sig_sstrb_stop_mask <= (others => '0'); elsif (skid_stop = '1') then sig_stop_request <= '1'; sig_sstrb_stop_mask <= (others => '1'); else null; -- hold current state end if; end if; end process IMP_STOP_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_SREADY_FLOP -- -- Process Description: -- This process implements the flag to clear the s_ready -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_SREADY_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_sready_stop_reg <= '0'; elsif (sig_sready_stop_set = '1') then sig_sready_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_SREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_MVALID_FLOP -- -- Process Description: -- This process implements the flag to clear the m_valid -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_MVALID_FLOP : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1') then sig_mvalid_stop_reg <= '0'; elsif (sig_mvalid_stop_set = '1') then sig_mvalid_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_MVALID_FLOP; ---------------------------------------------------------------------------- -- Logic for the detection of the most significant asserted strobe bit and -- the formulation of the index of that strobe bit. ---------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_MSSAI_DETECTION -- -- Description: -- This module detects the most significant asserted strobe -- and outputs the bit index of the strobe. -- ------------------------------------------------------------ I_MSSAI_DETECTION : entity axi_datamover_v5_1.axi_datamover_ms_strb_set generic map ( C_STRB_WIDTH => STROBE_WIDTH , C_INDEX_WIDTH => C_INDEX_WIDTH ) port map ( -- Input Stream Strobes strbs_in => sig_strb_skid_mux_out , -- Index of the most significant strobe asserted ms_strb_index => sig_mssa_index_out , -- Output flag for a detected error associated Strobe assertions strb_error => sig_strb_error ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSSAI_REG -- -- Process Description: -- This process implements the output register for the -- Skid Buffer's MSSAI value and the strobe error bit -- that is needed by the Scatter module. -- ------------------------------------------------------------- IMP_MSSAI_REG : process (aclk) begin if (aclk'event and aclk = '1') then if (arst = '1' or sig_mvalid_stop_reg = '1') then sig_mssa_index_reg_out <= (others => '0'); sig_strb_error_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_mssa_index_reg_out <= sig_mssa_index_out; sig_strb_error_reg_out <= sig_strb_error; else null; -- hold current state end if; end if; end process IMP_MSSAI_REG; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_wrdata_cntl.vhd
5
91465
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_wrdata_cntl.vhd -- -- Description: -- This file implements the DataMover Master Write Data Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_wrdata_cntl is generic ( C_REALIGNER_INCLUDED : Integer range 0 to 1 := 0; -- Indicates the Data Realignment function is included (external -- to this module) C_ENABLE_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates the INDET BTT function is included (external -- to this module) C_SF_BYTES_RCVD_WIDTH : Integer range 1 to 23 := 1; -- Sets the width of the data2wsc_bytes_rcvd port used for -- relaying the actual number of bytes received when Idet BTT is -- enabled (C_ENABLE_INDET_BTT = 1) C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS bits of the transfer address that -- are being used to Demux write data to a wider AXI4 Write -- Data Bus C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; -- Sets the depth of the internal command fifo used for the -- command queue C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the native data width of the Read Data port C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream output data port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input command C_FAMILY : String := "virtex7" -- Indicates the device family of the target FPGA ); port ( -- Clock and Reset inputs ---------------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------------ -- Soft Shutdown internal interface ------------------------------------ -- rst2data_stop_request : in std_logic; -- -- Active high soft stop request to modules -- -- data2addr_stop_req : Out std_logic; -- -- Active high signal requesting the Address Controller -- -- to stop posting commands to the AXI Read Address Channel -- -- data2rst_stop_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- any pending transfers committed by the Address Controller -- -- after a stop has been requested by the Reset module. -- ------------------------------------------------------------------------ -- Store and Forward support signals for external User logic ------------ -- wr_xfer_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- a single write data transfer on the AXI4 Write Data Channel. -- -- This signal is escentially echos the assertion of wlast sent -- -- to the AXI4. -- -- s2mm_ld_nxt_len : out std_logic; -- -- Active high pulse indicating a new xfer length has been queued -- -- to the WDC Cmd FIFO -- -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- -- Bus indicating the AXI LEN value associated with the xfer command -- -- loaded into the WDC Command FIFO. -- ------------------------------------------------------------------------- -- AXI Write Data Channel Skid buffer I/O --------------------------------------- -- data2skid_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- Write DATA output to skid buffer -- -- data2skid_wlast : Out std_logic; -- -- Write LAST output to skid buffer -- -- data2skid_wvalid : Out std_logic; -- -- Write VALID output to skid buffer -- -- skid2data_wready : In std_logic; -- -- Write READY input from skid buffer -- ---------------------------------------------------------------------------------- -- AXI Slave Stream In ----------------------------------------------------------- -- s2mm_strm_wvalid : In std_logic; -- -- AXI Stream VALID input -- -- s2mm_strm_wready : Out Std_logic; -- -- AXI Stream READY Output -- -- s2mm_strm_wdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data input -- -- s2mm_strm_wstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB input -- -- s2mm_strm_wlast : In std_logic; -- -- AXI Stream LAST input -- ---------------------------------------------------------------------------------- -- Stream input sideband signal from Indeterminate BTT and/or DRE ---------------- -- s2mm_strm_eop : In std_logic; -- -- Stream End of Packet marker input. This is only used when Indeterminate -- -- BTT mode is enable. Otherwise it is ignored -- -- -- s2mm_stbs_asserted : in std_logic_vector(7 downto 0); -- -- Indicates the number of asserted WSTRB bits for the -- -- associated input stream data beat -- -- -- -- Realigner Underrun/overrun error flag used in non Indeterminate BTT -- -- Mode -- realign2wdc_eop_error : In std_logic ; -- -- Asserted active high and will only clear with reset. It is only used -- -- when Indeterminate BTT is not enabled and the Realigner Module is -- -- instantiated upstream from the WDC. The Realigner will detect overrun -- -- underrun conditions and will will relay these conditions via this signal. -- ---------------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------------- -- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the write strb -- -- demux (only used if Stream data width is less than the MMap Dwidth). -- -- mstr2data_len : In std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the first stream data beat -- -- mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the last stream -- -- data beat -- -- mstr2data_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2data_sequential : In std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : In std_logic; -- -- The final child tranfer of a parent command fetched from -- -- the Command FIFO (not necessarily an EOF command) -- -- mstr2data_cmd_valid : In std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : Out std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- ---------------------------------------------------------------------------------- -- Address Controller Interface -------------------------------------------------- -- addr2data_addr_posted : In std_logic ; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel -- -- -- data2addr_data_rdy : out std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer request until the -- -- corresponding data valid is asserted on the stream input. The -- -- WDC will continue to assert the output until an assertion on -- -- the addr2data_addr_posted is received. -- --------------------------------------------------------------------------------- -- Premature TLAST assertion error flag ------------------------------------------ -- data2all_tlast_error : Out std_logic; -- -- When asserted, this indicates the data controller detected -- -- a premature TLAST assertion on the incoming data stream. -- --------------------------------------------------------------------------------- -- Data Controller Halted Status ------------------------------------------------- -- data2all_dcntlr_halted : Out std_logic; -- -- When asserted, this indicates the data controller has satisfied -- -- all pending transfers queued by the Address Controller and is halted. -- ---------------------------------------------------------------------------------- -- Input Stream Skid Buffer Halt control ----------------------------------------- -- data2skid_halt : Out std_logic; -- -- The data controller asserts this output for 1 primary clock period -- -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- -- at the next tlast transmission. -- ---------------------------------------------------------------------------------- -- Write Status Controller Interface --------------------------------------------- -- data2wsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The command tag -- -- data2wsc_calc_err : Out std_logic ; -- -- Indication that the current command out from the Cntl FIFO -- -- has a calculation error -- -- data2wsc_last_err : Out std_logic ; -- -- Indication that the current write transfer encountered a premature -- -- TLAST assertion on the incoming Stream Channel -- -- data2wsc_cmd_cmplt : Out std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a command -- -- pulled from the command FIFO -- -- wsc2data_ready : in std_logic; -- -- Input from the Write Status Module indicating that the -- -- Status Reg/FIFO is ready to accept data -- -- data2wsc_valid : Out std_logic; -- -- Output to the Command/Status Module indicating that the -- -- Data Controller has valid tag and err indicators to write -- -- to the Status module -- -- data2wsc_eop : Out std_logic; -- -- Output to the Write Status Controller indicating that the -- -- associated command status also corresponds to a End of Packet -- -- marker for the input Stream. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- data2wsc_bytes_rcvd : Out std_logic_vector(C_SF_BYTES_RCVD_WIDTH-1 downto 0); -- -- Output to the Write Status Controller indicating the actual -- -- number of bytes received from the Stream input for the -- -- corresponding command status. This is only used when Inderminate -- -- BTT is enabled in the S2MM. -- -- wsc2mstr_halt_pipe : In std_logic -- -- Indication to Halt the Data and Address Command pipeline due -- -- to the Status FIFO going full or an internal error being logged -- ---------------------------------------------------------------------------------- ); end entity axi_sg_wrdata_cntl; architecture implementation of axi_sg_wrdata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- -- coverage off function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 128 => -- 1024 bits -- Added per Per CR616409 temp_dbeat_residue_width := 7; -- Added per Per CR616409 when 64 => -- 512 bits -- Added per Per CR616409 temp_dbeat_residue_width := 6; -- Added per Per CR616409 when 32 => -- 256 bits temp_dbeat_residue_width := 5; when 16 => -- 128 bits temp_dbeat_residue_width := 4; when 8 => -- 64 bits temp_dbeat_residue_width := 3; when 4 => -- 32 bits temp_dbeat_residue_width := 2; when 2 => -- 16 bits temp_dbeat_residue_width := 1; when others => -- assume 1-byte transfers temp_dbeat_residue_width := 0; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; -- coverage on ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; -- coverage off elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; end if; -- coverage on Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant DRR_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field DRR_WIDTH + -- DRE Re-alignment Request Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Sequential command flag CMD_CMPLT_WIDTH + -- Command Complete Flag CALC_ERR_WIDTH; -- Calc error flag Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant DRR_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX+SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX+CMD_CMPLT_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_mmap2data_ready : std_logic := '0'; signal sig_data2mmap_valid : std_logic := '0'; signal sig_data2mmap_last : std_logic := '0'; signal sig_data2mmap_data : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_single_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_wsc_ready : std_logic := '0'; signal sig_push_to_wsc : std_logic := '0'; signal sig_push_to_wsc_cmplt : std_logic := '0'; signal sig_set_push2wsc : std_logic := '0'; signal sig_data2wsc_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2wsc_calc_err : std_logic := '0'; signal sig_data2wsc_last_err : std_logic := '0'; signal sig_data2wsc_cmd_cmplt : std_logic := '0'; signal sig_tlast_error : std_logic := '0'; signal sig_tlast_error_strbs : std_logic := '0'; signal sig_end_stbs_match_err : std_logic := '0'; signal sig_tlast_error_reg : std_logic := '0'; signal sig_cmd_is_eof : std_logic := '0'; signal sig_push_err2wsc : std_logic := '0'; signal sig_tlast_error_ovrrun : std_logic := '0'; signal sig_tlast_error_undrrun : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_addr_posted_cntr_eq_1 : std_logic := '0'; signal sig_apc_going2zero : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; Signal sig_no_posted_cmds : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_sadddr_lsb : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_last_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_tlast_err_stop : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_stop_wvalid : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_s2mm_strm_wready : std_logic := '0'; signal sig_s2mm_strm_wready_del : std_logic := '0'; signal sig_good_strm_dbeat : std_logic := '0'; signal sig_halt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_sfhalt_next_strt_strb : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_wfd_simult_clr_set : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_spcl_push_err2wsc : std_logic := '0'; begin --(architecture implementation) -- Command calculator handshake data2mstr_cmd_ready <= sig_data2mstr_cmd_ready; -- Write Data Channel Skid Buffer Port assignments sig_mmap2data_ready <= skid2data_wready ; data2skid_wvalid <= sig_data2mmap_valid ; data2skid_wlast <= sig_data2mmap_last ; data2skid_wdata <= sig_data2mmap_data ; data2skid_saddr_lsb <= sig_addr_lsb_reg ; -- AXI MM2S Stream Channel Port assignments sig_data2mmap_data <= s2mm_strm_wdata ; -- Premature TLAST assertion indication data2all_tlast_error <= sig_tlast_error_reg ; -- Stream Input Ready Handshake s2mm_strm_wready <= sig_s2mm_strm_wready ; sig_good_strm_dbeat <= s2mm_strm_wvalid and sig_s2mm_strm_wready; -- sig_s2mm_strm_wready_del; sig_data2mmap_last <= sig_dbeat_cntr_eq_0 and sig_dqual_rdy; -- Write Status Block interface signals data2wsc_valid <= sig_push_to_wsc and not(sig_tlast_err_stop) ; -- only allow 1 status write on TLAST errror sig_wsc_ready <= wsc2data_ready ; data2wsc_tag <= sig_data2wsc_tag ; data2wsc_calc_err <= sig_data2wsc_calc_err ; data2wsc_last_err <= sig_data2wsc_last_err ; data2wsc_cmd_cmplt <= sig_data2wsc_cmd_cmplt ; -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg or sig_tlast_error_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= sig_data2rst_stop_cmplt; -- Indicate the Write Data Controller is always ready data2addr_data_rdy <= '1'; -- Write Transfer Completed Status output wr_xfer_cmplt <= sig_wr_xfer_cmplt ; -- New LEN value is being loaded s2mm_ld_nxt_len <= sig_s2mm_ld_nxt_len; -- The new LEN value s2mm_wr_len <= sig_s2mm_wr_len; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a write data -- transfer has completed. This is an echo of a wlast assertion -- and a qualified data beat on the AXI4 Write Data Channel. -- ------------------------------------------------------------- IMP_WR_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_wr_xfer_cmplt <= '0'; sig_s2mm_strm_wready_del <= '0'; else sig_wr_xfer_cmplt <= sig_data2mmap_last and sig_good_strm_dbeat; sig_s2mm_strm_wready_del <= sig_s2mm_strm_wready; end if; end if; end process IMP_WR_CMPLT_FLAG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_INDET_BTT -- -- If Generate Description: -- Omits any Indeterminate BTT Support logic and includes -- any error detection needed in Non Indeterminate BTT mode. -- ------------------------------------------------------------ GEN_OMIT_INDET_BTT : if (C_ENABLE_INDET_BTT = 0) generate begin sig_sfhalt_next_strt_strb <= sig_fifo_next_strt_strb; -- Just housekeep the output port signals data2wsc_eop <= '0'; data2wsc_bytes_rcvd <= (others => '0'); -- WRSTRB logic ------------------------------ -- Generate the Write Strobes for the MMap Write Data Channel -- for the non Indeterminate BTT Case data2skid_wstrb <= (others => '1') when mmap_reset = '0' else (others => '0'); --sig_strt_strb_reg -- data2skid_wstrb <= sig_strt_strb_reg -- When (sig_first_dbeat = '1') -- Else sig_last_strb_reg -- When (sig_last_dbeat = '1') -- Else (others => '1'); -- Generate the Stream Ready for the Stream input side sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested (sig_mmap2data_ready and sig_addr_chan_rdy and -- This puts combinational logic in the stream WREADY path sig_dqual_rdy and not(sig_calc_error_reg) and not(sig_tlast_error_reg)); -- Stop the stream channel at a overrun/underrun detection -- MMap Write Data Channel Valid Handshaking sig_data2mmap_valid <= (s2mm_strm_wvalid or sig_tlast_error_reg or -- force valid if TLAST error sig_halt_reg ) and -- force valid if halt requested sig_addr_chan_rdy and -- xfers are commited on the address channel and sig_dqual_rdy and -- there are commands in the command fifo not(sig_calc_error_reg) and not(sig_stop_wvalid); -- gate off wvalid immediately after a wlast for 1 clk -- or when the soft shutdown has completed ------------------------------------------------------------ -- If Generate -- -- Label: GEN_LOCAL_ERR_DETECT -- -- If Generate Description: -- Implements the local overrun and underrun detection when -- the S2MM Realigner is not included. -- -- ------------------------------------------------------------ GEN_LOCAL_ERR_DETECT : if (C_REALIGNER_INCLUDED = 0) generate begin ------- Input Stream TLAST assertion error ------------------------------- sig_tlast_error_ovrrun <= sig_cmd_is_eof and sig_dbeat_cntr_eq_0 and sig_good_mmap_dbeat and not(s2mm_strm_wlast); sig_tlast_error_undrrun <= s2mm_strm_wlast and sig_good_mmap_dbeat and (not(sig_dbeat_cntr_eq_0) or not(sig_cmd_is_eof)); sig_end_stbs_match_err <= '1' -- Set flag if the calculated end strobe value When ((s2mm_strm_wstrb /= sig_next_last_strb_reg) and -- does not match the received strobe value (s2mm_strm_wlast = '1') and -- at TLAST assertion (sig_good_mmap_dbeat = '1')) -- Qualified databeat Else '0'; sig_tlast_error <= (sig_tlast_error_ovrrun or sig_tlast_error_undrrun or sig_end_stbs_match_err) and not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Just housekeep this when local TLAST error detection is used sig_spcl_push_err2wsc <= '0'; end generate GEN_LOCAL_ERR_DETECT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_EXTERN_ERR_DETECT -- -- If Generate Description: -- Omits the local overrun and underrun detection and relies -- on the S2MM Realigner for the detection. -- ------------------------------------------------------------ GEN_EXTERN_ERR_DETECT : if (C_REALIGNER_INCLUDED = 1) generate begin sig_tlast_error_undrrun <= '0'; -- not used here sig_tlast_error_ovrrun <= '0'; -- not used here sig_end_stbs_match_err <= '0'; -- not used here sig_tlast_error <= realign2wdc_eop_error and -- External error detection asserted not(sig_halt_reg); -- Suppress TLAST error when in soft shutdown -- Special case for pushing error status when timing is such that no -- addresses have been posted to AXI and a TLAST error has been detected -- by the Realigner module and propagated in from the Stream input side. sig_spcl_push_err2wsc <= sig_tlast_error_reg and not(sig_tlast_err_stop) and not(sig_addr_chan_rdy ); end generate GEN_EXTERN_ERR_DETECT; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERR_REG -- -- Process Description: -- Implements a sample and hold flop for the flag indicating -- that the input Stream TLAST assertion was not at the expected -- data beat relative to the commanded number of databeats -- from the associated command from the SCC or PCC. ------------------------------------------------------------- IMP_TLAST_ERR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_error_reg <= '0'; -- coverage off elsif (sig_tlast_error = '1') then sig_tlast_error_reg <= '1'; -- coverage on else null; -- hold current state end if; end if; end process IMP_TLAST_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TLAST_ERROR_STOP -- -- Process Description: -- Implements the flop to generate a stop flag once the TLAST -- error condition has been relayed to the Write Status -- Controller. This stop flag is used to prevent any more -- pushes to the Write Status Controller. -- ------------------------------------------------------------- IMP_TLAST_ERROR_STOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_tlast_err_stop <= '0'; -- coverage off elsif (sig_tlast_error_reg = '1' and sig_push_to_wsc_cmplt = '1') then sig_tlast_err_stop <= '1'; -- coverage on else null; -- Hold State end if; end if; end process IMP_TLAST_ERROR_STOP; end generate GEN_OMIT_INDET_BTT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INDET_BTT -- -- If Generate Description: -- Includes any Indeterminate BTT Support logic. Primarily -- this is a counter for the input stream bytes received. The -- received byte count is relayed to the Write Status Controller -- for each parent command completed. -- When a packet completion is indicated via the EOP marker -- assertion, the status to the Write Status Controller also -- indicates the EOP condition. -- Note that underrun and overrun detection/error flagging -- is disabled in Indeterminate BTT Mode. -- ------------------------------------------------------------ -- GEN_INDET_BTT : if (C_ENABLE_INDET_BTT = 1) generate -- -- -- local constants -- Constant BYTE_CNTR_WIDTH : integer := C_SF_BYTES_RCVD_WIDTH; -- Constant NUM_ZEROS_WIDTH : integer := 8; -- Constant BYTES_PER_DBEAT : integer := C_STREAM_DWIDTH/8; -- Constant STRBGEN_ADDR_SLICE_WIDTH : integer := -- funct_get_dbeat_residue_width(BYTES_PER_DBEAT); -- -- Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- -- -- -- -- local signals -- signal lsig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); -- signal lsig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0'); -- signal lsig_ld_byte_cntr : std_logic := '0'; -- signal lsig_incr_byte_cntr : std_logic := '0'; -- signal lsig_clr_byte_cntr : std_logic := '0'; -- signal lsig_end_of_cmd_reg : std_logic := '0'; -- signal lsig_eop_s_h_reg : std_logic := '0'; -- signal lsig_eop_reg : std_logic := '0'; -- signal sig_strbgen_addr : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); -- signal sig_strbgen_bytes : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); -- -- -- -- -- begin -- -- -- -- Assign the outputs to the Write Status Controller -- data2wsc_eop <= lsig_eop_reg and -- not(sig_next_calc_error_reg); -- -- data2wsc_bytes_rcvd <= STD_LOGIC_VECTOR(lsig_byte_cntr); -- -- -- -- -- WRSTRB logic ------------------------------ -- -- -- -- --sig_strbgen_bytes <= (others => '1'); -- set to the max value -- -- -- -- set the length to the max number of bytes per databeat -- sig_strbgen_bytes <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)); -- -- -- -- -- -- -- sig_strbgen_addr <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_fifo_next_sadddr_lsb), -- STRBGEN_ADDR_SLICE_WIDTH)) ; -- -- -- -- -- ------------------------------------------------------------ -- -- Instance: I_STRT_STRB_GEN -- -- -- -- Description: -- -- Strobe generator used to generate the starting databeat -- -- strobe value for soft shutdown case where the S2MM has to -- -- flush out all of the transfers that have been committed -- -- to the AXI Write address channel. Starting Strobes must -- -- match the committed address offest for each transfer. -- -- -- ------------------------------------------------------------ -- I_STRT_STRB_GEN : entity axi_sg_v4_1.axi_sg_strb_gen2 -- generic map ( -- -- C_OP_MODE => 0 , -- 0 = Offset/Length mode -- C_STRB_WIDTH => BYTES_PER_DBEAT , -- C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , -- C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 -- -- ) -- port map ( -- -- start_addr_offset => sig_strbgen_addr , -- end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 -- num_valid_bytes => sig_strbgen_bytes , -- strb_out => sig_sfhalt_next_strt_strb -- -- ); -- -- -- -- -- -- -- -- -- Generate the WSTRB to use during soft shutdown -- sig_halt_strb <= sig_strt_strb_reg -- When (sig_first_dbeat = '1' or -- sig_single_dbeat = '1') -- Else (others => '1'); -- -- -- -- -- Generate the Write Strobes for the MMap Write Data Channel -- -- for the Indeterminate BTT case. Strobes come from the Stream -- -- input from the Indeterminate BTT module during normal operation. -- -- However, during soft shutdown, those strobes become unpredictable -- -- so generated strobes have to be used. -- data2skid_wstrb <= sig_halt_strb -- When (sig_halt_reg = '1') -- -- Else s2mm_strm_wstrb; -- -- -- -- -- Generate the Stream Ready for the Stream input side -- sig_s2mm_strm_wready <= sig_halt_reg or -- force tready if a halt requested -- (sig_mmap2data_ready and -- MMap is accepting the xfers -- sig_addr_chan_rdy and -- xfers are commited on the address channel and -- sig_dqual_rdy and -- there are commands in the command fifo -- not(sig_calc_error_reg) and -- No internal error -- not(sig_stop_wvalid)); -- Gate off stream ready immediately after a wlast for 1 clk -- -- or when the soft shutdown has completed -- -- -- -- MMap Write Data Channel Valid Handshaking -- sig_data2mmap_valid <= (s2mm_strm_wvalid or -- Normal Stream input valid -- sig_halt_reg ) and -- force valid if halt requested -- sig_addr_chan_rdy and -- xfers are commited on the address channel and -- sig_dqual_rdy and -- there are commands in the command fifo -- not(sig_calc_error_reg) and -- No internal error -- not(sig_stop_wvalid); -- Gate off wvalid immediately after a wlast for 1 clk -- -- or when the soft shutdown has completed -- -- -- -- -- TLAST Error housekeeping for Indeterminate BTT Mode -- -- There is no Underrun/overrun in Stroe and Forward mode -- -- sig_tlast_error_ovrrun <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error_undrrun <= '0'; -- Not used with Indeterminate BTT -- sig_end_stbs_match_err <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_error_reg <= '0'; -- Not used with Indeterminate BTT -- sig_tlast_err_stop <= '0'; -- Not used with Indeterminate BTT -- -- -- -- -- -- ------------------------------------------------------------- -- -- Synchronous Process with Sync Reset -- -- -- -- Label: IMP_EOP_REG_FLOP -- -- -- -- Process Description: -- -- Register the End of Packet marker. -- -- -- ------------------------------------------------------------- -- IMP_EOP_REG_FLOP : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- if (mmap_reset = '1') then -- -- lsig_end_of_cmd_reg <= '0'; -- lsig_eop_reg <= '0'; -- -- -- Elsif (sig_good_strm_dbeat = '1') Then -- -- -- lsig_end_of_cmd_reg <= sig_next_cmd_cmplt_reg and -- s2mm_strm_wlast; -- -- lsig_eop_reg <= s2mm_strm_eop; -- -- else -- -- null; -- hold current state -- -- end if; -- end if; -- end process IMP_EOP_REG_FLOP; -- -- -- -- -- -- ----- Byte Counter Logic ----------------------------------------------- -- -- The Byte counter reflects the actual byte count received on the -- -- Stream input for each parent command loaded into the S2MM command -- -- FIFO. Thus it counts input bytes until the command complete qualifier -- -- is set and the TLAST input from the Stream input. -- -- -- lsig_clr_byte_cntr <= lsig_end_of_cmd_reg and -- Clear if a new stream packet does not start -- not(sig_good_strm_dbeat); -- immediately after the previous one finished. -- -- -- lsig_ld_byte_cntr <= lsig_end_of_cmd_reg and -- Only load if a new stream packet starts -- sig_good_strm_dbeat; -- immediately after the previous one finished. -- -- lsig_incr_byte_cntr <= sig_good_strm_dbeat; -- -- -- lsig_byte_cntr_incr_value <= RESIZE(UNSIGNED(s2mm_stbs_asserted), -- BYTE_CNTR_WIDTH); -- -- ------------------------------------------------------------- -- -- Synchronous Process with Sync Reset -- -- -- -- Label: IMP_BYTE_CMTR -- -- -- -- Process Description: -- -- Keeps a running byte count per burst packet loaded into the -- -- xfer FIFO. It is based on the strobes set on the incoming -- -- Stream dbeat. -- -- -- ------------------------------------------------------------- -- IMP_BYTE_CMTR : process (primary_aclk) -- begin -- if (primary_aclk'event and primary_aclk = '1') then -- if (mmap_reset = '1' or -- lsig_clr_byte_cntr = '1') then -- -- lsig_byte_cntr <= (others => '0'); -- -- elsif (lsig_ld_byte_cntr = '1') then -- -- lsig_byte_cntr <= lsig_byte_cntr_incr_value; -- -- elsif (lsig_incr_byte_cntr = '1') then -- -- lsig_byte_cntr <= lsig_byte_cntr + lsig_byte_cntr_incr_value; -- -- else -- null; -- hold current value -- end if; -- end if; -- end process IMP_BYTE_CMTR; -- -- -- -- -- -- end generate GEN_INDET_BTT; -- -- Internal logic ------------------------------ sig_good_mmap_dbeat <= sig_mmap2data_ready and sig_data2mmap_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_data2mmap_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an outgoing write data channel -- has been sent. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ----- Write Status Interface Stuff -------------------------- sig_push_to_wsc_cmplt <= sig_push_to_wsc and sig_wsc_ready; sig_set_push2wsc <= (sig_good_mmap_dbeat and sig_dbeat_cntr_eq_0) or sig_push_err2wsc or sig_spcl_push_err2wsc; -- Special case from CR616212 ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INTERR_PUSH_FLOP -- -- Process Description: -- Generate a 1 clock wide pulse when a calc error has propagated -- from the Command Calculator. This pulse is used to force a -- push of the error status to the Write Status Controller -- without a AXI transfer completion. -- ------------------------------------------------------------- IMP_INTERR_PUSH_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_push_err2wsc = '1') then sig_push_err2wsc <= '0'; elsif (sig_ld_new_cmd_reg = '1' and sig_calc_error_reg = '1') then sig_push_err2wsc <= '1'; else null; -- hold state end if; end if; end process IMP_INTERR_PUSH_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PUSH2WSC_FLOP -- -- Process Description: -- Implements a Sample and hold register for the outbound status -- signals to the Write Status Controller (WSC). This register -- has to support back to back transfer completions. -- ------------------------------------------------------------- IMP_PUSH2WSC_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_push_to_wsc_cmplt = '1' and sig_set_push2wsc = '0')) then sig_push_to_wsc <= '0'; sig_data2wsc_tag <= (others => '0'); sig_data2wsc_calc_err <= '0'; sig_data2wsc_last_err <= '0'; sig_data2wsc_cmd_cmplt <= '0'; elsif (sig_set_push2wsc = '1' and sig_tlast_err_stop = '0') then sig_push_to_wsc <= '1'; sig_data2wsc_tag <= sig_tag_reg ; sig_data2wsc_calc_err <= sig_calc_error_reg ; sig_data2wsc_last_err <= sig_tlast_error_reg or sig_tlast_error ; sig_data2wsc_cmd_cmplt <= sig_cmd_cmplt_reg or sig_tlast_error_reg or sig_tlast_error ; else null; -- hold current state end if; end if; end process IMP_PUSH2WSC_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LD_NEW_CMD_REG -- -- Process Description: -- Registers the flag indicating a new command has been -- loaded. Needs to be a 1 clk wide pulse. -- ------------------------------------------------------------- IMP_LD_NEW_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; else sig_ld_new_cmd_reg <= sig_ld_new_cmd; end if; end if; end process IMP_LD_NEW_CMD_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_NXT_LEN_REG -- -- Process Description: -- Registers the load control and length value for a command -- passed to the WDC input command interface. The registered -- signals are used for the external Indeterminate BTT support -- ports. -- ------------------------------------------------------------- IMP_NXT_LEN_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_s2mm_ld_nxt_len <= '0'; sig_s2mm_wr_len <= (others => '0'); else sig_s2mm_ld_nxt_len <= mstr2data_cmd_valid and sig_data2mstr_cmd_ready; sig_s2mm_wr_len <= mstr2data_len; end if; end if; end process IMP_NXT_LEN_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe) and -- The Wr Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output sig_data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; -- pop the fifo when dqual reg is pushed sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(DRR_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_sg_v4_1.axi_sg_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; sig_cmd_is_eof <= sig_next_eof_reg ; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- pre 13.1 -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(wsc2mstr_halt_pipe); -- The Wr Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0' ; sig_next_sequential_reg <= '0' ; sig_next_cmd_cmplt_reg <= '0' ; sig_next_calc_error_reg <= '0' ; sig_dqual_reg_empty <= '1' ; sig_dqual_reg_full <= '0' ; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_sfhalt_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Write STRB DeMux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1'and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; -- Address Posted Counter Logic -------------------------------------- sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0 or sig_apc_going2zero) ; -- Gates data channel xfer handshake sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max) ; -- Gates new command fetching sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0 ; -- Used for flushing cmds that are posted sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; sig_addr_posted_cntr_eq_1 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ONE) Else '0'; sig_apc_going2zero <= sig_addr_posted_cntr_eq_1 and sig_decr_addr_posted_cntr and not(sig_incr_addr_posted_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a counter for the tracking -- if an Address has been posted on the AXI address channel. -- The Data Controller must wait for an address to be posted -- before proceeding with the corresponding data transfer on -- the Data Channel. The counter is also used to track flushing -- operations where all transfers commited on the AXI Address -- Channel have to be completed before a halt can occur. ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detimination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; sig_single_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; sig_single_dbeat <= '0'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; sig_single_dbeat <= '0'; else null; -- hold current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds or sig_calc_error_reg; ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- Implements the transfer data beat counter used to track -- progress of the transfer. -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------- Soft Shutdown Logic ------------------------------- -- Formulate the soft shutdown complete flag sig_data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Generate a gate signal to deassert the WVALID output -- for 1 clock cycle after a WLAST is issued. This only -- occurs when in soft shutdown mode. sig_stop_wvalid <= (sig_last_mmap_dbeat_reg and sig_halt_reg) or sig_data2rst_stop_cmplt; -- Assign the output port skid buf control for the -- input Stream skid buffer data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the input -- stream skid buffer to shut down. sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_slice.vhd
19
4781
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; entity axi_datamover_slice is generic ( C_DATA_WIDTH : Integer range 1 to 200 := 64 ); port ( ACLK : in std_logic; ARESET : in std_logic; -- Slave side S_PAYLOAD_DATA : in std_logic_vector (C_DATA_WIDTH-1 downto 0); S_VALID : in std_logic; S_READY : out std_logic; -- Master side M_PAYLOAD_DATA : out std_logic_vector (C_DATA_WIDTH-1 downto 0); M_VALID : out std_logic; M_READY : in std_logic ); end entity axi_datamover_slice; architecture working of axi_datamover_slice is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of working : architecture is "yes"; signal storage_data : std_logic_vector (C_DATA_WIDTH-1 downto 0); signal s_ready_i : std_logic; signal m_valid_i : std_logic; signal areset_d : std_logic_vector (1 downto 0); begin -- assign local signal to its output signal S_READY <= s_ready_i; M_VALID <= m_valid_i; process (ACLK) begin if (ACLK'event and ACLK = '1') then areset_d(0) <= ARESET; areset_d(1) <= areset_d(0); end if; end process; -- Save payload data whenever we have a transaction on the slave side process (ACLK) begin if (ACLK'event and ACLK = '1') then if (S_VALID = '1' and s_ready_i = '1') then storage_data <= S_PAYLOAD_DATA; else storage_data <= storage_data; end if; end if; end process; M_PAYLOAD_DATA <= storage_data; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side process (ACLK) begin if (ACLK'event and ACLK = '1') then if (areset_d (1) = '1') then m_valid_i <= '0'; elsif (S_VALID = '1') then m_valid_i <= '1'; elsif (M_READY = '1') then m_valid_i <= '0'; else m_valid_i <= m_valid_i; end if; end if; end process; -- Slave Ready is either when Master side drives M_Ready or we have space in our storage data s_ready_i <= (M_READY or (not m_valid_i)) and not (areset_d(1) or areset_d(0)); end working;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/0535f152/hdl/src/vhdl/axi_sg_updt_noqueue.vhd
3
30514
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_noqueue.vhd -- Description: This entity provides the descriptor update for the No Queue mode -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1; use axi_sg_v4_1.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 -- 1 IOC bit + 32 Update Status Bits ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- updt2_active : in std_logic ; -- updt2_queue_empty : out std_logic ; -- updt2_ioc : out std_logic ; -- updt2_ioc_irq_set : in std_logic ; -- -- dma2_interr : out std_logic ; -- dma2_slverr : out std_logic ; -- dma2_decerr : out std_logic ; -- dma2_interr_set : in std_logic ; -- dma2_slverr_set : in std_logic ; -- dma2_decerr_set : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface In **-- -- --*********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- -- Update Pointer Stream -- s_axis2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis2_updtptr_tvalid : in std_logic ; -- s_axis2_updtptr_tready : out std_logic ; -- s_axis2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis2_updtsts_tvalid : in std_logic ; -- s_axis2_updtsts_tready : out std_logic ; -- s_axis2_updtsts_tlast : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface Out**-- -- --*********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Contstants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal writing_curdesc : std_logic := '0'; signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; signal writing_status : std_logic := '0'; signal curdesc_tready : std_logic := '0'; signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; signal writing_status_re_ch1 : std_logic := '0'; signal writing_status_re_ch2 : std_logic := '0'; signal updt_active_int : std_logic := '0'; signal s_axis_updtptr_tvalid_int : std_logic := '0'; signal s_axis_updtsts_tvalid_int : std_logic := '0'; signal s_axis_updtsts_tlast_int : std_logic := '0'; signal s_axis_updtptr_tdata_int : std_logic_vector (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_qual : std_logic := '0'; signal s_axis2_qual : std_logic := '0'; signal m_axis_updt_tdata_mm2s : std_logic_vector (31 downto 0); -- signal m_axis_updt_tlast_mm2s : std_logic ; -- signal m_axis_updt_tvalid_mm2s : std_logic ; signal m_axis_updt_tdata_s2mm : std_logic_vector (31 downto 0); -- signal m_axis_updt_tlast_s2mm : std_logic ; -- signal m_axis_updt_tvalid_s2mm : std_logic ; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin m_axis_updt_tdata <= m_axis_updt_tdata_mm2s when updt_active = '1' else m_axis_updt_tdata_s2mm; m_axis_updt_tvalid <= m_axis_updt_tvalid_mm2s when updt_active = '1' else m_axis_updt_tvalid_s2mm; m_axis_updt_tlast <= m_axis_updt_tlast_mm2s when updt_active = '1' else m_axis_updt_tlast_s2mm; updt_active_int <= updt_active or updt2_active; s_axis_updtptr_tvalid_int <= s_axis_updtptr_tvalid or s_axis2_updtptr_tvalid; s_axis_updtsts_tvalid_int <= s_axis_updtsts_tvalid or s_axis2_updtsts_tvalid; s_axis_updtsts_tlast_int <= s_axis_updtsts_tlast or s_axis2_updtsts_tlast; s_axis_qual <= s_axis_updtsts_tvalid and s_axis_updtsts_tlast and updt_active; s_axis2_qual <= s_axis2_updtsts_tvalid and s_axis2_updtsts_tlast and updt2_active; -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- the channel REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active or updt2_active; end if; end if; end process REG_ACTIVE; updt_active_re <= (updt_active or updt2_active) and not updt_active_d1; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active_int, s_axis_updtptr_tvalid_int, updt_active, updt2_active, s_axis_qual, s_axis2_qual, s_axis_updtptr_tvalid, s_axis2_updtptr_tvalid, s_axis_updtsts_tvalid_int, m_axis_updt_tready) begin write_curdesc_lsb <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; writing_curdesc <= '0'; curdesc_tready <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if((s_axis_updtptr_tvalid = '1' and updt_active = '1') or (s_axis2_updtptr_tvalid = '1' and updt2_active = '1')) then writing_curdesc <= '1'; pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor when READ_CURDESC_LSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(s_axis_updtptr_tvalid_int = '1' and updt_active_int = '1')then write_curdesc_lsb <= '1'; -- pntr_ns <= READ_CURDESC_MSB; pntr_ns <= WRITE_STATUS; else -- coverage off pntr_ns <= READ_CURDESC_LSB; -- coverage on end if; -- coverage off --------------------------------------------------------------- -- Get upper current descriptor when READ_CURDESC_MSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. if(s_axis_updtptr_tvalid_int = '1')then write_curdesc_msb <= '1'; pntr_ns <= WRITE_STATUS; else pntr_ns <= READ_CURDESC_MSB; end if; -- coverage on --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => writing_status <= '1'; --s_axis_updtsts_tvalid_int; if((s_axis_qual = '1' and m_axis_updt_tready = '1') or (s_axis2_qual = '1' and m_axis_updt_tready = '1')) then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; -- coverage off when others => pntr_ns <= IDLE; -- coverage on end case; end process CURDESC_PNTR_STATE; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; -- Status stream signals m_axis_updt_tdata_mm2s <= s_axis_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid_mm2s <= s_axis_updtsts_tvalid and writing_status; m_axis_updt_tlast_mm2s <= s_axis_updtsts_tlast and writing_status; s_axis_updtsts_tready <= m_axis_updt_tready and writing_status and updt_active; -- Pointer stream signals s_axis_updtptr_tready <= curdesc_tready and updt_active; -- Indicate need for channel service for update state machine updt_queue_empty <= not (s_axis_updtsts_tvalid); -- and writing_status); m_axis_updt_tdata_s2mm <= s_axis2_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid_s2mm <= s_axis2_updtsts_tvalid and writing_status; m_axis_updt_tlast_s2mm <= s_axis2_updtsts_tlast and writing_status; s_axis2_updtsts_tready <= m_axis_updt_tready and writing_status and updt2_active; -- Pointer stream signals s_axis2_updtptr_tready <= curdesc_tready and updt2_active; -- Indicate need for channel service for update state machine updt2_queue_empty <= not (s_axis2_updtsts_tvalid); -- and writing_status); --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* s_axis_updtptr_tdata_int <= s_axis_updtptr_tdata when (updt_active = '1') else s_axis2_updtptr_tdata; --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1')then updt_curdesc(31 downto 0) <= s_axis_updtptr_tdata_int(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(63 downto 32) <= (others => '0'); updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_msb = '1')then updt_curdesc(63 downto 32) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_lsb = '1')then -- elsif(write_curdesc_msb = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_NO_UPR_MSB_CURDESC; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; writing_status_re_ch1 <= writing_status_re and updt_active; writing_status_re_ch2 <= writing_status_re and updt2_active; --------------------------------------------------------------------------- -- Caputure IOC begin set --------------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re_ch1 = '1')then updt_ioc <= s_axis_updtsts_tdata(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_interr <= s_axis_updtsts_tdata(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_slverr <= s_axis_updtsts_tdata(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re_ch1 = '1')then dma_decerr <= s_axis_updtsts_tdata(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; --------------------------------------------------------------------------- -- Caputure IOC begin set --------------------------------------------------------------------------- REG2_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then updt2_ioc <= '0'; elsif(writing_status_re_ch2 = '1')then updt2_ioc <= s_axis2_updtsts_tdata(DESC_IOC_TAG_BIT); end if; end if; end process REG2_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE2_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then dma2_interr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_interr <= s_axis2_updtsts_tdata(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE2_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE2_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then dma2_slverr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_slverr <= s_axis2_updtsts_tdata(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE2_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE2_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then dma2_decerr <= '0'; elsif(writing_status_re_ch2 = '1')then dma2_decerr <= s_axis2_updtsts_tdata(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE2_DMADEC_ERROR; end implementation;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s05/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/axi_cdma_v4_1/25515467/hdl/src/vhdl/axi_cdma_sfifo_autord.vhd
1
19630
------------------------------------------------------------------------------- -- axi_cdma_sfifo_autord.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sfifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- synchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; ------------------------------------------------------------------------------- entity axi_cdma_sfifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 128; C_DATA_CNT_WIDTH : integer := 8; C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0; C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0; C_USE_BLKMEM : Integer range 0 to 1 := 1; -- 1 = Use Block RAM -- 0 = USE SRL C_FAMILY : String := "virtex7" ); port ( -- Inputs SFIFO_Sinit : In std_logic; -- Reset SFIFO_Clk : In std_logic; -- Clock SFIFO_Wr_en : In std_logic; -- Write enable SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- Write Data input SFIFO_Rd_en : In std_logic; -- Read Enable SFIFO_Clr_Rd_Data_Valid : In std_logic; -- Clear the Read data valid -- Outputs SFIFO_DValid : Out std_logic; -- Read Data Valid indication SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- Read Data out SFIFO_Full : Out std_logic; -- FIFO Full flag SFIFO_Empty : Out std_logic; -- FIFO empty flag SFIFO_Almost_full : Out std_logic; -- FIFO almost Full flag SFIFO_Almost_empty : Out std_logic; -- FIFO almost empty flag SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count minus 1 SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Write count SFIFO_Rd_ack : Out std_logic -- Read acknowledge ); end entity axi_cdma_sfifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_cdma_sfifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- none -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_int : natural := 0; signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_SFIFO_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_sfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; begin -- Bit ordering translations write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little -- endian. SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. -- Other port usages and assignments SFIFO_Rd_ack <= sig_sfifo_rdack; SFIFO_Almost_empty <= corrected_almost_empty; SFIFO_Empty <= corrected_empty; SFIFO_Wr_count <= raw_data_cnt_lil_end; SFIFO_Rd_count <= raw_data_count_corr; SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1; SFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= SFIFO_Rd_en; -- or autoread; ------------------------------------------------------------ -- Instance: I_SYNC_FIFOGEN_FIFO -- -- Description: -- Instance for the synchronous fifo from proc common. -- ------------------------------------------------------------ I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.sync_fifo_fg generic map( C_FAMILY => C_FAMILY, -- requred for FIFO Gen C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH, C_ENABLE_RLOCS => 0, C_HAS_DCOUNT => 1, C_HAS_RD_ACK => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 1, C_HAS_WR_ERR => 0, C_MEMORY_TYPE => C_USE_BLKMEM, C_PORTS_DIFFER => 0, C_RD_ACK_LOW => 0, C_READ_DATA_WIDTH => C_DWIDTH, C_READ_DEPTH => C_DEPTH, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_WRITE_DATA_WIDTH => C_DWIDTH, C_WRITE_DEPTH => C_DEPTH, C_PRELOAD_REGS => 1, -- 1 = first word fall through C_PRELOAD_LATENCY => 0, -- 0 = first word fall through C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map( Clk => SFIFO_Clk, Sinit => SFIFO_Sinit, Din => write_data_lil_end, Wr_en => SFIFO_Wr_en, Rd_en => fifo_read_enable, Dout => read_data_lil_end, Almost_full => open, Full => SFIFO_Full, Empty => sig_SFIFO_empty, Rd_ack => sig_sfifo_rdack, Wr_ack => open, Rd_err => open, Wr_err => open, Data_count => raw_data_cnt_lil_end ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Read Ack assert & hold logic Needed because.... ------------------------------------------------------------------------------- -- 1) The CoreGen Sync FIFO has to be read once to get valid -- data to the read data port. -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been used. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or SFIFO_Sinit or SFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_sfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (SFIFO_Clk) begin if (SFIFO_Clk'event and SFIFO_Clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_SFIFO_empty = '0') -- and the FIFO is not empty Else '0'; raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end); ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_EMPTY -- -- If Generate Description: -- This IFGen corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate -- local signals Signal raw_data_count_int_corr : integer := 0; Signal raw_data_count_int_corr_minus1 : integer := 0; begin ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT_IAE -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT_IAE : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; raw_data_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; raw_data_count_int_corr_minus1 <= raw_data_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT_IAE; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1, C_DATA_CNT_WIDTH); end generate INCLUDE_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_EMPTY -- -- If Generate Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate -- local signals Signal raw_data_count_int_corr : integer := 0; begin corrected_almost_empty <= '0'; -- always low ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; corrected_empty <= '1'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; corrected_empty <= '0'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; corrected_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; corrected_empty <= '0'; end if; end process CORRECT_RD_CNT; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); end generate OMIT_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_FULL -- -- If Generate Description: -- This IfGen Includes the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate -- Local Constants Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1; begin SFIFO_Almost_full <= '1' When raw_data_count_int = ALMOST_FULL_VALUE Else '0'; end generate INCLUDE_ALMOST_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_FULL -- -- If Generate Description: -- This IfGen Omits the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate begin SFIFO_Almost_full <= '0'; -- always low end generate OMIT_ALMOST_FULL; end imp;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/c2n_playback/vhdl_source/c2n_playback_io.vhd
3
7052
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; entity c2n_playback_io is port ( clock : in std_logic; reset : in std_logic; req : in t_io_req; resp : out t_io_resp; phi2_tick : in std_logic; c64_stopped : in std_logic; c2n_motor : in std_logic; c2n_sense : out std_logic; c2n_out_r : out std_logic; c2n_out_w : out std_logic ); end c2n_playback_io; architecture gideon of c2n_playback_io is signal enabled : std_logic; signal counter : unsigned(23 downto 0); signal error : std_logic; signal status : std_logic_vector(7 downto 0); signal fifo_dout : std_logic_vector(7 downto 0); signal fifo_read : std_logic; signal fifo_full : std_logic; signal fifo_empty : std_logic; signal fifo_almostfull : std_logic; signal fifo_flush : std_logic; signal fifo_write : std_logic; signal pulse : std_logic; signal toggle : std_logic; signal cnt2 : integer range 0 to 63; signal stream_en : std_logic; type t_state is (idle, multi1, multi2, multi3, count_down); signal state : t_state; signal state_enc : std_logic_vector(1 downto 0); signal mode : std_logic; signal sel : std_logic_vector(1 downto 0); signal c2n_out : std_logic; attribute register_duplication : string; attribute register_duplication of stream_en : signal is "no"; begin process(clock) begin if rising_edge(clock) then -- c2n pin out and sync if sel = "00" then c2n_sense <= enabled and not fifo_empty; else c2n_sense <= '0'; end if; stream_en <= enabled and c2n_motor; if fifo_empty='1' and enabled='1' then error <= '1'; end if; -- create a pulse of 50 ticks if cnt2 = 0 then pulse <= '0'; elsif phi2_tick='1' then cnt2 <= cnt2 - 1; end if; -- bus handling resp <= c_io_resp_init; if req.write='1' then resp.ack <= '1'; -- ack for fifo write as well. if req.address(11)='0' then enabled <= req.data(0); if req.data(1)='1' then error <= '0'; end if; fifo_flush <= req.data(2); mode <= req.data(3); sel <= req.data(7 downto 6); end if; elsif req.read='1' then resp.ack <= '1'; resp.data <= status; end if; case state is when idle => if enabled='1' and fifo_empty='0' then if fifo_dout=X"00" then if mode='1' then state <= multi1; else counter <= to_unsigned(256*8, counter'length); state <= count_down; end if; else counter <= unsigned("0000000000000" & fifo_dout & "000"); state <= count_down; end if; else toggle <= '0'; end if; when multi1 => if fifo_empty='0' then counter(7 downto 0) <= unsigned(fifo_dout); state <= multi2; elsif enabled = '0' then state <= idle; end if; when multi2 => if fifo_empty='0' then counter(15 downto 8) <= unsigned(fifo_dout); state <= multi3; elsif enabled = '0' then state <= idle; end if; when multi3 => if fifo_empty='0' then counter(23 downto 16) <= unsigned(fifo_dout); state <= count_down; elsif enabled = '0' then state <= idle; end if; when count_down => if phi2_tick='1' and stream_en='1' and c64_stopped='0' then if (counter = 1) or (counter = 0) then pulse <= '1'; toggle <= not toggle; cnt2 <= 49; state <= idle; else counter <= counter - 1; end if; elsif enabled = '0' then state <= idle; end if; when others => null; end case; if reset='1' then enabled <= '0'; counter <= (others => '0'); pulse <= '0'; error <= '0'; mode <= '0'; sel <= "00"; end if; end if; end process; fifo_write <= req.write and req.address(11); -- 0x800-0xFFF (2K) fifo_read <= '0' when state = count_down else (enabled and not fifo_empty); fifo: entity work.sync_fifo generic map ( g_depth => 2048, -- Actual depth. g_data_width => 8, g_threshold => 1536, g_storage => "block", g_fall_through => true ) port map ( clock => clock, reset => reset, rd_en => fifo_read, wr_en => fifo_write, din => req.data, dout => fifo_dout, flush => fifo_flush, full => fifo_full, almost_full => fifo_almostfull, empty => fifo_empty, count => open ); status(0) <= enabled; status(1) <= error; status(2) <= fifo_full; status(3) <= fifo_almostfull; status(4) <= state_enc(0); status(5) <= state_enc(1); status(6) <= stream_en; status(7) <= fifo_empty; c2n_out <= not pulse; with sel select c2n_out_r <= c2n_out when "00", pulse when "10", '1' when others; c2n_out_w <= pulse when sel="01" else '1'; with state select state_enc <= "00" when idle, "01" when multi1, "01" when multi2, "01" when multi3, "10" when count_down, "11" when others; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/usb/vhdl_source/ulpi_host.vhd
3
27625
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.usb_pkg.all; entity ulpi_host is port ( clock : in std_logic; reset : in std_logic; -- Descriptor RAM interface descr_addr : out std_logic_vector(8 downto 0); descr_rdata : in std_logic_vector(31 downto 0); descr_wdata : out std_logic_vector(31 downto 0); descr_en : out std_logic; descr_we : out std_logic; -- Buffer RAM interface buf_addr : out std_logic_vector(10 downto 0); buf_rdata : in std_logic_vector(7 downto 0); buf_wdata : out std_logic_vector(7 downto 0); buf_en : out std_logic; buf_we : out std_logic; -- Transmit Path Interface tx_busy : in std_logic; tx_ack : in std_logic; -- Interface to send tokens and handshakes send_token : out std_logic; send_handsh : out std_logic; tx_pid : out std_logic_vector(3 downto 0); tx_token : out std_logic_vector(10 downto 0); -- Interface to send data packets send_data : out std_logic; no_data : out std_logic; user_data : out std_logic_vector(7 downto 0); user_last : out std_logic; user_valid : out std_logic; user_next : in std_logic; -- Interface to bus initialization unit reset_done : in std_logic; sof_enable : in std_logic; scan_enable : in std_logic := '1'; speed : in std_logic_vector(1 downto 0); abort : in std_logic; -- Receive Path Interface rx_pid : in std_logic_vector(3 downto 0); rx_token : in std_logic_vector(10 downto 0); valid_token : in std_logic; valid_handsh : in std_logic; valid_packet : in std_logic; data_valid : in std_logic; data_start : in std_logic; data_out : in std_logic_vector(7 downto 0); rx_error : in std_logic ); end ulpi_host; architecture functional of ulpi_host is signal frame_div : integer range 0 to 65535; signal frame_cnt : unsigned(13 downto 0) := (others => '0'); signal do_sof : std_logic; constant c_max_transaction : integer := 31; constant c_max_pipe : integer := 31; constant c_timeout_val : integer := 7167; constant c_transaction_offset : unsigned(8 downto 6) := "001"; signal transaction_pntr : integer range 0 to c_max_transaction; signal descr_addr_i : unsigned(8 downto 0); -- could be temporarily pipe addr type t_state is (startup, idle, wait4start, scan_transactions, get_pipe, handle_trans, setup_token, bulk_token, send_data_packet, get_status, wait_for_ack, receive_data, send_ack, update_pipe, update_trans, do_ping ); signal state : t_state; signal substate : integer range 0 to 7; signal trans_in : t_transaction; signal pipe_in : t_pipe; signal trans_cnt : unsigned(10 downto 0); signal trans_len : unsigned(10 downto 0); signal buf_addr_i : unsigned(10 downto 0); -- signal speed : std_logic_vector(1 downto 0) := "11"; signal no_data_i : boolean; signal abort_reg : std_logic; signal tx_put : std_logic; signal tx_last : std_logic; signal need_ping : std_logic; signal fifo_data_in : std_logic_vector(7 downto 0); signal tx_almost_full : std_logic; signal link_busy : std_logic; signal timeout : boolean; signal timeout_cnt : integer range 0 to c_timeout_val; signal first_transfer : boolean; signal terminate : std_logic; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "sequential"; -- attribute keep : string; -- attribute keep of timeout : signal is "true"; signal debug_count : integer range 0 to 1023 := 0; signal debug_error : std_logic := '0'; begin descr_addr <= std_logic_vector(descr_addr_i); buf_addr <= std_logic_vector(buf_addr_i); no_data <= '1' when no_data_i else '0'; buf_wdata <= data_out; -- should be rx_data buf_we <= '1' when (state = receive_data) and (data_valid = '1') else '0'; p_protocol: process(clock) procedure next_transaction is begin if terminate='1' then terminate <= '0'; state <= idle; elsif transaction_pntr = c_max_transaction then transaction_pntr <= 0; state <= idle; -- wait for next sof before rescan else transaction_pntr <= transaction_pntr + 1; substate <= 0; state <= scan_transactions; end if; end procedure; function min(a, b: unsigned) return unsigned is begin if a < b then return a; else return b; end if; end function; variable trans_temp : t_transaction; variable len : unsigned(trans_temp.transfer_length'range); begin if rising_edge(clock) then descr_en <= '0'; descr_we <= '0'; tx_put <= '0'; if abort='1' then abort_reg <= '1'; end if; -- default counter if substate /= 3 then substate <= substate + 1; end if; if timeout_cnt /= 0 then timeout_cnt <= timeout_cnt - 1; if timeout_cnt = 1 then timeout <= false;--true; end if; end if; case state is when startup => tx_pid <= c_pid_reserved; do_sof <= '0'; frame_div <= 7499; if reset_done='1' then state <= idle; if speed = "10" then need_ping <= '1'; end if; end if; when idle => abort_reg <= '0'; if do_sof='1' then do_sof <= '0'; tx_token <= std_logic_vector(frame_cnt(13 downto 3)); tx_pid <= c_pid_sof; if speed = "00" then send_handsh <= '1'; else send_token <= '1'; end if; if speed(1)='1' then frame_cnt <= frame_cnt + 1; else frame_cnt <= frame_cnt + 8; end if; state <= wait4start; end if; when wait4start => if tx_ack='1' then send_token <= '0'; send_handsh <= '0'; send_data <= '0'; -- redundant - will not come here substate <= 0; if scan_enable='1' then state <= scan_transactions; else state <= idle; end if; end if; when scan_transactions => case substate is when 0 => descr_addr_i <= c_transaction_offset & to_unsigned(transaction_pntr, descr_addr_i'length-c_transaction_offset'length); descr_en <= '1'; when 2 => trans_temp := data_to_t_transaction(descr_rdata); trans_in <= trans_temp; substate <= 0; if trans_temp.state = busy then state <= get_pipe; else -- go for next, unless we are at the end of the list next_transaction; end if; when others => null; end case; when get_pipe => case substate is when 0 => descr_addr_i <= (others => '0'); descr_addr_i(trans_in.pipe_pointer'range) <= trans_in.pipe_pointer; descr_en <= '1'; when 2 => pipe_in <= data_to_t_pipe(descr_rdata); first_transfer <= true; state <= handle_trans; --- when others => null; end case; when handle_trans => -- both pipe and transaction records are now valid abort_reg <= '0'; substate <= 0; if do_sof='1' and link_busy='0' then state <= idle; elsif pipe_in.state /= initialized then -- can we use the pipe? trans_in.state <= error; state <= update_trans; else -- yes we can timeout <= false; timeout_cnt <= c_timeout_val; link_busy <= trans_in.link_to_next; case trans_in.transaction_type is when control => -- a control out sequence exists of a setup token -- and then a data0 packet, which should be followed by -- an ack from the device. The next phase of the transaction -- could be either in or out, and defines whether it is a -- control read or a control write. -- By choice, control transfers are implemented using -- two transactions, which are executed in guaranteed -- sequence. -- In this way, each stage has its own buffer. -- Note, the first pipe should be of type OUT, although it is not -- checked. tx_pid <= c_pid_setup; tx_token <= pipe_in.device_endpoint & pipe_in.device_address; send_token <= '1'; state <= setup_token; when bulk | interrupt => tx_token <= pipe_in.device_endpoint & pipe_in.device_address; state <= bulk_token; send_token <= '1'; timeout <= false; timeout_cnt <= c_timeout_val; if pipe_in.direction = dir_in then tx_pid <= c_pid_in; else -- if need_ping='1' then -- tx_pid <= c_pid_ping; -- state <= do_ping; -- else tx_pid <= c_pid_out; -- end if; end if; if pipe_in.control='1' and first_transfer then pipe_in.data_toggle <= '1'; -- start with data 1 end if; first_transfer <= false; when others => -- not yet supported trans_in.state <= error; state <= update_trans; end case; end if; when setup_token => if tx_ack='1' then send_token <= '0'; tx_pid <= c_pid_data0; -- send setup data immediately send_data <= '1'; buf_en <= '1'; substate <= 0; state <= send_data_packet; end if; -- prepare buffer buf_addr_i <= trans_in.buffer_address; trans_len <= trans_in.transfer_length; -- not cut up trans_cnt <= trans_in.transfer_length; -- not cut up no_data_i <= (trans_in.transfer_length = 0); when do_ping => if tx_ack='1' then send_token <= '0'; end if; -- wait for ack/nack or nyet. if rx_error='1' then trans_in.state <= error; state <= update_trans; elsif abort_reg='1' then pipe_in.state <= aborted; state <= update_pipe; abort_reg <= '0'; elsif valid_handsh='1' then -- maybe an ack? if rx_pid = c_pid_ack then tx_pid <= c_pid_out; send_token <= '1'; state <= bulk_token; elsif rx_pid = c_pid_stall then pipe_in.state <= stalled; trans_in.state <= error; state <= update_pipe; elsif (rx_pid = c_pid_nak) or (rx_pid = c_pid_nyet) then state <= handle_trans; end if; -- all other pids are just ignored elsif timeout then state <= handle_trans; end if; when bulk_token => if tx_ack='1' then send_token <= '0'; if pipe_in.direction = dir_out then if pipe_in.data_toggle = '0' then tx_pid <= c_pid_data0; else tx_pid <= c_pid_data1; end if; send_data <= '1'; buf_en <= '1'; substate <= 0; state <= send_data_packet; else -- input timeout <= false; timeout_cnt <= c_timeout_val; state <= receive_data; buf_en <= '1'; end if; end if; -- prepare buffer buf_addr_i <= trans_in.buffer_address; if pipe_in.direction = dir_out then len := min(trans_in.transfer_length, pipe_in.max_transfer); trans_len <= len; -- possibly cut up trans_cnt <= len; no_data_i <= (trans_in.transfer_length = 0); else trans_len <= (others => '0'); end if; when send_data_packet => case substate is when 0 => if tx_ack='1' then send_data <= '0'; if no_data_i then substate <= 2; end if; else substate <= 0; end if; when 1 => substate <= 1; -- stay! if tx_almost_full='0' then tx_put <= '1'; buf_addr_i <= buf_addr_i + 1; trans_cnt <= trans_cnt - 1; if trans_cnt = 1 then tx_last <= '1'; substate <= 2; buf_en <= '0'; else tx_last <= '0'; end if; end if; when 2 => if tx_busy='1' then substate <= 2; else state <= wait_for_ack; timeout <= false; timeout_cnt <= c_timeout_val; end if; when others => null; end case; when wait_for_ack => if rx_error='1' then trans_in.state <= error; state <= update_trans; elsif abort_reg='1' then pipe_in.state <= aborted; state <= update_pipe; abort_reg <= '0'; elsif valid_handsh='1' then -- maybe an ack? if (rx_pid = c_pid_ack) or (rx_pid = c_pid_nyet) then if rx_pid = c_pid_nyet then need_ping <= '1'; else need_ping <= '0'; end if; if trans_in.transfer_length = trans_len then trans_in.state <= done; if pipe_in.control='1' and trans_in.transaction_type = bulk then state <= get_status; substate <= 0; else state <= update_pipe; end if; else trans_in.state <= busy; state <= handle_trans; end if; trans_in.buffer_address <= buf_addr_i; -- store back trans_in.transfer_length <= trans_in.transfer_length - trans_len; pipe_in.data_toggle <= not pipe_in.data_toggle; elsif rx_pid = c_pid_stall then pipe_in.state <= stalled; trans_in.state <= error; state <= update_pipe; elsif rx_pid = c_pid_nak then terminate <= '0'; --link_busy; -- if control packet, then don't continue with next transaction! state <= update_trans; -- state <= handle_trans; -- just retry and retry, no matter what kind of packet it is, don't send SOF! end if; -- all other pids are just ignored -- elsif do_sof='1' then -- state <= idle; -- test elsif timeout then pipe_in.timeout <= '1'; trans_in.state <= error; state <= update_pipe; -- state <= handle_trans; -- try again end if; when get_status => case substate is when 0 => send_token <= '1'; tx_pid <= c_pid_in; when 1 => if tx_ack='1' then send_token <= '0'; timeout_cnt <= c_timeout_val; timeout <= false; else substate <= 1; -- wait end if; when 2 => if valid_packet='1' or valid_handsh='1' then state <= update_pipe; -- end transaction elsif rx_error='1' or timeout then trans_in.state <= error; state <= update_pipe; -- end transaction else substate <= 2; -- wait end if; when others => null; end case; when receive_data => if data_valid = '1' then timeout <= false; timeout_cnt <= 0; -- does not occur anymore buf_addr_i <= buf_addr_i + 1; trans_len <= trans_len + 1; end if; -------------------------------------------------------------------- if rx_error = '1' or debug_error='1' then -- go back to send the in token again buf_en <= '0'; state <= handle_trans; elsif abort_reg='1' then pipe_in.state <= aborted; state <= update_pipe; abort_reg <= '0'; elsif valid_packet='1' then buf_en <= '0'; trans_in.buffer_address <= buf_addr_i - 2; -- cut off CRC trans_in.transfer_length <= trans_in.transfer_length - (trans_len - 2); if ((trans_len - 2) >= trans_in.transfer_length) or ((trans_len - 2) < pipe_in.max_transfer) then trans_in.state <= done; else trans_in.state <= busy; end if; state <= send_ack; substate <= 0; elsif valid_handsh='1' then buf_en <= '0'; if rx_pid = c_pid_nak then if pipe_in.control='1' then state <= idle; -- retry on next sof, do not go to the next transaction else state <= update_trans; -- is not updated, but is the standard path to go to the next transact. end if; elsif rx_pid = c_pid_stall then trans_in.state <= error; pipe_in.state <= stalled; state <= update_pipe; end if; elsif timeout then -- device doesn't answer, could it have missed my in token? buf_en <= '0'; state <= handle_trans; end if; when send_ack => case substate is when 0 => send_handsh <= '1'; tx_pid <= c_pid_ack; when 1 => if tx_ack='0' then substate <= 1; -- stay here. else send_handsh <= '0'; state <= update_trans; -- if (pipe_in.control='0') and (trans_in.state = done) then -- state <= update_trans; -- elsif (pipe_in.control='1') and (trans_len = 2) then -- no data, thus status already received -- state <= update_trans; -- else -- null; -- -- substate <= 2; -- end if; end if; -- when 2 => -- send status back (no data packet) -- tx_pid <= c_pid_out; -- tx_token <= pipe_in.device_endpoint & pipe_in.device_address; -- send_token <= '1'; -- when 3 => -- wait until token was sent -- if tx_ack='0' then -- substate <= 3; -- else -- send_token <= '0'; -- no_data_i <= true; -- send_data <= '1'; -- tx_pid <= c_pid_data1; -- end if; -- when 4 => -- wait until no data packet was processed -- if tx_ack='0' then -- substate <= 4; -- else -- send_data <= '0'; -- state <= update_trans; -- end if; when others => null; end case; when update_pipe => descr_addr_i <= (others => '0'); descr_addr_i(trans_in.pipe_pointer'range) <= trans_in.pipe_pointer; descr_en <= '1'; descr_we <= '1'; descr_wdata <= t_pipe_to_data(pipe_in); state <= update_trans; when update_trans => descr_addr_i <= c_transaction_offset & to_unsigned(transaction_pntr, descr_addr_i'length-c_transaction_offset'length); descr_wdata <= t_transaction_to_data(trans_in); descr_en <= '1'; descr_we <= '1'; next_transaction; when others => null; end case; --------------------------------------------------- -- DEBUG --------------------------------------------------- -- if state /= receive_data then -- debug_count <= 0; -- debug_error <= '0'; -- elsif debug_count = 1023 then -- debug_error <= '1'; -- else -- debug_count <= debug_count + 1; -- end if; --------------------------------------------------- if frame_div = 0 then do_sof <= sof_enable; if speed(1)='1' then frame_div <= 7499; -- microframes else frame_div <= 59999; -- 1 ms frames end if; else frame_div <= frame_div - 1; end if; if reset_done='0' then state <= startup; end if; if speed /= "10" then -- If not high speed, then we force no ping need_ping <= '0'; end if; if reset = '1' then abort_reg <= '0'; buf_en <= '0'; buf_addr_i <= (others => '0'); trans_len <= (others => '0'); trans_cnt <= (others => '0'); link_busy <= '0'; state <= startup; do_sof <= '0'; frame_div <= 7499; frame_cnt <= (others => '0'); send_token <= '0'; send_data <= '0'; send_handsh <= '0'; need_ping <= '0'; terminate <= '0'; end if; end if; end process; -- Decoupling of ulpi tx bus and our generation of data -- to meet timing of "next" signal -- fifo_data_in <= reset_data when (state = startup) else buf_rdata; fifo_data_in <= buf_rdata; i_srl_tx: entity work.srl_fifo generic map ( Width => 9, Depth => 15, Threshold => 10 ) port map ( clock => clock, reset => reset, GetElement => user_next, PutElement => tx_put, FlushFifo => '0', DataIn(7 downto 0) => fifo_data_in, DataIn(8) => tx_last, DataOut(7 downto 0) => user_data, DataOut(8) => user_last, SpaceInFifo => open, AlmostFull => tx_almost_full, DataInFifo => user_valid ); end functional;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/usb/vhdl_source/token_crc.vhd
3
1863
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : token_crc.vhd ------------------------------------------------------------------------------- -- File : token_crc.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This file is used to calculate the CRC over a USB token ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity token_crc is port ( clock : in std_logic; sync : in std_logic; token_in : in std_logic_vector(10 downto 0); crc : out std_logic_vector(4 downto 0) ); end token_crc; architecture Gideon of token_crc is -- signal crc_reg : std_logic_vector(4 downto 0) := (others => '0'); constant polynom : std_logic_vector(4 downto 0) := "00100"; -- CRC-5 = x5 + x2 + 1 begin process(clock) variable tmp : std_logic_vector(crc'range); variable d : std_logic; begin if rising_edge(clock) then tmp := (others => '1'); for i in token_in'reverse_range loop -- LSB first! d := token_in(i) xor tmp(tmp'high); tmp := tmp(tmp'high-1 downto 0) & d; --'0'; if d = '1' then tmp := tmp xor polynom; end if; end loop; for i in tmp'range loop -- reverse and invert crc(crc'high-i) <= not(tmp(i)); end loop; end if; end process; end Gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/nano_cpu/vhdl_source/nano.vhd
3
6323
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.nano_cpu_pkg.all; use work.io_bus_pkg.all; library unisim; use unisim.vcomponents.all; entity nano is port ( clock : in std_logic; reset : in std_logic; -- i/o interface io_addr : out unsigned(7 downto 0); io_write : out std_logic; io_read : out std_logic; io_wdata : out std_logic_vector(15 downto 0); io_rdata : in std_logic_vector(15 downto 0); stall : in std_logic; -- system interface (to write code into the nano) sys_clock : in std_logic := '0'; sys_reset : in std_logic := '0'; sys_io_req : in t_io_req := c_io_req_init; sys_io_resp : out t_io_resp ); end entity; architecture structural of nano is signal sys_enable : std_logic; -- instruction/data ram signal ram_addr : std_logic_vector(9 downto 0); signal ram_en : std_logic; signal ram_we : std_logic; signal ram_wdata : std_logic_vector(15 downto 0); signal ram_rdata : std_logic_vector(15 downto 0); signal sys_io_req_bram : t_io_req; signal sys_io_resp_bram : t_io_resp; signal sys_io_req_regs : t_io_req; signal sys_io_resp_regs : t_io_resp; signal sys_core_reset : std_logic; signal usb_reset_tig : std_logic; signal usb_core_reset : std_logic; signal bram_reset : std_logic; signal bram_data : std_logic_vector(7 downto 0); begin i_split: entity work.io_bus_splitter generic map ( g_range_lo => 12, g_range_hi => 12, g_ports => 2 ) port map ( clock => sys_clock, req => sys_io_req, resp => sys_io_resp, reqs(0) => sys_io_req_bram, -- 4080000 reqs(1) => sys_io_req_regs, -- 4081000 resps(0) => sys_io_resp_bram, resps(1) => sys_io_resp_regs ); i_core: entity work.nano_cpu port map ( clock => clock, reset => usb_core_reset, -- instruction/data ram ram_addr => ram_addr, ram_en => ram_en, ram_we => ram_we, ram_wdata => ram_wdata, ram_rdata => ram_rdata, -- i/o interface io_addr => io_addr, io_write => io_write, io_read => io_read, io_wdata => io_wdata, io_rdata => io_rdata, stall => stall ); i_buf_ram: RAMB16_S9_S18 generic map ( INIT_00 => X"096CE011A0CA095CC00F29596893E8210968C00F295C6893E93FA0CA0963E947", INIT_01 => X"E9340964E05CE9340894E9340965E8DAC01E295A6832E821089AA0C40974A0CA", INIT_02 => X"D0356824B800A027D82A6827B800C822809559580895A02FD822682F8095E020", INIT_03 => X"E02AA011A0000959B8000957E033A020D83A6820A024B8000958E850D82E682F", INIT_04 => X"C856295D808E4958088EE05051590894A02FD856682FE02AA010A001088FA000", INIT_05 => X"0BF2C0840BF0E845B800E9340966A02DD85B682DE82AA010A000095BA0016860", INIT_06 => X"0BF4E082C079516BC074516AC06F51690BF0808F0BF183F50957A0700BF3A071", INIT_07 => X"D87E687483F5A0726833811FE8C4E082E934E8A0E8850BF4E082E934E89CE885", INIT_08 => X"00000000B800A050B800D8896874A040A073C08C8090E05C83F00957E934091F", INIT_09 => X"E0A6095AE8400962004B15E00050004000450046000000000000000000000008", INIT_0A => X"C0BE6822C8BEE82EE82AA012A00259580890A000E0A6195A295E0891E8400958", INIT_0B => X"49580892B8000958C8BE5163B8000959C8BA5160B800095AC8B651596830A022", INIT_0C => X"C8D85161E0D20957E83CC8CE515A0830C8D9E82EE840095FE0B8C0BC515A8092", INIT_0D => X"8094095AC0E1515E296F6832E0BEE0B6B8000959B800095AC8D65093095EE83C", INIT_0E => X"0894A02EA01E80950976A0C4097280940958E0E980940957C0E729596832B800", INIT_0F => X"B800A0C48900810049670894C8F1809559580895A02FD8F1682FD101682EC117", INIT_10 => X"A055C114809559580895C90959580975A0458095089BA018A045809409590000", INIT_11 => X"0000E0FAC917809559580895A02FD917682F8095095AA019E107C91159580975", INIT_12 => X"0BFDB800894683FE297149580BFE8146496D0BFEB800C926E920B8003BFE0BFF", INIT_13 => X"0957B80083FD297749580BFD91460945814649700BFD8145B8003BFC29774958", INIT_14 => X"0969A07183F30973A07083F2096E83F4096200000000B80083FF83FE83FD83FC", INIT_15 => X"000900080007000600050003000200010000B800A0720963809B809A095B83F0", INIT_16 => X"0038123403B000260023002200210FA00096001300120011000E000D000B000A", INIT_17 => X"00000000000000000000000000000000007F007802EE006156780050003F0330", INIT_3F => X"FF00000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKB => clock, SSRB => reset, ENB => ram_en, WEB => ram_we, ADDRB => ram_addr, DIB => ram_wdata, DIPB => "00", DOB => ram_rdata, CLKA => sys_clock, SSRA => sys_reset, ENA => sys_enable, WEA => sys_io_req_bram.write, ADDRA => std_logic_vector(sys_io_req_bram.address(10 downto 0)), DIA => sys_io_req_bram.data, DIPA => "0", DOA => bram_data ); sys_enable <= sys_io_req_bram.write or sys_io_req_bram.read; bram_reset <= not sys_enable; sys_io_resp_bram.data <= bram_data when sys_io_resp_bram.ack = '1' else X"00"; process(sys_clock) begin if rising_edge(sys_clock) then sys_io_resp_bram.ack <= sys_enable; sys_io_resp_regs <= c_io_resp_init; sys_io_resp_regs.ack <= sys_io_req_regs.write or sys_io_req_regs.read; if sys_io_req_regs.write = '1' then -- any address sys_core_reset <= not sys_io_req_regs.data(0); end if; if sys_reset = '1' then sys_core_reset <= '1'; end if; end if; end process; process(clock) begin if rising_edge(clock) then usb_reset_tig <= sys_core_reset; usb_core_reset <= usb_reset_tig; end if; end process; end architecture;
gpl-3.0
davidhorrocks/1541UltimateII
target/simulation/vhdl_bfm/bram_model_8sp.vhd
5
2053
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 - Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : BRAM model ------------------------------------------------------------------------------- -- File : bram_model_8sp.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This simple BRAM model uses the flat memory model package. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.tl_flat_memory_model_pkg.all; entity bram_model_8sp is generic ( g_given_name : string; g_depth : positive := 18 ); port ( CLK : in std_logic; SSR : in std_logic; EN : in std_logic; WE : in std_logic; ADDR : in std_logic_vector(g_depth-1 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0) ); end bram_model_8sp; architecture bfm of bram_model_8sp is shared variable this : h_mem_object; signal bound : boolean := false; begin bind: process begin register_mem_model(bram_model_8sp'path_name, g_given_name, this); bound <= true; wait; end process; process(CLK) variable vaddr : std_logic_vector(31 downto 0) := (others => '0'); begin if rising_edge(CLK) then vaddr(g_depth-1 downto 0) := ADDR; if EN='1' then if bound then DO <= read_memory_8(this, vaddr); if WE='1' then write_memory_8(this, vaddr, DI); end if; end if; end if; if SSR='1' then DO <= (others => '0'); end if; end if; end process; end bfm;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/usb2/vhdl_source/usb_io_bank.vhd
5
8681
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity usb_io_bank is port ( clock : in std_logic; reset : in std_logic; -- i/o interface io_addr : in unsigned(7 downto 0); io_write : in std_logic; io_read : in std_logic; io_wdata : in std_logic_vector(15 downto 0); io_rdata : out std_logic_vector(15 downto 0); stall : out std_logic; -- Memory controller and buffer mem_ready : in std_logic; transferred : in unsigned(10 downto 0); -- Register access reg_read : out std_logic := '0'; reg_write : out std_logic := '0'; reg_ack : in std_logic; reg_addr : out std_logic_vector(5 downto 0) := (others => '0'); reg_wdata : out std_logic_vector(7 downto 0) := X"00"; reg_rdata : in std_logic_vector(7 downto 0); status : in std_logic_vector(7 downto 0); -- I/O pins from RX rx_pid : in std_logic_vector(3 downto 0); rx_token : in std_logic_vector(10 downto 0); rx_valid_token : in std_logic; rx_valid_handsh : in std_logic; rx_valid_packet : in std_logic; rx_error : in std_logic; -- I/O pins to TX tx_pid : out std_logic_vector(3 downto 0); tx_token : out std_logic_vector(10 downto 0); tx_send_token : out std_logic; tx_send_handsh : out std_logic; tx_send_data : out std_logic; tx_length : out unsigned(10 downto 0); tx_no_data : out std_logic; tx_chirp_enable : out std_logic; tx_chirp_level : out std_logic; tx_chirp_end : out std_logic; tx_chirp_start : out std_logic; tx_ack : in std_logic ); end entity; architecture gideon of usb_io_bank is signal pulse_in : std_logic_vector(15 downto 0) := (others => '0'); signal pulse_out : std_logic_vector(15 downto 0) := (others => '0'); signal latched : std_logic_vector(15 downto 0) := (others => '0'); signal level_out : std_logic_vector(15 downto 0) := (others => '0'); signal frame_div : integer range 0 to 65535; signal frame_cnt : unsigned(13 downto 0) := (others => '0'); signal stall_i : std_logic := '0'; signal ulpi_access : std_logic; signal tx_chirp_start_i : std_logic; signal tx_chirp_end_i : std_logic; signal filter_cnt : unsigned(7 downto 0); signal filter_st1 : std_logic; signal reset_filter : std_logic; begin pulse_in(0) <= rx_error; pulse_in(1) <= rx_valid_token; pulse_in(2) <= rx_valid_handsh; pulse_in(3) <= rx_valid_packet; pulse_in(4) <= rx_valid_packet or rx_valid_handsh or rx_valid_token or rx_error; pulse_in(7) <= tx_ack; -- tx ack resets lower half of output pulses tx_no_data <= level_out(0); tx_send_token <= pulse_out(0); tx_send_handsh <= pulse_out(1); tx_send_data <= pulse_out(2); tx_chirp_level <= level_out(5); tx_chirp_start <= tx_chirp_start_i; tx_chirp_end <= tx_chirp_end_i; tx_chirp_start_i <= pulse_out(8); tx_chirp_end_i <= pulse_out(9); reset_filter <= pulse_out(14); pulse_in(14) <= filter_st1; pulse_in(13) <= '1' when (status(5 downto 4) = "10") else '0'; process(clock) variable adlo : unsigned(3 downto 0); variable adhi : unsigned(7 downto 4); begin if rising_edge(clock) then adlo := io_addr(3 downto 0); adhi := io_addr(7 downto 4); if tx_ack = '1' then pulse_out(7 downto 0) <= (others => '0'); end if; pulse_out(15 downto 8) <= X"00"; pulse_in(15) <= '0'; if frame_div = 0 then frame_div <= 7499; -- microframes pulse_in(15) <= '1'; frame_cnt <= frame_cnt + 1; else frame_div <= frame_div - 1; end if; if tx_chirp_start_i = '1' then tx_chirp_enable <= '1'; elsif tx_chirp_end_i = '1' then tx_chirp_enable <= '0'; end if; filter_st1 <= '0'; if reset_filter = '1' then filter_cnt <= (others => '0'); elsif status(1) = '0' then filter_cnt <= (others => '0'); else filter_cnt <= filter_cnt + 1; if filter_cnt = 255 and latched(14)='0' then filter_st1 <= '1'; end if; end if; if reg_ack='1' then reg_write <= '0'; reg_read <= '0'; stall_i <= '0'; end if; if io_write='1' then reg_addr <= std_logic_vector(io_addr(5 downto 0)); case adhi is when X"0" => case adlo(3 downto 0) is when X"0" => tx_pid <= io_wdata(tx_pid'range); when X"1" => tx_token <= io_wdata(tx_token'range); when X"2" => tx_length <= unsigned(io_wdata(tx_length'range)); when others => null; end case; when X"1" => pulse_out(to_integer(adlo)) <= '1'; when X"2" => latched(to_integer(adlo)) <= '0'; when X"4" => level_out(to_integer(adlo)) <= '0'; when X"5" => level_out(to_integer(adlo)) <= '1'; when X"C"|X"D"|X"E"|X"F" => reg_wdata <= io_wdata(7 downto 0); reg_write <= '1'; stall_i <= '1'; when others => null; end case; end if; if io_read = '1' then reg_addr <= std_logic_vector(io_addr(5 downto 0)); if io_addr(7 downto 6) = "10" then reg_read <= '1'; stall_i <= '1'; end if; end if; for i in latched'range loop if pulse_in(i)='1' then latched(i) <= '1'; end if; end loop; if reset='1' then tx_pid <= (others => '0'); tx_token <= (others => '0'); tx_length <= (others => '0'); latched <= (others => '0'); level_out <= (others => '0'); reg_read <= '0'; reg_write <= '0'; stall_i <= '0'; tx_chirp_enable <= '0'; end if; end if; end process; ulpi_access <= io_addr(7); stall <= ((stall_i or io_read or io_write) and ulpi_access) and not reg_ack; -- stall right away, and continue right away also when the data is returned process(latched, level_out, rx_pid, rx_token, reg_rdata, io_addr) variable adlo : unsigned(3 downto 0); variable adhi : unsigned(7 downto 4); begin io_rdata <= (others => '0'); adlo := io_addr(3 downto 0); adhi := io_addr(7 downto 4); case adhi is when X"2" => io_rdata(15) <= latched(to_integer(adlo)); when X"3" => case adlo(3 downto 0) is when X"0" => io_rdata <= X"000" & rx_pid; when X"1" => io_rdata <= "00000" & rx_token; when X"2" => io_rdata <= X"00" & status; when X"3" => io_rdata <= "00000" & std_logic_vector(transferred); when others => null; end case; when X"6" => case adlo(3 downto 0) is when X"0" => io_rdata <= "00000" & std_logic_vector(frame_cnt(13 downto 3)); when others => null; end case; when X"7" => io_rdata(15) <= mem_ready; when X"8"|X"9"|X"A"|X"B" => io_rdata <= X"00" & reg_rdata; when others => null; end case; end process; end architecture;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/video/vhdl_source/char_generator_regs.vhd
4
4411
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Character Generator Registers ------------------------------------------------------------------------------- -- File : char_generator_regs.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: Registers for the character generator ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; use work.char_generator_pkg.all; entity char_generator_regs is port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; keyb_row : in std_logic_vector(7 downto 0); keyb_col : inout std_logic_vector(7 downto 0); control : out t_chargen_control ); end entity; architecture gideon of char_generator_regs is signal control_i : t_chargen_control := c_chargen_control_init; begin process(clock) begin if rising_edge(clock) then io_resp <= c_io_resp_init; if io_req.write='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_chargen_line_clocks_hi => control_i.clocks_per_line(10 downto 8) <= unsigned(io_req.data(2 downto 0)); when c_chargen_line_clocks_lo => control_i.clocks_per_line(7 downto 0) <= unsigned(io_req.data); when c_chargen_char_width => control_i.char_width <= unsigned(io_req.data(2 downto 0)); when c_chargen_char_height => control_i.char_height <= unsigned(io_req.data(3 downto 0)); when c_chargen_chars_per_line => control_i.chars_per_line <= unsigned(io_req.data); when c_chargen_active_lines => control_i.active_lines <= unsigned(io_req.data(5 downto 0)); when c_chargen_x_on_hi => control_i.x_on(11 downto 8) <= unsigned(io_req.data(3 downto 0)); when c_chargen_x_on_lo => control_i.x_on(7 downto 0) <= unsigned(io_req.data); when c_chargen_y_on_hi => control_i.y_on(11 downto 8) <= unsigned(io_req.data(3 downto 0)); when c_chargen_y_on_lo => control_i.y_on(7 downto 0) <= unsigned(io_req.data); when c_chargen_pointer_hi => control_i.pointer(14 downto 8) <= unsigned(io_req.data(6 downto 0)); when c_chargen_pointer_lo => control_i.pointer(7 downto 0) <= unsigned(io_req.data); when c_chargen_perform_sync => control_i.perform_sync <= io_req.data(0); when c_chargen_transparency => control_i.transparent <= io_req.data(3 downto 0); control_i.overlay_on <= io_req.data(7); when c_chargen_keyb_col => keyb_col <= io_req.data; when others => null; end case; elsif io_req.read='1' then io_resp.ack <= '1'; case io_req.address(3 downto 0) is when c_chargen_keyb_row => io_resp.data <= keyb_row; when c_chargen_keyb_col => io_resp.data <= keyb_col; when others => null; end case; end if; if reset='1' then control_i <= c_chargen_control_init; keyb_col <= (others => '1'); end if; end if; end process; control <= control_i; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/fpga_top/ultimate_fpga/vhdl_sim/harness_v2_mk1.vhd
5
10827
library work; use work.tl_flat_memory_model_pkg.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity harness_v2_mk1 is end harness_v2_mk1; architecture tb of harness_v2_mk1 is constant c_uart_divisor : natural := 434; signal PHI2 : std_logic := '0'; signal RSTn : std_logic := '1'; signal DOTCLK : std_logic := '1'; signal BUFFER_ENn : std_logic := '1'; signal LB_ADDR : std_logic_vector(21 downto 0); signal LB_DATA : std_logic_vector(7 downto 0) := X"00"; signal BA : std_logic := '0'; signal DMAn : std_logic := '1'; signal EXROMn : std_logic; signal GAMEn : std_logic; signal ROMHn : std_logic := '1'; signal ROMLn : std_logic := '1'; signal IO1n : std_logic := '1'; signal IO2n : std_logic := '1'; signal IRQn : std_logic := '1'; signal NMIn : std_logic := '1'; signal MEM_WEn : std_logic; signal MEM_OEn : std_logic; signal SDRAM_CSn : std_logic; signal SDRAM_RASn : std_logic; signal SDRAM_CASn : std_logic; signal SDRAM_WEn : std_logic; signal SDRAM_CKE : std_logic; signal SDRAM_CLK : std_logic; signal SDRAM_DQM : std_logic; signal PWM_OUT : std_logic_vector(1 downto 0); signal IEC_ATN : std_logic := '1'; signal IEC_DATA : std_logic := '1'; signal IEC_CLOCK : std_logic := '1'; signal IEC_RESET : std_logic := '1'; signal IEC_SRQ_IN : std_logic := '1'; signal DISK_ACTn : std_logic; -- activity LED signal CART_LEDn : std_logic; signal SDACT_LEDn : std_logic; signal MOTOR_LEDn : std_logic; signal UART_TXD : std_logic; signal UART_RXD : std_logic := '1'; signal SD_SSn : std_logic; signal SD_CLK : std_logic; signal SD_MOSI : std_logic; signal SD_MISO : std_logic := '1'; signal SD_WP : std_logic := '1'; signal SD_CARDDETn : std_logic := '1'; signal BUTTON : std_logic_vector(2 downto 0) := "111"; signal SLOT_ADDR : std_logic_vector(15 downto 0); signal SLOT_DATA : std_logic_vector(7 downto 0); signal RWn : std_logic := '1'; signal CAS_MOTOR : std_logic := '1'; signal CAS_SENSE : std_logic := '0'; signal CAS_READ : std_logic := '0'; signal CAS_WRITE : std_logic := '0'; signal ETH_CLK : std_logic; signal ETH_RST : std_logic; signal ETH_CSn : std_logic; signal ETH_CS : std_logic; signal FLASH_CSn : std_logic; signal SRAM_CSn : std_logic; signal ONE_WIRE : std_logic := 'H'; signal sys_clock : std_logic := '0'; signal sys_reset : std_logic := '0'; signal rx_char : std_logic_vector(7 downto 0); signal rx_char_d : std_logic_vector(7 downto 0); signal rx_ack : std_logic; signal tx_char : std_logic_vector(7 downto 0) := X"00"; signal tx_done : std_logic; signal do_tx : std_logic := '0'; shared variable dram : h_mem_object; shared variable ram : h_mem_object; shared variable sram : h_mem_object; -- shared variable bram : h_mem_object; begin mut: entity work.ultimate_1541_250e generic map ( g_simulation => true ) port map ( CLOCK => sys_clock, PHI2 => PHI2, DOTCLK => DOTCLK, RSTn => RSTn, BUFFER_ENn => BUFFER_ENn, SLOT_ADDR => SLOT_ADDR, SLOT_DATA => SLOT_DATA, RWn => RWn, BA => BA, DMAn => DMAn, EXROMn => EXROMn, GAMEn => GAMEn, ROMHn => ROMHn, ROMLn => ROMLn, IO1n => IO1n, IO2n => IO2n, IRQn => IRQn, NMIn => NMIn, LB_ADDR => LB_ADDR, LB_DATA => LB_DATA, FLASH_CSn => FLASH_CSn, SRAM_CSn => SRAM_CSn, MEM_WEn => MEM_WEn, MEM_OEn => MEM_OEn, SDRAM_CSn => SDRAM_CSn, SDRAM_RASn => SDRAM_RASn, SDRAM_CASn => SDRAM_CASn, SDRAM_WEn => SDRAM_WEn, SDRAM_CKE => SDRAM_CKE, SDRAM_CLK => SDRAM_CLK, SDRAM_DQM => SDRAM_DQM, -- PWM outputs (for audio) PWM_OUT => PWM_OUT, -- IEC bus IEC_ATN => IEC_ATN, IEC_DATA => IEC_DATA, IEC_CLOCK => IEC_CLOCK, IEC_RESET => IEC_RESET, IEC_SRQ_IN => IEC_SRQ_IN, DISK_ACTn => DISK_ACTn, -- activity LED CART_LEDn => CART_LEDn, SDACT_LEDn => SDACT_LEDn, MOTOR_LEDn => MOTOR_LEDn, -- Debug UART UART_TXD => UART_TXD, UART_RXD => UART_RXD, -- USB USB_IOP => open, USB_ION => open, USB_SEP => '1', USB_SEN => '0', USB_DET => open, -- SD Card Interface SD_SSn => SD_SSn, SD_CLK => SD_CLK, SD_MOSI => SD_MOSI, SD_MISO => SD_MISO, SD_WP => '0', SD_CARDDETn => SD_CARDDETn, -- Cassette Interface CAS_MOTOR => CAS_MOTOR, CAS_SENSE => CAS_SENSE, CAS_READ => CAS_READ, CAS_WRITE => CAS_WRITE, -- Ethernet Interface ETH_CLK => ETH_CLK, ETH_IRQ => '0', ETH_CSn => ETH_CSn, ETH_CS => ETH_CS, ETH_RST => ETH_RST, ONE_WIRE => ONE_WIRE, -- Buttons BUTTON => BUTTON ); sys_clock <= not sys_clock after 10 ns; -- 50 MHz sys_reset <= '1', '0' after 100 ns; PHI2 <= not PHI2 after 507.5 ns; -- 0.98525 MHz RSTn <= '0', '1' after 6 us; process begin bind_mem_model("intram", ram); bind_mem_model("dram", dram); bind_mem_model("sram", sram); load_memory("../../software/1st_boot/result/1st_boot.bin", ram, X"00000000"); load_memory("../../software/ultimate/result/ultimate_V1.bin", sram, X"00030000"); wait; end process; SLOT_DATA <= (others => 'H'); ROMHn <= '1'; ROMLn <= not PHI2 after 50 ns; IO1n <= '1'; IO2n <= '1'; process begin SLOT_ADDR <= X"7FF0"; RWn <= '1'; while true loop wait until PHI2 = '0'; --SLOT_ADDR(8 downto 0) <= std_logic_vector(unsigned(SLOT_ADDR(8 downto 0)) + 1); SLOT_ADDR <= std_logic_vector(unsigned(SLOT_ADDR) + 1); RWn <= '1'; wait until PHI2 = '0'; RWn <= '0'; end loop; end process; process begin BA <= '1'; for i in 0 to 100 loop wait until PHI2='0'; end loop; BA <= '0'; for i in 0 to 10 loop wait until PHI2='0'; end loop; end process; sram_bfm: entity work.sram_model_8 generic map("sram", 19, 10 ns) port map (LB_ADDR(18 downto 0), LB_DATA, SRAM_CSn, MEM_OEn, MEM_WEn); flash_bfm: entity work.sram_model_8 generic map("flash", 21, 70 ns) port map (LB_ADDR(20 downto 0), LB_DATA, FLASH_CSn, MEM_OEn, '1'); dram_bfm: entity work.dram_model_8 generic map( g_given_name => "dram", g_cas_latency => 2, g_burst_len_r => 1, g_burst_len_w => 1, g_column_bits => 10, g_row_bits => 13, g_bank_bits => 2 ) port map ( CLK => SDRAM_CLK, CKE => SDRAM_CKE, A => LB_ADDR(12 downto 0), BA => LB_ADDR(14 downto 13), CSn => SDRAM_CSn, RASn => SDRAM_RASn, CASn => SDRAM_CASn, WEn => SDRAM_WEn, DQM => SDRAM_DQM, DQ => LB_DATA); -- assert not (ADDRESS(18 downto 16)="011" and ADDRESS(15 downto 0)=X"86A0" and SRAM_CSn='0' and MEM_WEn='0') -- report "writing to jump address." -- severity failure; -- sram: entity work.sram_model_8 -- generic map("sram", 19, 10 ns) -- port map (LB_ADDR(18 downto 0), LB_DATA, SRAM_CSn, MEM_OEn, MEM_WEn); -- -- flash: entity work.sram_model_8 -- generic map("flash", 21, 70 ns) -- port map (LB_ADDR(20 downto 0), LB_DATA, FLASH_CSn, MEM_OEn, '1'); -- process(ETH_CS, ETH_CSn, LB_ADDR) -- begin -- if ETH_CS='1' and ETH_CSn='0' then -- LB_DATA <= not LB_ADDR(7 downto 0) after 135 ns; -- else -- LB_DATA <= (others => 'Z') after 50 ns; -- end if; -- end process; i_rx: entity work.rx generic map (c_uart_divisor) port map ( clk => sys_clock, reset => sys_reset, rxd => UART_TXD, rxchar => rx_char, rx_ack => rx_ack ); i_tx: entity work.tx generic map (c_uart_divisor) port map ( clk => sys_clock, reset => sys_reset, dotx => do_tx, txchar => tx_char, done => tx_done, txd => UART_RXD ); process(sys_clock) begin if rising_edge(sys_clock) then if rx_ack='1' then rx_char_d <= rx_char; end if; end if; end process; process procedure send_char(i: std_logic_vector(7 downto 0)) is begin if tx_done /= '1' then wait until tx_done = '1'; end if; wait until sys_clock='1'; tx_char <= i; do_tx <= '1'; wait until tx_done = '0'; wait until sys_clock='1'; do_tx <= '0'; end procedure; procedure send_string(i : string) is variable b : std_logic_vector(7 downto 0); begin for n in i'range loop b := std_logic_vector(to_unsigned(character'pos(i(n)), 8)); send_char(b); end loop; send_char(X"0d"); send_char(X"0a"); end procedure; begin wait for 2 ms; --send_string("wd 4005000 12345678"); send_string("run"); -- send_string("m 100000"); -- send_string("w 400000F 4"); wait; end process; -- check timing data process(PHI2) begin if falling_edge(PHI2) then assert SLOT_DATA'last_event >= 189 ns report "Timing error on C64 bus." severity error; end if; end process; end tb;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/ip/srl_fifo/vhdl_source/srl_fifo.vhd
3
3787
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Small Synchronous Fifo Using SRL16 ------------------------------------------------------------------------------- -- File : srl_fifo.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This implementation makes use of the SRL16 properties, -- implementing a 16-deep synchronous fifo in only one LUT per -- bit. It is a fall-through fifo. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity srl_fifo is generic (Width : integer := 32; Depth : integer := 15; -- 15 is the maximum Threshold : integer := 13); port ( clock : in std_logic; reset : in std_logic; GetElement : in std_logic; PutElement : in std_logic; FlushFifo : in std_logic; DataIn : in std_logic_vector(Width-1 downto 0); DataOut : out std_logic_vector(Width-1 downto 0); SpaceInFifo : out std_logic; AlmostFull : out std_logic; DataInFifo : out std_logic); end SRL_fifo; architecture Gideon of srl_fifo is signal NumElements : std_logic_vector(3 downto 0); signal FilteredGet : std_logic; signal FilteredPut : std_logic; signal DataInFifo_i : std_logic; signal SpaceInFifo_i : std_logic; constant Depth_std : std_logic_vector(3 downto 0) := conv_std_logic_vector(Depth-1, 4); begin FilteredGet <= DataInFifo_i and GetElement; FilteredPut <= SpaceInFifo_i and PutElement; DataInFifo <= DataInFifo_i; SpaceInFifo <= SpaceInFifo_i; process(clock) variable NewCnt : std_logic_vector(3 downto 0);--integer range 0 to Depth; begin if rising_edge(clock) then if FlushFifo='1' then NewCnt := "1111"; --0; elsif (FilteredGet='1') and (FilteredPut='0') then NewCnt := NumElements - 1; elsif (FilteredGet='0') and (FilteredPut='1') then NewCnt := NumElements + 1; else NewCnt := NumElements; end if; NumElements <= NewCnt; if (NewCnt > Threshold) and (NewCnt /= "1111") then AlmostFull <= '1'; else AlmostFull <= '0'; end if; if (NewCnt = "1111") then DataInFifo_i <= '0'; else DataInFifo_i <= '1'; end if; if (NewCnt /= Depth_std) then SpaceInFifo_i <= '1'; else SpaceInFifo_i <= '0'; end if; if Reset='1' then NumElements <= "1111"; SpaceInFifo_i <= '1'; DataInFifo_i <= '0'; AlmostFull <= '0'; end if; end if; end process; SRLs : for srl2 in 0 to Width-1 generate i_SRL : SRL16E port map ( CLK => clock, CE => FilteredPut, D => DataIn(srl2), A3 => NumElements(3), A2 => NumElements(2), A1 => NumElements(1), A0 => NumElements(0), Q => DataOut(srl2) ); end generate; end Gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/zpu/vhdl_source/zpu_8bit_loadb.vhd
5
33125
------------------------------------------------------------------------------ ---- ---- ---- ZPU 8-bit version ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a modified version of ---- ---- the zpu_small implementation. This one has only one 8-bit external ---- ---- memory port, which is used for I/O, instruction fetch and data ---- ---- accesses. It is intended to interface with existing 8-bit systems, ---- ---- while maintaining the large addressing range and 32-bit programming ---- ---- model. The 32-bit stack remains "internal" in the ZPU. ---- ---- ---- ---- This version is about the same size as zpu_small from zealot, ---- ---- but performs 25% better at the same clock speed, given that the ---- ---- external memory bus can operate with 0 wait states. The performance ---- ---- increase is due to the fact that most instructions only require 3 ---- ---- clock cycles instead of 4. ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com [zpu concept] ---- ---- - Salvador E. Tropea, salvador inti.gob.ar [zealot] ---- ---- - Gideon Zweijtzer, gideon.zweijtzer technolution.eu [this] ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2009 Gideon N. Zweijtzer <Technolution.NL> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu_8bit_loadb(Behave) (Entity and architecture) ---- ---- File name: zpu_8bit_loadb.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: ieee.std_logic_1164 ---- ---- ieee.numeric_std ---- ---- work.zpupkg ---- ---- Target FPGA: Spartan 3E (XC3S500E-4-PQG208) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 10.1.03i - xst K.39 ---- ---- Simulation tools: Modelsim ---- ---- Text editor: UltraEdit 11.00a+ ---- ---- ---- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.zpupkg.all; --use work.tl_string_util_pkg.all; entity zpu_8bit_loadb is generic( g_addr_size : integer := 16; -- Total address space width (incl. I/O) g_stack_size : integer := 12; -- Memory (stack+data) width g_prog_size : integer := 14; -- Program size g_dont_care : std_logic := '-'); -- Value used to fill the unsused bits, can be '-' or '0' port( clk_i : in std_logic; -- System Clock reset_i : in std_logic; -- Synchronous Reset interrupt_i : in std_logic; -- Interrupt break_o : out std_logic; -- Breakpoint opcode executed -- synthesis translate_off dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log) -- synthesis translate_on -- BRAM (stack ONLY) a_en_o : out std_logic; a_we_o : out std_logic; -- BRAM A port Write Enable a_addr_o : out unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM A Address a_o : out unsigned(31 downto 0):=(others => '0'); -- Data to BRAM A port a_i : in unsigned(31 downto 0); -- Data from BRAM A port b_en_o : out std_logic; b_we_o : out std_logic; -- BRAM B port Write Enable b_addr_o : out unsigned(g_stack_size-1 downto 2):=(others => '0'); -- BRAM B Address b_o : out unsigned(31 downto 0):=(others => '0'); -- Data to BRAM B port b_i : in unsigned(31 downto 0); -- Data from BRAM B port -- memory port for text, bss, data c_req_o : out std_logic; -- request output c_inst_o : out std_logic; -- indicates request is for opcode (program data) c_we_o : out std_logic; -- write c_size_o : out std_logic_vector(1 downto 0); -- indicates size of transfer 00=byte, 11=dword c_addr_o : out unsigned(g_addr_size-1 downto 0) := (others => '0'); c_rack_i : in std_logic; -- request acknowledge c_dack_i : in std_logic; -- data acknowledge (read only) c_data_i : in std_logic_vector(c_opcode_width-1 downto 0); c_data_o : out std_logic_vector(c_opcode_width-1 downto 0) ); end entity zpu_8bit_loadb; architecture Behave of zpu_8bit_loadb is constant c_max_addr_bit : integer:=g_addr_size-1; -- Stack Pointer initial value: BRAM size-8 constant c_sp_start_1 : unsigned(g_addr_size-1 downto 0):=to_unsigned((2**g_stack_size)-8, g_addr_size); constant c_sp_start : unsigned(g_stack_size-1 downto 2):= c_sp_start_1(g_stack_size-1 downto 2); -- Program counter signal pc_r : unsigned(g_prog_size-1 downto 0):=(others => '0'); -- Stack pointer signal sp_r : unsigned(g_stack_size-1 downto 2):=c_sp_start; signal idim_r : std_logic:='0'; -- BRAM (stack) -- a_r is a register for the top of the stack [SP] -- Note: as this is a stack CPU this is a very important register. signal a_we_r : std_logic:='0'; signal a_en_r : std_logic:='0'; signal a_addr_r : unsigned(g_stack_size-1 downto 2):=(others => '0'); signal a_r : unsigned(31 downto 0):=(others => '0'); -- b_r is a register for the next value in the stack [SP+1] signal b_we_r : std_logic:='0'; signal b_en_r : std_logic:='0'; signal b_addr_r : unsigned(g_stack_size-1 downto 2):=(others => '0'); signal b_r : unsigned(31 downto 0):=(others => '0'); signal c_we_r : std_logic := '0'; signal c_req_r : std_logic := '0'; signal c_mux_r : std_logic := '0'; signal c_mux_d : std_logic := '0'; signal byte_req_cnt : unsigned(1 downto 0) := "00"; signal byte_ack_cnt : unsigned(1 downto 0) := "00"; signal posted_wr_a : std_logic := '0'; -- State machine. type state_t is (st_fetch, st_execute, st_add, st_or, st_compare, st_loadb2, st_and, st_store, st_read_mem, st_write_mem, st_add_sp, st_decode, st_resync); signal state : state_t:=st_fetch; attribute fsm_encoding : string; attribute fsm_encoding of state : signal is "one-hot"; -- Decoded Opcode type decode_t is (dec_nop, dec_im, dec_load_sp, dec_store_sp, dec_add_sp, dec_emulate, dec_break, dec_push_sp, dec_pop_pc, dec_pop_int, dec_add, dec_or, dec_and, dec_load, dec_not, dec_flip, dec_store, dec_pop_sp, dec_interrupt, dec_storeb, dec_loadb, dec_neqbranch, dec_compare); signal d_opcode_r : decode_t; signal d_opcode : decode_t; signal opcode : unsigned(c_opcode_width-1 downto 0); -- Decoded signal opcode_r : unsigned(c_opcode_width-1 downto 0); -- Registered -- IRQ flag signal in_irq_r : std_logic:='0'; -- I/O space address signal addr_r : unsigned(g_addr_size-1 downto 0):=(others => '0'); -- helper signals for compare instructions signal compare_dec : std_logic_vector(2 downto 0); signal compare_oper : std_logic_vector(2 downto 0); signal compare_bool : boolean; signal compare_res : unsigned(31 downto 0); begin a_en_o <= a_en_r; b_en_o <= b_en_r; c_req_o <= '1' when state = st_fetch else c_req_r; -- Dual ported memory interface a_we_o <= a_we_r; a_addr_o <= a_addr_r(g_stack_size-1 downto 2); a_o <= a_r; b_we_o <= b_we_r; b_addr_o <= b_addr_r(g_stack_size-1 downto 2); b_o <= b_r; opcode <= unsigned(c_data_i); c_addr_o <= resize(pc_r, g_addr_size) when c_mux_r = '0' else addr_r; c_we_o <= c_we_r; c_inst_o <= not c_mux_r; ------------------------- -- Instruction Decoder -- ------------------------- -- Note: We use a separate memory port to fetch opcodes. decode_control: process(opcode) begin compare_dec <= "000"; -- synthesis translate_off if opcode(0)='Z' then d_opcode <= dec_nop; else -- synthesis translate_on if (opcode(7 downto 7)=OPCODE_IM) then d_opcode <= dec_im; elsif (opcode(7 downto 5)=OPCODE_STORESP) then d_opcode <= dec_store_sp; elsif (opcode(7 downto 5)=OPCODE_LOADSP) then d_opcode <= dec_load_sp; elsif (opcode(7 downto 5)=OPCODE_EMULATE) then case opcode(5 downto 0) is when OPCODE_LOADB => d_opcode <= dec_loadb; when OPCODE_STOREB => d_opcode <= dec_storeb; when OPCODE_NEQBRANCH => d_opcode <= dec_neqbranch; when OPCODE_EQ => d_opcode <= dec_compare; compare_dec <= "001"; when OPCODE_LESSTHAN => -- 00 d_opcode <= dec_compare; compare_dec <= "100"; when OPCODE_LESSTHANOREQUAL => -- 01 d_opcode <= dec_compare; compare_dec <= "101"; when OPCODE_ULESSTHAN => -- 10 d_opcode <= dec_compare; compare_dec <= "110"; when OPCODE_ULESSTHANOREQUAL => -- 11 d_opcode <= dec_compare; compare_dec <= "111"; when others => d_opcode <= dec_emulate; end case; elsif (opcode(7 downto 4)=OPCODE_ADDSP) then d_opcode <= dec_add_sp; else -- OPCODE_SHORT case opcode(3 downto 0) is when OPCODE_BREAK => d_opcode <= dec_break; when OPCODE_PUSHSP => d_opcode <= dec_push_sp; when OPCODE_POPPC => d_opcode <= dec_pop_pc; when OPCODE_ADD => d_opcode <= dec_add; when OPCODE_OR => d_opcode <= dec_or; when OPCODE_AND => d_opcode <= dec_and; when OPCODE_LOAD => d_opcode <= dec_load; when OPCODE_NOT => d_opcode <= dec_not; when OPCODE_FLIP => d_opcode <= dec_flip; when OPCODE_STORE => d_opcode <= dec_store; when OPCODE_POPSP => d_opcode <= dec_pop_sp; when OPCODE_POPINT => d_opcode <= dec_pop_int; when others => -- OPCODE_NOP and others d_opcode <= dec_nop; end case; end if; -- synthesis translate_off end if; -- synthesis translate_on end process decode_control; opcode_control: process (clk_i) variable sp_offset : unsigned(4 downto 0); procedure emulate is begin sp_r <= sp_r-1; a_we_r <= '1'; a_en_r <= '1'; a_addr_r <= sp_r-1; a_r <= (others => '0'); -- could be changed to don't care a_r(pc_r'range) <= pc_r+1; -- Jump to NUM*32 -- The emulate address is: -- 98 7654 3210 -- 0000 00aa aaa0 0000 pc_r <= (others => '0'); pc_r(9 downto 5) <= opcode_r(4 downto 0); end procedure; procedure execute_def is begin state <= st_fetch; -- At this point: -- a_i contains top of stack, b_i contains next-to-top of stack pc_r <= pc_r+1; -- increment by default -- synthesis translate_off -- Debug info (Trace) dbg_o.b_inst <= '1'; dbg_o.pc <= (others => '0'); dbg_o.pc(g_prog_size-1 downto 0) <= pc_r; dbg_o.opcode <= opcode_r; dbg_o.sp <= (others => '0'); dbg_o.sp(g_stack_size-1 downto 2) <= sp_r; dbg_o.stk_a <= a_i; dbg_o.stk_b <= b_i; -- synthesis translate_on -- During the next cycle we'll be reading the next opcode sp_offset(4):=not opcode_r(4); sp_offset(3 downto 0):=opcode_r(3 downto 0); idim_r <= '0'; end procedure; begin if rising_edge(clk_i) then break_o <= '0'; -- synthesis translate_off dbg_o.b_inst <= '0'; -- synthesis translate_on posted_wr_a <= '0'; c_we_r <= '0'; c_mux_d <= c_mux_r; d_opcode_r <= d_opcode; opcode_r <= opcode; a_we_r <= '0'; b_we_r <= '0'; a_en_r <= '0'; b_en_r <= '0'; a_r <= (others => g_dont_care); -- output register b_r <= (others => g_dont_care); a_addr_r <= (others => g_dont_care); b_addr_r <= (others => g_dont_care); addr_r(g_addr_size-1 downto 2) <= a_i(g_addr_size-1 downto 2); case state is when st_fetch => -- During this cycle -- we'll fetch the opcode @ pc and thus it will -- be available for st_execute in the next cycle -- At this point a_i contains the value that is from the top of the stack -- or that was fetched from the stack with an offset (loadsp) a_r <= a_i; if c_rack_i='1' then -- our request for instr has been seen -- by default, we need the two values of the stack, so we'll fetch them as well a_we_r <= posted_wr_a; a_addr_r <= sp_r; a_en_r <= '1'; b_addr_r <= sp_r+1; b_en_r <= '1'; state <= st_decode; else posted_wr_a <= posted_wr_a; -- hold end if; when st_decode => compare_oper <= compare_dec; if c_dack_i='1' then if interrupt_i='1' and in_irq_r='0' and idim_r='0' then -- We got an interrupt, execute interrupt instead of next instruction in_irq_r <= '1'; d_opcode_r <= dec_interrupt; -- override end if; state <= st_execute; end if; when st_execute => execute_def; -------------------- -- Execution Unit -- -------------------- case d_opcode_r is when dec_interrupt => -- Not a real instruction, but an interrupt -- Push(PC); PC=32 sp_r <= sp_r-1; a_addr_r <= sp_r-1; a_we_r <= '1'; a_en_r <= '1'; a_r <= (others => g_dont_care); a_r(pc_r'range) <= pc_r; -- Jump to ISR pc_r <= to_unsigned(32, pc_r'length); -- interrupt address --report "ZPU jumped to interrupt!" severity note; when dec_im => idim_r <= '1'; a_we_r <= '1'; a_en_r <= '1'; if idim_r='0' then -- First IM -- Push the 7 bits (extending the sign) sp_r <= sp_r-1; a_addr_r <= sp_r-1; a_r <= unsigned(resize(signed(opcode_r(6 downto 0)),32)); else -- Next IMs, shift the word and put the new value in the lower -- bits a_addr_r <= sp_r; a_r(31 downto 7) <= a_i(24 downto 0); a_r(6 downto 0) <= opcode_r(6 downto 0); end if; when dec_store_sp => -- [SP+Offset]=Pop() b_we_r <= '1'; b_en_r <= '1'; b_addr_r <= sp_r+sp_offset; b_r <= a_i; sp_r <= sp_r+1; state <= st_fetch; -- was resync when dec_load_sp => -- Push([SP+Offset]) sp_r <= sp_r-1; a_addr_r <= sp_r+sp_offset; a_en_r <= '1'; posted_wr_a <= '1'; state <= st_resync; -- extra delay to fetch from A when dec_emulate => -- Push(PC+1), PC=Opcode[4:0]*32 emulate; when dec_add_sp => -- Push(Pop()+[SP+Offset]) b_addr_r <= sp_r+sp_offset; b_en_r <= '1'; state <= st_add_sp; when dec_break => --report "Break instruction encountered" severity failure; break_o <= '1'; when dec_push_sp => -- Push(SP) sp_r <= sp_r-1; a_we_r <= '1'; a_addr_r <= sp_r-1; a_en_r <= '1'; a_r <= (others => '0'); a_r(sp_r'range) <= sp_r; a_r(31) <= '1'; -- Mark this address as a stack address when dec_pop_pc => -- Pop(PC) pc_r <= a_i(pc_r'range); sp_r <= sp_r+1; state <= st_fetch; -- was resync when dec_pop_int => -- Pop(PC) in_irq_r <= '0'; -- no longer in an interrupt pc_r <= a_i(pc_r'range); sp_r <= sp_r+1; state <= st_fetch; -- was resync when dec_compare => -- Push(Compare(Pop()+Pop()) sp_r <= sp_r+1; state <= st_compare; when dec_add => -- Push(Pop()+Pop()) sp_r <= sp_r+1; state <= st_add; when dec_or => -- Push(Pop() or Pop()) sp_r <= sp_r+1; state <= st_or; when dec_and => -- Push(Pop() and Pop()) sp_r <= sp_r+1; state <= st_and; when dec_not => -- Push(not(Pop())) a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; a_r <= not a_i; when dec_flip => -- Push(flip(Pop())) a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; for i in 0 to 31 loop a_r(i) <= a_i(31-i); end loop; when dec_loadb => addr_r <= a_i(g_addr_size-1 downto 0); if a_i(31)='1' then -- stack a_addr_r <= a_i(a_addr_r'range); a_en_r <= '1'; state <= st_loadb2; else a_r <= (others => '0'); c_req_r <= '1'; c_mux_r <= '1'; byte_req_cnt <= "00"; -- 1 byte byte_ack_cnt <= "00"; c_size_o <= "00"; state <= st_read_mem; end if; when dec_load => -- Push([Pop()]) addr_r(1 downto 0) <= a_i(1 downto 0);-- xor "11"; if a_i(31)='1' then -- stack a_addr_r <= a_i(a_addr_r'range); a_en_r <= '1'; posted_wr_a <= '1'; state <= st_resync; else -- report "Load: " & hstr(a_i); c_req_r <= '1'; -- output memory request c_mux_r <= '1'; -- output correct address byte_req_cnt <= "11"; -- 4 bytes byte_ack_cnt <= "11"; c_size_o <= "11"; state <= st_read_mem; end if; when dec_store => sp_r <= sp_r+1; addr_r(1 downto 0) <= a_i(1 downto 0); if a_i(31) = '1' then state <= st_store; else -- a=Pop(), b=Pop(), [a]=b state <= st_write_mem; byte_req_cnt <= "11"; -- 4 bytes c_size_o <= "11"; end if; when dec_storeb => if a_i(31) = '1' then emulate; else -- a=Pop(), b=Pop(), [a]=b sp_r <= sp_r+1; addr_r(1 downto 0) <= a_i(1 downto 0); c_size_o <= "00"; byte_req_cnt <= "00"; -- 1 byte state <= st_write_mem; end if; when dec_pop_sp => -- SP=Pop() sp_r <= a_i(g_stack_size-1 downto 2); state <= st_fetch; -- was resync when dec_neqbranch => -- a=Pop(), b=Pop(), PC+=b==0 ? 1 : a -- Branches are almost always taken as they form loops sp_r <= sp_r + 2; -- Need to fetch stack again. state <= st_resync; if b_i/=0 then pc_r <= pc_r + a_i(pc_r'range); end if; when others => -- includes 'nop' null; end case; when st_loadb2 => -- select the correct stack byte if a_en_r='0' then -- wait one cycle until BRAM data is available a_r <= (others => '0'); case addr_r(1 downto 0) is when "11" => a_r( 7 downto 0) <= a_i( 7 downto 0); when "10" => a_r( 7 downto 0) <= a_i(15 downto 8); when "01" => a_r( 7 downto 0) <= a_i(23 downto 16); when "00" => a_r( 7 downto 0) <= a_i(31 downto 24); when others => null; end case; -- report "LoadB: " & hstr(a_i) & ", addr: " & hstr(addr_r(1 downto 0)); -- a_r <= a_i; -- dummy a_addr_r <= sp_r; a_en_r <= '1'; a_we_r <= '1'; state <= st_fetch; end if; when st_store => sp_r <= sp_r+1; -- for a store we need to pop 2! a_we_r <= '1'; a_en_r <= '1'; a_addr_r <= a_i(g_stack_size-1 downto 2); a_r <= b_i; state <= st_fetch; -- was resync -- when st_storeb => -- sp_r <= sp_r+1; -- for a store we need to pop 2! -- a_we_r <= '1'; -- a_en_r <= '1'; -- a_addr_r <= a_i(g_stack_size-1 downto 2); -- a_r <= b_i(7 downto 0) & b_i(7 downto 0) & b_i(7 downto 0) & b_i(7 downto 0); -- state <= st_fetch; -- was resync when st_read_mem => -- BIG ENDIAN a_r <= a_r; -- stay put, as we are filling it byte by byte! if c_dack_i = '1' then byte_ack_cnt <= byte_ack_cnt - 1; case byte_ack_cnt is when "00" => -- report "Returning " & hstr(a_r(31 downto 8)) & hstr(c_data_i) & -- " while reading from " & hstr(addr_r); a_r(7 downto 0) <= unsigned(c_data_i); a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; state <= st_fetch; when "01" => a_r(15 downto 8) <= unsigned(c_data_i); when "10" => a_r(23 downto 16) <= unsigned(c_data_i); when others => -- 11 a_r(31 downto 24) <= unsigned(c_data_i); end case; end if; if c_rack_i='1' then addr_r(1 downto 0) <= addr_r(1 downto 0) + 1; byte_req_cnt <= byte_req_cnt - 1; if byte_req_cnt = "00" then c_req_r <= '0'; c_mux_r <= '0'; end if; end if; when st_write_mem => c_req_r <= '1'; c_mux_r <= '1'; c_we_r <= '1'; -- Note: Output data is muxed outside of this process if c_rack_i='1' then addr_r(1 downto 0) <= addr_r(1 downto 0) + 1; byte_req_cnt <= byte_req_cnt - 1; if byte_req_cnt = "00" then sp_r <= sp_r+1; -- add another to sp. c_mux_r <= '0'; c_req_r <= '0'; c_we_r <= '0'; state <= st_fetch; -- was resync end if; end if; when st_add_sp => state <= st_add; when st_compare => a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; a_r <= compare_res; state <= st_fetch; when st_add => a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; a_r <= a_i+b_i; state <= st_fetch; when st_or => a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; a_r <= a_i or b_i; state <= st_fetch; when st_and => a_addr_r <= sp_r; a_we_r <= '1'; a_en_r <= '1'; a_r <= a_i and b_i; state <= st_fetch; when st_resync => a_addr_r <= sp_r; state <= st_fetch; posted_wr_a <= posted_wr_a; -- keep when others => null; end case; if reset_i='1' then state <= st_fetch; sp_r <= c_sp_start; pc_r <= (others => '0'); idim_r <= '0'; in_irq_r <= '0'; c_mux_r <= '0'; c_size_o <= "11"; end if; end if; -- rising_edge(clk_i) end process opcode_control; p_outmux: process(byte_req_cnt, b_i) begin case byte_req_cnt is when "00" => c_data_o <= std_logic_vector(b_i(7 downto 0)); when "01" => c_data_o <= std_logic_vector(b_i(15 downto 8)); when "10" => c_data_o <= std_logic_vector(b_i(23 downto 16)); when others => -- 11 c_data_o <= std_logic_vector(b_i(31 downto 24)); end case; end process; i_compare: entity work.zpu_compare port map ( a => a_i, b => b_i, oper => compare_oper, y => compare_bool ); compare_res <= X"00000001" when compare_bool else X"00000000"; end architecture Behave; -- Entity: zpu_8bit_loadb
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/usb/vhdl_source/ulpi_bus.vhd
3
7397
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ulpi_bus is port ( clock : in std_logic; reset : in std_logic; ULPI_DATA : inout std_logic_vector(7 downto 0); ULPI_DIR : in std_logic; ULPI_NXT : in std_logic; ULPI_STP : out std_logic; -- status status : out std_logic_vector(7 downto 0); -- register interface reg_read : in std_logic; reg_write : in std_logic; reg_address : in std_logic_vector(5 downto 0); reg_wdata : in std_logic_vector(7 downto 0); reg_ack : out std_logic; -- stream interface tx_data : in std_logic_vector(7 downto 0); tx_last : in std_logic; tx_valid : in std_logic; tx_start : in std_logic; tx_next : out std_logic; rx_data : out std_logic_vector(7 downto 0); rx_register : out std_logic; rx_last : out std_logic; rx_valid : out std_logic; rx_store : out std_logic ); attribute keep_hierarchy : string; attribute keep_hierarchy of ulpi_bus : entity is "yes"; end ulpi_bus; architecture gideon of ulpi_bus is signal ulpi_data_out : std_logic_vector(7 downto 0); signal ulpi_data_in : std_logic_vector(7 downto 0); signal ulpi_dir_d1 : std_logic; signal ulpi_dir_d2 : std_logic; signal ulpi_dir_d3 : std_logic; signal ulpi_nxt_d1 : std_logic; signal ulpi_nxt_d2 : std_logic; signal ulpi_nxt_d3 : std_logic; signal reg_cmd_d2 : std_logic; signal reg_cmd_d3 : std_logic; signal reg_cmd_d4 : std_logic; signal reg_cmd_d5 : std_logic; signal rx_reg_i : std_logic; signal tx_reg_i : std_logic; signal rx_status_i : std_logic; signal ulpi_stop : std_logic := '1'; signal ulpi_last : std_logic; type t_state is ( idle, reading, writing, writing_data, transmit ); signal state : t_state; attribute iob : string; attribute iob of ulpi_data_in : signal is "true"; attribute iob of ulpi_dir_d1 : signal is "true"; attribute iob of ulpi_nxt_d1 : signal is "true"; attribute iob of ulpi_data_out : signal is "true"; attribute iob of ULPI_STP : signal is "true"; begin -- Marking incoming data based on next/dir pattern rx_data <= ulpi_data_in; rx_store <= ulpi_dir_d1 and ulpi_dir_d2 and ulpi_nxt_d1; rx_valid <= ulpi_dir_d1 and ulpi_dir_d2; rx_last <= not ulpi_dir_d1 and ulpi_dir_d2; rx_status_i <= ulpi_dir_d1 and ulpi_dir_d2 and not ulpi_nxt_d1 and not rx_reg_i; rx_reg_i <= (ulpi_dir_d1 and ulpi_dir_d2 and not ulpi_dir_d3) and (not ulpi_nxt_d1 and not ulpi_nxt_d2 and ulpi_nxt_d3) and reg_cmd_d5; rx_register <= rx_reg_i; reg_ack <= rx_reg_i or tx_reg_i; p_sample: process(clock, reset) begin if rising_edge(clock) then ulpi_data_in <= ULPI_DATA; reg_cmd_d2 <= ulpi_data_in(7) and ulpi_data_in(6); reg_cmd_d3 <= reg_cmd_d2; reg_cmd_d4 <= reg_cmd_d3; reg_cmd_d5 <= reg_cmd_d4; ulpi_dir_d1 <= ULPI_DIR; ulpi_dir_d2 <= ulpi_dir_d1; ulpi_dir_d3 <= ulpi_dir_d2; ulpi_nxt_d1 <= ULPI_NXT; ulpi_nxt_d2 <= ulpi_nxt_d1; ulpi_nxt_d3 <= ulpi_nxt_d2; if rx_status_i='1' then status <= ulpi_data_in; end if; if reset='1' then status <= (others => '0'); end if; end if; end process; p_tx_state: process(clock, reset) begin if rising_edge(clock) then ulpi_stop <= '0'; tx_reg_i <= '0'; case state is when idle => ulpi_data_out <= X"00"; if reg_read='1' and rx_reg_i='0' then ulpi_data_out <= "11" & reg_address; state <= reading; elsif reg_write='1' and tx_reg_i='0' then ulpi_data_out <= "10" & reg_address; state <= writing; elsif tx_valid = '1' and tx_start = '1' and ULPI_DIR='0' then ulpi_data_out <= tx_data; ulpi_last <= tx_last; state <= transmit; end if; when reading => if rx_reg_i='1' then ulpi_data_out <= X"00"; state <= idle; end if; if ulpi_dir_d1='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; end if; when writing => if ULPI_NXT='1' then ulpi_data_out <= reg_wdata; state <= writing_data; end if; if ulpi_dir_d1='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; end if; when writing_data => if ULPI_NXT='1' and ULPI_DIR='0' then tx_reg_i <= '1'; ulpi_stop <= '1'; state <= idle; end if; if ulpi_dir_d1='1' then state <= idle; -- terminate current tx ulpi_data_out <= X"00"; end if; when transmit => if ULPI_NXT = '1' then if ulpi_last='1' or tx_valid = '0' then ulpi_data_out <= X"00"; ulpi_stop <= '1'; state <= idle; else ulpi_data_out <= tx_data; ulpi_last <= tx_last; end if; end if; when others => null; end case; if reset='1' then state <= idle; ulpi_stop <= '0'; ulpi_last <= '0'; end if; end if; end process; p_next: process(state, tx_valid, tx_start, rx_reg_i, tx_reg_i, ULPI_DIR, ULPI_NXT, ulpi_last, reg_read, reg_write) begin case state is when idle => tx_next <= not ULPI_DIR and tx_valid and tx_start; if reg_read='1' and rx_reg_i='0' then tx_next <= '0'; end if; if reg_write='1' and tx_reg_i='0' then tx_next <= '0'; end if; when transmit => tx_next <= ULPI_NXT and tx_valid and not ulpi_last; when others => tx_next <= '0'; end case; end process; ULPI_STP <= ulpi_stop; ULPI_DATA <= ulpi_data_out when ULPI_DIR='0' and ulpi_dir_d1='0' else (others => 'Z'); end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/mem_ctrl/vhdl_sim/ext_mem_test_32_tb.vhd
5
7761
------------------------------------------------------------------------------- -- Title : External Memory controller for SDRAM ------------------------------------------------------------------------------- -- Description: This module implements a simple, single burst memory controller. -- User interface is 32 bit (burst of 2), externally 8x 8 bit. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.vital_timing.all; library work; use work.mem_bus_pkg.all; entity ext_mem_test_32_tb is end ext_mem_test_32_tb; architecture tb of ext_mem_test_32_tb is signal clock : std_logic := '1'; signal clk_2x : std_logic := '1'; signal reset : std_logic := '0'; signal inhibit : std_logic := '0'; signal is_idle : std_logic := '0'; signal req_16 : t_mem_burst_16_req := c_mem_burst_16_req_init; signal resp_16 : t_mem_burst_16_resp; signal req_32 : t_mem_burst_32_req := c_mem_burst_32_req_init; signal resp_32 : t_mem_burst_32_resp; signal okay : std_logic; signal SDRAM_CLK : std_logic; signal SDRAM_CKE : std_logic; signal SDRAM_CSn : std_logic := '1'; signal SDRAM_RASn : std_logic := '1'; signal SDRAM_CASn : std_logic := '1'; signal SDRAM_WEn : std_logic := '1'; signal SDRAM_DQM : std_logic := '0'; signal SDRAM_A : std_logic_vector(12 downto 0); signal SDRAM_BA : std_logic_vector(1 downto 0); signal MEM_D : std_logic_vector(7 downto 0) := (others => 'Z'); signal logic_CLK : std_logic; signal logic_CKE : std_logic; signal logic_CSn : std_logic := '1'; signal logic_RASn : std_logic := '1'; signal logic_CASn : std_logic := '1'; signal logic_WEn : std_logic := '1'; signal logic_DQM : std_logic := '0'; signal logic_A : std_logic_vector(12 downto 0); signal logic_BA : std_logic_vector(1 downto 0); signal dummy_data : std_logic_vector(15 downto 0) := (others => 'H'); signal dummy_dqm : std_logic_vector(1 downto 0) := (others => 'H'); constant c_wire_delay : VitalDelayType01 := ( 2 ns, 3 ns ); begin clock <= not clock after 10.2 ns; clk_2x <= not clk_2x after 5.1 ns; reset <= '1', '0' after 100 ns; i_checker: entity work.ext_mem_test_32 port map ( clock => clock, reset => reset, req => req_32, resp => resp_32, okay => okay ); i_convert: entity work.mem_16to32 port map ( clock => clock, reset => reset, req_16 => req_16, resp_16 => resp_16, req_32 => req_32, resp_32 => resp_32 ); i_mut: entity work.ext_mem_ctrl_v6 generic map ( q_tcko_data => 5 ns, g_simulation => true ) port map ( clock => clock, clk_2x => clk_2x, reset => reset, inhibit => inhibit, is_idle => is_idle, req => req_16, resp => resp_16, SDRAM_CLK => logic_CLK, SDRAM_CKE => logic_CKE, SDRAM_CSn => logic_CSn, SDRAM_RASn => logic_RASn, SDRAM_CASn => logic_CASn, SDRAM_WEn => logic_WEn, SDRAM_DQM => logic_DQM, SDRAM_BA => logic_BA, SDRAM_A => logic_A, SDRAM_DQ => MEM_D ); i_sdram : entity work.mt48lc16m16a2 generic map( tipd_BA0 => c_wire_delay, tipd_BA1 => c_wire_delay, tipd_DQMH => c_wire_delay, tipd_DQML => c_wire_delay, tipd_DQ0 => c_wire_delay, tipd_DQ1 => c_wire_delay, tipd_DQ2 => c_wire_delay, tipd_DQ3 => c_wire_delay, tipd_DQ4 => c_wire_delay, tipd_DQ5 => c_wire_delay, tipd_DQ6 => c_wire_delay, tipd_DQ7 => c_wire_delay, tipd_DQ8 => c_wire_delay, tipd_DQ9 => c_wire_delay, tipd_DQ10 => c_wire_delay, tipd_DQ11 => c_wire_delay, tipd_DQ12 => c_wire_delay, tipd_DQ13 => c_wire_delay, tipd_DQ14 => c_wire_delay, tipd_DQ15 => c_wire_delay, tipd_CLK => c_wire_delay, tipd_CKE => c_wire_delay, tipd_A0 => c_wire_delay, tipd_A1 => c_wire_delay, tipd_A2 => c_wire_delay, tipd_A3 => c_wire_delay, tipd_A4 => c_wire_delay, tipd_A5 => c_wire_delay, tipd_A6 => c_wire_delay, tipd_A7 => c_wire_delay, tipd_A8 => c_wire_delay, tipd_A9 => c_wire_delay, tipd_A10 => c_wire_delay, tipd_A11 => c_wire_delay, tipd_A12 => c_wire_delay, tipd_WENeg => c_wire_delay, tipd_RASNeg => c_wire_delay, tipd_CSNeg => c_wire_delay, tipd_CASNeg => c_wire_delay, -- tpd delays tpd_CLK_DQ2 => ( 4 ns, 4 ns, 4 ns, 4 ns, 4 ns, 4 ns ), tpd_CLK_DQ3 => ( 4 ns, 4 ns, 4 ns, 4 ns, 4 ns, 4 ns ), -- -- tpw values: pulse widths -- tpw_CLK_posedge : VitalDelayType := UnitDelay; -- tpw_CLK_negedge : VitalDelayType := UnitDelay; -- -- tsetup values: setup times -- tsetup_DQ0_CLK : VitalDelayType := UnitDelay; -- -- thold values: hold times -- thold_DQ0_CLK : VitalDelayType := UnitDelay; -- -- tperiod_min: minimum clock period = 1/max freq -- tperiod_CLK_posedge : VitalDelayType := UnitDelay; -- mem_file_name => "none", tpowerup => 100 ns ) port map( BA0 => logic_BA(0), BA1 => logic_BA(1), DQMH => dummy_dqm(1), DQML => logic_DQM, DQ0 => MEM_D(0), DQ1 => MEM_D(1), DQ2 => MEM_D(2), DQ3 => MEM_D(3), DQ4 => MEM_D(4), DQ5 => MEM_D(5), DQ6 => MEM_D(6), DQ7 => MEM_D(7), DQ8 => dummy_data(8), DQ9 => dummy_data(9), DQ10 => dummy_data(10), DQ11 => dummy_data(11), DQ12 => dummy_data(12), DQ13 => dummy_data(13), DQ14 => dummy_data(14), DQ15 => dummy_data(15), CLK => logic_CLK, CKE => logic_CKE, A0 => logic_A(0), A1 => logic_A(1), A2 => logic_A(2), A3 => logic_A(3), A4 => logic_A(4), A5 => logic_A(5), A6 => logic_A(6), A7 => logic_A(7), A8 => logic_A(8), A9 => logic_A(9), A10 => logic_A(10), A11 => logic_A(11), A12 => logic_A(12), WENeg => logic_WEn, RASNeg => logic_RASn, CSNeg => logic_CSn, CASNeg => logic_CASn ); end;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/fpga_top/video_fpga/vhdl_source/s3e_clockgen.vhd
5
4287
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; entity s3e_clockgen is port ( clk_50 : in std_logic; reset_in : in std_logic; dcm_lock : out std_logic; sys_clock : out std_logic; -- 50 MHz sys_reset : out std_logic; sys_shifted : out std_logic; pix_clock : out std_logic; -- * 7/25 (14 MHz) pix_clock_en: out std_logic; pix_reset : out std_logic ); end s3e_clockgen; architecture Gideon of s3e_clockgen is signal clk_in_buf : std_logic; signal sys_clk_buf : std_logic; signal reset_dcm : std_logic; signal reset_cnt : integer range 0 to 63 := 0; signal dcm1_locked : std_logic := '1'; signal sys_clk_i : std_logic := '0'; signal sysrst_cnt : integer range 0 to 63; signal sys_reset_i : std_logic := '1'; signal sys_reset_p : std_logic := '1'; signal pix_clock_pre : std_logic; signal pix_clock_ii : std_logic; signal pix_clock_i : std_logic; signal pixrst_cnt : integer range 0 to 63; signal pix_reset_i : std_logic := '1'; signal pix_reset_p : std_logic := '1'; signal pixdiv : integer range 0 to 7; signal reset_c : std_logic; signal reset_out : std_logic := '1'; attribute register_duplication : string; attribute register_duplication of sys_reset_i : signal is "no"; signal clk_0_pre : std_logic; signal clk_270_pre : std_logic; begin dcm_lock <= dcm1_locked; bufg_in : BUFG port map (I => clk_50, O => clk_in_buf); process(clk_in_buf) begin if rising_edge(clk_in_buf) then if reset_cnt = 63 then reset_dcm <= '0'; else reset_cnt <= reset_cnt + 1; reset_dcm <= '1'; end if; end if; if reset_in='1' then reset_dcm <= '1'; reset_cnt <= 0; end if; end process; dcm_shft: DCM generic map ( CLKIN_PERIOD => 20.0, -- CLKOUT_PHASE_SHIFT => "FIXED", CLK_FEEDBACK => "1X", -- PHASE_SHIFT => -20, CLKDV_DIVIDE => 2.5, CLKFX_MULTIPLY => 5, CLKFX_DIVIDE => 2, STARTUP_WAIT => true ) port map ( CLKIN => clk_in_buf, CLKFB => sys_clk_buf, CLK0 => clk_0_pre, CLK270 => clk_270_pre, CLKFX => pix_clock_pre, LOCKED => dcm1_locked, RST => reset_dcm ); bufg_pix: BUFG port map (I => pix_clock_pre, O => pix_clock_ii); bufg_sys: BUFG port map (I => clk_0_pre, O => sys_clk_buf); bufg_shft: BUFG port map (I => clk_270_pre, O => sys_shifted); sys_clk_i <= sys_clk_buf; sys_clock <= sys_clk_buf; pix_clock <= pix_clock_ii; pix_clock_i <= pix_clock_ii; process(sys_clk_i, dcm1_locked) begin if rising_edge(sys_clk_i) then if sysrst_cnt = 63 then sys_reset_i <= '0'; else sysrst_cnt <= sysrst_cnt + 1; end if; sys_reset_p <= sys_reset_i; end if; if dcm1_locked='0' then sysrst_cnt <= 0; sys_reset_i <= '1'; sys_reset_p <= '1'; end if; end process; process(pix_clock_i, dcm1_locked) begin if rising_edge(pix_clock_i) then if pixdiv = 0 then pixdiv <= 4; pix_clock_en <= '1'; else pixdiv <= pixdiv - 1; pix_clock_en <= '0'; end if; if pixrst_cnt = 63 then pix_reset_i <= '0'; else pixrst_cnt <= pixrst_cnt + 1; end if; pix_reset_p <= pix_reset_i; end if; if dcm1_locked='0' then pixrst_cnt <= 0; pix_reset_i <= '1'; pix_reset_p <= '1'; end if; end process; sys_reset <= sys_reset_p; pix_reset <= pix_reset_p; end Gideon;
gpl-3.0
meaepeppe/FIR_ISA
VHDL/FIR_constants.vhd
1
609
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.math_real.all; PACKAGE FIR_constants IS CONSTANT Nb : INTEGER := 9; CONSTANT Ord: INTEGER := 8; CONSTANT UO: INTEGER := 1; CONSTANT Nbmult: INTEGER := 10; CONSTANT Nbadder: INTEGER:= Nb; --NUM_BITS_MULT + integer(floor(log2(real(FIR_ORDER+1)))); CONSTANT pipe_d: INTEGER := 0; CONSTANT IO_buffers: BOOLEAN := TRUE; CONSTANT CELLS_PIPE_STAGES: INTEGER := Ord +UO -1; TYPE IO_array IS ARRAY(UO-1 DOWNTO 0) OF STD_LOGIC_VECTOR(Nb-1 DOWNTO 0); END FIR_constants; PACKAGE BODY FIR_constants IS END PACKAGE BODY FIR_constants;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/ZedBoard_FPGA/toppest_module.vhd
3
2951
--Copyright (C) 2016 Siavoosh Payandeh Azad ------------------------------------------------------------ -- This file is automatically generated! -- Here are the parameters: -- network size x: 2 -- network size y: 2 -- Data width: 32 -- Parity: False ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; USE ieee.numeric_std.ALL; use work.component_pack.all; entity toppest_module is generic (DATA_WIDTH: integer := 32; -- DATA_WIDTH_LV: integer := 11; memory_type : string := -- "TRI_PORT_X" -- "DUAL_PORT_" -- "ALTERA_LPM" "XILINX_16X" ); port ( reset: in std_logic; clk: in std_logic; -- IJTAG network for fault injection and checker status monitoring TCK : in std_logic; RST : in std_logic; SEL : in std_logic; SI : in std_logic; SE : in std_logic; UE : in std_logic; CE : in std_logic; SO : out std_logic; toF : out std_logic; toC : out std_logic; -- GPIO for Node 0 GPIO_out: out std_logic_vector(15 downto 0); GPIO_in: in std_logic_vector(14 downto 0); -- GPIO_in: in std_logic_vector(21 downto 15); --not enough inputs -- UART for all Plasmas uart_write_0 : out std_logic; uart_read_0 : in std_logic; uart_write_1 : out std_logic; uart_read_1 : in std_logic; uart_write_2 : out std_logic; uart_read_2 : in std_logic; uart_write_3 : out std_logic; uart_read_3 : in std_logic ); end toppest_module; architecture behavior of toppest_module is signal clk1_noc, clk2_ijtag : std_logic; begin noc2x2_inst: entity work.network_2x2_with_PE generic map ( DATA_WIDTH => DATA_WIDTH, DATA_WIDTH_LV => 0, --UNUSED memory_type => memory_type ) port map ( reset => (not reset), clk => clk1_noc, TCK => clk2_ijtag, RST => RST, SEL => SEL, SI => SI, SE => SE, UE => UE, CE => CE, SO => SO, toF => toF, toC => toC, GPIO_out => GPIO_out, GPIO_in => "0000000" & GPIO_in, --switch(0) == GPIO_in(0) ... uart_write_0 => uart_write_0, uart_read_0 => uart_read_0, uart_write_1 => uart_write_1, uart_read_1 => uart_read_1, uart_write_2 => uart_write_2, uart_read_2 => uart_read_2, uart_write_3 => uart_write_3, uart_read_3 => uart_read_3 ); clk_gen: entity work.clk_wiz_0 port map ( --in: clk_in1 => clk, --out: clk1_noc => clk1_noc, clk2_ijtag => clk2_ijtag); end;
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/Checkers/Control_Part_Checkers/LBDR_packet_drop_checkers/Rxy_Reconf/RTL/Rxy_Reconf_pseudo.vhd
3
1147
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Rxy_Reconf_pseudo is port ( Rxy_reconf: in std_logic_vector(7 downto 0); ReConf_FF_out: in std_logic; Rxy: in std_logic_vector(7 downto 0); Reconfig : in std_logic; flit_type: in std_logic_vector(2 downto 0); grants: in std_logic; empty: in std_logic; Rxy_in: out std_logic_vector(7 downto 0); ReConf_FF_in: out std_logic ); end Rxy_Reconf_pseudo; architecture behavior of Rxy_Reconf_pseudo is begin process(Rxy_reconf, ReConf_FF_out, Rxy, Reconfig, flit_type, grants, empty) begin if ReConf_FF_out = '1' and flit_type = "100" and empty = '0' and grants = '1' then Rxy_in <= Rxy_reconf; ReConf_FF_in <= '0'; else Rxy_in <= Rxy; if Reconfig = '1' then ReConf_FF_in <= '1'; else ReConf_FF_in <= ReConf_FF_out; end if; end if; end process; end;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/LBDR_packet_drop_with_checkers/LBDR_packet_drop_with_checkers.vhd
3
28312
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity LBDR_packet_drop is generic ( cur_addr_rst: integer := 8; Rxy_rst: integer := 8; Cx_rst: integer := 8; NoC_size: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic; empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); dst_addr: in std_logic_vector(NoC_size-1 downto 0); faulty: in std_logic; packet_drop_order: out std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic; Rxy_reconf_PE: in std_logic_vector(7 downto 0); Cx_reconf_PE: in std_logic_vector(3 downto 0); Reconfig_command : in std_logic; -- Checker outputs -- Routing part checkers err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot, err_grants_mismatch, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_Req_L_in, err_dst_addr_cur_addr_not_Req_L_in, err_header_not_empty_faulty_drop_packet_in, -- added according to new design err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design --err_header_not_empty_Req_L_in, -- added according to new design err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in, err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order, -- Cx_Reconf checkers err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, -- Added err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal, -- Added -- Rxy_Reconf checkers err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal : out std_logic ); end LBDR_packet_drop; architecture behavior of LBDR_packet_drop is signal Cx, Cx_in: std_logic_vector(3 downto 0); signal Temp_Cx, Temp_Cx_in: std_logic_vector(3 downto 0); signal reconfig_cx, reconfig_cx_in: std_logic; signal ReConf_FF_in, ReConf_FF_out: std_logic; signal Rxy, Rxy_in: std_logic_vector(7 downto 0); signal Rxy_tmp, Rxy_tmp_in: std_logic_vector(7 downto 0); signal cur_addr: std_logic_vector(NoC_size-1 downto 0); signal N1, E1, W1, S1 :std_logic :='0'; signal Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: std_logic; signal Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: std_logic; signal grants: std_logic; signal packet_drop, packet_drop_in: std_logic; -- Signal(s) required for checker(s) signal packet_drop_order_sig: std_logic; component LBDR_packet_drop_routing_part_pseudo_checkers is generic ( cur_addr_rst: integer := 8; Rxy_rst: integer := 8; Cx_rst: integer := 8; NoC_size: integer := 4 ); port ( empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; dst_addr: in std_logic_vector(NoC_size-1 downto 0); faulty: in std_logic; Cx: in std_logic_vector(3 downto 0); Rxy: in std_logic_vector(7 downto 0); packet_drop: in std_logic; N1_out, E1_out, W1_out, S1_out: in std_logic; Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic; grants: in std_logic; packet_drop_order: in std_logic; packet_drop_in: in std_logic; -- Checker outputs err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot, err_grants_mismatch, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_Req_L_in, err_dst_addr_cur_addr_not_Req_L_in, err_header_not_empty_faulty_drop_packet_in, -- added according to new design err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design --err_header_not_empty_Req_L_in, -- added according to new design err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in, err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order : out std_logic ); end component; component Cx_Reconf_pseudo_checkers is port ( reconfig_cx: in std_logic; -- * flit_type: in std_logic_vector(2 downto 0); -- * empty: in std_logic; -- * grants: in std_logic; -- * Cx_in: in std_logic_vector(3 downto 0); -- * Temp_Cx: in std_logic_vector(3 downto 0); -- * reconfig_cx_in: in std_logic; -- * Cx: in std_logic_vector(3 downto 0); -- * Cx_reconf_PE: in std_logic_vector(3 downto 0); -- newly added Reconfig_command : in std_logic; -- newly added Faulty_C_N: in std_logic; -- * Faulty_C_E: in std_logic; -- * Faulty_C_W: in std_logic; -- * Faulty_C_S: in std_logic; -- * Temp_Cx_in: in std_logic_vector(3 downto 0); -- * -- Checker Outputs err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, -- Added err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal : out std_logic -- Added ); end component; component Rxy_Reconf_pseudo_checkers is port ( ReConf_FF_out: in std_logic; Rxy: in std_logic_vector(7 downto 0); Rxy_tmp: in std_logic_vector(7 downto 0); Reconfig_command : in std_logic; flit_type: in std_logic_vector(2 downto 0); grants: in std_logic; empty: in std_logic; Rxy_reconf_PE: in std_logic_vector(7 downto 0); Rxy_in: in std_logic_vector(7 downto 0); Rxy_tmp_in: in std_logic_vector(7 downto 0); ReConf_FF_in: in std_logic; err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal : out std_logic ); end component; begin packet_drop_order <= packet_drop_order_sig; -- LBDR packet drop routing part checkers instantiation LBDR_packet_drop_routing_part_checkers: LBDR_packet_drop_routing_part_pseudo_checkers generic map (cur_addr_rst => cur_addr_rst, Cx_rst => Cx_rst, Rxy_rst => Rxy_rst, NoC_size => NoC_size) port map ( empty => empty, flit_type => flit_type, Req_N_FF => Req_N_FF, Req_E_FF => Req_E_FF, Req_W_FF => Req_W_FF, Req_S_FF => Req_S_FF, Req_L_FF => Req_L_FF, grant_N => grant_N, grant_E => grant_E, grant_W => grant_W, grant_S => grant_S, grant_L => grant_L, dst_addr => dst_addr, faulty => faulty, Cx => Cx, Rxy => Rxy, packet_drop => packet_drop, N1_out => N1, E1_out => E1, W1_out => W1, S1_out => S1, Req_N_in => Req_N_in, Req_E_in => Req_E_in, Req_W_in => Req_W_in, Req_S_in => Req_S_in, Req_L_in => Req_L_in, grants => grants, packet_drop_order => packet_drop_order_sig, packet_drop_in => packet_drop_in, -- Checker outputs err_header_empty_Requests_FF_Requests_in => err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero => err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in => err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in => err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot => err_grants_onehot, err_grants_mismatch => err_grants_mismatch, err_header_tail_Requests_FF_Requests_in => err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1 => err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1 => err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1 => err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1 => err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1 => err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1 => err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1 => err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1 => err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_Req_L_in => err_dst_addr_cur_addr_Req_L_in, err_dst_addr_cur_addr_not_Req_L_in => err_dst_addr_cur_addr_not_Req_L_in, err_header_not_empty_faulty_drop_packet_in => err_header_not_empty_faulty_drop_packet_in, -- added according to new design err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change => err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design err_header_not_empty_faulty_Req_in_all_zero => err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design --err_header_not_empty_Req_L_in => err_header_not_empty_Req_L_in, -- added according to new design err_header_not_empty_Req_N_in => err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in => err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in => err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in => err_header_not_empty_Req_S_in, err_header_empty_packet_drop_in_packet_drop_equal => err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in => err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal => err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal => err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order => err_packet_drop_order ); -- LBDR packet drop Cx Reconfiguration module checkers instantiation Cx_Reconf_checkers: Cx_Reconf_pseudo_checkers port map ( reconfig_cx => reconfig_cx, flit_type => flit_type, empty => empty, grants => grants, Cx_in => Cx_in, Temp_Cx => Temp_Cx, reconfig_cx_in => reconfig_cx_in, Cx => Cx, Cx_reconf_PE => Cx_reconf_PE, Reconfig_command => Reconfig_command, Faulty_C_N => Faulty_C_N, Faulty_C_E => Faulty_C_E, Faulty_C_W => Faulty_C_W, Faulty_C_S => Faulty_C_S, Temp_Cx_in => Temp_Cx_in, -- Checker Outputs err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in => err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal ); -- LBDR packet drop Rxy Reconfiguration checkers instantiation Rxy_Reconf_checkers : Rxy_Reconf_pseudo_checkers port map ( ReConf_FF_out => ReConf_FF_out, Rxy => Rxy, Rxy_tmp => Rxy_tmp, Reconfig_command => Reconfig_command, flit_type => flit_type, grants => grants, empty => empty, Rxy_reconf_PE => Rxy_reconf_PE, Rxy_in => Rxy_in, Rxy_tmp_in => Rxy_tmp_in, ReConf_FF_in => ReConf_FF_in, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp => err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in => err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal ); grants <= grant_N or grant_E or grant_W or grant_S or grant_L; cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length)); N1 <= '1' when dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) else '0'; E1 <= '1' when cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) else '0'; W1 <= '1' when dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) else '0'; S1 <= '1' when cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) else '0'; process(clk, reset) begin if reset = '0' then Rxy <= std_logic_vector(to_unsigned(Rxy_rst, Rxy'length)); Rxy_tmp <= (others => '0'); Req_N_FF <= '0'; Req_E_FF <= '0'; Req_W_FF <= '0'; Req_S_FF <= '0'; Req_L_FF <= '0'; Cx <= std_logic_vector(to_unsigned(Cx_rst, Cx'length)); Temp_Cx <= (others => '0'); ReConf_FF_out <= '0'; reconfig_cx <= '0'; packet_drop <= '0'; elsif clk'event and clk = '1' then Rxy <= Rxy_in; Rxy_tmp <= Rxy_tmp_in; Req_N_FF <= Req_N_in; Req_E_FF <= Req_E_in; Req_W_FF <= Req_W_in; Req_S_FF <= Req_S_in; Req_L_FF <= Req_L_in; ReConf_FF_out <= ReConf_FF_in; Cx <= Cx_in; reconfig_cx <= reconfig_cx_in; Temp_Cx <= Temp_Cx_in; packet_drop <= packet_drop_in; end if; end process; -- The combionational part process(Reconfig_command, Rxy_reconf_PE, Rxy_tmp, ReConf_FF_out, Rxy, flit_type, grants, empty) begin if ReConf_FF_out= '1' and flit_type = "100" and empty = '0' and grants = '1' then Rxy_tmp_in <= Rxy_tmp; Rxy_in <= Rxy_tmp; ReConf_FF_in <= '0'; else Rxy_in <= Rxy; if Reconfig_command = '1'then Rxy_tmp_in <= Rxy_reconf_PE; ReConf_FF_in <= '1'; else Rxy_tmp_in <= Rxy_tmp; ReConf_FF_in <= ReConf_FF_out; end if; end if; end process; process(Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S, Cx, Temp_Cx, flit_type, reconfig_cx, empty, grants, Cx_reconf_PE, Reconfig_command) begin Temp_Cx_in <= Temp_Cx; if reconfig_cx = '1' and flit_type = "100" and empty = '0' and grants = '1' then Cx_in <= Temp_Cx; reconfig_cx_in <= '0'; else Cx_in <= Cx; if (Faulty_C_N or Faulty_C_E or Faulty_C_W or Faulty_C_S) = '1' then reconfig_cx_in <= '1'; Temp_Cx_in <= not(Faulty_C_S & Faulty_C_W & Faulty_C_E & Faulty_C_N) and Cx; elsif Reconfig_command = '1' then reconfig_cx_in <= '1'; Temp_Cx_in <= Cx_reconf_PE; else reconfig_cx_in <= reconfig_cx; end if; end if; end process; Req_N <= Req_N_FF; Req_E <= Req_E_FF; Req_W <= Req_W_FF; Req_S <= Req_S_FF; Req_L <= Req_L_FF; process(N1, E1, W1, S1, Rxy, Cx, flit_type, empty, Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF, grants, packet_drop, faulty) begin packet_drop_in <= packet_drop; if flit_type = "001" and empty = '0' then Req_N_in <= ((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0); Req_E_in <= ((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1); Req_W_in <= ((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2); Req_S_in <= ((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3); if dst_addr = cur_addr then Req_L_in <= '1'; else Req_L_in <= '0'; end if; if faulty = '1' or (((((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0)) = '0') and ((((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1)) = '0') and ((((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2)) = '0') and ((((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3)) = '0') and (dst_addr /= cur_addr)) then packet_drop_in <= '1'; Req_N_in <= '0'; Req_E_in <= '0'; Req_W_in <= '0'; Req_S_in <= '0'; Req_L_in <= '0'; end if; elsif flit_type = "100" and empty = '0' and grants = '1' then Req_N_in <= '0'; Req_E_in <= '0'; Req_W_in <= '0'; Req_S_in <= '0'; Req_L_in <= '0'; else Req_N_in <= Req_N_FF; Req_E_in <= Req_E_FF; Req_W_in <= Req_W_FF; Req_S_in <= Req_S_FF; Req_L_in <= Req_L_FF; end if; if flit_type = "100" and empty = '0' then if packet_drop = '1' then packet_drop_in <= '0'; end if; end if; end process; packet_drop_order_sig <= packet_drop; END;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_dc_fwft_ext_as.vhd
9
12637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RvP9zZHkeFP18wLlGgDfVe/DTwIyMP7dhvzgCxp5m3YYL/LPCO9ICc+LBKqhQGhkjW48xpBAGwp3 rBBSE8qK6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qh1YkIKhLAJw+T0XBKcVE5CFy7U9clrK+8m4T/x0yjOoagdJeeO9PlbMa8zDeYYoZZutG5tu4t0N sS2pvvQBM2LCvmWTcVG3LWECd1SoSHNd1Q6UbLR4rRzrlUIDN4/JUR9PWghJqqumcUUJxnx5knEi K7afdfP3GWpa+Mc54+8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HhfJKJ7YjkLmM42nTlzlQdDAF0pHVZnxLAjs72tXPKfyr7K5syerWW1IxhILiZNM2A0cNOUuK1B8 3pAH/L4JIt1TVyRIV84DJ8CQly1B9HRzFyS98BKzUfjErddgSfzRoWts821YG5qRykFYzVy0JSNW Ragz33rrmmL7qwMoZDWEs40RddcGX9de4kYYJLuItLwkKCeuM+I1G4CDXKEueQ5u6LQ93N2lQnas nPqBrP8n3BEnbThXBdK1yg3hcWqeBMMV3uoyqi+DrjDYmFIVQeHVKMb7fDMmbeiNu+e1+hNugM7Q zuV0EDd3VBu5V4gC82AsbfxPzRb2dPjR2bGkJA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block r+ZA+Oj7YdWnX06D5ZqZqXmUolmi4IW3cJCjO5tbt4FUt2NRQKFRaPVa/OzCRO98jZcUAZnOrsQs Rep+1VM+AH7vO0AlpTwL2YQWhQIRDtPP4l7hvmAW2QqsKSPWDbymkELktbLs6z2QWa6KZT127iXh ieyHXY4cnV7w42J65Do= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tEVWrDxJT9dKo2YC8zO/ut+oDpg3zkEfgzRECtcSkT6P2lC2McwywkyNOqCk/pmUPQ4bCYcOEOvI jUK+HW4ZZSod7UJE4eE4llpWX07n8fHKwMRVLxvYuhUZ9T0douxC3Lt0fXzC85NJTyJkGimu9KjD 6StBQXytAUuaDDuiaVXVLzHavnShPhHlIbufuZ5VdmuStq9zhYgYirOxIgWll7ywfvt6pWGt6w8p vRlZPnsBCK53+Du8/VX674tC+XGMKo3ahf5CvdS1v1bXUIITFznP1EPny1sPqbe8Z3AmcS4i9zBH 4q/viijyABREqAn303FRoDoAgPOHPMNMgH0nSQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7616) `protect data_block 2jcCW+L/DvZCppgVQq4J4wutN6bK0k6sf58j6Lv8ThwnWKdPBHykU8TGeWX/oWZAwjvz3s87ex1k bw4N3UjDvtfIJZd3xIN1FYC/uWK14Wpia0QJcWqRZp1JtQZsa4gRlgj9wa8mgg/zRb5m72JiU94x SSWVYvuYNxDqJVEWaqcczadPYste5BXE/2QpAyKn/7IzlZCuPa6dIxOCZwq6xAkjZsci4VLJGbmQ oAG3dFsy3DMeFso3O6D9TqLo2YB84+Qjvrfvl8dY/hA6Q30pIvfG7n2NjRkSwX/AEGGdT9QqcfXS VXXZ6EZP1kB95H3HfU8txXxsOK6SqmLOX5F+ZpfXbUpk2XIg0aTDmFTCh1oZpPh7v/HzkFCkRbua HRIhUA2WbVMAQdMtZskmOhC9bwrAZygUHitK5aF+AVwh+dj+eMHDsaNX0UdJ5YE/Vi+dABiLQTLZ UD+DqppmBcCeVc2PWKX3c3T4uj69tXUUi2+S1ry/SZ3QYnErV0m4kPJ7wDOeYRowi5sR+TmHs5WX N9fl/U525IQ1SKefJcGzZY20lKIw22u2U0Q78Bh2nA+rSC2389mIqlr9+V7fRoD5KtIudes+2dpU oB6ISciLiDR+4tDIYvGIKglveO7yTdPvrsIAZLyXeptSD9bRqLeGK/Jdi/Lxs3GVDNkwKFpnHWUH zMvXGQhn6Mn+7dsWlEiI+JWhx8Cx84OPJMPd7aKDVQ4lWr5pJuSikoGDWci4WsOak22ItiaiGVxd IdQoQDDCcTZdw4nYLNuF2Pi5cdCO44aZOwkYc6dRx7Oiovr9nE2B2Ez1EiAU+2g4kqBhJadvq9Od AKtQAmUgRhnmSTdE4mI59hlb5fqw176y/Wf5Eq29RIICCo78oVF78cg77As4ruHyM0HECgatqr/Q jXEK07Rf7MBxCnIn8O/vBoPF5LzpWdNnY3K+K3pVCqLAK/yHI95wk5PehM/1U8YsMwEEvOydgOsZ Ewg2vULvLOOD6pRLRBnv/YyqUrUt6r/W+uKJU58l49TwVuca+C7gnQaMm/f5+zAyb1Dq6qfjIUAA F/1ew6rhvSLCfnybzH8ogDNVGAA+n5EAXM3TW+ZXLMIorvYolagYtQTKoXuv566378DJ9rd7YtQ1 k0f1uLPYdsmwWnHf1PUXgtqbazg/7CyQ5Z1TH9/LbsavboKJhBcmZg/z/iKqKqxDqY/YlnewnpGi grIrQtSfjd3UCA2zYh+sX7j3yqpJBjYTczbtikToJfAvrGvz2fUbOIELJuzu7BgLbJP0JXQpDPnL TpJLn3w51SoMhavMlriwyzUw1vKOJHxR19aXRrw9xcXxsSNOV5E3RVDhJXo1qAr7as41lo1WpTun Xl+XLvvbDVm8EjORRkGR/yLUXd/qyF+SqRmFqi41HnZeD/13h776RLJoLlbq3a3hXVRmQLqxEfCc mdrPpVupdmJePPle+WgJwl07+k83Le1ptMGIvqhzt2Jr291KhwmjnN52iMHHdThJusX+spyLOnfI UftQMGUdYRz8kUFbA8ySA+qEwJ04R+L2Obz16ypAbk8tvSd+FBDjuIlUBFB03d5dzbt5ftvRNDuF NEbeEq4Zs/V3ThL6RjQtGMs9GSs1FTMjIpwgKj9V3BsjxuDzxh4NSjSK8OMFTOtCUp1SrdPbdfmP kQKucCOCcQ6sImTtSwbxxCk1LM24RjfOelWq6P8qL91x1opsNG3iJDPg1UZLTftDoiQgLwubRwiA KGNv3931ePmLSnhBsAidFuvpYBWN6/jIi+3UfIP/fhOZcur6hTAdyC7x46GWZc3P8T2ovd7hW1Zq cfO4/LBrasj5CEInfzB8xkU09aO4/NzmboRi1ni5Ns93GtFKfB4TpyjoAE1beFsMtdHr/Yy7YoZF Rha3YZR+Sw5mg9+k8u8amFOge3Nh9obV5RsiR64zRNAAB8qG0eBwg7m4eC/oy+eSBwGA3hwF+Jpm SOnBRf51kNUTiiccT82rgBX/d//HheB2mwwjjXa/q2YIghTMrileyFWLLmbuWi6fvixYFgwkUw3F XpUj0x0VS84PD/ycCc1TK1o9sglLJDGuQdUdyjoF68rrEkszaVkzgQBGyqS1iKmLwW+l/HM8gn5M C8AqrR1MBk3Grzu7z37esWFCexgj04ZsOJmQSVqij/lTn7akIV9xWhOswVYS9IpUb1u/VaBRUn2v XxgwND1YWGDddlVCT9yeyaQkl26Xal2lQ0lEpt7ap+ujvW6d37OXNKYfYFvXQjtv2YCsR7qdqJE0 fydRm8Yx0DeV1ycivwsHOLDO56D04jUsP42nEIwy1KzdIIGw4Sp9S35hPTdpJg9tLinjJrXXh6lz Mv2NW4bnVV4s68aBJSczcn0o/u6QjRAYaKyz16Kbemy2Gheb9IblySfQGlq1wQaOlK9v7nPc+p2I bDTKS8uwpxvHbkPOto6hjsol5gnEtwzCQEnxq3tkxQDLDmtjxLr4dk4soliG8o8AxmOn2pjRViKf vwLax4S+dZQeWurHBAhCQDlHvVe/oH/CoJreik0CdcCFdQ0sWMAIUUqT9pPCz/dRcFAjpWkcS1cl 3tO+I+gz9wZxPUkBbitQQhhcYbOzAFn5slMyMK6r7SGP9fPuod3hOhvaiUQpUne8PXCsjo+IidDe VuKHXnA2g5neyNcytXcF+pZQdODJUk3QvmqgbEVR85W8SA4kKl30f6m3vbWEqgpN9k7KdoLgYNdr oKnuX4mWnNLcvjY1/9fN0i+f9o1rpfEWH9nGBcvgtDM46uIFTamhSw6QSDBLDVvfJ4DyHa+Qv5pe 7Rhb6tRe/4hdjLvOL6HYD2+EvZMlqs+EY3MDW0tuPl786imA3c32yNp6C4OUxE6Oum+bcot2553s BK6HiyHlk1WRjVCSRH52XIrbBwdbuQzPbiwTWZkWx3U0wpbN4y1TXghxUwu+gELQRua3xNzUwb2T eyvljjTTqyLP1uffHYRhK+Wi7mZjkVJcOFSSMwYNPMiNbrxCUZLNWROEkVdG/3A+TcnyyghufgGa 8qgtwsG/0NbYLTqKp8u1jiTBxPdNdZYu0WSsbhoT9XAeslNvaK69E5Ahgy6UabTX74aNTAuftvnx KsxftpvyCAb61pb4Quueeu3AovJx7QJaR2HG1J7ZP1JkSXRWtkWIiLJ1K1hUEdccUAbegz8qBNrb FNb31mLqvLWu3M8faMXljV3G/c5B7/HrsNNyP6HC2wleINwIPKiCpw965AZU+6Mb2SRVmp8b2KKe gc+RaYvqvMXb8Vdd5b8yb7F7JrgwjRWTuddPc+B+p+Xce0Gmw0s/6Dp32JySDyuoqa/1N5gjgX+6 sm/RW5DPmGxwhzvlKLr3a4k3ka+0BFjh/Sl+KY290r8gvZWVjN743Iypp1JcySAY+DAPsuke/XS1 kMm//TrOo/NqXBhSOxY/JO+9ZAyY8kodCY9gR/kby2Fmbj8LNiPsEm68xpSZ3VePr1CGn9hv5HBp 66ZlQgvSqC9mZGtFcy3/Pm7kvpWy9lwEEzhdgigtxJbz9CxoCJDF16QEbM3DrqUJ8d274ORk4BUn lbpjT8ppFbKFe98+JPRDBWubf5nEfYE92bx+HRL6tV7BVPspK0G3ui75IrzlAks2qghzp4YDbmbR O4mjW5hoOrmFccE+ER80bm7+EOGsWFZkkceZyR/P08PwHRXyGyEjfinTZHv4Rcbb6in3sh63lv+W Oeg+Mxq3608Yy69/O4i6NEk1uo+xAPwi2ZzhL5usNici0OPxdXy5mi3f1ofKlY1M3MsXugit74zY /C/P/bm5tSzkEcIYS4SQG6n0BqDaKDAuTm3qGkMu8Sgpx4wdX+77f6wL2bL3fKyIO95BOxNfWzda 6huflNkOBTRNFzU8Ytq7GuJyzNFJmOQN38b13/Vqvy7f5xpP2e5CoOT0CsGr78iQbW2QFrSlA/dR tmiKHtND5SHoe9OQgHE5xd2KjwbP6GhPIVHh0XAzO4ipCwks/s88wwr4+s5nUvmCUIQGWjCAt6T7 HWRsqbfvhJcGtSRiwwh3dqoOp4o/HFi7DJWJzfL7sinYVUECEfc2kf3Zy1Joh5cseTcLEjwXwVF/ i9FoUSkm7/+qRYORq4R4bHgmZKrOtjaeuyqKLAS2skM7CMVDlsgu4RkkzIgUWzlPFL8OqJ/D7aog SjzQRb0t6eDTBowoDjz9k/P4ZTWhQQpM7lSqS64Pe50RkLtEWXq0d1ihQtopB89R1T7pNY83O5jP iQb3bXJ4l8QAq38/yY6lCmNd7uDHUepn+P1ogwHuSrNtPeS6zEbclAxlctMaUZxX0J4gZlTBHDP+ kuhk6/Xq3O9OFjBSRV3uwNAb16Vtkx4heN9HKRidu+NyApK4l/SaxLbDPweNbcGl5AgBUKYHJx67 od5ubtUyPn1zfDZ/+9o+6Mam2f8eZX3zR04yDK2Bn1RbKrkEOYi+M4IetO4vVRpo3BnxdDOMmgyt M8Jm66UHlyRZl38cv7ypGbXtg7C8MlBgoHjA7Z++K3wQjLR71/Mz8VCwvvIXrmh5+iw3zdn0Gxmp QNBjFwtpBMQ0v1WHlcR6vRF59UR+YJx7nJuQaQSC62sL6bQDgNY4fXLzYL6MBpIBuZojxkyQvaL2 QLhyuEOyqbLMRyPsKb1DFVmgkqJs8b3SHJHhJCWp0OSm9eqHx61BOI/eQncNqGi5mHM34raCChwM MKCwl55zaMxNqac5qcivBkFLU+5YP90n+6Rjne9jvJmgXpsE2jeVmv3yaAcoOIFNLXZtnnXPBElv oHYTU5jreVYgg5aFtbFrrJjZBIY9cDl6GQfaV5qygpC34pdNBq66BCvMgf3zV14YXW1Qdw/0PaoA C4ByIv3mApntVAM6MSZ02S0rJ0OY5fGHDRDPFvrSy/eTdkDu3WN49CJx4xBfvjzwIgxQ18My9VtZ XPToQi4A5Un+P7n0qCHHYhGeOiSzqdoQa88688FN2OIvJY38R473eaTB7a6RP54PVbO4ZFee9Vlx 8jgOmmOIHTaBCAhTsZEJFW+ZRXxnxlxK+ScHGyCvsc/fLEZv3WKfFcO+eY45+zcrcSM+kqTdD2+y 0XoJu09U215jhRBNn11xOlvG2/9QaQqoF7PxfgIF+Z7HXhPth34/1Ampj+ZkFt96OUd3+PcxTKZR n0tgjMLYOe+Vxj11a3erduEkfxmjI1/BIh0XgDoh/YMhUcjEA1TIqkZGBhkiJSAZGO2wPrcRAE/G XlC+h9ADyPZ/HFO9+3JZyUElgpWJp7uP3LnK6BUsaa5aJo967mimc0Il1h9CC9y4ZDxI3tR0LLEP fsG8M0ddvGzUCKtVy9ZQArfD/BIBJTytCKj3JqWlruVF8m5s1C7q4VZEnA8mkIpQt3C75avulq14 dfZQ4VT+X5qpbYrk0fr26RlaUVkoi8gjjjkKK17DwClbctWNuClqYyARzRe+XGEqzzPD2LMvpYB9 dkZK5mbiDcZ5q5TUtZ0JT8YwO1xewBkw0U0TsnPc40Bg3AFBSBfICHoyvXUx/2i4uwCbuZ1rkmKV yJq+IXAzns+Rjrn5cbEpGLPUb5sBl4yzvR47Hw7h2l37kR+WjnQOWszgJR9EhkrSf2e3le69w5a1 y+kV69ZZAngIrxnO7tLGU7DAMZpP2HK1rcNJGHEVeV6Cju9S3De8wLfAoC4xk/u+p0mJBVfgiTZZ F+QJu71hNcjaGjmM7j9+yNiKtJxkv12M789f4JYnTr3/c0MsjGFbpGdg9doIoOACa09JxwmWDnjB XjYMPmEmQ9Pn70cMfljCllX2yIOTMOL/qden1Kr/r5yJLtk/gk/mF3e3DFxOSoz+6noNymev+cff 9DcseFJzE6OIldIQ+LzERegLilWDL7y5Cq/E0ydC9JnOopTycKwEmFlXQ93i3MA/bEyD0MPj+BCb cFLXXzysXUXuvr4DZUpybPsg8CxqVOPLIx80TtX6/37vd2rW4ekW14qeCuku/yP+65IHq/TVC/Cj Vj7/DdF65N76BVLsGDpyBF5M3iCRT7r4YljfMJh50XJmq1fGoM00qWj79DBBSu8ftjnYAM1aR236 mulXHJ2SmlR90UH76HiT7PZlXXnKF6Hw27+D651R+gS1uOoFCslQ9OGyt4x0tnoWSfUHTx9JsOu2 JCEJir/6U+0/4yhiv3qCQ4f2C07eTcRXcDKoz2WPUjTPM1G10wKYlsk1wu0fOQTGxfTXKvfSlRUZ JpkJobML3PFQbVCvjp87iOquOAaercevWvm63OldH8zG6PkE945z4JalO3BMu18jQhtC8MAlyg1w GYr8y5mMKf50nQ6arz/Yw2o4E1xAVazf/qVq8T1PEsz0TEryPOwsPRCgY4VAPAj+jV84kT+Y2tDq ZQmNetcpmFPVP1CByMxWN/BDuGeeiGMLHuVTLn9WATHuEqHsZ1LpaMLrQ47/6qGUp/OsXoXe8RWa Nk31dn9aSH8C8DOfLwO1WFomw14anVsj87QjfPR0r7493P/p0UiKdlMdciJ15OnBKWo7nKlHYtQV ADjjBUqE7/AHU2KtFNiJLEuxIJf3YsE73YSnRkRvw6xay8w2HDt7x3VV+yblvuYa/tOwoBZg0+/B UWFGhnc+JsSyB8ekofCoFWL9+th9bO6GxwBG7rdVFFvii4kfizqpLw07FrolhDRWMHIQPPA7JyR2 b7+hgf9wyg2gzbtRJbyvxuWYbF5ozIt7Zn6/koxNTptHa+EA8qDZeb0FfPSPjv7/GvRp/5Xz6l+z h6oDm92kPpH45ZTKgSV1bezTuW8vhxewANStDXOxTq1XX53HrDsLy5gY4HDs2xERFWu2eA2zmlW/ dg8QI778WGPjg+G13BGZy24xcplZCk350AXq5X4P5d0cIL2JmvonhS4MVyezvKGW5rfmABwNz+sf 7Dz2RaS7ol1anJvEIsUn6qD+onThNZ3di9xJ2ZCEb/+CJ05JMf4kDlLiqlcRe5OQDO2/1iI6htd6 ipHPGnqptn4/KpiX2EgYZx8GURGXaVdnQ7R8G8ddqGny95dRLrg4MOU2dHUI3MX72n1nB6gG0kye 5x+BgbISrtcFmHiJzdnhtHjKJ4nvLf8QOAGlZCImCO+jYYQJO6AcAn6lB3pfAxN6TkgXMswp8w6l NfGoZVp/ET1L5YmdKYIxHmVpyAxyuujm/Py8kr4DxmrSSOCYiD9SwFuqijoawS2RrtenAwTKwVtG fPhGl//TiqYV8tmR1yIp/ZQIsRch6VnvU9ZIIuT06mdz3QBGbXwNLlD/5L6IDv5LF88cITIsjKgB OZSJptDMbDc0xo412ndW7OaFFLDA2R1ehD0G9AbNfDEU9666YHBgd5bETI5WhP1D1ogHabQgi8p+ vWWeePzDo8Me7B0U0SHfpD+34VmiMNCVtJdbuO9EAHbHlwZWer+XSK/YFkwr6mBCGINyiprqmBLj ptXCGCbleiLqLAZ2u+XrBFa3h9iMSg9oN8kqVgEBQ2cAC9criFJSnBGWGkES4YcLJt0LTaf3UNDG 9hIpFe7agmPGZ6uadZjWcvmKYk9EKdQcNThCkggLDEAbMPFSVxMRHpVNS6GORghGz5EYeMwoPGMj z/PZ8y6TAdfk+9kUA5jFepmnf+xrb6isVp9CuYkZtyUaWVg/Np++IovUDvM0e1wGALXmg9BU6Mh6 p0EHNZtM7Wic8T5xXseGXC24RgV+9f9XPhzJGxcU1L4Fqsu/GSv4dKayW/09r5jDVNVilygQFjue RMJtpYRrbsTUFSH1e92EDVlQihnQkleIOCitVFonrfJi8MMHKo2WjqehApZB4fTKNFb2i87pDVG5 ulZLWq6oISzvLHNSNscJvtU+lp/SOCypMY4kNpDbJV7OxL7X9lxwOuMjxgxN+dzfchQV/5lAvIA0 Ymdu4svqA9C1p++AYyuJrPLI3BTaVyqNfu70cV25lSQ+//6PaDOC+h7zfHDNL6DibA6BB+QNYYOy Eg7Sdv2Fl904BigcCBN0t97FenAb4mzugpUJwqeOEXgdKQLsAazkN+jwZ6IK1UzrDOFmKIJ9wXb4 I4qE01km5sQPEMrqAJ00c/aTU3pCzXFu3cIJhO4/q5s2pMe4v0A+o/XQUxTj83K3xJsndKtkbdvK kMGWBI+ZPdI/7awmr/hb4rEoQ9woB1vtlQBfrpbK7iujvt/1rBUzwptUkqVeC0S6wz6R2yMn1CQO 58pS0iMjaIwQpXO3yFIwA6rlY+UYVveuYqeWjIr+AGiI2zxGcMDh5nUIqEN41aBP/5wBmS2fvEfZ P+ukmpQ6WUAEgL8AfTrJd9OXveaNyzxia0/f7mQddohWtouzlsesa5JXF1b1i7WSZLF72CqjcY+T 7kPs7j8BvaUBJRGxuZRZsJ94fkDpnkp1DupQdBJ71BHKTT0cZXCSDON1Wy2fi43eZgGbk3eTzY7d ouIPJ/HdNj6SWxlZ1y4tOXarSvJ4oFSObFy3qxaFEfhRs3HEEZ1bJH2zXtrfXfo0nSKmQKcnFkYa YsEsBqp69EeLzzGq9WKJYaZENvZ0nUK4wqYbjDxa/kfE3QJg0tVVbP++7EontrlggWAqoTgupJCl v/em7+DwY6AzBeARJZgkgd94cCAKpuDeTKOXSyLTgjgTVXC6OFVjiC/NiVl383XALXPfMiAVWmTS CIPfDMuHi+mWbz+ibjreZ6O7yqk4XYFmY2gTnTfbsCmCWY1HBiEiycuzV7Nka3YP0/p+3zsUKfhz KZWXcb6g7AH1JuOb/kj2ReGRwU3bRglImeoNbBY+KBJInVWFaxU2vKhYXjUAhr7306cGvx12uAOe YlDCjXJBvJHDaC5Va91usjE95f4LE15fznn8LDKavOhUp8Vr8NBoOyaM7V5jl4LpJKYUlnpsTh1k 4AKlzwHltc8MY1y1ZpRpLaISYaxGidjB7kYyNc4d+cO9C4r4Dy8hVE8LX/7rnt/JMoRHQO/wzVIG /ubRPRu88MJbzP/N9oYGsy8s+VxmyJo4/nX0ILFdfmUUS1hR2uDzjQB0o+TopNDK8GTx3cfN+VMf UUpSSSOhAR0Mm4uFdZoig1KoJZG2bJThbf4YeeIDih556GoELYeiOvz+W/q7ySGWe3kTioA8ZB8o AedcChGtD2DXTYAjyu64KPpRczdi6zoHujMcQPl1mErZfZ/S+uXA3RHCTPgSbTz35pkSovNvI9YV i8Qyvzv8S5NlJ/9FvXBfSgdZSiCRMFaseMfdq5IJnLoP56cIJBbZ6hi/gDiFRGXufHdvBX8cvLmv J4Jo0enwPaW1Y0jzZhKjuO595EtZEC4JTDMywXaN1h/ZmV9c3xFVBVEP4Lmc6rtEzamG6zswBpX1 M8mwMoL7q+xlRfvVKjAOxdPyyThThRyAdukCkAepnQIjtWyPv03yIAPoR5esQvX/dfrDiPjR9FYS jth9Q4Yx/3hVMljTaMBgRK9uZBN+3T71+BJG8z+eiuTy5ok5Yk6UKW10l6HYMcrLeiZcL/k5YLak OzBSET/V4jtz137N7HIeX2+jW9mY2FdHf2mcKN7lav1CHfwRVGh13IMYekF1hYMFHEBVsfUaMXKd Ex63u8vW4pWSSnuwmLL95MovthUP/qxGonzATwNsMZ2+N5j+usG20Jetox4ANXkNsISlz+8F5r+v V8lGPfWJnu6Jh9Y0+EfJ25HUv+H+OdDUXWmvoF7MsVCAgQSzloyRux7ktXtcNgzqfgMyRolYcqQ3 /7S53ODH5nLt5SJhDIo7XX+oNUmv4qmg1t/YzXYuHa59OfTKGeXHv1BkbQkIhBV3rhE6eVMss6JN GiiRS4TLLZkoy66kW2CPPagAowE6jDdSc/WXQS8mMiVC7TbMmqn9ABdLX8I+CcRRNmxHhHNkvwso ea8jdY1LTuSC5Lp65/pAJ4sw8mrYZJ+hy8oG+xiXVPWN9MzYvY3VctdNgBFoodECBebkSL98q+Bd o2PqMTYpdhW/GrZYE2lE/QMeLuiZkv3dweY3Y7woW9P+yQ56koCaPMcjAm8A8VeIgHdumeFaYu8X 3xoBR/xkVWTNjmO3A1buPxQRz+qh0EAqruYeTw/BDG6rIjSSCuwSV+pIomH1u1L5Rip5ymsrmH6j s0F1hmnIjG+uMN4oqQIMKqKelpYFFLaSgypytcJMmfhq69U= `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_dc_fwft_ext_as.vhd
9
12637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RvP9zZHkeFP18wLlGgDfVe/DTwIyMP7dhvzgCxp5m3YYL/LPCO9ICc+LBKqhQGhkjW48xpBAGwp3 rBBSE8qK6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qh1YkIKhLAJw+T0XBKcVE5CFy7U9clrK+8m4T/x0yjOoagdJeeO9PlbMa8zDeYYoZZutG5tu4t0N sS2pvvQBM2LCvmWTcVG3LWECd1SoSHNd1Q6UbLR4rRzrlUIDN4/JUR9PWghJqqumcUUJxnx5knEi K7afdfP3GWpa+Mc54+8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HhfJKJ7YjkLmM42nTlzlQdDAF0pHVZnxLAjs72tXPKfyr7K5syerWW1IxhILiZNM2A0cNOUuK1B8 3pAH/L4JIt1TVyRIV84DJ8CQly1B9HRzFyS98BKzUfjErddgSfzRoWts821YG5qRykFYzVy0JSNW Ragz33rrmmL7qwMoZDWEs40RddcGX9de4kYYJLuItLwkKCeuM+I1G4CDXKEueQ5u6LQ93N2lQnas nPqBrP8n3BEnbThXBdK1yg3hcWqeBMMV3uoyqi+DrjDYmFIVQeHVKMb7fDMmbeiNu+e1+hNugM7Q zuV0EDd3VBu5V4gC82AsbfxPzRb2dPjR2bGkJA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block r+ZA+Oj7YdWnX06D5ZqZqXmUolmi4IW3cJCjO5tbt4FUt2NRQKFRaPVa/OzCRO98jZcUAZnOrsQs Rep+1VM+AH7vO0AlpTwL2YQWhQIRDtPP4l7hvmAW2QqsKSPWDbymkELktbLs6z2QWa6KZT127iXh ieyHXY4cnV7w42J65Do= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tEVWrDxJT9dKo2YC8zO/ut+oDpg3zkEfgzRECtcSkT6P2lC2McwywkyNOqCk/pmUPQ4bCYcOEOvI jUK+HW4ZZSod7UJE4eE4llpWX07n8fHKwMRVLxvYuhUZ9T0douxC3Lt0fXzC85NJTyJkGimu9KjD 6StBQXytAUuaDDuiaVXVLzHavnShPhHlIbufuZ5VdmuStq9zhYgYirOxIgWll7ywfvt6pWGt6w8p vRlZPnsBCK53+Du8/VX674tC+XGMKo3ahf5CvdS1v1bXUIITFznP1EPny1sPqbe8Z3AmcS4i9zBH 4q/viijyABREqAn303FRoDoAgPOHPMNMgH0nSQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7616) `protect data_block 2jcCW+L/DvZCppgVQq4J4wutN6bK0k6sf58j6Lv8ThwnWKdPBHykU8TGeWX/oWZAwjvz3s87ex1k bw4N3UjDvtfIJZd3xIN1FYC/uWK14Wpia0QJcWqRZp1JtQZsa4gRlgj9wa8mgg/zRb5m72JiU94x SSWVYvuYNxDqJVEWaqcczadPYste5BXE/2QpAyKn/7IzlZCuPa6dIxOCZwq6xAkjZsci4VLJGbmQ oAG3dFsy3DMeFso3O6D9TqLo2YB84+Qjvrfvl8dY/hA6Q30pIvfG7n2NjRkSwX/AEGGdT9QqcfXS VXXZ6EZP1kB95H3HfU8txXxsOK6SqmLOX5F+ZpfXbUpk2XIg0aTDmFTCh1oZpPh7v/HzkFCkRbua HRIhUA2WbVMAQdMtZskmOhC9bwrAZygUHitK5aF+AVwh+dj+eMHDsaNX0UdJ5YE/Vi+dABiLQTLZ UD+DqppmBcCeVc2PWKX3c3T4uj69tXUUi2+S1ry/SZ3QYnErV0m4kPJ7wDOeYRowi5sR+TmHs5WX N9fl/U525IQ1SKefJcGzZY20lKIw22u2U0Q78Bh2nA+rSC2389mIqlr9+V7fRoD5KtIudes+2dpU oB6ISciLiDR+4tDIYvGIKglveO7yTdPvrsIAZLyXeptSD9bRqLeGK/Jdi/Lxs3GVDNkwKFpnHWUH zMvXGQhn6Mn+7dsWlEiI+JWhx8Cx84OPJMPd7aKDVQ4lWr5pJuSikoGDWci4WsOak22ItiaiGVxd IdQoQDDCcTZdw4nYLNuF2Pi5cdCO44aZOwkYc6dRx7Oiovr9nE2B2Ez1EiAU+2g4kqBhJadvq9Od AKtQAmUgRhnmSTdE4mI59hlb5fqw176y/Wf5Eq29RIICCo78oVF78cg77As4ruHyM0HECgatqr/Q jXEK07Rf7MBxCnIn8O/vBoPF5LzpWdNnY3K+K3pVCqLAK/yHI95wk5PehM/1U8YsMwEEvOydgOsZ Ewg2vULvLOOD6pRLRBnv/YyqUrUt6r/W+uKJU58l49TwVuca+C7gnQaMm/f5+zAyb1Dq6qfjIUAA F/1ew6rhvSLCfnybzH8ogDNVGAA+n5EAXM3TW+ZXLMIorvYolagYtQTKoXuv566378DJ9rd7YtQ1 k0f1uLPYdsmwWnHf1PUXgtqbazg/7CyQ5Z1TH9/LbsavboKJhBcmZg/z/iKqKqxDqY/YlnewnpGi grIrQtSfjd3UCA2zYh+sX7j3yqpJBjYTczbtikToJfAvrGvz2fUbOIELJuzu7BgLbJP0JXQpDPnL TpJLn3w51SoMhavMlriwyzUw1vKOJHxR19aXRrw9xcXxsSNOV5E3RVDhJXo1qAr7as41lo1WpTun Xl+XLvvbDVm8EjORRkGR/yLUXd/qyF+SqRmFqi41HnZeD/13h776RLJoLlbq3a3hXVRmQLqxEfCc mdrPpVupdmJePPle+WgJwl07+k83Le1ptMGIvqhzt2Jr291KhwmjnN52iMHHdThJusX+spyLOnfI UftQMGUdYRz8kUFbA8ySA+qEwJ04R+L2Obz16ypAbk8tvSd+FBDjuIlUBFB03d5dzbt5ftvRNDuF NEbeEq4Zs/V3ThL6RjQtGMs9GSs1FTMjIpwgKj9V3BsjxuDzxh4NSjSK8OMFTOtCUp1SrdPbdfmP kQKucCOCcQ6sImTtSwbxxCk1LM24RjfOelWq6P8qL91x1opsNG3iJDPg1UZLTftDoiQgLwubRwiA KGNv3931ePmLSnhBsAidFuvpYBWN6/jIi+3UfIP/fhOZcur6hTAdyC7x46GWZc3P8T2ovd7hW1Zq cfO4/LBrasj5CEInfzB8xkU09aO4/NzmboRi1ni5Ns93GtFKfB4TpyjoAE1beFsMtdHr/Yy7YoZF Rha3YZR+Sw5mg9+k8u8amFOge3Nh9obV5RsiR64zRNAAB8qG0eBwg7m4eC/oy+eSBwGA3hwF+Jpm SOnBRf51kNUTiiccT82rgBX/d//HheB2mwwjjXa/q2YIghTMrileyFWLLmbuWi6fvixYFgwkUw3F XpUj0x0VS84PD/ycCc1TK1o9sglLJDGuQdUdyjoF68rrEkszaVkzgQBGyqS1iKmLwW+l/HM8gn5M C8AqrR1MBk3Grzu7z37esWFCexgj04ZsOJmQSVqij/lTn7akIV9xWhOswVYS9IpUb1u/VaBRUn2v XxgwND1YWGDddlVCT9yeyaQkl26Xal2lQ0lEpt7ap+ujvW6d37OXNKYfYFvXQjtv2YCsR7qdqJE0 fydRm8Yx0DeV1ycivwsHOLDO56D04jUsP42nEIwy1KzdIIGw4Sp9S35hPTdpJg9tLinjJrXXh6lz Mv2NW4bnVV4s68aBJSczcn0o/u6QjRAYaKyz16Kbemy2Gheb9IblySfQGlq1wQaOlK9v7nPc+p2I bDTKS8uwpxvHbkPOto6hjsol5gnEtwzCQEnxq3tkxQDLDmtjxLr4dk4soliG8o8AxmOn2pjRViKf vwLax4S+dZQeWurHBAhCQDlHvVe/oH/CoJreik0CdcCFdQ0sWMAIUUqT9pPCz/dRcFAjpWkcS1cl 3tO+I+gz9wZxPUkBbitQQhhcYbOzAFn5slMyMK6r7SGP9fPuod3hOhvaiUQpUne8PXCsjo+IidDe VuKHXnA2g5neyNcytXcF+pZQdODJUk3QvmqgbEVR85W8SA4kKl30f6m3vbWEqgpN9k7KdoLgYNdr oKnuX4mWnNLcvjY1/9fN0i+f9o1rpfEWH9nGBcvgtDM46uIFTamhSw6QSDBLDVvfJ4DyHa+Qv5pe 7Rhb6tRe/4hdjLvOL6HYD2+EvZMlqs+EY3MDW0tuPl786imA3c32yNp6C4OUxE6Oum+bcot2553s BK6HiyHlk1WRjVCSRH52XIrbBwdbuQzPbiwTWZkWx3U0wpbN4y1TXghxUwu+gELQRua3xNzUwb2T eyvljjTTqyLP1uffHYRhK+Wi7mZjkVJcOFSSMwYNPMiNbrxCUZLNWROEkVdG/3A+TcnyyghufgGa 8qgtwsG/0NbYLTqKp8u1jiTBxPdNdZYu0WSsbhoT9XAeslNvaK69E5Ahgy6UabTX74aNTAuftvnx KsxftpvyCAb61pb4Quueeu3AovJx7QJaR2HG1J7ZP1JkSXRWtkWIiLJ1K1hUEdccUAbegz8qBNrb FNb31mLqvLWu3M8faMXljV3G/c5B7/HrsNNyP6HC2wleINwIPKiCpw965AZU+6Mb2SRVmp8b2KKe gc+RaYvqvMXb8Vdd5b8yb7F7JrgwjRWTuddPc+B+p+Xce0Gmw0s/6Dp32JySDyuoqa/1N5gjgX+6 sm/RW5DPmGxwhzvlKLr3a4k3ka+0BFjh/Sl+KY290r8gvZWVjN743Iypp1JcySAY+DAPsuke/XS1 kMm//TrOo/NqXBhSOxY/JO+9ZAyY8kodCY9gR/kby2Fmbj8LNiPsEm68xpSZ3VePr1CGn9hv5HBp 66ZlQgvSqC9mZGtFcy3/Pm7kvpWy9lwEEzhdgigtxJbz9CxoCJDF16QEbM3DrqUJ8d274ORk4BUn lbpjT8ppFbKFe98+JPRDBWubf5nEfYE92bx+HRL6tV7BVPspK0G3ui75IrzlAks2qghzp4YDbmbR O4mjW5hoOrmFccE+ER80bm7+EOGsWFZkkceZyR/P08PwHRXyGyEjfinTZHv4Rcbb6in3sh63lv+W Oeg+Mxq3608Yy69/O4i6NEk1uo+xAPwi2ZzhL5usNici0OPxdXy5mi3f1ofKlY1M3MsXugit74zY /C/P/bm5tSzkEcIYS4SQG6n0BqDaKDAuTm3qGkMu8Sgpx4wdX+77f6wL2bL3fKyIO95BOxNfWzda 6huflNkOBTRNFzU8Ytq7GuJyzNFJmOQN38b13/Vqvy7f5xpP2e5CoOT0CsGr78iQbW2QFrSlA/dR tmiKHtND5SHoe9OQgHE5xd2KjwbP6GhPIVHh0XAzO4ipCwks/s88wwr4+s5nUvmCUIQGWjCAt6T7 HWRsqbfvhJcGtSRiwwh3dqoOp4o/HFi7DJWJzfL7sinYVUECEfc2kf3Zy1Joh5cseTcLEjwXwVF/ i9FoUSkm7/+qRYORq4R4bHgmZKrOtjaeuyqKLAS2skM7CMVDlsgu4RkkzIgUWzlPFL8OqJ/D7aog SjzQRb0t6eDTBowoDjz9k/P4ZTWhQQpM7lSqS64Pe50RkLtEWXq0d1ihQtopB89R1T7pNY83O5jP iQb3bXJ4l8QAq38/yY6lCmNd7uDHUepn+P1ogwHuSrNtPeS6zEbclAxlctMaUZxX0J4gZlTBHDP+ kuhk6/Xq3O9OFjBSRV3uwNAb16Vtkx4heN9HKRidu+NyApK4l/SaxLbDPweNbcGl5AgBUKYHJx67 od5ubtUyPn1zfDZ/+9o+6Mam2f8eZX3zR04yDK2Bn1RbKrkEOYi+M4IetO4vVRpo3BnxdDOMmgyt M8Jm66UHlyRZl38cv7ypGbXtg7C8MlBgoHjA7Z++K3wQjLR71/Mz8VCwvvIXrmh5+iw3zdn0Gxmp QNBjFwtpBMQ0v1WHlcR6vRF59UR+YJx7nJuQaQSC62sL6bQDgNY4fXLzYL6MBpIBuZojxkyQvaL2 QLhyuEOyqbLMRyPsKb1DFVmgkqJs8b3SHJHhJCWp0OSm9eqHx61BOI/eQncNqGi5mHM34raCChwM MKCwl55zaMxNqac5qcivBkFLU+5YP90n+6Rjne9jvJmgXpsE2jeVmv3yaAcoOIFNLXZtnnXPBElv oHYTU5jreVYgg5aFtbFrrJjZBIY9cDl6GQfaV5qygpC34pdNBq66BCvMgf3zV14YXW1Qdw/0PaoA C4ByIv3mApntVAM6MSZ02S0rJ0OY5fGHDRDPFvrSy/eTdkDu3WN49CJx4xBfvjzwIgxQ18My9VtZ XPToQi4A5Un+P7n0qCHHYhGeOiSzqdoQa88688FN2OIvJY38R473eaTB7a6RP54PVbO4ZFee9Vlx 8jgOmmOIHTaBCAhTsZEJFW+ZRXxnxlxK+ScHGyCvsc/fLEZv3WKfFcO+eY45+zcrcSM+kqTdD2+y 0XoJu09U215jhRBNn11xOlvG2/9QaQqoF7PxfgIF+Z7HXhPth34/1Ampj+ZkFt96OUd3+PcxTKZR n0tgjMLYOe+Vxj11a3erduEkfxmjI1/BIh0XgDoh/YMhUcjEA1TIqkZGBhkiJSAZGO2wPrcRAE/G XlC+h9ADyPZ/HFO9+3JZyUElgpWJp7uP3LnK6BUsaa5aJo967mimc0Il1h9CC9y4ZDxI3tR0LLEP fsG8M0ddvGzUCKtVy9ZQArfD/BIBJTytCKj3JqWlruVF8m5s1C7q4VZEnA8mkIpQt3C75avulq14 dfZQ4VT+X5qpbYrk0fr26RlaUVkoi8gjjjkKK17DwClbctWNuClqYyARzRe+XGEqzzPD2LMvpYB9 dkZK5mbiDcZ5q5TUtZ0JT8YwO1xewBkw0U0TsnPc40Bg3AFBSBfICHoyvXUx/2i4uwCbuZ1rkmKV yJq+IXAzns+Rjrn5cbEpGLPUb5sBl4yzvR47Hw7h2l37kR+WjnQOWszgJR9EhkrSf2e3le69w5a1 y+kV69ZZAngIrxnO7tLGU7DAMZpP2HK1rcNJGHEVeV6Cju9S3De8wLfAoC4xk/u+p0mJBVfgiTZZ F+QJu71hNcjaGjmM7j9+yNiKtJxkv12M789f4JYnTr3/c0MsjGFbpGdg9doIoOACa09JxwmWDnjB XjYMPmEmQ9Pn70cMfljCllX2yIOTMOL/qden1Kr/r5yJLtk/gk/mF3e3DFxOSoz+6noNymev+cff 9DcseFJzE6OIldIQ+LzERegLilWDL7y5Cq/E0ydC9JnOopTycKwEmFlXQ93i3MA/bEyD0MPj+BCb cFLXXzysXUXuvr4DZUpybPsg8CxqVOPLIx80TtX6/37vd2rW4ekW14qeCuku/yP+65IHq/TVC/Cj Vj7/DdF65N76BVLsGDpyBF5M3iCRT7r4YljfMJh50XJmq1fGoM00qWj79DBBSu8ftjnYAM1aR236 mulXHJ2SmlR90UH76HiT7PZlXXnKF6Hw27+D651R+gS1uOoFCslQ9OGyt4x0tnoWSfUHTx9JsOu2 JCEJir/6U+0/4yhiv3qCQ4f2C07eTcRXcDKoz2WPUjTPM1G10wKYlsk1wu0fOQTGxfTXKvfSlRUZ JpkJobML3PFQbVCvjp87iOquOAaercevWvm63OldH8zG6PkE945z4JalO3BMu18jQhtC8MAlyg1w GYr8y5mMKf50nQ6arz/Yw2o4E1xAVazf/qVq8T1PEsz0TEryPOwsPRCgY4VAPAj+jV84kT+Y2tDq ZQmNetcpmFPVP1CByMxWN/BDuGeeiGMLHuVTLn9WATHuEqHsZ1LpaMLrQ47/6qGUp/OsXoXe8RWa Nk31dn9aSH8C8DOfLwO1WFomw14anVsj87QjfPR0r7493P/p0UiKdlMdciJ15OnBKWo7nKlHYtQV ADjjBUqE7/AHU2KtFNiJLEuxIJf3YsE73YSnRkRvw6xay8w2HDt7x3VV+yblvuYa/tOwoBZg0+/B UWFGhnc+JsSyB8ekofCoFWL9+th9bO6GxwBG7rdVFFvii4kfizqpLw07FrolhDRWMHIQPPA7JyR2 b7+hgf9wyg2gzbtRJbyvxuWYbF5ozIt7Zn6/koxNTptHa+EA8qDZeb0FfPSPjv7/GvRp/5Xz6l+z h6oDm92kPpH45ZTKgSV1bezTuW8vhxewANStDXOxTq1XX53HrDsLy5gY4HDs2xERFWu2eA2zmlW/ dg8QI778WGPjg+G13BGZy24xcplZCk350AXq5X4P5d0cIL2JmvonhS4MVyezvKGW5rfmABwNz+sf 7Dz2RaS7ol1anJvEIsUn6qD+onThNZ3di9xJ2ZCEb/+CJ05JMf4kDlLiqlcRe5OQDO2/1iI6htd6 ipHPGnqptn4/KpiX2EgYZx8GURGXaVdnQ7R8G8ddqGny95dRLrg4MOU2dHUI3MX72n1nB6gG0kye 5x+BgbISrtcFmHiJzdnhtHjKJ4nvLf8QOAGlZCImCO+jYYQJO6AcAn6lB3pfAxN6TkgXMswp8w6l NfGoZVp/ET1L5YmdKYIxHmVpyAxyuujm/Py8kr4DxmrSSOCYiD9SwFuqijoawS2RrtenAwTKwVtG fPhGl//TiqYV8tmR1yIp/ZQIsRch6VnvU9ZIIuT06mdz3QBGbXwNLlD/5L6IDv5LF88cITIsjKgB OZSJptDMbDc0xo412ndW7OaFFLDA2R1ehD0G9AbNfDEU9666YHBgd5bETI5WhP1D1ogHabQgi8p+ vWWeePzDo8Me7B0U0SHfpD+34VmiMNCVtJdbuO9EAHbHlwZWer+XSK/YFkwr6mBCGINyiprqmBLj ptXCGCbleiLqLAZ2u+XrBFa3h9iMSg9oN8kqVgEBQ2cAC9criFJSnBGWGkES4YcLJt0LTaf3UNDG 9hIpFe7agmPGZ6uadZjWcvmKYk9EKdQcNThCkggLDEAbMPFSVxMRHpVNS6GORghGz5EYeMwoPGMj z/PZ8y6TAdfk+9kUA5jFepmnf+xrb6isVp9CuYkZtyUaWVg/Np++IovUDvM0e1wGALXmg9BU6Mh6 p0EHNZtM7Wic8T5xXseGXC24RgV+9f9XPhzJGxcU1L4Fqsu/GSv4dKayW/09r5jDVNVilygQFjue RMJtpYRrbsTUFSH1e92EDVlQihnQkleIOCitVFonrfJi8MMHKo2WjqehApZB4fTKNFb2i87pDVG5 ulZLWq6oISzvLHNSNscJvtU+lp/SOCypMY4kNpDbJV7OxL7X9lxwOuMjxgxN+dzfchQV/5lAvIA0 Ymdu4svqA9C1p++AYyuJrPLI3BTaVyqNfu70cV25lSQ+//6PaDOC+h7zfHDNL6DibA6BB+QNYYOy Eg7Sdv2Fl904BigcCBN0t97FenAb4mzugpUJwqeOEXgdKQLsAazkN+jwZ6IK1UzrDOFmKIJ9wXb4 I4qE01km5sQPEMrqAJ00c/aTU3pCzXFu3cIJhO4/q5s2pMe4v0A+o/XQUxTj83K3xJsndKtkbdvK kMGWBI+ZPdI/7awmr/hb4rEoQ9woB1vtlQBfrpbK7iujvt/1rBUzwptUkqVeC0S6wz6R2yMn1CQO 58pS0iMjaIwQpXO3yFIwA6rlY+UYVveuYqeWjIr+AGiI2zxGcMDh5nUIqEN41aBP/5wBmS2fvEfZ P+ukmpQ6WUAEgL8AfTrJd9OXveaNyzxia0/f7mQddohWtouzlsesa5JXF1b1i7WSZLF72CqjcY+T 7kPs7j8BvaUBJRGxuZRZsJ94fkDpnkp1DupQdBJ71BHKTT0cZXCSDON1Wy2fi43eZgGbk3eTzY7d ouIPJ/HdNj6SWxlZ1y4tOXarSvJ4oFSObFy3qxaFEfhRs3HEEZ1bJH2zXtrfXfo0nSKmQKcnFkYa YsEsBqp69EeLzzGq9WKJYaZENvZ0nUK4wqYbjDxa/kfE3QJg0tVVbP++7EontrlggWAqoTgupJCl v/em7+DwY6AzBeARJZgkgd94cCAKpuDeTKOXSyLTgjgTVXC6OFVjiC/NiVl383XALXPfMiAVWmTS CIPfDMuHi+mWbz+ibjreZ6O7yqk4XYFmY2gTnTfbsCmCWY1HBiEiycuzV7Nka3YP0/p+3zsUKfhz KZWXcb6g7AH1JuOb/kj2ReGRwU3bRglImeoNbBY+KBJInVWFaxU2vKhYXjUAhr7306cGvx12uAOe YlDCjXJBvJHDaC5Va91usjE95f4LE15fznn8LDKavOhUp8Vr8NBoOyaM7V5jl4LpJKYUlnpsTh1k 4AKlzwHltc8MY1y1ZpRpLaISYaxGidjB7kYyNc4d+cO9C4r4Dy8hVE8LX/7rnt/JMoRHQO/wzVIG /ubRPRu88MJbzP/N9oYGsy8s+VxmyJo4/nX0ILFdfmUUS1hR2uDzjQB0o+TopNDK8GTx3cfN+VMf UUpSSSOhAR0Mm4uFdZoig1KoJZG2bJThbf4YeeIDih556GoELYeiOvz+W/q7ySGWe3kTioA8ZB8o AedcChGtD2DXTYAjyu64KPpRczdi6zoHujMcQPl1mErZfZ/S+uXA3RHCTPgSbTz35pkSovNvI9YV i8Qyvzv8S5NlJ/9FvXBfSgdZSiCRMFaseMfdq5IJnLoP56cIJBbZ6hi/gDiFRGXufHdvBX8cvLmv J4Jo0enwPaW1Y0jzZhKjuO595EtZEC4JTDMywXaN1h/ZmV9c3xFVBVEP4Lmc6rtEzamG6zswBpX1 M8mwMoL7q+xlRfvVKjAOxdPyyThThRyAdukCkAepnQIjtWyPv03yIAPoR5esQvX/dfrDiPjR9FYS jth9Q4Yx/3hVMljTaMBgRK9uZBN+3T71+BJG8z+eiuTy5ok5Yk6UKW10l6HYMcrLeiZcL/k5YLak OzBSET/V4jtz137N7HIeX2+jW9mY2FdHf2mcKN7lav1CHfwRVGh13IMYekF1hYMFHEBVsfUaMXKd Ex63u8vW4pWSSnuwmLL95MovthUP/qxGonzATwNsMZ2+N5j+usG20Jetox4ANXkNsISlz+8F5r+v V8lGPfWJnu6Jh9Y0+EfJ25HUv+H+OdDUXWmvoF7MsVCAgQSzloyRux7ktXtcNgzqfgMyRolYcqQ3 /7S53ODH5nLt5SJhDIo7XX+oNUmv4qmg1t/YzXYuHa59OfTKGeXHv1BkbQkIhBV3rhE6eVMss6JN GiiRS4TLLZkoy66kW2CPPagAowE6jDdSc/WXQS8mMiVC7TbMmqn9ABdLX8I+CcRRNmxHhHNkvwso ea8jdY1LTuSC5Lp65/pAJ4sw8mrYZJ+hy8oG+xiXVPWN9MzYvY3VctdNgBFoodECBebkSL98q+Bd o2PqMTYpdhW/GrZYE2lE/QMeLuiZkv3dweY3Y7woW9P+yQ56koCaPMcjAm8A8VeIgHdumeFaYu8X 3xoBR/xkVWTNjmO3A1buPxQRz+qh0EAqruYeTw/BDG6rIjSSCuwSV+pIomH1u1L5Rip5ymsrmH6j s0F1hmnIjG+uMN4oqQIMKqKelpYFFLaSgypytcJMmfhq69U= `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/counter_threshold.vhd
6
3894
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/network_files/counter_threshold.vhd
6
3894
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/plasma.vhd
3
15291
--------------------------------------------------------------------- -- TITLE: Plasma (CPU core with memory) -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 6/4/02 -- FILENAME: plasma.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- This entity combines the CPU core with memory and a UART. -- -- Memory Map: -- 0x00000000 - 0x0000ffff Internal RAM (8KB) -- 0x10000000 - 0x100fffff External RAM (1MB) -- Access all Misc registers with 32-bit accesses -- 0x20000000 Uart Write (will pause CPU if busy) -- 0x20000000 Uart Read -- 0x20000010 IRQ Mask -- 0x20000020 IRQ Status -- 0x20000030 GPIO0 Out Set bits -- 0x20000040 GPIO0 Out Clear bits -- 0x20000050 GPIOA In -- 0x20000060 Counter -- 0x20000070 Ethernet transmit count -- IRQ bits: -- 7 GPIO31 -- 6 ^GPIO31 -- 5 EthernetSendDone -- 4 EthernetReceive -- 3 Counter(18) -- 2 ^Counter(18) -- 1 ^UartWriteBusy -- 0 UartDataAvailable -- modified by: Siavoosh Payandeh Azad -- Change logs: -- * An NI has been instantiated! -- * some changes has been applied to the ports of the CPU to facilitate the new NI! --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity plasma is generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM"; log_file : string := "UNUSED"; ethernet : std_logic := '0'; use_cache : std_logic := '0'; current_address : integer := 0; stim_file: string :="code.txt"); port(clk : in std_logic; reset : in std_logic; uart_write : out std_logic; uart_read : in std_logic; address : out std_logic_vector(31 downto 2); byte_we : out std_logic_vector(3 downto 0); data_write : out std_logic_vector(31 downto 0); data_read : in std_logic_vector(31 downto 0); mem_pause_in : in std_logic; no_ddr_start : out std_logic; no_ddr_stop : out std_logic; gpio0_out : out std_logic_vector(31 downto 0); gpioA_in : in std_logic_vector(31 downto 0); credit_in : in std_logic; valid_out: out std_logic; TX: out std_logic_vector(31 downto 0); credit_out : out std_logic; valid_in: in std_logic; RX: in std_logic_vector(31 downto 0); link_faults: in std_logic_vector(4 downto 0); turn_faults: in std_logic_vector(19 downto 0); Rxy_reconf_PE: out std_logic_vector(7 downto 0); Cx_reconf_PE: out std_logic_vector(3 downto 0); Reconfig_command : out std_logic ); end; --entity plasma architecture logic of plasma is signal address_next : std_logic_vector(31 downto 2); signal byte_we_next : std_logic_vector(3 downto 0); signal cpu_address : std_logic_vector(31 downto 0); signal cpu_byte_we : std_logic_vector(3 downto 0); signal cpu_data_w : std_logic_vector(31 downto 0); signal cpu_data_r : std_logic_vector(31 downto 0); signal cpu_pause : std_logic; signal data_read_uart : std_logic_vector(7 downto 0); signal write_enable : std_logic; signal eth_pause_in : std_logic; signal eth_pause : std_logic; signal mem_busy : std_logic; signal enable_misc : std_logic; signal enable_uart : std_logic; signal enable_uart_read : std_logic; signal enable_uart_write : std_logic; signal enable_eth : std_logic; signal gpio0_reg : std_logic_vector(31 downto 0); signal uart_write_busy : std_logic; signal uart_data_avail : std_logic; signal irq_mask_reg : std_logic_vector(7 downto 0); signal irq_status : std_logic_vector(7 downto 0); signal irq : std_logic; signal irq_eth_rec : std_logic; signal irq_eth_send : std_logic; signal counter_reg : std_logic_vector(31 downto 0); signal ram_enable : std_logic; signal ram_byte_we : std_logic_vector(3 downto 0); signal ram_address, ram_address_late : std_logic_vector(31 downto 2); signal ram_data_w : std_logic_vector(31 downto 0); signal ram_data_r, ram_data_r_ni : std_logic_vector(31 downto 0); signal NI_irq_out : std_logic; --signal NI_read_flag : std_logic; --signal NI_write_flag : std_logic; signal cache_access : std_logic; signal cache_checking : std_logic; signal cache_miss : std_logic; signal cache_hit : std_logic; constant reserved_address : std_logic_vector(29 downto 0) := "000000000000000001111111111111"; constant reserved_flag_address : std_logic_vector(29 downto 0) := "000000000000000010000000000000"; constant reserved_counter_address : std_logic_vector(29 downto 0) := "000000000000000010000000000001"; begin --architecture write_enable <= '1' when cpu_byte_we /= "0000" else '0'; mem_busy <= eth_pause or mem_pause_in; cache_hit <= cache_checking and not cache_miss; cpu_pause <= (uart_write_busy and enable_uart and write_enable) or --UART busy cache_miss or --Cache wait (cpu_address(28) and not cache_hit and mem_busy); --DDR or flash irq_status <= gpioA_in(31) & not gpioA_in(31) & irq_eth_send & irq_eth_rec & counter_reg(18) & not counter_reg(18) & not uart_write_busy & uart_data_avail; irq <= '1' when ((irq_status and irq_mask_reg) /= ZERO(7 downto 0) or (NI_irq_out = '1')) else '0'; -- modified by Behrad gpio0_out(31 downto 29) <= gpio0_reg(31 downto 29); gpio0_out(23 downto 0) <= gpio0_reg(23 downto 0); enable_misc <= '1' when cpu_address(30 downto 28) = "010" else '0'; enable_uart <= '1' when enable_misc = '1' and cpu_address(7 downto 4) = "0000" else '0'; enable_uart_read <= enable_uart and not write_enable; enable_uart_write <= enable_uart and write_enable; enable_eth <= '1' when enable_misc = '1' and cpu_address(7 downto 4) = "0111" else '0'; cpu_address(1 downto 0) <= "00"; u1_cpu: mlite_cpu generic map (memory_type => memory_type) PORT MAP ( clk => clk, reset_in => reset, intr_in => irq, --NI_read_flag => NI_read_flag, --NI_write_flag => NI_write_flag, address_next => address_next, --before rising_edge(clk) byte_we_next => byte_we_next, address => cpu_address(31 downto 2), --after rising_edge(clk) byte_we => cpu_byte_we, data_w => cpu_data_w, data_r => cpu_data_r, mem_pause => cpu_pause); opt_cache: if use_cache = '0' generate cache_access <= '0'; cache_checking <= '0'; cache_miss <= '0'; end generate; opt_cache2: if use_cache = '1' generate --Control 4KB unified cache that uses the upper 4KB of the 8KB --internal RAM. Only lowest 2MB of DDR is cached. u_cache: cache generic map (memory_type => memory_type) PORT MAP ( clk => clk, reset => reset, address_next => address_next, byte_we_next => byte_we_next, cpu_address => cpu_address(31 downto 2), mem_busy => mem_busy, cache_access => cache_access, --access 4KB cache cache_checking => cache_checking, --checking if cache hit cache_miss => cache_miss); --cache miss end generate; --opt_cache2 no_ddr_start <= not eth_pause and cache_checking; no_ddr_stop <= not eth_pause and cache_miss; eth_pause_in <= mem_pause_in or (not eth_pause and cache_miss and not cache_checking); misc_proc: process(clk, reset, cpu_address, enable_misc, ram_data_r, ram_address_late, ram_data_r_ni, data_read, data_read_uart, cpu_pause, irq_mask_reg, irq_status, gpio0_reg, write_enable, cache_checking, gpioA_in, counter_reg, cpu_data_w) begin case cpu_address(30 downto 28) is when "000" => --internal RAM if ((ram_address_late = reserved_address) or (ram_address_late = reserved_flag_address) or (ram_address_late = reserved_counter_address)) then cpu_data_r <= ram_data_r_ni; else cpu_data_r <= ram_data_r; end if; when "001" => --external RAM if cache_checking = '1' then --cpu_data_r <= ram_data_r; --cache if ((ram_address_late = reserved_address) or (ram_address_late = reserved_flag_address) or (ram_address_late = reserved_counter_address)) then cpu_data_r <= ram_data_r_ni; else cpu_data_r <= ram_data_r; --cache end if; else cpu_data_r <= data_read; --DDR end if; when "010" => --misc case cpu_address(6 downto 4) is when "000" => --uart cpu_data_r <= ZERO(31 downto 8) & data_read_uart; when "001" => --irq_mask cpu_data_r <= ZERO(31 downto 8) & irq_mask_reg; when "010" => --irq_status cpu_data_r <= ZERO(31 downto 8) & irq_status; when "011" => --gpio0 cpu_data_r <= gpio0_reg; when "101" => --gpioA cpu_data_r <= gpioA_in; when "110" => --counter cpu_data_r <= counter_reg; when others => cpu_data_r <= gpioA_in; end case; when "011" => --flash cpu_data_r <= data_read; when others => cpu_data_r <= ZERO; end case; if reset = '1' then irq_mask_reg <= ZERO(7 downto 0); gpio0_reg <= ZERO; counter_reg <= ZERO; elsif rising_edge(clk) then counter_reg <= bv_inc(counter_reg); if cpu_pause = '0' then if enable_misc = '1' and write_enable = '1' then if cpu_address(6 downto 4) = "001" then irq_mask_reg <= cpu_data_w(7 downto 0); elsif cpu_address(6 downto 4) = "011" then gpio0_reg <= gpio0_reg or cpu_data_w; elsif cpu_address(6 downto 4) = "100" then gpio0_reg <= gpio0_reg and not cpu_data_w; elsif cpu_address(6 downto 4) = "110" then counter_reg <= cpu_data_w; end if; end if; end if; end if; end process; process(ram_address, reset, clk)begin if reset = '1' then ram_address_late <= (others => '0'); elsif clk'event and clk = '1' then ram_address_late <= ram_address; end if; end process; ram_proc: process(cache_access, cache_miss, address_next, cpu_address, byte_we_next, cpu_data_w, data_read) begin if cache_access = '1' then --Check if cache hit or write through ram_enable <= '1'; ram_byte_we <= byte_we_next; ram_address(31 downto 2) <= ZERO(31 downto 16) & "0001" & address_next(11 downto 2); ram_data_w <= cpu_data_w; elsif cache_miss = '1' then --Update cache after cache miss ram_enable <= '1'; ram_byte_we <= "1111"; ram_address(31 downto 2) <= ZERO(31 downto 16) & "0001" & cpu_address(11 downto 2); ram_data_w <= data_read; else --Normal non-cache access if address_next(30 downto 28) = "000" then ram_enable <= '1'; else ram_enable <= '0'; end if; ram_byte_we <= byte_we_next; ram_address(31 downto 2) <= address_next(31 downto 2); ram_data_w <= cpu_data_w; end if; end process; u2_ram: ram generic map (memory_type => memory_type, stim_file => stim_file) port map ( clk => clk, reset => reset, enable => ram_enable, write_byte_enable => ram_byte_we, address => ram_address, data_write => ram_data_w, data_read => ram_data_r); u3_uart: uart generic map (log_file => log_file) port map( clk => clk, reset => reset, enable_read => enable_uart_read, enable_write => enable_uart_write, data_in => cpu_data_w(7 downto 0), data_out => data_read_uart, uart_read => uart_read, uart_write => uart_write, busy_write => uart_write_busy, data_avail => uart_data_avail); dma_gen: if ethernet = '0' generate address <= cpu_address(31 downto 2); byte_we <= cpu_byte_we; data_write <= cpu_data_w; eth_pause <= '0'; gpio0_out(28 downto 24) <= ZERO(28 downto 24); irq_eth_rec <= '0'; irq_eth_send <= '0'; end generate; dma_gen2: if ethernet = '1' generate u4_eth: eth_dma port map( clk => clk, reset => reset, enable_eth => gpio0_reg(24), select_eth => enable_eth, rec_isr => irq_eth_rec, send_isr => irq_eth_send, address => address, --to DDR byte_we => byte_we, data_write => data_write, data_read => data_read, pause_in => eth_pause_in, mem_address => cpu_address(31 downto 2), --from CPU mem_byte_we => cpu_byte_we, data_w => cpu_data_w, pause_out => eth_pause, E_RX_CLK => gpioA_in(20), E_RX_DV => gpioA_in(19), E_RXD => gpioA_in(18 downto 15), E_TX_CLK => gpioA_in(14), E_TX_EN => gpio0_out(28), E_TXD => gpio0_out(27 downto 24)); end generate; u4_ni: NI generic map(current_address => current_address, SHMU_address => 0) port map ( clk => clk, reset => reset, enable => ram_enable, write_byte_enable => ram_byte_we, address => ram_address, data_write => ram_data_w, data_read => ram_data_r_ni, --NI_read_flag => NI_read_flag, --NI_write_flag => NI_write_flag, irq_out => NI_irq_out, credit_in => credit_in, valid_out => valid_out, TX => TX, credit_out => credit_out, valid_in => valid_in, RX => RX, link_faults => link_faults, turn_faults => turn_faults, Rxy_reconf_PE => Rxy_reconf_PE, Cx_reconf_PE => Cx_reconf_PE, Reconfig_command => Reconfig_command ); end; --architecture logic
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_min_area_pkg.vhd
9
20310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jxN/oW/orWDYrGNDeYaaKEfCi7IXDST1H7kY+pfwSjXvFzUhtXa/ESY+6frcDMqbRJ1eo2luDAox cWJLXqxRWQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NZD6/M1Wq3T+MPBYrb0rDgrvmzcSpEqPprXNMZQAf3T4mm+X9Ef8JfmMdGwzW1fDI+bcoBs4Eah8 gD+UMQccGE7pIxC7a91GCCgw9vpTrIr9SQUnzhQbD3owkpRPynslE1YF/XZYoUoa082bN+xXE15P ImVuzsmrTkxRD/JJG08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vR/Q0JJeUd2kEPcvu4ju+/rFqkQ5fDmgFNNLxZ7X3nN/tkuY7Qs6+/1hEXTND8ziK2UidBS0UY0u H/z78ddslzJCv7kqzg6xCL+Ygdi1ZwSW9rZi+tfEBCIQLbBaVUYQL3FPlZRt/lh1RY6FErJi0snk IMq1yDglEuWt6Q34KgYIH1I6irx+e46R5ExKwUZlwibQKHUE4l0yuDl6RPhw4WYB+orJR+9vXdNK JnmkBOPpqrYA5d07YnxMml8T8hb/3mw7EXkXzy1VgpnnHy0CaFADnvgw/R9vCTh61MyaY50Lj3zI a8a7L4EIViXk12cPU5S4++9tEs0jyrzcP/z17A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 39tQL0Za6jjNqIvtD/N2Isj/AbmvTpiEDvV2yV4gNTIgtn2ywUxRwdi82J+iXGb2X8Qi2vaTas6h D8PZWOKolKHMKDbtENScUbSroO4cF0i9EZtr0XCoLbcP8JkqsZF+7wTDQEC0qgMIM8KW/Cfgi5Nl aVDejqr875l9nR7hxTU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gyWJmjn2Bg9mr2KRiiHGcjoq9/I7waI8FM6NTjpDznS4xmeV5mm57x6YBsM++FudbEa5y5HoVdR1 mdsxpnmAaYOrnHnc2gmUuppkGYAcS4ymSin/RhDyIj5rIMJRrfo1y7OOyrqG5FkS/hBWK207BVUe S2sC0QS+rzoO/BWfkL5Ju7eXSombyki8nRgfm5IYzeSMnv5GGoVJ0n2F53dEjthndfYjDCEYb0Fk 1t2Qo1VfcXCNjmmO71sgtFvKwBILSdQfo4pBmquD+Zj0ATxi6+2aiL42NKumj9b19HUMnQ0aAu7x bCDJa+nqjQa4ICaWct8YlWg/r4iEVfmWag6Bmw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296) `protect data_block luUNIy6VnP8LtVYZ2zO4PMaAvD03kpaa/EMPecgSm0yA4UBUQGD+vOKLxmlXiRXKiXa+E6J1Txph 43UQ0Z07U/JtG8yRDF21fZfaAN0oTAQniMwi4P5dPIGJsrWMVDa3mRlC7gC0VDBdQpUqGRkqxt/U 434YWU+GiIbUnVByfYM8AelDOVDnPecjcvBo+YoGeSbYzu1GgKiyAJhzIRj6C4cXnI7D8lGeYVtc 25OQj+oD5T4yw0XYJAfsZgR/4lcHuLONwQnEmAeTtdmxbvDQ41RCu9zDBD5zRuAN2QTf4Y/f9fnD kmCFuyL2AAbpWwNMtyrieDYAw0prXXI/iqpVKGWtgFCSzRVx+9BrEHhNyslhVxIzpogsg3G8dV48 iZoFL/fPJVjqHw/LZNmaXuIGPfN0Lu+RG8i/SnZp8111LTaCZTSuoFAqruypwHWEO2pfVtV+Wwc8 l6tDPJBkn7uvuPIs/HFg5oFL+MWKcxTpJ+lKbq+xemRHTZga3SRpmgohIKnKPI3CD/Qbs4yLz7uK /MgjMa8FJc8cQa7OcOJBjKnRxREqN5MS22RtgHtA8uwnGB06kaJg6FrIcAuREMBZtICaEJWDFMZV 5r2q06Mf3QZ9w0vUjsW5wELbNi4NHUSvQGqf9XR8dTqHKP364saDjcMzpzcsvPPn2oDIBsygI5U3 fnIAvnOlu4wnJXd/udf2Zb7AGQTvTNJPWwqJfSxLGYDLgHV0FSKKNStG9+qBX0Bc2gjeIWXVJw3s ZW13AMwSU7VVaDeaOv2G1w4TfxV3fwml3BIyODw6bgwNaiD/3TW1nSStKIedM/AwEiW+JcgS7LMA toe5fHSgs1Qx7Fbj9XxCAjUO4D9cdqxyY5iTsjaXMz7Kkex6XJ1cACA5uiO/nVF7dq/F4dDkfWcm RMfdN5akRE+nF5jskEGUsv5/wXcsKftO+2egiFvZ9kk4m//mSN95FZxY+8oBLTMYQehh2mDRMy8H 9zVhvvWFBIbvj9oPRmzRmozxi4/KiC7ST6pES3d0DvXW7Tq1QIbD5X7y9s0QbwWVnIbVFgPJUxom POByAKR7qjHeI+565Tv+BVRAuabzbXKbLS9CDAUlTZ0QmuhhJ1lw2lz4o5sPZJesGpFOIyP+w3r4 u7xEBC1IgAMlVN96koCIoTwO5+etVBsJ9fcMFn5ruv72ZQ6Q+XhHn4GoZK3B8sc7HnaZqCYYGBHn J/JDC0/EXf6kLksMapZtL6wtZp9WY+hjDLBAG8vszXuG5eDoNvDFMeiZvzp9eDfrhpedBP/xXcnj OG6VqF+srshFpBpXS+fawKVdcGWkN3uMS4cuV5crUIUvZwHV+xx+2Z7lyJt9YErIT/ljiGMXdAz6 YDZv/G4IUnZRXC6HwE9BYlCWiJXlK5XziULyP+ub3o+S3sYELnK5FqY3jqq3KcxTmjCc9kggdOQQ MMKI/8vflERrOvvJ3o2PhtR7s1xi/sulespVw+4vvzrakxQX05hOZ8O+PPhQPPUeJOQkcV7sPwTO byCsk+Qpr92rJUDcNVT8yvp6ko4rWaSWZirS0h8pc6/mKzRBVJunLRnPHZKKgn9AIiXquOFN0GxI btQK4/mIp2I1K7UAeuIABJ/V1few2Bhb4ZDo0hA0b6FwkfrohnDKVJpfXkIgXds2Wl439wxzv3Uu tD5tumgldffg1KMCPV2a0Xl6EAUJXvGaLkSyyGkvVTtEvszIpwzSGwXNfMIQ6A5cfh+cAewgjzHq /TBdxkASTu4iF+4kpUBS+eR9p/RHicFSsDcbI6wlMEBW0zhdRxO///PPkYSCgnWz0PFfRoFVJ3qo 4q3sLs0VUaWJOsAjLenSv7SyxBc3WmHugTNDfgD+ztrZ1Tt9OGTB4rbUYtCK02gMHw2yDn4UpzcK DZk3t1oiU+e4NoL2koGUCXnHX3/GT/IKp7dshNyB38c24/ECFnu3l4QgiBUf1P0y/36AIccErr3I b3kMUwkzTAYexAeQq8G4AqyX9zzMEjlp8XbOsx5TLzVkoemHAHLan5hGTFVAV2bYyL4JPKc9g7Qf Fmd6axmC15Tgul+OpbXMwcxyCPp9MlvXVbHEwMpcp31hsyoX8A+fq7H+PK27Tu2aY5pXwjc1fuLb MhnDFv7Th9+BWH9wQOlnib98llllhcrbTDqrWzAXNuQdjNifsXQOIh5D+LYhfk99LG4PvrPjbww9 v13vr47tBIIJaT3kL6fLzGGZtdl6SjuN6neCzC/Cbbk3Z+n3Y3T6ZnA8hso6EQewsXJ1FmsMOLtH yLWiBCBROqCC2l4xApovpilfA1mioQYksIew+V870J3e/aDlWbNWn36NKU56ROuExsTnvVMaZYkS /ITi8U8F85CxulNqdQ/YI828QN3rBzGTLUeWBmAkP47ZArWpL08+jDS/lWIoiY89xQodkg02Xgyf QdWio9CzQX2r73OH3cOxbtSePXZUo33MJHG5Z8W5iUrNFpBygouAAjOYYm7TSPH+D/Y1tZ4b6gl3 dn+RWfzmEJdvVW8hjsnutM+7IOZB6NBvMK3m4oHTDVniuCkQUkgz21qgBUFXUE+D+Ir307bgo54i bghhXm3dMgCm+W8QsBE4w0oFYw04uYurIkqhn4WQZbQFgRcUBKEtBYwCdvdi8icdMnP+psX2JGkB g5JhegQLTqO6PtSo9NyPf3jVj9H4Es1Fs1+nDRtjOToCg88O14hy9mTCQz0INE5n+Ak1C1b9M7eN REzIBVAPmTeGrMc/lwvZrA8ISdEOeYsp2YAFDJ6TS/GfW24j73/4HimD6YMskiOiUszgkDHwx/Fw TbhJS2Yq64LKc3MJ20xoayGm3zjbJiAdWlHW5/m+NTfhf9G38/H7V+WOhy0SxBjw6JGxMRBFtYHu xKfVHa2Kl0fjfCWrhGYiJizosV1jJZ5pHvfmJhvj4mYhAEfWhfeYvGz6Ntq2wu1KgCnZTpxBF9cf oKuDe+c3y9yPNwVGD4oRL7xvdD586v9m7RAe++p63ZijWZthPfJHSAb8Yw5KoId5hErKCDIzYdAo t2ud3eTWec6w6ucJrY+QcmtJDLrKfPvZ8deIqkuVFa8SQPvd0neruE5dj9Dl/+WvkmhHGpw1ruwJ kSrztBxsgo84KSyAavdFIUVKS1zphUhyjIzKLf/OPZDySfIn8N0A9Tg3ERCr/5IqUJ34xa9kHfCZ QC9lMt71GjQMar/ZwaGTe6Bmolt5jDlIYKPk+Rgyf95tPWMq2JfKvE50CjlhrNeMWfQwOw8pUaLC ljWmQKwsIVvFJ2is7KGmgS55eQXOHDknnEJs092KKxHHUd+80m5gtonyMHHWXEeQ52A3Zkj4FmSG BjxDx9Rz/Ovp8E3xoMe5ZGsCekmBKoH1N09jRktKEzIV/CTvXIWaUEvR0rNbxqTuJ8QTsickKatD dxHARg5YJnonJLKbGg9/K9eLT5uThGmX5kl08y2IuzIS2PMsPjvXb9h2h96vtbpg33Y9VaGgERXn l+sy++UUIke2JrGbsNUfOWGuywpWNsQPVPJtuQD8I60dd34n8w4mBm445pPG0CwUkpr4+UiE5BnA nPa5eX+zMpGXf/Seczf1TtfAW8VRMP/grhDiJ4Kz94BsfGSLFJacyVc6o2dkrbW1N+vYG5XvZg6u GbGcLWS4L+mTbJJjv4acEVpWVpUflqu9u2N9Fvbzb8unFnmbWlUqtenN7s9Wu9JX4Btr/KmeTHKy P+DydezyE8Jc4ZjQgGt6lqlDdeM91bfOQUcn4xk2YmKWbGlwLK9eCmkLSOo0XE/Ea8Re3r7KZS1O 8tPx/cRzz2vb8w3oxeTrmuZPeSPQgjw6yFtDWsZUeTn/izuB5mllXTbEEilxKcitvL4OyzgAAKXC WHIXOkMTx+HUmuXmFu8rjLVc+7EcClSLREFZdtmwBmSsU3eXN4HE6Du0vfzP3FrecqTHznffUOcg laazl/5C1b2xaq3hixS3VOFN4Mf0t1yg2ommwcX0jLB2H2Q33E5MXGIf/AIsJR3OnB1h/LAiKRnx tGNHoPkGx7p2ftSFfFrMURlkPZsbGUzwmgFFsw8zHQFqmMqR/W89tAqX8C+dhPezyK6ssIVNGnsF DMZvkdmLOpDIdttIxgny6t4ZSolLkyFyMwAUSwhLVnzFWUBaOzWTTZWoisvIxvoLDBMVJSB5Lmzy a3iU6pjN/TUK7b0CbczcrXyrnt0zsnJtl+XlBFtVFlgXff33yO+QREfABiSz8EsjrV0ozjN6oKvZ JTn65u1u/2cFLUnMxzt1pyIZ7i4PPnw8sZMLZZRfr9xju+MDKEJ4SpEVr7Hoap3VZ1dn5eZ/QxGt VlVj6kpdBNrfFz4eq4D3eGMDJBSJfO7x2mXc8pqxjjc6Ds2EVBCGfv2cZfybCXR7T5CZsvtn95bK dqIiOAKGHG/oCxcVjM/CGsvuOIKrHIDUYycPoyw/44dwKlz+5sbF0/TdR/8NGyKhFnLpXtz51RWG hWOfbmFRk4dRuoZb97SP4RoS/RVSD41wStWAmTbnA11P4bwArSYIWtX2S1dhN+oUSI5Er404Z1gM 3QhyTq5guwWP9Tigcybra/IZ2uo9GqgvBip+roRAmgL/kxNqU9PgbiAwTga5mHt79Hbmiq0ELiGl GBphxBNs0iAkFOfnUIcz4sA38B6HktQoxWxPx2TG9StAd7UKfJY6yShv+ke9dIp2deJUHihL/tXs sTTeNJu8sOXBFxT2aV1mR+C+Z6vjQsPU6+sZw5LQpphGP0Y5D/gQLJWr+z786NGPWRtP9AlapP0S w1R4kg5JgnyNyvft23vbqOHOyKA+We5BlUOsJA429mE10E61khiTTCVJ0D7V2L+YFhqnumWaZwhQ 8LAuvd5gY5tesBFyaAlCHnMbICQNfecyyA0VMtyhXTdh+3YsVy9z7xe4vUQIBIkipvj44jLA7lYZ DcGRQLcQfAnskKbilqyrwr8Df+4kuRWwgpphSwub3+SfkTi5OeTX/XLq8wX05QRi9dJo8qsZwL3Q K9YNffAmt0sqQut2aAcLMW+tqbshnL/8dYR4YEr1X9Xun1NLJNAKExiODkMMpv/riR58fAog3Ao9 +SqVL0pEGDjGl4cUjOrK0j05907BPRE1S0KuuqcjqXjDkg4oi7sHA3i33EQdOMobf/L9G2j2+6FM fdg065iTJ7J1j+eZechdAAsKww8xlDVnWlm+OPQ+R81RBPFT5A0u9c98sgl3WTtQypiHtfWsb9qU ct9ccz/ytKZXecGMrTtn6iV524BYygAiaDaUPVH5ySfHSsBZiyVNMZpKgrDhW8a+dkVV0v6Wzivb +iZvZHRHDcf9wHwnmneRfWD0lGPnuhoHJ1/TuUmAavBy5UOfhTEbUyskacTU8G8BeiP3DN48+43S TyusrvEZWBrrnf3Dr11N2TwhnI4druBFtXsl6HOoc5lEDCI2+Stj0CvdP9Ab3vBbKnPKzC2VcvUU v/Xc6cG09vymg3sFhGUcpB+u4Syz5GRQy8SLaUTv49THM9D/isZvgTDow5wODkKINRN1MQDD1yPF CL+ktWysVvh31uChTFatkqpRDi+R2h08m0bL3f9aLt5irBumpVhqEpPUL/13vOkEFf+1zAgVO+mw TDYAHX1qzI6qwzA2lDrMZKIvd0pNdtCvEl3rHwNhlxiucsPV8/RTtI1KehgAltJ0N1EZ0Fh8duwR bbx8YStW8l7w3vxrQyrRMGGKtb9BtY0lVxcZomkmAHbKluOrkEZfd/aCZ3hRFloFRbHjZ8m4tz3T 4gI0p/bYGwSrQMU1JkS6nwRjpKHlb5DdWBM2EB+iNTG2Osy3DSk9MlkSXBOMnoiw9xAkv9fWo1er pHgRTcidRiSsw9YW1qMFfqrIsaHs78gySpl1nGOh16nQD6/60yh43aGVrQ8lFkozJvFmPRlo/TdS Zr+e2d+0UWuw3UfeQpAZFSfA0jeSJVKomQpti1HL4lrYIhh567ftfGAFADGt4PncjULdAofEfPju DdbnaQ8pSVqbR0BuXFvNp6L90DqacO12/zsUQgJhPXpgFQb8TLKtyTxqPvk4Ef9/db1zVHcGhsHW CbiI2fUeI8NqeHhozw5dA1SubhmfgUyWgxdgcwQ2sTYAQlcWG0pzQfc89tj11lec/GjmM3U7fqfz LH8YZ6KcibRgcddz/IIIw7CgP/MveBc6auU/SMh0+jANgDrJQAZzq9VNWPN3XZqdUMdh5W2YQaEU iDDn0MoKlNXrAslErds5Jd1vnxWS8ZvUxxaroEn4iK+RbOpZ1zWOMaJXy/QvCFSi/ETyRHlZ16zf DY42saKvXSQFLVEK+oN1//t7AfF+KgmbVWCfpVj0DIUbF2uwmCXqLkfUv6yHKEf0ITlUnYa3dPCE Sbr52Bgd9zAG7p1qvap9KbriPU1aqKnGR2BiWOr11i+k13S7Cgh0QDWQHmWpYq8r44j5w/p0Jb/G Kb99Hc8QAiXc12grYRB2pBNaV3hoy7VDMUDtn1jMzWMijrIbtF2CEKx1pyT1v0OJc9R7Bn84ReTs PRJ4Vkl52MsJmDTQPYngOciaVX/DoT7UdvjBvpPdFxK4h00K0L6xvXJALp7UlHmGmMABRM9YXLwg e28zfVnsTwam7lstnElnH6chNMtlxg8IiQpB0dcnyKlViQ6vHM4Tw2Ar3PBjp/jOXoRdIb0RjJfd uwghmHMMyMjTC2YiXs01OInDtH1dwXUHg7wW72HIlOO7sSRgxr42SiOziKEq/FgeSkmtvxnVV0vQ mpyg34q8e7++Fgz8dhAyOozCjmE6tc5auUlxMO8hr3Z2Bgp3cF10etIBrLq7MXwz0woq4CuGfIn9 jUS5YrA4J0L9eS/d3/PlzXWS72v8QPoMJTC6jLoSSBTY2wRCDQ9BKv73ezuNnaWyZbUe7Dp9LD1E BkugoDreI8f2Z0rtbJ5UL23seBzF9EdDMj5Wj3pxD9S6BnocOO73b2VYDU8QHjGtLKfGit1x9cdZ hYBh7fJ1mQniNhDg39byG4WqazAjyx60MlpD+4Vw6BSTIP4GSouH7w8sv0MUOK7V5H0Nft/u3Gw0 oXOO8rEazbaWMGjrFMFOYCZokJ2/wUctLE+RKzTQBR/V4xSizZzsQv6w4yuoAxjxL/LLvqbE0P9F AMq4w0vnv4qlWksIYsrWFwt5YjAbN3vBCev/ZyqbXY/M5eT+7MtXK/0A8zYv64ldLOmindFbIim0 PWIplonRHYzgQs1E+KHG7MZQ4FGEOkvksMA0xVAK1sJBCThJlBogdbJrM/CETuTBMfSpOkpfxSMr FTQAPHlQVRimg273tnXudvzwO2sid9nDrUJQsM1pU4XAWtjkIezrq27OHHMH2ofqfUWhLjjFxE7e 3e1Q40blkVvs6O3Ugv6VLRKimUxMZn9Rrj2kNbrWdYrnl0Uv9r05L5LCoTZ1G3UJaKa3MzbVP+LP h1l3VgHjOme1QDSX+nRMaUZBxh1nSqQjKH/O60Uwvujy18tpwobrQYaPawCazaK+mhoUpGiVmwAG fnw7VmQsU6Al0LrAvlmITilI1e4kEqGegLrA+lhKczyRUEdZygLRF4ViPDApQiQGrQ5HmpCYbfOX 3lGOvJUVVpJVK7Z6SEeQoMepSpcywcjfSBG0UHLsIc2Uh6JkzaQp6fQTlY7raxHNY375pikbReM8 gh5dTTOzTlS9uHU8/qAwrkus7JuuhmnV4hTz0Wzn31EzIy5kx2bMmVPhXAdvZalpWCrPtw4GOTt/ lobzoIwxCjVbP/97FhWv2VpPC7hBldq5Hj8M/EWVpDq8PKMBz6y6I5kvl7B8e7MKpfPrK5Uvchbo QlhEnNLpfACV3hxjn3zJ56lnOXkB2YLlrj+1sVhpt+VEiGyJmxw2jtI46TKRF3Wo49zgHdqFYTOo Wdcf57kMHFpPpDT+O8zeUhoHFITn1zyC/ow6VKmVvD74S+QQIPTrg23dLumvV8TIHX874Ox/1Vyl tufCx74zoG2TWBivrpwtJKVTz8FgHXPJuxaWBiy+gpnqy1XT8PcHhD5lnCicnXTxj5AOsYTFJ5H2 m8a/Apo57Sja2LElju9TS30R6+e70KLKbI6fPHDjbWycBfpIUWxrs7uIEVbJBfEImWc3j/FCigwU mYcwyTOsw056kN+4yuupQZsIFRtAJgG7HBnocxWVlOk1i5xdsaWzX+Ls2mbT77bdxj1lJdVS7gqH Ws/OwVqHfdS/5lJPn8ACxKa7B53ubhxJbbAyjNVEIObW8WG2sed5+vEyajSiSpOQMjfbUUTKRxz+ G1Z9OdgcTzD43+aKQ2Q7xitqtn3eqcmebQbdLBJ8AJ2H+ME5yfq3NvNj2blKYrZZCVjyrw7d/IVV 1/fsaxZNt7sTJfZJRV3tgyAqa5aw4BlvER/jWjcQs91l1dwpEZkGTjc4GkaZw+G4ofQqgZ4E3PXJ dcXhVTiJy/yikd2l/M/3A9i/VARBNh87eIjbEaQDD7HNUlVh7MeXC4PyVX6T1V1ZVCPHXkAQd+JU sbH9J2DDAgWpa+SOTvdValnvuNER3uSpVa4ZYi/VbWSk/O0iLZgjzEDr+HYRkNryZ/4n1BnQneKN m9ytS++02g8qABtruPSQrkeIV5j/FYtcu3C21Cv5GJxKAl0DW7L038M2vDVh9xNU1MwySdpafrVU /E8WqHCrzaNByUbMiGAMPGG4n8i70pmYHzJ4CraayMBQE4dey/zltSuLRMSVCKXoLPnrHa5lzmNC uzFtbS84VJ3nfc601gh1678vuOp8BRnZDp8c5HASZq8iqDDT1rk93hchhLIGiO2KPphWPbfsetxb tpkpwF4Pk1mi/jT30COnNcsc/GXh8lidQtX2KYm4/hkDmLRIRPTLQuqeR2bVg3tikHsC4v7mRGy1 WU9QiOTgGjovUJEDZG/R+XFJrtzPzW4nDIKCGQSFRwF5p+JyxTehPUXKyMMQc8u6lFFbmAaM4OFO Et6EtFdAEwmd1mTMrOSvVuwCK8QLQ96NsvdsngLw+bPF1ZFzE3dqsK5Kb/QRm0f/H/FsnpikOqzy HQrjWVOuLF01mIhykiPkkgVZD9QdP88JivVVchr97B71qBtt5ABfk5tdpVkng3t8Teqmp6raOYbL Uaev9t+Y2Tj5CIv8s4x/fWMg5BixxpJC3CGPJn/5phJt1QtLSKftOx7GKK1EAU7RrCguPiA7aXa7 qgtYsQNWwtQcgQ+CYiZ07H3OKPPdAT7SZmEeh8fhvr2fwe2F9Jv903VVWbmpzd78HDh9cApHqKAC nbvGrR2F1xuG1uxxiBBBJRDsu6VttntXhZ6SX75HrCYnuuXfGEGLXiiC+naLAbAO23+Uv7viAKrn zNDcfUhzdUCGMh5OpkCQtzP2AvZn7Wa+XuQHhyJpWZDy/l+3oVmApeHrHkKxUQ7jbzPHk1GThlll ZB9/zuHz3dtQ9Sz1VfmRMKMbxvRXguGgYpurChoUeTZtbd4hcBdvzuANQS1gaf+JPE94hhDW/wJl GCa8uN5wAeLE/KJiZ7tgTrtoK/ODtDpbnuU0w0FXI5Guqyn0pxGx5umLFZbeFwdIXdcvsbjUG3ka srciL6EGtjEhUubpQmKXwYN27fTG7MhmYm9KM7mgVka/KPPuUgzbjDleAAk8WclYCOM+GamXhIYD Oqb6Bx5qxNSWtl8XmDQkt69SgmM2tO5e0YzE6f+452UsyOsi0nx5sivAaZP8ngjVaZ8pzBBBFXca ArVg/7xoUMIWdWVhFc/CtxZW/UJksRqR1YW4m7HI0Lr3OAplWugKk3eKznJwxAkfHt1rsZPzEQvw 6BPbfW7l9jEywQGjCrlchW0SzBzrmEn5183AxwZmlnKdSaqrdGBTvAOCzc8W9eO7ZWJKGJlHQSHq uGXE/dG4AiznvPiYr9wmfa/A7x/ZGtuPWEM1QAw+MCBwgnRFUvTcRf+AdVtLQtPM/R5fNYxL/Gp2 ZjnUyakir8gKRl1e4jTEve8G/R/bCnfQPeTYU92cRIHTVohlXut/y1h2i5sGDYLPajdvgQ0fwF9E fObLbUCZvAH+Rp73iV5XtxAVmwNrRznxJGkCKtfcrJcnlh/LzuH3jF2uvX/wyUidBrg/5Jca440Y 35d+mj4DmiC+91YIEXeAkpHi2m92PFuA13qR97Jr2BKlFDfvS64xuuNewYx9FYXtJflBTk5pF2Za NEEFQWoFA9RUWkvAnDtyry/OuhuDbQfsueYc5aVRFnZXDFFzCge9Bw0gtr3aMikOCx43NHioDiDo /mJBJdAA8C3e2Syzw0JvKOee8xGcHy2RhEXUbhR4plMAy88upTb3ojGlzcckFgnZpXMJ7aHG/4c5 NC93/Ts5tBsTaNdXu+2h4h25K25IA3bVjFdnLCSyyodtaIGARbgb8kfMKjvQ5uif3lXx+smu1q/K gHhuGD28n0EZaPfo00c0wjbaAoSZT7CsCSIMX/3QFbz6gIc3x4B5J+UGtVPR8n+3X83nXmcHKlpg HKJ7EceeqjPKlFAyE6Xxg51rwYURqV6AeQDe+w6jPiL9+ryyXDz5gcPQqk4o2pohTkHdq58k7GFX dvMDcI8cmxkxsi5NICvNCnwOWxNU/plBo84K9FdEILuhDQTTKqTcWgaNRucveXr7N7AGedrn7oS3 ITNtIUVP1PQ4KSHTyeBy7HZ8TzxcAjMbb3QonVHznD/cXhINaKL284JfoeuftSBjMJWMl6XguAj3 XQc5GytpADaT0d5iwtD/H9QIfle4nKrdhGELfa9zF/Df8Sv1ynFl0s08V+oTXQGsdY2rttyCVAt5 QITBGGTw/iNb89UkzHlrbHQlnLOybwCrLxl149m50p/TF8sZULl6WkmHqAXoqZ7decLLaqBZmL/m fLaMx8lIAQV9QD1mQPf+ClW7/z6ggNTmrDURWzSuNaUg1hYvdv5gJRD7VueE/WFowhri50AwI1V6 6GpKt3s5reXLeR9hEQ0IS9bnN/iTE1sJneXCdpsT3UuFFgzI71R89l/u5XbdYcBQjSi7A5/D7N+/ T+X8IjGaWLVahvQk+5xPebbtDaoqs/RQ3ljB+Y2Uki/l2jS9+oYBJ1LBH+/G5x5ZcZ0Ch48+sH+L uW8at/kqOEbVX7Cl6M6A/YATgw8CZMtOkGfzlyWHhvdKXmpj00/GpTjTRWqAEkeS1OwSu8To5r/N OJ9pJ5MowCTu9N889tmcH9C7Gh8qsJg8+W3J4kXGUX4/+uAFBc7/M2As0qPeU5XqYfgt6ot0JNnZ IqY73m13xudzicqWaEzFIcbmj0Ca3PUyvILhHFn1yvJNEpUzOJgWN1+XamifHxiFV92xzEzedU4g 8IUTdKSw4kWGuPAcp9qaEFryvZt3LeI/ua2FhTxcpNVnFLoMnvz9AuDkuuHme/nwnGcQPakmiJfX KEO3Q6p63QVE38CTd85gGKSRZ7RxKetfWNO+CHqQkbSSOoHNW9aSgN9clGNUIelbkttxKyXvan2x Xd8o32F8OsF4+/Hii4IITb5jS6tlKuuTnWvKXxDE3euC6oJGHsLTFviI7+a+C3/lRBRQEim92NIp ocHKXotP52LiiozMiT08Xx4uKftBVl2+KMWPCerq2gBtqiKJO5dX1ft0ycGv+yN/fwKjpYeg71R/ KlzogqqAV4ograZI+r+ihatSf46do+eSEKps4MlxhmhuHY+FOlbotCGtE1evo8coUVmd88Lb+6Ma AwNaMY0Orw91Sus+1OzGsnQ799uiFkmozK7vp20Rqwr/Hz+iFg4sRfIT6dkeDgE7m3gjEEOXrDzT IfY9fNQY/+xfpLEUgeMps/bsuZzkOlePHeB1SqgnU6CRWun2eZsqzlNnZ+eqZUxUDJKUzjipHcU/ qWYbQ+DgY39weTr5SIThVS8FG6/fCvNhSGL0cjOFJixAlqIjPRmWprTfxXG4rFtt5arOSHU22858 vEgakbWkx6M6faWU7wYh+wRbIJJMi8ohMissU9Z261ZrF1Gwd87eNN79oG9MMu8bos7gblKAopJk VSk/T+CVBH615EEnddo1jP+jgo4cvU5nL8ayQ1z3yyTl37F2wVC1cTkKUpdvv3ZqZyssmOM1ep5y +CZtobRNNkfxbP5paT/m87dE8kDmZKqBQjfeNEhMBfUTwhYqQM7KDoECAfgmgTxO2wqUL8FZ/rT7 hhpyIhh1PeL3vRVj4kHWlP2cLYa+7gw4C/qIa1aRzhSrU2YHbmEJpPuHSEeJkgVECHrCbx5YWtuO x04FZ9V9JcrySOu47SX8RDA6cnTOkrOgNPUMgikUbX0exes0Hk+o2e0vAe1kxYiR6W/+X/+oq/O4 RtgngcBFLYzllBwOvcJFSLru8Z7N4jUSDTJC9U8l4RFlLfS1dBMXkFWvhxtnxgbJTQtjmJoyM3Rc z6eoJXbzHDL9WTrWiNvQSE6VWr9DS6aHaLyzxSOqvsFnvZESp0To/fOa6Mmtpn/Gl/GugdXz2/r/ woe9y/GQR3d1/Tc++xy7F//FrYcgMxKcXkEb5Xg2UX6kVUcZsjRk4Ev46resGUBB+HMsvlp3ruk0 SlwahuaeJSdl0+Q9tfZxABX0mgVpmwNvNiQg6nHs0PX6VsRx/uH1pfyKP+5Yy3nCilA8/7TiUfzQ bsO+/yksAvw6ctd2CCJwIs/xJgACHU8WqN1DGnZdR0+EWzwEvQN+PV76s+JQkofVWU9jfAL25Hvh vgHNAbsDRLDzK8Vw8+rfWP02LTM7ZvnvPzvcwLkT6AKsDgZBk9Jy+oL9zFq7kj1bKq9GR7CZcFEg b+3ggkBo+zbdgGn1k9pMPcod7yILN7ma/2m4Tl3bNrVBWoSnLbbqczeGCiGobZRLKnCczV2pqS28 5PyCMRfsPT/yl/+E9OuFNbFRByQlmkOGysN6SdOgGYQDCkfpmOElYeJajzZExsfutpGaRoEEv6+a YQ96dVAoSpMbAfcQVMpm7g+EW1x4lu1ZWce4hAS/y+1tYG5vpbj8lBF3JHI+VUenOz49GXF4FV4Y U/rDoXg0gPHp3MsQDmOLMCUve2cTtlZS4KddlXwAjpl7OzzRHbIywCBiy3pDJk+9JmZHFOvy8wWH DXbCnFICOCxIBfUUBwkIKU3YyzYbvkBCeGWuZx6yLVnGeCrAHIPVQXmZRk6xp2cVhY6cm/u0wY7P tVxQfprIFLYLcBAPzFOTXM63Sd+JKGP5q0dzSzEw3ZxNO6TKdYadPiGdKjLbrQ/UVXtL6MmOFbRP YmFnFtnMPtLMuvvKElRNIhCkxQNB3ouHB43nllwKuuGZFOfYH0QoB4XbOFKkjI+JYbh+Uat+0nTt OPBstZlMvkmbvWOrFxFz3LEtn1NPKMIQePvC2Ka7r7DkEJ6zO6iutjoyaijBXNtA01Gu54iJZEXF 0j0Xl5MxyKy6zR8qGRKzhHPa1PhDB4LQlzCkZLzpkAwjEBaL5+5TgS2UjC3SuqJ8alMfk8Qc35vy iC2DYTOTei2XUChc8Pz6b8Fy33cKn74k6/Lwfg+n/oQ/tez+s4D0sVGK0spCSwt++M6RiStwZJbE QgorHeh+Dglt3Yq51dG44+fdJPDU5ZYLKu7SQTunY60SANmIr1Y7Z4mmSyxmDRYVwIqe2qQo4t6t NraxCgmO56xufPXsRnYbmdpfzq/iUEwPuDy7JJqMoscBHLpQRkWq/Dk6MosorcLW/1bxg8WEVvyc H8LNSuiGisT4iNlb4ES65qkWAlaJC4/WbN2+So7SxLiG5HPSnwiWps+ru+enMnCYe3icb8wWK0ZY MapMPn66f6//9Zi/epYDiETGkPufJ/RLmj6ESgmCplDAHAk+D+p0YTSWNxD2UHCn4tkUjehxhTRX lA94gbjYRdk93/c+3fEt/JkungXIwKq5OMu4YUiXSm4+wfR/QIaAuylVvmt9jmg9vnO0B3qjw+LV udbZe+32rd248ttUHMehYRS4W9itVcpu6N+cwji6Esa19pS8XMn+GK+5onJTDj9GT4Vz4oWsQEYz aw/z3qT7u/gkSo18Ehe1RpeXNWDwCcnwi1zlHP5uqME5BopcZfGR/vdzDefb5Za5OyAoSPWxtjTS tnA1wrV3a1dgG0g/xeHINvb5HG956ZYldkE6SLogY5UEE3mq3dbOSU7IwX1gL1hwAo8lNh8SVdTV pWR4XL7ep3OUSsKSFsYldgkA+XFQKdQhGkTeBaTCNtBJbV6DPx88W6RkuTuP5TIsq2Lr3ztRdv9n XvYS8fLVNTFcJr4BEBB22DZn8nHKIi0Iak7jH6S8QFsr86agwlWpYCRjFD3by9pXR21szS/GQxuS VmcBIRlLr2TtwWOm7/cDvfGWenx/BvVKvp3S42xLrHICnqeNEzBf9qFwE/rhnQvbCJtTS8Z+F2m7 tlA2P1SxY3VyL6tv2UdBas9xN8j4k/+J27ykq7wmzr4raVez6SWCJUKfDx2+5I/ZkaGLim7jzhHY pKmXzkzZkAECvuTJI9IaeRCv3jIEXD95EnsClywAblwvHGk5gyf3AKOnEeVjiYX4N0uyma+15ARN MuHGkQYPAaDppEr4KXD/BbpqwsQVoz8NyhF2t+96nw5QwDOSa0+Azupi/VRC6nbMvFh9f5QA/MKO 7Bjk4H03hiBAucqu3BL0itkOrOmJPLEWJOfi+cHpicUfyjdi3ZcPSYgueLN8lBjtIcrKyW//PirM ANrOQYI9VaOFzGwFskb0zmRz1IkpYkF3LCYbqL/7FXbGqTHWQmiBDN2yxIG36xK4aYwoCESBOpda 168IEDzH73JlgPhrLf0RL/uLQungY9FyKDcYq9XbF0AXeD0vVgTuKZCgfvlNprCktt3cp5YUjDdJ 5kdkb7U7oROmJcGxMCmifpe+tnrul5wU97b9+bi5Cxs4F3ICdHthTi2sjhpH3Opnjv1tgAY+XzWu tODzBfgS6cJWgW17AbsTNgsOnB+E/PDeUX3MktLTo5A2K05Q0IFb7Tua9cmG+wBlaQG0XF9DUcnN z0GqlxAN1fonIpsadQ0Cuoa5DvF3raPHWvuAmaqFDO161YiimB18catGPBFmklm1zroUrLC8ZJqK JxtYl/GFqXzd4GXvyk4Fr9XMx172eqiRvkVygt1nhq0X2aA4V1NNkHyhaPN6lymY7WI1k7M4Lz9K UyDTZwiGw8IFoQxnuSOEfNiXk63AzuT+sPvlBrwloJshNHz2TiMj4oXQX6ikNfdcTelf6Fkzr0T9 9t5AwavojYnApWq4wBJOIHq376y2jp2FSIVbX+nK1ijFOmX7X/hucxRQOcm3274mpChwAP6ua1ir sT3e0fpPFFMsgQCnw2ZIWjG53aSRLUOXqPiUv62ZZf5YxZE4uRtZgKKfFbN2EBcVZTDAkguRHLyE 7YcGxgZpTpaQC4/Nw5T++omdyOSdKPbdLvrGohNyhz3f6K1AlTkL/xMHSCps9aOnlTMopOo7zGDM 8xuSdFr3EWAxsYdf49E6MHoDm1SVq1ogoaNhaHYHcyAYU43Tdk/mNt0iPCECFGYOsEolWEftwU2R NbXLcQDtzg4gM1LE1bq7wlY9FLa2WPQauRSNmihdaa+x8hdqQMgi4qoHhdQT7KfgsXgUgrl9sxWd lwffMnyMnAQNTrfBDBxSd+wsbBZNRYfCMJVzWicvfQlHoXKVegeAWtvcvOFya47iaeCV8MiesVyJ QvYlFFDVb8FGdf+dImWpjUOV5Ap1OhXdqQ2Z0ydKMugb0BxrTT0KEh4FT9F+royIPQvY+PskW7qA e/mzN2SdgnA6ASic1E4MYNw/VTWjZwyQgA8RG4DWIwbo5DW4p7zfCym8TL1ZiBUe+nISTuBp6vzK mTL8/xCCVSHHN2Wz2JkszFJHb/gSRdAewAbTp22DILy3n+3AUeRM5w5455wwl6G1v7sqYwtE1oXt aXFXowf8C60GjB3VuOz2nb2yjO5z9I7VmfQdR3PBIhtI329WOHL6KoiGs6hv86GutJVG4UxuHVIy j5zzhniUOGbssPfO0opyG1fEDb5zi4W7G3Y0RBlX9/NNr+KRiVVZNZ9B8E7vJPrcTDw87Gkn+1SA Ml5l8E66BKpChguabrJjHBodhWWTgy8hMePhYBZxEgx0u4j+xH9MiJ7UexoEwtWZ9H9FFmSghm7C ET+NK8q18mExBdbiJpbGZak1emBmz4HTyBp0RaKNeJPHIHe4NzLPFHF9qsVOi/eQ5XghgZPcjtk5 8rfhtzsKcAY/SuxEXwrzmoiLmPpJ8jnUR9skcPp4KMCd6oWwb7YYeGdOHeBnomf+NXn6IOBAEkWC 0VlFOa0c3uP8jHAW6Ivt3viC/V64N92oNVWcXLsVGu9kcMRTSsTkNGprK5qzcqX3GCffLDBFkTKW pbq7MqcoPS0i8lipUdXfzAjxinNbXy+b3US9Bp0JhkTIh5es3SmawwuDFD/eWUWtInWd/2rmEjOG te/J0+pcu0wAGwewgzbdHgFrAhop2ndXwCKId7dzUkJ2yRzi8j9MYGndB56r+8g1GvqZtHvVPUWI yrvJZXuSlylMeBVBONfu4uFCldz1gZzToF+wFPkPTpQj+0Z93kzaoG0k4Y9rCjbZ+cQLkbDJcHVc PKlZY0IIIvR8voOTsHLe/sTAKJgsNBzm1huZ9s9qV4sUGB+WoG1/La3R7HNJtVCKSAhg3nl24/it un1M0cUyzaZHhXaDVOSmieUD9lHc3q9GIszgipGytbHhC0E3pHNKiSVSIZTWCVReSKeB2nsmiBoT 6RrufKIzhI4Zj2lVf1Xh8yT6g1iqVxxukc+5SixcUpu1VWr/gblRO0ZsiTAuqjsSfTC1gu+/T8sU 26IabWiMe3MIb3htSZzxqv+DEKCZGw6mwtpi4EsKK4SJT3KelEuXaZu7Lr/HVswbzRrMfTUgM/2I Pe0TpvNqyEIFrAHiq7lUCijam/R3xNhRlCqoffcHjdXO+63hSa39ojjNOkvQJUiNB9QdjdJuojs8 sNvLLZ6/TZV0AcIUP+HUIrfIvmXWIK+X5DdhnejyChPDayCkz+erdCqkDCNEauYHsVhH3wSWzp95 yt7hKnqWae05umeF/EevETTldnqzseQDy52mFB5VnmdssHu5+6lJbb9bnjcCaOQGeAUFFXMR3qDi LB7gy1yiI9drjFLLjR4HWaHwtf5CedXWV/yQ0aqHoeS1BJUSzrw418S3+KwN91VZrNVkVtQ9/kZb FAvZvyjLmSecvLDXP8W/PrfOy40VLAhkCculbnLyjeeX11ir+wGbzW8pXJb3fdSjVK+j69JtuYb3 dLZAOv2k6dCONQeW5RHyY8wVjXaQSZCwVZVd15EZkJoheR4ZsyxyDRknpOnoyJjvhI48AY2d5HYT 63Fp+0E/aze/tAYX4dSYkxeyxXMYaiba3G8xPDnN3VNvN8aD806tSVKnou59uismQ8/v7ua88suR o6e4YNieVrq5h9S/J8ItQcQO+2OQ73qO3NiheSTMGU5RJQw4+DIWwSf9MgbHiVRiLP+o5EvA1w0H fG+VMkI+KUIjqxM1fenF3UZqUQh4sTFUjYyhmGUk9vGjEe22heB1gG0NLSbELpuqHsu3l3Tgima/ uq6/qOuU0uTSuvXGIaMBDnA7ZW+rYcDOQOcac8Zp0CLRSC/aHUPrAXROkjROuB7XjVf14C2A14zA L5CdY8W1PE16bvQ3EHBCT8jX4lqagMoY5kUtlNtOgYyjA6rOeqPITt/7/eQSDzlZrEtg+fAAmBI8 gr/Xhgoi6WX/9ZC2DIW5 `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/updn_cntr.vhd
9
10023
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MJu6CgyUu9Rx7DcIJ1WYxtXlEf9M4WTCKxGxEQurf0wS9A8H1bgDo0mC890fMV9dGN8tlX9O0g8S Qo5Og3Qumg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ExWc9QkINx2fRYuZ4iSBIH4TVBU1flfUsD9t3OrI2kQ7DlcI+fe5CuJcHvn+4Eus+9Wj67q3dDNV 9dTH4+uTaBy8FMiinsFEBZgTCvLxjbkS7iDmyXPI6KoCg/drEbxmfK4PV9mTNoUm3QKU9Y1th135 zhhgH++Bd5h0Yysnh1U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 08n8ceY25YWVAFoupqatlCl2rQz9SVENaon0KM72325O4WrZvgMUUROAdmvJAokRNgBam69d5hNf xK5eJgBApza+ZtJFfqI0CAMrdGpYjgWPbArqOYKTurrSxBx8saXjrSL2QFgUZA4cNsCQ0uDVJVqq ONMD/XJ9DxfoLnqhDfJJyu3ZcyW9RhDLzRkQlmNgXTdXGOBg+S4hLOdDuw4duyjwO8Zl35W152xw TySG49Ck4Om1VmF1ShBCjba3IUSK7zs0pB0pu4Y92K4ZcyRcFTZH2Nzwoo2IT67U645QWZrx4RGs 6uw00dS+OG4oSyyos+jKqstWrXK2CofN0Ko4aw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j56kiWofr2kH1KQ9xxDRg1jv14wUx9T6gxwuCzRqJTgwMbZuCIhkYwpvUbNXEhD4SeMtH1aHaw8I HU4/UsUhBGYYINu90+vVVssqtZqYezl0Nl/L02zbNiom/Dcfb1SE2BsMaX/Y0HPVjgmrrOx/fGMe C7AQOpjLh5c81sn4jZU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NJ5es1ZDqQ8NebX7NTnnmCSOJyin4Et3d+KOKh4tAtxiLfoNquxSeHAiNj0Mn2TMlCjLWp3/qGex H5xI9z0f4NPkHvs1NHfEtN/GeliNl7P+BvtaqAGawR14m0bHMQsiASq3wM6WugIs+T47dSQMVvzB PVI6FwE4b6vk9QtADFwXOrsVdXANiM690qPkx78Y5rX9ny+T0xTzkjqTSubBOQo3ncYLtd7f2o/N tjzBXZ9EvIm7bWwxaobF/n78sQ4/ZZBrdpNkgYIOha1BEVmsDbTnNsr7vVz0grGedBz10Fagv/G1 QARm/sMZyl/SJB2IhoBmSqL7CEQwh7gAdf8m7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5680) `protect data_block qZEl5eIT9NHWEZg7a7CsgYvd+eNj/jmFWkXx9V/kL39W3LQ9JT3ElU3FPR7V0E/A0OTVLkJO/1oI WMAmUdXHewTih+z0cwDSPwInrsmVe4GaVZlk6h2llkKC272touXNfFaB977TWsGkFPA9NqzLAuQd zrAKDzRWA0V3G2cvXCMkjvqBGL7ABo3OvvBOs6WaONSMDw7FowL3uA7fK+Ume2dkq1oTrj0cJm3+ 5SRUze8/iydDfzO0uSWWIihfo2FIAgufY1ovtHLnOHXyoChuyfAcqITec0GcQJPrDCsziXrBscQI s9xmfsx1YeKS7bWejvN+MX2Hr8Zx9oCZtKtD506fOlp1pgMqQ8irjagQsNWmgAcR+nsOrXaYNqNM iOeI0WRGXKkyQuTB2mHThm099Jg/lrsI2LxCkUAkRMF8AgKJuvU8DvqBUdqyS+7MYqeuHbHyXqfm Q3ws+UtLNGZAPsYCkEWWXJ+lnj5xsh+CAUAzUXynjIX6IIbJHzfSYunZQWXZQaKPy9T+RKmaapox zA+kbAEPJCwz70jcsCDIUn8FZ5YqdC52Uvwu+7rg7kJKHjzp2qjEacyyIZiWN2bnVhEdvaAihJnw qzK/wttZZIsyPWNHCfclE1Qv7bxcNwpPq4V/Ge++qk4xVaizbUgdKkY4sW5wU2au/3IPs3vIDBIU XEc7B8QOsROWJTPU9GG+KVNWiNmwsvHonbFArifSep3iZtTv4fG8okzjy+TpBIP2YhX2MakOhJLv HEUBZJ3NTreC9MyJIuKXwtLMUpNH3qQXS1HiZqq2EBKPHth218wqTZCnCl2p981jFNHtcyE58ZYY haOT1F/oAfnn3ZpEi/KLsm42rJckwYYyqdiFBYSfN4rjiGRXA7XJJU0mxraDg+qF5MRuhbqzrkGN Juu5ClrgNjg4pK4t3Pa+Q3vAXbP2SPLeQAVeC8iZqqE4LaJrthJ+rcgsbp862r8tLsOz2wrNdmQX qpagrxnSpX/3NGs6z4fAz2MPBREjov/cL/ba8jirZ30XLHFJoPifY5qOxflhik9aDHQiw497Lny5 nsB00u2NtUXPYMAKy3Qs/cSotvwpqkla98qzebrler5bzHUi8oA513c9ygwSHEdDu8v/yFwRRUqI gWghGKrdhRtOdrNpam++y+gEKgIHXqI9aqnB2UaNusy00Zy3NgAoie8DL7Wnw5jemyKuJm/HPkWx scOnfjyyPv34KcPS1wuEsXYzWTLx+LqN7hPJu7zXyHpPp1HXTXAWc0bp9S23Pka/0LBAkgzuNWH8 p7+t6doRb03a64S+EMZasSHTpE6h4Qlm+/DtSyVCWEuCLe5fSSnRzFXodDcMx5oNWAIQi2Mga6t7 GVXEA7meNCo5xR4FdqkLJ1WU7D/whyfSU/K6OlBtQ+ubfmXeL0vw/yIYPcX6pmrKCR2cCC0Ju2Ld ByPY3FN2FgWR3G6EF+dqndfB78O5tkzxR9FdMmdkssmYPKF/eAzu5otak5A2hWTXSJRmvw4LiPxu tYsI+Jo7HIqRLqPTiCPjv/IW7p+byvpe5CHdtLWI69/O8LLI17d+nnRVaOGCvGPyMIyPtyBm3vfW j3Dd822UkNjiWSUcTiih5miwgpmPkniUvpykQLkhggXOjOF3hUXJEX+sjDJQJHHq+pbMYNgnekOU g+5kiuFRIfKlGm8Hy1c/J4yZQ4Mh9msCpzacPuMW5rLnjCzwVqDejY+I5FppX0Sl45dMP5RR6HpH FJMPIRnb9dWfpaDk06/ICK/68/CXHB9zIbBA93PIZQlOFfmE+1UUu95oF/h4nouxONu3iDWlh1vl RvFH3nKmvp/xb+tfGU8pcv7AUfaGmmPEUVPS1y7p+JYCawsFgTF27dYZboAbJrmGGU62kaWNxkNH 7X/YBRg/nbM8v9dWrkz46uokIecHoLpMeWXyUDiJqCxmXj4flflcUNS2hILk5iN239L3FmvFd6gw iCXKEaKQMe/ES87b1PZ5GyK37Zk/TBYb79+gUrcRPQUYUPndZ7+1/vCmJpPZjB8G0G3khbxO50W0 TERbfPcGqHdIv6k3fQwIBm4PoubbxLYeYOgfX+3ZQWOxbJmlhR0XBQbbjojkDK37FtBjXPiL/IFN 2alWE7IMYPyutgNMqt6gO4bhruxY5xxlkx4alcn6AUYFagmIWWdZ8YZNpEEeiKaG6wju2wtX26Il 99oUEEqjyMANupwpLIPU+EMQ8rK+78kfDTYLDbyuXWpWs5MeKLFbUFx1HFIhLZ+IiVgFoswKVfOF WRec7pPkDOA6pxLK7pd9g4ovUkR46XyaGskIBm6XOYCXRv8cjb2MNO8ETgse1IFByYaFii0o3hgY 3VkpKuoDuYzWRdbzUtE7wTF35iEAaYq+fOpOp2qwlNjozshFKIN+/AbqffV+nkYJK2lEbV1LLUQs KmQflmKVjon+sr2EWebV4hu/Cfm7UCbLCybXMn/jh0TmLJlZMcNfZOZQtUNz6FnqiCoekEt6r6oB xkpLgxVw3saPbT0DzCTfAsT/kdiTzzAz+gIL2nMS+1J4qjtuGjhl83FKBIuX+Rpl1uAQYSsIrtHn J0iO1WEfdukKQ9S4D694AiuqxSxl3ZCVWke36hdclziJzozmFKLEBubAblqfJSl8i+ozq2OWJ1e4 KXP5etIS89jtP7muRpe3+gKT4H20v6TPijuoRBSf9JcsXA3I5WaXyzP/nNwo+haRbD4gnNwMPrm3 Hl/31naCnxJN4C9YiZUYntSJCyPFDsWyc06nQQtNQ16ufZI4KBwdVjpTrvY4HF5Ccts6C3RJwvVw 6vil4zcJd14LnBruTrOMzvpTjiN5uKFeSb9ygVr70hO5IISumIpMiQv+q6AQD1wi28nvC34IHaYa VpKiciFYWujffsrj3fAygHhiuTgy5p5FwhdWtGri6wlbjikpyh/kU/meWpKc4z7R6gL82cNXTN+z Aaxu5EK6gZ9GmfRioclXE3qCC9lhYxpjXJ3ck1702xIgtbcG2PVLwGmWIqlbqzGd6rAXk91o9qBU BEx1Rro8tkDR1kgw6b6BonoPUILRbEen4qOqXQyILenaHvyAMjfynAauVwAsdPStaO9244MnLQeE CeQqhkKAhFi5yzhUjlYGlQ9lXCGpkkPPEdNi8i0wxrMtAeHenVlBJzRGwJm0x9E8cvIvc8ruj2DP gwXgwUdP6nmfzSfN9XlCMnmw6YYuw+WXmE1dGMpdYTrm1JGkKHIJzX73/tOvR56TQpMraFCnd3h0 t6RzPY0B8gJlWeb7LDixdsaBk8a1xka5N1XoMGtRTO67UTiQv29L6Nr5rWrV0A8gqwWJag9yXGLY jrXJ/xkcmpdfPksByPzdrNpTNgaEDfRqGVanslWOJ+G3o0T7g1oozJkewpXnCC0OG8RJBTeGq0R2 cLmmKpt2V6+14vYzVF2MkHafc1WvyMINex5mZ0g8bWUzf45k2UrIx4eWmq3NAn+xrYvAxmWuf82b Iefu+9bnSTVJavLP7/KOgX7cYbdFOTE3rF9Ao7GxJ1yyzY0XEByY+MXl/u4kL/2slb4cgV+vsydT 4PkWYe9qW88mWh9CbaF4LW8W/GcClwEMVppqAXJD3pQj2az6C87cEcTBRQTeORIhsqxa95/A2EVa fp9bAx9mkPGoKihnKu6wt6W0wg/vQJe87+CkJ0mzxRFg4fNOjamOPsOAzIqpXubLKXm2sQo3Lt62 4sBPRKPDQz29q2YH/dh86ihV8HpUjWEn2/WdOcisqB6vV7Y6OCT9k/L+NfpanZujtQXUc15JjXwU bV/TbqHvNAIfyqDGxyME1cHnM1zAkm+JRhFmDfyy5XRW3VWCFpvAfFXB7/+xkP+7yvxcAOyZmXMI CXgZ7zEhfyPiOGnpfOM2AJKofbYNIuY9c1wJPgMK1cRrTsupUlkO5StHuAe//PI7LL5yauCWE+sn wAU1IaONGHM9ztNm5nfQn8DeWwiNm78q9ituFP3k+z5GoAP+SyVUbVasTab4TfCwWUFcJVogYyji szu8C7OEByp64ufJPwoDjGHjYI0Cd1rBz8qErZlkuDyZZVHP1NwL6LgUb/iV1luRAySorc5ddgPv feJfiGGePqnDf13WLPeFmRBEfoiXh92P1N0fTVDOL+kkvimQw7o/vu+2ydoX/FjmPQLGiZDn+3NI BQA8opMdFyvyffhNbEYH7Lsa1385w4bhEsxF7b7MdGrSYIlsIwfBg4eCnwl014tttT7ho4rU1a3j S+OhcQ6RuE2Vi+Vga75G5lp+vkZjP1UQNlvt5DqrlHPg7Q9QaN1LA758xLuAxbSMWIEDWpwfzcrI Q9HW1/7jJ4uv1Jne6OkETsURVesaLeitLP7kz5/jS0YLlaeWIAXq7kh0NgKH2Tv8bBhUcXfT7Iui 7JCI6pensvEDmO8YEWPHySi9oNoyCpRF5eqNoieI61//mQfDaPdThmh8x5X7EIxhffSDxoV5OQQB 5OOWwv082kiuggtsn922O0BeUQOJnhqcqRHhRh3jehgislouPmxM23dV7EWtvodYOpCCVNC9f4tF 8EsaI7Dq2Q8uOEMfqgV1NUa+mPD+HtSZgRAW5BFoYudyvCS6MPOs7zRQ/Iu//W+hmyqSdQHiZGK8 fy7+yirkSsXw7L66ehNSfq62e9Vg/huerVf3efUeaairCqPXjAZ1efCCiGsl3Q1hdjDEdBhGc8mm A8h2xlba4E179WwifTrXJA4L634txXXyUgMCirkc4Nc8hJIch2sxM5OViwkyYcOQlKedtLO675V+ pWmSHIagkpVsgzAHM4sZAs35kXxbfu7FdVwM1k/a8GsSH4awrCizuK6IVP00Woxwq7Kx0xUD7SLm qw+uBhM0FNBB0d8eSbZ6zP2opawhPPjiPAHc2k6V5+0Tqm7/9oocNbc5+4sHZrbPO6oh/hl5dBWD 0wqjCDoE5ejS0LHpZ3bKDaSJkWxBCuQmvjhxtF1c82WcXbr78wZxA3B+L0Jq9dvIoopuseTO77Sp U3PrHeM9CAYtFV6fppF/csN04fzGSUYevie88zbs3kWNxFBjeasDg9/1JsmlUJprEqjztTcO2sXT 80VCIqqzi4Gj/8MvWLJT+Dye3w8CceaAYpXuDoOVgUwM7wRmXPvSczkgXhNqUj10RRFvYObjy0Rz XPNMXW3bG1a0umt1gZGh4DcYK2PVia2Vb88yGvlAB95OctNqsk3VRLDp6OoK+tIkrxXkZPgMXKlI SslxWRhExy2asMwCwTbNM9Q6Qym7zcwPWgqS+1VyHA2c8Ly/fJO8Rr88YCzKphc3N7XkaZ+VEx+/ jyRyVnOdE4LRc13Uw8T+RPf6IT5zpSVn0xBvPQgG/LrC2Y8wEgHgXqiNkqgDX9iyEeynv7v6RQyU ryHgAATlZ7EDBa72ZjocZUhGEAfhjPSaXr2VdagoLVUOWg3CP/axemcQjUjws6wUQhog0lgbv7ev W3iQuNpg/Wm6a9BivyiBWGlHAw3QfZycRSaaqIaFnS7YfCHNd0qs72ZQJpQl4Adhz8Mbe7i1k7lO 4ZyESwRHM7Fw3uMOnmEYHQEkcPp/VWgV/mKCbBiVyYZfmjuLYWYVVhFRpUPF6ajMu2BWo3ogBjQk dFLdr74bVVaZZ/262I9RgU5lAQISTd1vx18Xqo5XYfuZImXcP5F/l82H0gMIf9JGNneTKa2syWua Yt+JkqjzEpVTaoU3IL0PDYxAEAegAJTYC8U8JvDmoJp83lnUXSpDPf0522xiISmu9zOJ7DAq3nTh N3lmMiQB39oKZ3WB8/8zLdJ25JwEk+Nt4ftC/cpYbrOQAPM0RBSNCcSzFrElhf0yNIHpRDRG0CHI aA72TrxBAPM3GVmtgu8atSxU+NkY2eraKmwrkXoS6uBjVxehfeJu8xBMwgdyvur/oA7y5YfHKL6A kCXD4tSBDPFz9a9Mwwuy9ID2lEwXcx0U8xteo2Mq7HVtZS+BQ2D3CrAWFbelEMs2/6XJYJG5rzl4 CK6pM0NuXgX+QlhaVZN/pduqYhdojpX1gx0NVEIgVBfHujBP9z57yZjMPEUyig092t/0eelPmuVK D5Yc9nvX0SyAuISJ3Zw+fvGxV4HhXp5gyPiOQXyMCdWm95AuJfuuOp0nR1WxtnA2sWZ1IOwjk8+e 8dOgnkRAoFjGAndtnmggV8MoxUuXMWR9TasNReM+b01ABXd/wFNvjLrYEv835Je8R3d/rmXltj1Z Sv7uLL+exm/jlQKvOoFAxuyAHqRXek7nCU0Lqhxpl3K88Qtcap8sNTKcd9ln6D0LefP80AdU5d6K 1Qo4LFf5r44flaErOCwA2BrQ5napbhwFcqao2ruXg48KE4EQgEcdnEAI+Ko4z3lyv5XhgqfAaO0c YZL7s5J4H4D0upE0QXLV5IGZG5AWVBhZ4Axk8GuueMrsFlJf8C5/bsoUUdcZDk5aq+EavbkS1VEm rlV6HwtLgVYv01Ljs6alaoudkyHApkxE1y0S9J6u4Iy+jkxbwTbSCckI8g/cAOoaoY2XCLJi93Rx 1IoAWRbMrPKd90bF4SUbBPapSct/SVvNjNcdGdQOp3Ca5qU2rvXvEz6EDG2t8R4u0og7J1SLslQY JbbeevogOGebk/ADOaIVp673lZ0q0ET2HpEDLrEPYETFNXCYbErL3U5d42cI1INmhGKUMNLY3E/O F5avxP/oBYV6WIIoBdWbFqs9opD5IcVvBHcQNs2b53KWLfxEvd4LduqgKDlP35Bh2rV3b+wGIu3i IEZGh79ZrtNu1oaoqv7GJZwlDriYecgRysBAZ1JxXBnB/+aUt59tytgADO2TO77QR6MyHknjnLW2 1rCop9r7m7Z36FP+FQlYTsl8Vuf4yIfxwxPmfjrI0q8eqJob9YQafmFLuBqlMXO2HLTVsq5NgyVt LYFyp3kop6Cq5IUg2j1KDTSxgI0cSDAs05OYkKGsswDwb/6/u5uCsVnELyQrbXwwacpzs8NfB9Ng UxXxelICryUObLJ379p22KV0duoqK7RqkotmXWuTv0e/Fb81S9FGyjKiLmGOSAjYoZiDEz+fTLe1 tXiblqcpp8qOoDTpUP0ZTOo52HRQYbFdwA+8a1pPsjZCstuqhlFUuvfgc6lZpN/ODr79EYPy5DDe OUWTYu3JBxvG1ql+KcrWE/gbhnTbaOmUqxr7VGv+uboVB67LLIncoh7TIw3xa/DysrxgA2FX0P0n QbaAePBErxUOhKeYtaaXOzRDeXY7AIjr0N6fLumE024fToSiDyz1hetXNdjHI647L1Mmnv9qyg6B 20TpMOfn4dANxCGjBeL/y40MRzBK9cPx+GHPHI9n3Y9YEKrf8rZyCBkB/AnfSap8d5Mh1oOr+CEu Pqk6vBDWuHiQlE0fbI7eMqBjYb1Kge25DDbQ+Bz/2/c6liAkojHEZ8uANQD9GHPLg/i24eqrpMvR A8wSWgoROLEA35DOFTVTnpb3j5rb7BV91AAJTRELu8fw/S5k5p+zSEB9Hiy9GS0ywLc1D3FGF1TS jnoej6m+iyKhTMRZDAgQ7wQFYW7KUPx40DZ7prbHo40/nRE8Gw== `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo16_patch/fifo16_patch_top.vhd
9
11515
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cPZ7+eHDLjmNfVUj8WvKqXLAnPtyLm0s9aYSxSzHsqbVkwztD0TQZP6rapbcQM3whT4sRRe0Nv72 4hG04ccfPg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pDGgn+8ERDtvyySodmphmnLIurqM6Ql+NZFaA+4rCgAMlfgC9XqIc5lR1N3M2RxHZcDKAzfijmgq wUVBrbuleWBsgTB0E9cQb1vaYOPRfnUmsBAEMlSBrOybJO2x97XdjtnNdx21f5BsMSmSL99k84uC D3w/Q2EtG2sVqfsQ0Uw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ux0E7ic7ddrM05MHFP6SN01PL8t1fArCWREGsYjS2ONcF2IPi6qGxKv2j6Bz1/ksI2IdqxEwhMND Xwur5QkftdAoX842VLyoS+RhDtjOuzxClBPUN6Vp5PhuRj5IeqN65zqr7zc9VOA1EmoOY/R8fBEz Yo5b5k2v7CH1jlqgBhYliwBu+iM8gR/saVfjlxNHAqq9lCHEmlTh+cLc7U9e4drEHfwIEzJax4pV 3UJYu2YsQBbZsIHHMUvLM6+80Ox+YDCN03PRmISy/UeZ5/ptqjgXOo4VTQz/KgxyxlOsplJzflag /DihISoV8c87bVCFlaELwfvYcWhO1XAm5g9qhw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EYV2fiDAQh47256HEG+lsKBNLjtKVHQOJbaPpVgeYOluFA28J//O8rDaD+o6fukMY9UOhT21+W74 eqHFBt7pa0zrz16/ZAQqs46TsLmKJqugsbZtphRz1JMU5+zfR/c9k4K1/CLB357EkoC+1fU3gPxX pYsfqy24jhagQ349Nkg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bLJwmBFChIRX3l4b6zFqLjuzCEYMRmsSJsdKazWL8VLHQck3Qbxi50EZ4DPvqyxFcGnmBE7UdkS7 4whktutIv5Nf1TltNTuRrHFt9yCzZZ6BdoFh+UCXBHV7BgHaQemox6pN6HmtyrHs/jrWfynM8H6t pU9SNjG6QssLUAwOZXNFRv/EjDI33IJZniMh9ZUY+WTbOHlRmddCkAQ/EToYAcRPx9uv3iXiInys pN4Rxd27ZVxvkywvgA5u8skaQRlT206DpSLUGlrIRAaS08vPKOsqgNQdxiJRL4d3ATCXWh3AnfD/ hm0Ex7zx8yOCRtwA7vyU88q8ARmDTL+GPaSknQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6784) `protect data_block PEdyL/6maIPEePxPLZVmqWWjenOPjeFM0R0RyvI7Avm7KfW53geXamljlX/E066mv+Vp43jjGrbP 6MK5bjJ5Tog8BU5NYsSoIhhS3IaQpNBaNKkRqkHD9cFHua0Z9DJ+tQ+RUCUed7RBfO638ZkoYAIx 6mj4CJ2AOYBs8LX5tkj4kzo5OzeefCdaeeXb4BDaAb35QCyFf1VYbLz6qCw0Y38Jz6H8ixF2iHir N3zEuoFOUATKeJRoMdlyElzw4yBC6DB2QuFwZ2iT4tWVstEGGfCpWJYZ93LtsqI2ljfAHolVcavQ OQj0eA0aTHwuoWGs7MxnFDdLwUaXHVm7E2kq9M+H2eM1BzRCcxJQhP3DE588qJO8fvKeVC+mlSZq Y2xN9U1iWa2WscgSu/W/YGNG1rXN4IxYGEr9fkTOO9ZCYsQLE7ZSQBACak09TOlmZZGccR0e7C+9 CX/tS4bIHAImn1p/8lTqA2SadcT+6Ji855uRd2ES0/1ANkt0Rcj7cXO8aI4TNaBdwOAZEogH0wGG Qcp3BIfXzP3QPA/gzRNHMdNijuThRgWX4Ym8AEmk/TmVjFT6mM5vbrItdHcghcq29DMEQcvqwXqE ps7jpn8lwBdBOH31mBE4jHHCOVEsUSJdjaEVdxRF2P9xxCQcXiZkysgP4QEDe+wgJh5THzuPY+WT biys+xZVaCYwktbEL9Sm+Gv+K4lV70JOdkd420hCqz6yyBEEaXjqr9QPRf6aSHqwuKYgVLvtamOm n4GfaEzFuXWM6MqrMyEYfn95PcfXxoATkE467LLMr9Qh517BsvyhvwR/OYsX2xD8D+tfIiQcQr3I kr8rzWMXbAEecOn9Y+G6bX3HxstrA6LXkjbr5ZtLtvbLaRV+Yb8cjb9JugjO8Ct1xv0T6hPmgdEB 6cQh5MYuS4Gj0YiDyH+HW3hvgAxoH1FeW2bpWeuE3prj+a1BLf31aDkYCnOQeGnp5DCC2aYeHyRb do5k0UCpP2c111HCXbGi1pbOssYtAUS+cZkrKnYqfhecH4KPbhcaOjWnbFXUxnpys4wdEUBt4GcI mjgLWwzRCP/Y0f8ZsPcVAxkHWTOgiChK5q6aq7ukfZ2gOz5uJDA3vbs5G71LpOAiENjpFgvBPSEr YkFQDBQRTi3trv1t13kpc2Wh0z6BKkOoGNy7MrLh7fjBwcfLSreedj6QQYA25iBwE9ziLxJ8Qmhp PtA7Woemx8KOcrE2n/FroEeuFZb6T0jPJR25tCl9eKN2yPL9hkULIK4AExufyIXrniKyd6J3MJfC M5ZkXL+N8rCCgE7+hNJS05jFUVgbYFmSOYjhlC+UbBFhRSVrJHSWbkUbtxQ5o5rsb/ZpIJr/9sqq p5nUof6wQJhiMtynL9naksgymIixH4H34gPu+MnwDpfDrUctvwfXjZjyUZXaVSOYz9m/x2IZlkKV O85RktPNh8/p0/kL61jK41rAtzDI3ToruCxSnlVhNSbp0VqoKKZhuYcFaDkI8Fiha1gnBnF4kuGc xPOIoktvWMB9Ibyghl7mn10Nuh2MDEpZV4vTfvxFmlnJynjA1dmPNW8//gqIi0kEIbJEIMRdR0Y9 HGrPAgl7TSyFiR5ahxsV4UzWTtfWJBQFnNyqHieso7CQu9BHxcfKASof4Hyud+GCAlLchtr+BLah xgw8ghqmuMnCsE9BqQIqVmZAwCw6HZwXLp1eB3dgxo7AxjImqeVu4sPM0Dnba6opstTE2JnLA/Lf C1fctsbp9JxiHPDT0Urr3HERZwQvlycFVgPVdFJDGLtDAIDa+F7NRkY4HU+cM5X8Sgzz0stjTsor e5B8FKCvfBCKgcaokrk/suwAykCTdhhhEB4LjQCZ4pi9TVx8ckUAUKqcnOVSsW6F0IL3DJCUPLs7 zS2NmPKQfl1rO8PW/yJKjTqiO+vHSj4JR6LRyG2ykCKbUyH43id1Lf4zb/0Xl/hBmhcspzQzDwB+ GiTLpg2u5huFOF/wPk3lOhSUNskoQigNlTjwLmrvEgUn+qpPUmph6C9uJaWVQQuth/mB9ZGpOKvO fsAgKjfPg1GxqvWS6cWh+tmB/+PrmZ2y5miVRPyWMfXQwk/mzemwtZPjK3W5ap5dpxAjiMqWc0uG ybGUaaLbnVSGDiusEISCVf6XbKlHBuvZQTkZE1jj+QU66OmMVYry9j9k6eqXYRYWoueGqtssBNYS UT38DufPakGpJZtWcArIcTun5pmSOOan1R6FCfcIROQ08eMxNBFESMKd+rLttFOUHZtTvrSONm9t Mxsb9cEOiW8YlNDK0eXCK9J8YGu2MrV4/m2L7mkdyvsB29Jx4fC1ncgGtOr4jpUvCtJaSjZAIpqB SkeSoaI5XoMh87oCd7fAdXCqVP3FfoqRY/a20mDhowSTW9ctjrbHSRyCHduwfXdjUOjWIzZwlMEn AuIwVKAqCzG9vt0mFz1RO2PjUJv7WqOEczJP1qItNagmkFsnRElnpBNukP+Rqws3Qb0DikNrpHEu SoHWLAP64Pa5YM+PxCU4FjSU7MEsQByWivAU6mbBnfb96pReIsuvVwMj6LccwLpQ+msm9qMQz7p2 qggYdeVgQGAunjdg+1XZ0z7rJ9aKfySzISCQzXHAdvoh0/smqvC02gQyWrDTxhe1dM+dNnxhfwqu P6cR+sO7Fa6ftQUwxnp0Q67XRAfgbP2G+fFF8fBFG1vrGCHdyVnzD0pZWLmI2JQP+eYUUkIScQW+ V1hrVMOxsHojud/boWJKpH0G8kAVvt5QX/ZIE7XHmwGlapzJgrmbelvzMKM/GeT9iloLnYvT6cmg 8es3G46ZMX3nUIbTBF9JEHfy0L2QAhav1L/Di0R1Kmv/asoc+F1OCLwIeBkTry9RMMakaChU7FvZ UfkWD+R/UGGzjbnalC+q5M/Nslk9fDQufONjHxsLSVWJEzWNunOPbvspyGtXuVO4HEioWpg0S6Wg Y0hcDY/nVHUjHaxE4zZlqDNLUpudOD40cUFXktzGh9cFg8eCQF3YPlqlWiHHJ8Tr71lErZ2zKiTV pMqmy0SAxPt+o+1fr8SVXZdSseCDfwudM4tftNcxpxACMs/ENcSA8A2wKF0DM47l9Kc/5AN5Gx8f 9cBmmtqh0Z9LC5h0scnGEGfnTJ1RDYPFvlEZyzEh0oi1vv0Fmbon8dLIuPY8pyD0Pf43uKwv/SpN hD+23FZ6jcibBlqVd3PJ02K4kCDqQ92J38AM0xM3N5OQX1l88wy6AWj8r/LWBOiHUCpEfR9T8GSP ZAiHgnwLxAecPtyMv/9IitqfAnjFNhVvj0Md6bqLYyY0CkK6MMHe47gP8z6nI4f/Dm7MxdtVkHGL VBHy7bDJCvyyDr6Rq9KcXQ5cjZ9kouRCHnaMbJ9EMt2M21tgXHRqojsyzij4/oFKXR8wNIGJBa6U Rx+4s7viB3ud5h7vnIl2Yb492h03CWTIs/QXvvbUfEVSEkcMsJ2Fr9NMYyN9EuqtTbDND/bCRSs8 dkBQyQk6qBhSUgBLebvt6kWRttKvFXMab8EXBEciB4h7qfxkNE4nIwB3bn3OTeS7j6Ggs4u3rA6x lpAkGrqBAY9wyjOlHISBm/AXP+iC48iZ3sRPB/IsomIAZlVfr2VmfVOUT/UZ6fZsHAWpngzxklYG L0nEfU18defgbUvE5RpPSeA6msEGEcl5B9m9cFfXhvFEvjJaXSZTvukD0ZVyQsffpj+v+RFbphwy 9dh4L0yYbVI6m+mFHLy7hFjQbuswuTHUx/5bxpwPMvf7XKdbk5fRY8ya4er/1QfroVHujdTXskSI yVLhiDBlO8gLEs5LwFQ/IlSCYKI5q3nqxgAYV7jAJ0ol00ExL+C7hcfCwGyU2p3iKPBnnuFZlhq1 2e3FUlmL7uNETOzIajpyhDJHECU+gP+Xp8O1m+lhEWcCkwEHMxTU/cqAAvuLrkzm/M9tjqcbbQT0 FjhRYBfVERNg+d9EVkGnoSXlU3ipQR/LIlelSphgM6HWxf8aQE4Z0JiU4GqdxIvgjDz3Hwh4h5ho zrl+4xlH0EECxuifmkTD7B8ziC1JwqA8684/wxk3sa7+Hbg253JZNB3ZlFiIOTDnw6B3NBr1gVX3 mCqTA2GtB+dagm57F9PjfltWIsGPF9/ZEXFfliXtZ5fnkhqLgj0xvaPKxtFtr3i9sClTbsLWJwyd Tr1UNxdxxiTLjaQdsicg63bnr2wM/G7n/peXB9y62LaW2IGvSanzO8kVmErlOe3xYLUO4rzvEqWz H7HrmGr1lLMzcGJzJsZAa/5IgYe5zDhG+XyYOia/Of4EFgrfK+IPr+9nXOxuFqVCkjD7RQGnlOHC u9LiYcya8qXut+w9j01sxiJcNrpZLWLQOdLW3nT9KeY2qGoCraW4vEnlTl0cJdPzoaOnJjPwONNa efquH0nhXcw3wJg4T7kXuRYJNvSOSak5oShUwGW1h63kAxt10a9cqlzwRyZX4NiHqmxpfgICr4nY TAqG5guqTTiungLcUWaOlLEUOklAMpOjqn/EXHcpAqJpLqdoO/i04rUSOnh4MuXmWlOzqZphzjUg 15ykoo7sp9A5kG7a/3cd4OZpBP0bB3CBod2b3ia/rX8VNbKm/daiCo5VAuONSxBp3pLk9dG9QYj9 4nMrGIKLmJJhNz+Jl2SbJnFCmJRAE2gI8A7gDGlq+lQfeN7tTyN2eSQwdCGZWSnRd2NdYlHt4m2z cNXyzf6p0YxZcXXtQHW2XAKuoCQUTb6zum/McHMLsjvExPT3V2PM/KmbQ3Zj5j19u52PYFKsdiTV /X2aSUwDkuv1zIQRLIg4A9dRA0Yn1CZtpRmpWPKFn/V2zwz2OGFKw+BeoOl8b3ksok8Z9hy6GlmQ JBEqwaNmSFfJelviKYmj/IxGIIlDEdMFZDCK34yAdXH/mJaVMA79Y2y1jwEMv3dNIb8+1gMUkJb2 OgNixWdFiJdkw1NW+A4ZiY6D+wYSwGvPprsj2RCYUwKnBmIiOoqI/KC4dNzF+n07SX666bMRLCUr gFBlT/tkDnwb+Dtwyipb3eK3Gfe8XVXKCUDrKqTyi6xg+971DVjUTkyetCT4L6c3R+pT3CeaKa68 RWPl2YAe1PS3rM+S0r9fRKL/HdFNZkgr3t6EmoijEp5qi9Adbv2DVe/Y2n0E9ROl3un71G1bK8mE Rut2hUlZBWQvbp8jH2nC0zxcQbtbhef4pTwOg45KMEZm201Ap0UCZFBMXo04OxbDX3cOH7gy5FOk iOsD+uzVosIk+WXbytlaCe7ljRjAPmTN3YWtDoQLOTnBluCva2D3g3IDNsM02DtZXd2NWn9HSBup SSabOG4Sgk0GZ/SQd+CObIn7IEvxuI8GjVgOAFHnNa7nlKRlDCJf8q9stBTBcmzRoPN26GyNLb/0 F4OazANUcD4p9W9cmDAYMYwB/j2PH5/IhPmzpWxqz6ECRD6/bi0vhXY9I77XDBN/vSrDb7wbUkRE +bQxDfwWY8wjCh51iyKHRr6Yilh/hFKl+g0XjXzhHNkb+IDmLzN81zQMUZMEialcTWN7GK8CHdSC n8TMgOZH9Qr+kuemOV/JPxDiEHRva2VzFGGd/FyX95506mo37FznfFp4UNWvmWwmyMOzeaiO0dYT bwAQGtczR1VTuCSRATiMcmC1/47midD1uvGPdEpKCo4ZSjTMBh4LWt3+KiqMLwdLeiB/CsxplPrt kW8XCVQOli74rgnvjJe9lTb8HmeVsuem5C+b8iHlDfTJ5XNAMUVZTIxoq7vtP0Ics2QaXGGUd0ni oRCd04Gi3USFoP6jLs1nLxjJVbrDQGuXRqIF4JmQHq2MCaUxylMCMSJ4HvQsYMR9gqd3pcmNiO2K Tr8LdE3aY3wRbPiyoCg01vfBeRqrcQd+ktPwsEh/uxdw11TwS2N45dR4KIg2Iw3TiDSBqsArYR3V UCaCLGM4UEQtVfHcfrPGGiox7VTUzlxXdpgLd+S8lq4y2XmKLjvVik7ux5Tfu+fbOWlIKShIV4wT ByRiT/vzulEKHALtiF62XRJSCw6sr6E9qIOkz/kXnUS+AIC6xS3pKRfSpLGL/BzKaieNh51UUb7E SaAAd96bBZ2co2+jDXlwJWVBOs01atSndvMT9qTdpHay5U+BOisiDgA6P3oHlEFxAn8R7bAXiWao vXkWvXYbJHzGHZ02QNrseVbFCXrauOUk/EMjzlEefmZ4TdUXA+WfDrcTebyxnzZod3Wwplqhe3Ao tB8LU1X5V/8TbxoIXRmsuftB7R+jT2J4nHQhrRfr3V3j1nbTu3oe4Bvv39ILXsRzSXCSw31FR1D9 qYFo2MtrHsApdLCYulsdmYpYbQthYSkGoPKWJVW5fJSQaQ1AYGoxKfH7QEUEHzpLk4KJ3RO/HEFD bxUd6mh+eHURe2IlgowTa2+u+zMoSXzvMIbytD7JrPcSKzaD6IBwPI3NaME5196soOCYbyVJRTG7 jAAhoKAwlHky8qxWUjC8Q02Zq7tzeQtSL8rQgqsCp9qX0IfmCqGptUcwuCeo7zNZSbdt8DI8Aap5 R/ftzmFUB3NWYt8kD9DOLdh92gH2oo1775FYid/1r9PBrjq2zG03qScrDtyKNQQJuAgFPSyfCDZG q7Y8WD/NnYmjqXO5RLNNx6dylaZ+I4sucKNqOEDBdLQEJ5XWbz+D9Pl4cr4pUPVXzpqEgWFWi4pm hLEO04HdhvMo4RZLtAm9KagQOGUn+SpPffrWKU/KTC9tgLGpQ3CjOIrJwQq2EyjdzfrcCCH6VqLr rg3fWy2HT0yqVFz7psImm6FKOn5jAcIFuy4JmHCiUcM9AJrkYpS0RKtSgMWpPexFyacZFt55+zFe U2H6Kyrfftlei28Unksd0NkRC1CfG1yifB6Mr7v9tGPOPdX6YP5d6k/NMvx6XVs3Z+fJYaWEsT/q VdgTt/lETyQgq5wPM9LL4k1u1i6qMU03eqPIGLJEKKtLD9oEwfJVbLa/VVLgaZnOVZkYoe6/iXlG PGshQA6J3t9QupMWu3UerI6GoRumgauUBFwl+MP3wXDMl3WLdgU7CRzf9H6DiB0dsvZqQ1WNagIn 6tgGjURPK3bnCEo6woZhtB3XUDLj1x95053BDMOXPj6TUMbGYWr7A45RTGE/NyWbd8out3CuqNH+ u7BjQzsMg4bud083s1GKW4t4TOaWu97mOYMtffAj3RsuOa/Hi+vJUNzzMiNVoKl522e7CrL9cshi x3CPLU6j4r0c5Oq2bc+DJbvzUum3Wf3yURo+Kn061YVXLqY8G5BU04pD9pUrKoBGtTCfoho2LEyQ mDCCxp4K43y0v1a7kY+dHLmlaXJzlIAeHiY+QfDbJ91nSg2F1102Xod+6gZzgYqCuFlgkrjQC9uI 9t7R6kZAdLXgiTpaARc0sHzCsfG4Pz6QvIb9jom1CsgtsOiOssDdupwgZIRYDCn2+fjpVbDYq8bI Gb3LVOUGlUszObX6vPAgxdbQ43RuVgtO27HIDoA3AF8mp7bcFqxti6prdiofohAdu3i2mwyrCbcz o1ejBStY+0dRQlRvk/tLjfXI+DIGJ0+HNR6Myg5fSFdsJiXppSIk9UVUrdknEmKNRhiQDJ8rvPbX Zs5D6nCEi4A/b3Jwf0ub8FzAhFHlOIIHZZs4Cpw+gM6baeuVmSaik+x7KD+MnN8KcF1bGqwbO1rm c5LdG2Cx4HHmv4saHlYLVtPxYl0qvRCSYF9UqdfSd9JOwQrD+mFHTO8tFmtWNCVWn8+3zAqH00hB snkUMqI9Y2NofFs3SvSNZjH8WZv8vPiykGPjgdHjkVA1fo11nOShVCgxKfrLUBxZDxZY1Qn0laCS Qu3PskD0pIVxxwfNDsNJvZJiHXnAcoyqAjCcM5+caDoyFedItU1CyDP1uLM9pZpOQEtAPhT+zhCx aXzgl4ZYwb18Pid6dunWReuNVlqKnVR6EpyKUZjEdP8rze6kCg/Aq+uDBXwk3NxBmZmrgchP9Jzr kX84J2roVaM3gsO260s7jFN2KDoVS5lLPsNslcBisjxp6d4p//Mqwk/HoyVVjGpzuukUDI5CKphQ aufm/ouFIMsbruPYKa4fiH2wKuUCCCnhBAXSojD6/uWC1doyWtYH8ofC+tqtLKF7iJ3hKVVQl1Dk 0eDXMp96PHrCdd9Ffa/H6BpCY1ggS7+JGIdHh7NW57SR9gB0oKMfyLstLbiw2Pi1r8HnGgusxBPq CVY4CnKEMvV5pRlpmgaMZK8+vRNp5AOsRWYPsHdjohI6aH/p76xBBJ98OsLkzwlX003QLdMmSx4k Ss1lNL5cHmbTW7mOxVGVgdyQoKop+f8kPDZwHO1J1bnzjEAM4eAW2Io+IO2f8uCzotaC8Aekeh6M D1Y4n1FNa1AE2byTKygOSrHTSd7yn7iU8HuMiZG2htm4vUqupRBtO4mxaQy8Hm/GdiHXKk3PVYom rS2CgxHCu7jyTd95hRTyzVmmd4H7dnqwHUdunTYajs5d4V3iKftx5Hg2DBb9paovU3eg9sRS/p4E lnOwC+CpHk3pB/qbwIoVcIfc4EunPjXQwaSk1AFhSnW3bbQfgXkl0yjQN9rt8+W5br45u2nt+WhT QY0oGkPdEFLBjt7RFJgpQb9Yl3SZMPWyLrf8JHXb8OVct1lzzaillGArlAhDVcW/FDLucarkoyO+ 9WSsG1ZUtwiCgqzJzX+bEU/wNlzKMb4LrainJmTLzJPRf7RC85hdfJLj5YwfmPsFGb3FR3KxTZH6 QJ0QpXh/T6gH99YR7VnuJCBw3ansH8uggGTgbY83OE/5coStSGemyPl71Yk2p/4IaIrKOICVRDAI HKgESvymHNwuXrbrjkUyIUmiGk+ox0uekFfg0qwBSUn8wfGXmhgolyaBwwXb0sV7GCT4oTAqs/3n W/TRD/4LfWJmqnpUUcTtWmtLYaqmiNfDkcVNSZAcjgkz0Bkc5N7SwnsQLzinfdiKbPDdASQoOz9t yfek2LVY26X4iKn+0ObnEPlX5+cAvdULJ599GHsJ/hA4J6WbRrVssN1B36o6odcIH9W/d75FFWgo Zg== `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/Checkers/Modules_with_checkers_integrated/All_checkers/New_SHMU_on_Node/LBDR_packet_drop_checkers/LBDR_packet_drop_with_checkers.vhd
6
27011
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity LBDR_packet_drop is generic ( cur_addr_rst: integer := 5; Rxy_rst: integer := 60; Cx_rst: integer := 15; NoC_size: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic; empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); dst_addr: in std_logic_vector(NoC_size-1 downto 0); packet_drop_order: out std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic; Rxy_reconf_PE: in std_logic_vector(7 downto 0); Cx_reconf_PE: in std_logic_vector(3 downto 0); Reconfig_command : in std_logic; -- Checker outputs -- Routing part checkers err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot, err_grants_mismatch, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in, err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in, err_header_not_empty_packet_drop_in, err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal, err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order, -- Cx_Reconf checkers err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, -- Added err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal, -- Added -- Rxy_Reconf checkers err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal : out std_logic ); end LBDR_packet_drop; architecture behavior of LBDR_packet_drop is signal Cx, Cx_in: std_logic_vector(3 downto 0); signal Temp_Cx, Temp_Cx_in: std_logic_vector(3 downto 0); signal reconfig_cx, reconfig_cx_in: std_logic; signal ReConf_FF_in, ReConf_FF_out: std_logic; signal Rxy, Rxy_in: std_logic_vector(7 downto 0); signal Rxy_tmp, Rxy_tmp_in: std_logic_vector(7 downto 0); signal cur_addr: std_logic_vector(NoC_size-1 downto 0); signal N1, E1, W1, S1 :std_logic :='0'; signal Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: std_logic; signal Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: std_logic; signal grants: std_logic; signal packet_drop, packet_drop_in: std_logic; -- Signal(s) required for checker(s) signal packet_drop_order_sig: std_logic; component LBDR_packet_drop_routing_part_pseudo_checkers is generic ( cur_addr_rst: integer := 5; NoC_size: integer := 4 ); port ( empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; dst_addr: in std_logic_vector(NoC_size-1 downto 0); Cx: in std_logic_vector(3 downto 0); Rxy: in std_logic_vector(7 downto 0); packet_drop: in std_logic; N1_out, E1_out, W1_out, S1_out: in std_logic; Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic; grants: in std_logic; packet_drop_order: in std_logic; packet_drop_in: in std_logic; -- Checker outputs err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot, err_grants_mismatch, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in, err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in, err_header_not_empty_packet_drop_in, err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal, err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order : out std_logic ); end component; component Cx_Reconf_pseudo_checkers is port ( reconfig_cx: in std_logic; -- * flit_type: in std_logic_vector(2 downto 0); -- * empty: in std_logic; -- * grants: in std_logic; -- * Cx_in: in std_logic_vector(3 downto 0); -- * Temp_Cx: in std_logic_vector(3 downto 0); -- * reconfig_cx_in: in std_logic; -- * Cx: in std_logic_vector(3 downto 0); -- * Cx_reconf_PE: in std_logic_vector(3 downto 0); -- newly added Reconfig_command : in std_logic; -- newly added Faulty_C_N: in std_logic; -- * Faulty_C_E: in std_logic; -- * Faulty_C_W: in std_logic; -- * Faulty_C_S: in std_logic; -- * Temp_Cx_in: in std_logic_vector(3 downto 0); -- * -- Checker Outputs err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, -- Added err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal : out std_logic -- Added ); end component; component Rxy_Reconf_pseudo_checkers is port ( ReConf_FF_out: in std_logic; Rxy: in std_logic_vector(7 downto 0); Rxy_tmp: in std_logic_vector(7 downto 0); Reconfig_command : in std_logic; flit_type: in std_logic_vector(2 downto 0); grants: in std_logic; empty: in std_logic; Rxy_reconf_PE: in std_logic_vector(7 downto 0); Rxy_in: in std_logic_vector(7 downto 0); Rxy_tmp_in: in std_logic_vector(7 downto 0); ReConf_FF_in: in std_logic; err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal : out std_logic ); end component; begin packet_drop_order <= packet_drop_order_sig; -- LBDR packet drop routing part checkers instantiation LBDR_packet_drop_routing_part_checkers: LBDR_packet_drop_routing_part_pseudo_checkers generic map (cur_addr_rst => cur_addr_rst, NoC_size => NoC_size) port map ( empty => empty, flit_type => flit_type, Req_N_FF => Req_N_FF, Req_E_FF => Req_E_FF, Req_W_FF => Req_W_FF, Req_S_FF => Req_S_FF, Req_L_FF => Req_L_FF, grant_N => grant_N, grant_E => grant_E, grant_W => grant_W, grant_S => grant_S, grant_L => grant_L, dst_addr => dst_addr, Cx => Cx, Rxy => Rxy, packet_drop => packet_drop, N1_out => N1, E1_out => E1, W1_out => W1, S1_out => S1, Req_N_in => Req_N_in, Req_E_in => Req_E_in, Req_W_in => Req_W_in, Req_S_in => Req_S_in, Req_L_in => Req_L_in, grants => grants, packet_drop_order => packet_drop_order_sig, packet_drop_in => packet_drop_in, -- Checker outputs err_header_empty_Requests_FF_Requests_in => err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero => err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in => err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in => err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot => err_grants_onehot, err_grants_mismatch => err_grants_mismatch, err_header_tail_Requests_FF_Requests_in => err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1 => err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1 => err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1 => err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1 => err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1 => err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1 => err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1 => err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1 => err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in => err_dst_addr_cur_addr_not_Req_L_in, err_dst_addr_cur_addr_Req_L_in => err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in => err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in => err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in => err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in => err_header_not_empty_Req_S_in, err_header_not_empty_packet_drop_in => err_header_not_empty_packet_drop_in, err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal => err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal, err_header_empty_packet_drop_in_packet_drop_equal => err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in => err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal => err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal => err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order => err_packet_drop_order ); -- LBDR packet drop Cx Reconfiguration module checkers instantiation Cx_Reconf_checkers: Cx_Reconf_pseudo_checkers port map ( reconfig_cx => reconfig_cx, flit_type => flit_type, empty => empty, grants => grants, Cx_in => Cx_in, Temp_Cx => Temp_Cx, reconfig_cx_in => reconfig_cx_in, Cx => Cx, Cx_reconf_PE => Cx_reconf_PE, Reconfig_command => Reconfig_command, Faulty_C_N => Faulty_C_N, Faulty_C_E => Faulty_C_E, Faulty_C_W => Faulty_C_W, Faulty_C_S => Faulty_C_S, Temp_Cx_in => Temp_Cx_in, -- Checker Outputs err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal, err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in => err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Reconfig_command_reconfig_cx_in, err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Cx_reconf_PE_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_reconfig_cx_in_reconfig_cx_equal, err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_not_Reconfig_command_Temp_Cx_in_Temp_Cx_equal ); -- LBDR packet drop Rxy Reconfiguration checkers instantiation Rxy_Reconf_checkers : Rxy_Reconf_pseudo_checkers port map ( ReConf_FF_out => ReConf_FF_out, Rxy => Rxy, Rxy_tmp => Rxy_tmp, Reconfig_command => Reconfig_command, flit_type => flit_type, grants => grants, empty => empty, Rxy_reconf_PE => Rxy_reconf_PE, Rxy_in => Rxy_in, Rxy_tmp_in => Rxy_tmp_in, ReConf_FF_in => ReConf_FF_in, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp => err_ReConf_FF_out_flit_type_Tail_not_empty_grants_Rxy_in_Rxy_tmp, err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in => err_ReConf_FF_out_flit_type_Tail_not_empty_grants_not_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Rxy_in_Rxy_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_ReConf_FF_in, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_Reconfig_command_Rxy_tmp_in_Rxy_reconf_PE_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_Rxy_tmp_in_Rxy_tmp_equal, err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal => err_not_ReConf_FF_out_flit_type_not_Tail_empty_not_grants_not_Reconfig_command_ReConf_FF_in_ReConf_FF_out_equal ); grants <= grant_N or grant_E or grant_W or grant_S or grant_L; cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length)); N1 <= '1' when dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) else '0'; E1 <= '1' when cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) else '0'; W1 <= '1' when dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) else '0'; S1 <= '1' when cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) else '0'; process(clk, reset) begin if reset = '0' then Rxy <= std_logic_vector(to_unsigned(Rxy_rst, Rxy'length)); Rxy_tmp <= (others => '0'); Req_N_FF <= '0'; Req_E_FF <= '0'; Req_W_FF <= '0'; Req_S_FF <= '0'; Req_L_FF <= '0'; Cx <= std_logic_vector(to_unsigned(Cx_rst, Cx'length)); Temp_Cx <= (others => '0'); ReConf_FF_out <= '0'; reconfig_cx <= '0'; packet_drop <= '0'; elsif clk'event and clk = '1' then Rxy <= Rxy_in; Rxy_tmp <= Rxy_tmp_in; Req_N_FF <= Req_N_in; Req_E_FF <= Req_E_in; Req_W_FF <= Req_W_in; Req_S_FF <= Req_S_in; Req_L_FF <= Req_L_in; ReConf_FF_out <= ReConf_FF_in; Cx <= Cx_in; reconfig_cx <= reconfig_cx_in; Temp_Cx <= Temp_Cx_in; packet_drop <= packet_drop_in; end if; end process; -- The combionational part process(Reconfig_command, Rxy_reconf_PE, Rxy_tmp, ReConf_FF_out, Rxy, flit_type, grants, empty)begin Rxy_tmp_in <= Rxy_tmp; if ReConf_FF_out= '1' and flit_type = "100" and empty = '0' and grants = '1' then Rxy_in <= Rxy_tmp; ReConf_FF_in <= '0'; else Rxy_in <= Rxy; if Reconfig_command = '1'then Rxy_tmp_in <= Rxy_reconf_PE; ReConf_FF_in <= '1'; else Rxy_tmp_in <= Rxy_tmp; ReConf_FF_in <= ReConf_FF_out; end if; end if; end process; process(Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S, Cx, Temp_Cx, flit_type, reconfig_cx, empty, grants, Cx_reconf_PE, Reconfig_command) begin Temp_Cx_in <= Temp_Cx; if reconfig_cx = '1' and flit_type = "100" and empty = '0' and grants = '1' then Cx_in <= Temp_Cx; reconfig_cx_in <= '0'; else Cx_in <= Cx; if (Faulty_C_N or Faulty_C_E or Faulty_C_W or Faulty_C_S) = '1' then reconfig_cx_in <= '1'; Temp_Cx_in <= not(Faulty_C_S & Faulty_C_W & Faulty_C_E & Faulty_C_N) and Cx; elsif Reconfig_command = '1' then reconfig_cx_in <= '1'; Temp_Cx_in <= Cx_reconf_PE; else reconfig_cx_in <= reconfig_cx; end if; end if; end process; Req_N <= Req_N_FF; Req_E <= Req_E_FF; Req_W <= Req_W_FF; Req_S <= Req_S_FF; Req_L <= Req_L_FF; process(N1, E1, W1, S1, Rxy, Cx, flit_type, empty, Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF, grants, packet_drop, dst_addr, cur_addr) begin packet_drop_in <= packet_drop; if flit_type = "001" and empty = '0' then Req_N_in <= ((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0); Req_E_in <= ((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1); Req_W_in <= ((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2); Req_S_in <= ((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3); if dst_addr = cur_addr then Req_L_in <= '1'; else Req_L_in <= Req_L_FF; -- Added to remove latch possibility. Correct ?? end if; if ((((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0)) or (((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1)) or (((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2)) or (((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3))) ='0' and dst_addr /= cur_addr then packet_drop_in <= '1'; end if; elsif flit_type = "100" and empty = '0' and grants = '1' then Req_N_in <= '0'; Req_E_in <= '0'; Req_W_in <= '0'; Req_S_in <= '0'; Req_L_in <= '0'; else Req_N_in <= Req_N_FF; Req_E_in <= Req_E_FF; Req_W_in <= Req_W_FF; Req_S_in <= Req_S_FF; Req_L_in <= Req_L_FF; end if; if flit_type = "100" and empty = '0' then if packet_drop = '1' then packet_drop_in <= '0'; end if; end if; end process; packet_drop_order_sig <= packet_drop; END;
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/RTL/FIFO_one_hot_credit_based_packet_drop.vhd
3
16426
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if (read_en ='1' or fake_credit = '1') then credit_in <= '1'; end if; if read_en = '0' and fake_credit = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_output_block.vhd
9
17048
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZDbLXMCW/rFA4qQp7M4XtRAVOMy7+62OqdKd3dOe4Jvb/C2JADukHaa3oslAf5TtlaTLr3ozEohl VKGhLio1ig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/syMaBfRSQ9MD98NKAleGixPcntMfRl9i4DpBCi/l65gO8EpoXWOhQZbbZ/maNd7yin7yuO19Yn GGuE9YDWOl8XBpG3phkcKzJdSu0mKYd+0AQJj9q1lFv6qrGMoUttsl/IpN2yMUpz5fUapnIBd6rb mRz2FHrHicaebKc88GU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FF+Cl3PgjNR7xzwHRMbIHqn9oRbNDNLj8DIaO1Hlm+2QX1CI/VCFdTgjthL/wOzU50VEXfI4vdA+ 5GN341oMmZ0O5YACNPw0jsmb5K/Axml5iblkv1aO205Ys1mBMBZkaFGlBcZsIV0uEzUDpOvPfeVc ABQXYw6KbTA1+NUfxZFROZrc/rjF2mQh4nDUCfFYZPrriJZjjyEjlSX+cy4KzCuZbbpJBCFd6XxQ koLohsN3xKemISIPZsKR/aiic3+A4CLGXARU2+NNZ8Y9zw6ZjLQLvFiy4Fb1QeehEhg6MMEY/h+t IjJP8sZ2k68e+ilMbQE8db8f77x7eXxc0dya2Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WftP1jT77k0S0KW6WZKPHR28tgdkvbiMqDTC2VCWXKRGglkNUJl3J5a6mxg7KN6NyWhnYj6a5QQx 8Hz0va2ePEpBUyQNGP6NCbGXeaRe8pCPsXgRKTVJmrMqDjyhAZagmIXcKOaLXzSspWEBEQiSDaSF bOXSgmj7JNe+zDKqwGQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RqlUBtgum9dv43EmKmtzWIjfHZGaDUNQ3TN8Yu3IeXyJKi5cWCoW72Oqm8t5IbLFWHnY2SKPDquO q9pnAH1xYve2UU/ki12sb1zBNCPYJNGoVMVoYZ+WBiTxkJRS6r3QcID+4PLSLBrCx6FOaNYxyz+N HNXn1tfTA8+dazSGeer4nW4ht7uWxXKe8ZcSvezFDU3/Z+p+x33qF8Pn4hTSjcYP4oZL0Zy9vG3Q RhJw+4Hx1YmXbpfrBWVqQOuYui18fd1gpad/b4yH9e+H5xWbSO//cFWXzEE/cO+APY0/xbSvI9qd ejSJhSc7iuIlnvzmNk5U33IYSygGzh0yfq6Rzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10880) `protect data_block RnRPKi9jEml7Lu5FUKT7enOKOvnc34gbCzO7zvUDeHtG+GUynXRcFA+rsSsRPbZpqCjxxTzp5Qun 6WLhdv9L8FEaS9Q1jhKlkGFB55l3+p4qQKTbx5MVd+YUJ7Zu/kMglcFpSUa+KoqO0Z5hy73i0hJN 4NWbm8nVqK+W+TFXMYBWUUv8aiJpbk5rUX8c6NP6epVkFpLlR9+peyLunYEUenGU2yYjoi+w4gtO OAZyJQPBi9ZX8ALBo4pje3GMW8fT+0WHbsQkbKnYPch58C5QTU8YIT2U0NIcMcjLTsDD+GLUXDQU aP3GFY0KrHDO38Ke6b0TZ8R6ZgY4JLkL71PDr2vR6Od+oeWjcrlbRoZ31NGIPlUw/vRIHffn0MhN n6Oe1LnKgVQEoM0cMX2+Y8CNaQziX0WvHey9nc+tDwS6a8IM+cdehwfaq+v9e1w4nHGXFHvMrv7J XgLd+PPj396aHL6S2ZYlNiHfhXRYUx3DE5auKfrIjltk3Bc5PmZdzU1DuM+PehEMoY+41BzATXuO M0rimqNeckoKlFFfyzpEKA/YyHms8EilZ9c1PQBQEet4iiy01BfHig40wlrTze26i5PhsCcghh6g kxThOdU4t2aU3UQaMQuWIxnuWt4Ms5Ob5sqoAX2hHVEKvP2U8s+LrZqG+dELEpbkIhAgyCMBNgj0 Inhi82+4rU62Zsus9Hlq/pKchL9ztrRYBH9hrpcl8bIqvnNh3vlWmZdcwrpfjG0deANc0vHZstJt Bux6IBdaMBCwPHADTTlvQeXcyZO9VTy/D93ncxXeaJ/FuzjaBl7UQo1T4y9eRYCni3N8HqoqDfcO EtYJmnRFzVlQyk7tTpWXKhu1JRkOd86AUtW6n2bth+0bZsX0trG36iSx4BZaMZeiEAejxtWZ8kJu 1KgWqEPL+pbgmjoeYfma2EPnJ/UAM9rTbFS0Bai51DLaBlNC1U6SfXm6VPLkwFVyqn7+GhVh4kRs Q9BWCrDMXyJGW+kaZ/EuSavt/v5hXOLwrq6LVA5rtiETpgT7mqQL99fPqpqX/qYf0mMtJyfCwJTC bvOM/L6wHzNAcMQK2E3h8hiWJxwMZyl33SuiaHuH4bxd6Bf58uN80KkwCsMsOT/5hd3U5yWRnrPp BwD7Z6zUtVxmvJT6eVMnzfy5dkJyk6aBT79JiWZz7ITFWyFn8k/SBtzeQTL0Pb8oHDBmNOkk3qXB g6fhSUJAvTp0Rjf5K440RObNehIbuCZ3uMvp/PhUB/KhfzQdCgduJxkmcOT0UuzyxRdMgK5LE/6x 9CLyhKqHxOY7Y9yjPF62alcETmAnXFqdyUnVCutzYUwo+VON3IKhy/pdq/PjXa6yRrCygAmVw92B cre0eVZLOE5ew0k0fS31pORFlo4LuowaC0yJZm3TYYCQSTFYxkJlM6jeK4BWmSoPmfBRBwMjDpTR 8v0r4pThYzeSWt1CBCmEYq94F5gKOo3cQ/lchV5zKIrdIA11jYExInchOGFvdWSuhHyKWEmiouKI Adt+ttvJEqRlSdVZwdnUI6+oqIhBrZIdBKMXRNA+0lWs4MzFdl5odIpqRfoRG+Ck2LWdfUoygEHK WAdLmxQyhHkmjqoq/Mg3uujQLFrby/gMbq4A2udy2d+4oh2tAjWSLd+4qvxuiUMIlSEl/RPqFFpz Kl69jJkW6MHYQS4WG93Ui+ANIRpFYz7pGGPEbEu3p/pUVI2uI6ImNLV75vl4f9VNDkwCrFZ2wzUI H+eTezozAHiLmLHJZOOuBrXbBEeiPomOHeqQ9RIXe4w4bfFfarpyIsGLSkxVZbiPYVz/2uzZngqy +1n/FVO+S46DODztVlVVGMI7Ty8An0jFsKZS1Rw8Om7udaY5sFOdmp8NTCzeOMRiKU993LbyGf08 QXeD3OUratg46nyrMATDQu0xOAur/bdd1dxJUbWiY8emyKA0A/OFYQv98Dw5A/5fV2ffZnRvFYn9 p819ZVFdaGG25z83D53gM72aw58WtvDBThrfhPYiOmGCnsNwzevdhYuxXzVVoRm3XiSkkFlVkzYW kAPtY9cl4YqdkJicSys+5Lo/n2NqItSj9TJ/yVO4Hbf3/hwuomKyNZ+0uYjetwhGFomSuDrdTKLs wnudxVbD4U5ceP0Xuob56QwE4ovuR17hOy8fw6ZA3pXf1hd5WDA/tQCEriKruwJ2x3dfatTREspE ZiCXueZWz4iUCRgFtDZAWD37FVVb8aJ7Utj/KuPDyIqujW1uTHXPC8YC4rFOiLsp02Fk/HRTXrUu 6a+RIG2x7cfYFP06joczzSRGLcg+MZlpwv2H9AlteR3Dmom0B6+h5/EwV2DEU/rht43L5kOaDmDK sPtA3cL5+CJnnclUki9sq2W//NhWAxAsrF8Z1FRtq7f6gmeNRtP7D81NRihHJmAp6mPdepYnW+1G bfxFWRqiirXLzXGWRENU9VGJ5mRxZRloFknCJb1tEf4FKsBy3XVr2dybjeijp4AHBTSmlMgHP7m1 yC/y+OaBc3fPnOsr8eQ+iwpFGWfLuQxpm2ozCW0uGT0sxh+dXO5lPCHXAAs28ygSLeq6EDi4ObD4 uNm7vEG0yewGgcyBcaKmjDmtoECVUsMVnDc0VuFR9xgTnJ1BTodWR+9nA5ENs1prEHe2fYQE0gsx MycdXoYIxZekXPbVkgxLDnEgYg4X8ElIwCpsMdEnbkOZC1dlZZOO8h2P+BvjynE/3DGBzbkHVtRP dOqMLVImqDhmrk1JrbeIlbg/Qrbts70QVTCmAfw081DhqzFHvGnmcPqJXkt809gPqe1joZ1+K+0R qDDqTzPQL2tA569sWN7UiPX+yqzfqibwJxFXNJ4Li1F99xxyluiX2cmkGboac81yO7OqziAoSspJ YyjrtwRIy4Rjuf1BAOd6bhQVSXaXDVJbr1ZGk1Afyp4ddLZWQc04NYriBsCgFw4iSl5TuK4OTvOv 871+VmklUyogTiJegUQX2M+L7T1Yq6pAYKsufo3an6zUSmbMN/9kY2dPJdKKIC03G/4/OpxlLo/a WLhxMkWVjPemmHVQhibRl1IlVIrLClpSvhE7n/na5EaM1xej/J4CKwNZFwhG40xfvUXSWxSjIpG7 zVvTgB3+eVKFS2t2/JUvHsTPN9Cjad2EiWY1lanZ/pkkYYTbIxAqHj0rS+l66aXqb1BmatdcZIOd sjTpGPK7fRvwYlOZUJKqVM1epE8Fm1jMdL9cY4gfCNrFu50rIT9VvnCVduuuA7lDtxauMVWR1qKz 0ecD4kMWpK9pcX8eRgoNvJnqrP6wzQdAzAl78qCaYyqF/YQePBbHO7M8ixvaBI6rA+XUWK9gV8U8 QySkkBt+OByPVsZ56m5hCAE/3NVLKlBev9vPGuVVMiXLOyXYIwTRQroN4YLxNWqtJM10XHeKADoG 7OmWKpCjh7AGxzAYcaeEdONW5GvVQ/5M47evphkKxMpaXlMeMMgQSICjN18dm75lpXNjvurvdZrN GDDhJb73T8yct1yQGomqbth82tcKeWQXGdTuj94yvDRwb7TU7wXa/84cbmHzXJqXRST7j6mc3SB/ 43Rqa04OHWf03U1mP/aVAr9OSaOr08Do/MA/6iayrCBmFGlOjmh5csfUK2cKhl/yPWHe9uW9Wl4p mlg6UyeEWR8hrdr6tvIN1VwfsiVoYVfQi7uwvM4dsT07KlfxE1x8Vi249sy9KyTCa9RWBu7SOQcn MIFvnlVDY5wSCht5TP1hxXQct2F4k351/xGqvqB1Ouikn8SCmfbtTgOdL3CeEnjtVLKdCN33ryrk dSxgdpwEUrxKyNVr8OvJY/RVrC0HoTdSoh72Imw7Waj+M+vpqDk5GwkNRxNQyR+CkxFCpzn87980 dJL3nEuy8zMqqDue154EpRiz6SajQF+dKz9iTJWLedGtczEkBuCL9MP8gd0K/umt6vQTiWTLy/As XPVo/2ISYwdcU4vQS+5jjzp1OSwu8CyaeXq+F4zm6B4fY7Jhhn/0QQSuxGy0sxLayuZvJ/XUqf0F uJubjPFq4paAz66mB6ZiYHCubiX65Yv2DOyF1jVObZE4WcCNhc69RTgeQ8s6JVqVztWpRSZBMGLq hj7ZcZQn1SUU1L//bXTN3Zf5qPi4iuhk5TDjfqTYnwAs/dHQzuBsaClL6fLkHgHbiR1nAJ99Ga0j qrRFBq7kk6i2MAO/7WtPfwnuV4VtFJsFuASGp7pgv4TLlG+lJwE3Bx/X8AK3+hd9zkrESZPZfzJh YyVI/SjId9fwoyEgrOGBZFe5cB5GRetIxHVWvEWdR+BNv30GM7puwMkSDgQfULraG6j+BufnYfGo qxnlc8pmmySIBYd2XDvCZWdt+LLTXCGpu4tRcpkL2utiRXkUpoaCqO8ZI55X1lSigYQg9PzgmpZb CYkITKloliol+rhHMBx9X8sOJubHfkkkgscxcMoWC9Q1dQSAONR+dg7XHdadzg3YZSWzshF64TI1 hHgdhKNCQvBr3E3jXN8wQLmy311a74zy4USwRB9lwvSEFyVjx083i/3L/98ymRlhnlO9rfvWeE4T vnGn+qyJEoZmjY1zhjMc9zHisj4Vzpd60I8g1dxsxlSoPEwBbzz4BscQYyrpJKIRkS8hrGunPBLl 0H2lC4CZLO6P4+VZ/oiK8CahZ6ZiYCvpiVvfa+y2PJs5sBqldgwLdtFBGFh6+dsSkD5SXOUAc4Rd Mqp8QFAOKZzOdtJegswk67ch/0DxGdDLVm6awQ2VsM+yk8YbPW1S2C0yEtO4FgNHt1WUC+BWARHb a2gr6kcCfaMKpw/H44709wtU83Rys6T2Fbdw+1KpqPOnAs1xv1ae5TO7qFP2JNbAeZQns6dJHskq CPmgQ6S83j8Cx3hJBmynvOErv5hPVphlfl33ITpwumxR17lBCC5qD8WvLmgRhKHL/nL1VJITTVBO jJ8Mc2aecViN1F9bQZOqau2aZyUMVNFmBrPhM9xUDcBiga9qXwX3rwGLtI+yfhSH8wA7c2SWwN+F 08AW/edx29X2cqRXhJBNI+CndbcECfZ92QE+YldnTPwYnlXciv8hcKRUCzxhrLrzzHCIBU5VUB3V JiWnZMzVOVvso6gX1s7cD2/gsDZkKcTcSLfC+O9s+8NKcZ6/jezNnnZMB65LeUGBr9V68BqF6uOk 6WoahcUciuohCvT0s42Uak64KRT9jWSIF14tzLqTH58mNyeFtLOwxEp4L31qQZ0+LcLW9uCYchfP Ixdtgo42dBrhEpl0PHGmV3WfFdO6JEMQLQyfv0octd064fEYYGph8+2L8cp34jztfGljtbEVZePq lxRBd/B1gjsqH1geSHj79gLywLfgnkM48NXw7Sx0PHkDsyEo58ybiyS3x1sF2LkE1e+Q32ukxxxk g/ZUXAvwhB+7UU/xtX6bkGc4bAI3yRaVkQC5Ur5mBzQvH6LzGcsV0jdEqFTQKrT3Rfebi7W2I5oM FwuFD3MCho0yY1dnmVul8pNutzBZuGXCT3jMUf9IQN5rxCdPqgbpwfetLuEoGFWhRTOyiSPjmPli vQ++IUHQe1kwLBAMaT5mf7eI5D3TJytxgMY7sStxyH/bMDXQs47aKoWC9jruRUcGve8l8RuA4Rql aXPyI1uEKhoIYCfFOYCJDCJblHLsKtQYoKtqZsd1QLpT2QrSa7ApsZikziWLXRXoedkprdvm4K6O x6G8zP7vlmSC/X5SzYhu9KqiPUWfb+MuTy6COJqLLYcTwxXFvlPYX73/+TQqB4CEpRglX6Ovqkxd qIQrX4Bedx8t5ppQ7ZqQ7QmIBQ7nI0Xl3Tj8mMrdSZQyCZJIilcJ2ZpTMDrLytYnXUUueundrxHZ j8Tx+sDWzpB0oO8guFPYG3nLYBWZxOnVB9DK393gGTmcdIAG2S55UJf6vKRUl0u67iEv6y7WYc66 U39NTbTLElgYl8qDed6dcBxF3d4OKjFoy0vZbLG9iRCWvPBz/X93FYeK//u1zOm5CIi5cU6sG6m6 M6MAUPPvi8/Z/0FxyRWeCvMvwRGjg+YXqJg1xXOMuiMsledRHTmbCfQuxuCERzzCxPiwU9gthViA lUQc96DLsaaxozWrHY/bL5QJtq9JN30KJR4/fgPNHhBYWAvHD7oDhuFrBqZyn5T8ukRfZaQxEEDS 8r6GuRLD83yvpAyqJLEgwB7rCRFIp+9Fwh4Hm1PvyIKh+j/luPInNWUJWJZfwP35W4Nreg2olAm6 L2i2xh5AZQvB2U3pR+Marlw4pLj6LNM3blmmibUn837LXLAlYlijJof6N5tvi1PV5DX21DWuh8mI grfbTMd/B+jrOtTI1NIcXXNHRAWgDfnfhH+3C3zgfIIMRuWQ92gRKE8U2XVngejX5ObgJNIYm0u+ pivXrJxHwQ0xnR5koAg6v7pK93Pv9SXmKz49aknXl1Z8ZtDOvKDRANtMtaP9Eh3r/VQksT776Sn+ 63zTDGuHj9jqWLjGt+OnbtSG/IDT3v7W9HOvuLvBKaZc/Am3cX5oHbC9QHcBXAhsO/5PGesdDYzR 9tiaROWgDUZl8zi0EOM/171FL9W96CGyOUH4JBNZI4YGQDt6Zaou767sXsJTrXd5VHEKcnX6gqUS y3K/ycfn+NUx8YVr6Rh1VRD5RnBq40DLBQPry2kg8grqf/lFKeRZxioKelcrndbqPXhZ+tujaTnO CmJ90be42ovBjOz/WDm/BFHnGyH//AplCg02QZLC2yH0UihxubCPQQzbR3hxdpKp/WeNlt6PPDXW MAEmXOquvia44bZ16IZGs2pU6vnqBxdLKzCtTFSpuULo9jNFyAIt0EZXO3uX6QbkpXPfKA6W93Da K2X8heZHSnpV8Eju2IUusC2Tn3BrKpXd9JagIQToGFxzwOFEveX+hYyuWYUxz2T04jEDzWYRx3nY Zicut1JNKebA6w8c9FKP+55Pbc5OCgTBZ2m+Rk/NZgHoR2ID3k0xzSl0jDUikDxRWVqMi/NTnhB7 Dghi4Tw5XiaMqmYh0C31b07OEkTnIMuOvSx+pc6mxV21iOHbdJsu1qKNxCiQo8/XkdtzBz5PeGnz bUaFy6bDVROaCqrzTg4lo0BxNChneUdakpQcQXbMvHcDF0Z6REFHXZYn3tpNSOU1LG5YBzgVy+SX E2vE2Fm0BiG5Z9MmbzehF6anRINl6ltHAfINNu0nu5HYLDnnwOIKkfjtJzD1wE5qxC2nCxqMSapt UpQIh6Ff1w7FHjbWoXTNONQPgHR8f+CHHcDKdYExpbWgQ/hIPBl0qC0UODcOqB/xMLXcSGZT/iRT OrLjhfxOccu3+J0Xf0TpW57/LnjUXWl/A5LQ9OtulNLnNM7MAiYd5riTTDIBV3fjGN1/ggqej9U8 +LEjwTv/XByTgNAyb7PKiwixxFoA7jWjslLSY1w2hhnLE4pBLpb9IYAY4bEDNKbbDFFSH0qU3GTt bQh6TlOyyGxKTAnDnw+vclF4peFLbTmry1pZLVtn+okznV2RvwVBLza8+h1ISLNw1Pkj4qpp1cCA N5u+53tqAc2kdaNfPXzRguMI71UDMAoBX2dJHc9BujqQPhb31nu/8L+GbeXkj5pNraYO8x+q9wNC 6SGuQ6+JP/QlMCVVRPbl3NQ01S9agqYOP60ws/V8dEIqYRDmaobyxA782ts+wJ/4eA+D6ADIWm2N SeG58t5RiZubDk8AqDoXLUPrSnLIwQT7bNATJkrn3KOQ+FBM7OhCs6UbUVmDYtjApNCM0T+FWvx/ dknCxMOj/39PY+hhgSqRsr6Ak0Uy8vARua96VI0TAFpmbm6zvRvI9knO150la9RTuZvz4dU7YcsQ 6zhthtN0DQjaodQHLw+wLpjZw1M7uOiQEBBwV/r3E9qvRyPDwXAL3SdYfQclGnn0GXNrZvAhEp8i /hjJyzrYvgyDyhruCI4oLiMk8edtU+pUej3uWIiFTPSCfZfuExw74PmVlOm5RUT/1ILujdtQ1kMm r62YIyUZdBUK8iwb/O6aGo/VPE+BSg0kEr1/0lptahDwuRuYPiyS2N84xSRYYhgBkS3OasRxWZg6 Z9n6GS+fQZFCkK2icDFzIQndMm4gz5f9q7sl3+8SBIrZS4xzFJu71S413Gq4qQcOGeMaDxujUVDY H6v7SA4nKZ+MRKBoGgYOwEVfTPSo91qpDKBjWxz7hBGQnGvE39CY7ww9Kn2rEi3NgmNczV5UNdKZ HWN3ZlBl/IknxoBjHs3qPfYC53mR78QOgImVZak1IupgFsNEPSBKLvZtp5w5TIAhs/UCPtG0WmlJ dUd/U60RBTVWosIAuJsmpTE5vrFxKB4Rv5GfWjk0xL5p2EwqVB718Ti0XEuVR28Yv8+sxSswbDje Sz6NtfgfnsBIodX75fNNHraYEmRNor0dfGGMAl/UaLdy5ktbQd//Tak8DftrvFP1PdJ4NXpPg6ad Ch4RdJQ8dYwPCGY/h9cjx9aK0D2OEESND4Hen7w+EC0LuPHFwy6EBxzSuqhcPZRzuBPy5jJ/FXid 9n1oxUqfbKsc4RlXy4RyhwhjJcCWbcRaBD2V1csyfSO8BMjfzUV142u3QIMY/IXx36ZYrBwuw12e x1+gUy/mqdn8rDJPaX5hkvrzXHLWgVo54THWG6/Spebao4iwIizqiLhO9YR8qpCsVp8XQ9xgQd/z h9argOxMLRle4851DhC8ekFjaDdjUuZmW3ea3H+DQyWDSSOnrBk0lm0GoTX4aeI3LzHEJ7xAq0qE r92o04i0OxLD0yfh0bO81kMXVM/xd5oXb64AwWFV9WjUq/MV4ZGYBH4HYc/BonjNSgi0rLBBvutY 5K7JtVRH4UArwk9Ev6ZK9BlnvfftDZcOZqNEaig0ZWwNV7qlUGAbCcS+XLJZJIyZ6H1VNjcAyihS PQfZtuHL86ALQ0xOQZbWZUHF+b+vWWlRQnyJFpoHiJrOnDM3sYcPF1kiVy46J6P13kkqkGfXPB71 zB1Du6QamjM5RdfMe9r0tvtSAzgApA7R7Jd3fg7BKQ60guO9sbIQHh45Lsh18b3DznO7IkNhTqLo UzZlGLuMheNgO/RsdULEYvpKAzVXkm7J5IjISsiBsA2aRpl+EelOI1UE68CnzJzLZ9P8uaSHTVEC JF/CiDU5TL2kBMahLS+iBeJ76kBd6z/J3vsYhoa8WUTRlbp7UWduumM91R1B4zBwWnDII2TU3iF5 EXGwZMLqCylT9O60F0MYh8wPYZLhZiLoMC/OhZz3QT5jC36Y56VBB2eCKH1iWY3J/kn85ogyxuEZ Cny0SbmG7QYCFE/bchI/BKqXmNr1RFGaUD1Rmv93sLu+EEAZzF2MM+4WgDQxIEd4omJzsKFufTRu /Gvmi/MnSJq44cw5Cg2W2ToIB/7NeErcBuVe8SBkVZb3TO0hHoUD4a4k7bdwuTfyFfJ8X92qqqX4 Qn+MWp78c4V3r36FKMbCrWWgFz1g0FisfJujLbAbqLfP1UQgYllcMMIAgBt7RMGBIlQjiO1nWAQr +C7edhlPKnUJMdrT5RG02j8+rFKKEloxEA7Z/4s7NXVul2l/b+EI/KyNUSpVRAOF/Je5yWZTY60L YEg12lmThMo/mlKbPuFmyCfYh1GKdor1IC1tm9nNlrFcfzPJl6L19jar4l4noRy7dkQHEipmhQHb oTx81tjPCGZqMnUJeYNuzG6RAxnF3+CJ+jEbnh0twBo4SibMHlw8LsPucu6HwXYIlwAYnv/YVvJP nSNyCBq5T6UT65Huy0Np5V9oL6Gg/iuuqdWv9SxDa+GqAnQVVaw/I/abJfo7tGTEyTrlvS8EGHkh 0dT1HQJpF87JrEO7L8Rq+wtaLP2PVonR4KU1cGxfmkC+Cb8QdXHXrKemep9VejZ4gIvK4tKC+z3E rvCDC5uP2QhRC2+swOhDuXMAMWYviJP5Y9aid7EoKG68mu0hbmxJdUqY+JhxLPKt4DQOK414xrnH vqnLOU1aSP86m3/EGdNi6hsjg4RiP8Pc4Pl9rpwi7gVc5Jrv53CAIvh0MW/PO8jTuqLlndx4Ga9w BMocuP9D0C77isVKA4RG9Ztn4obkGUBlibBAMigP02StFUw/4u0YxDrqyIGMoiO6p3OmfUnZBkKz XUoPuI+SeyTvuWZ7K8X6J8NXGFdqjvbdkpN76P/DZxd9U/CIVUhQJewrwgugepBzXNEhUEULpnAd OW/YeFz4uO2J83c/5kJyVNFKjCRD9byBtqlgQ6Bi+AOB6xYL5H1PktQJoE3hfZG8CRHfyGJF6+hR UmpmDkVW3fslnRLeaW3Y+dfxxg77HxQxEZGeceWGUSAsn0c3kJJL9Wj0DpIF759goYel2Mu5PFqs duQPo7MrZpitM1cYpOxtnSIUSyEFd5Jp6zpMqdijsaOQoAZVJ0wVGCAys4dxpySp9k+mnisHZiNt VIDRl0ieBFpWDn/ZxuylFbT+B4hnVJ7Z1tzSXHOyrYJjUWLgFy7eT0UfZgL7Odoj4Y5q+DteiN8G mvz0+mO2zJkvW2hlontPhH70OZMb63blB18MVTg8iU97z9Zmsay+vdZ6SH9Zcor269eaPQNlGOHr X00bcntX3GbjnWF6VkBoIvabW6x2ILuDwmAp1CX6h4GYY2z9H2MNe15EuBLn0VZBBJpMmGkMF0fJ 1i/7NVnkCKwVFgqT4eikY+io5NWMokxe6+NThVk/EJUZDpmPyYRjc1xNXGt+BqqTrZLnvAuborPF 4KmEo0Gra3uxHq14AIB0l0WsadUmg3Tf3Rbi3kmhB8msJy3pWACvcvyPv9v2YG1zUMxI/fL1q/uU fcUEEp7+MAaJB8p/hTtNkRmmQjg85uNChVQ1imDzyRq8w8mp1Ke5TKoa2k8S6KyFMfRFtACOXp9Y OG+ejDu2ycSQe1wHNDmS3m4CvrZTYb/ivxGsqCvqVb8OxUcp/780nvJy/KAVFQ1NLbuJj5ne0OGO BX9f3UQVzjCyuu8aCE6r4Jd3gbs3gnly0irwGOZXSFrXfVJFBuNkOaW8T2h2kf/UoNg2fMaSTBUR mWhPwJh/hojDh21Yy6vqwlcv5xhbHEkJnFDge5Twgi66IBmNFxVt51tRQNkYCdOIFcCFwrBcKhz0 0cvYjnp6d0gzVN9LR55M+INHPQfXroNNEvPNw4xJPn1vn889k6TOSP+XhcQ2f6QNhVi1JxrjA1Hd gqe5fDTeUMgmrWVnPETuuWzrlT1L8XONiB3+YgH+x1x3sLz6ivvtKgdO9Os2f6/nYgIN4LH/Nvtj 139xeWAPQu1txhhe8R4JHeuGebV7zlMAAIda9JAUceq94crzyOkds6X83tGovmY5FJiZ7h9uq9yT LMTVcbxxb5Jvnn8btyfX5sFHp5vl/UpT4fvAmc687nbBnUZn7CJjzEbGi3yLdPkN0kACveWeGQUq 2iH4ubfH1SFLmQSFFz5/sPlkA+pa3VqBLEfSbPlOvF/SlwxjnWPDSrZp9F3aNfBYkbzaJHD4zun8 2g1rhwslT8GCQr7xo/dukSuH6J9eQFp2E2YGfHJvXj+lR7CU9PX+uvm+2jocpftUzzF0rK8UndGh GD8Vi290fLsCgBZSFmNxq43Njum7RKsXqpXMTsQC0QO8TUdHpQF7mDTw7Amv8RO4kutWwmGjiBqx LsRaL7+hNHixTBta8kNro2VO50iJD0jizX0TA62mdt7o8kGwHW7RecXIgA2wngJC5R4kxnHnEWDz MjcTlfgoMr1ZNecwn5CvObQ/Yq83IN8CmDJQgLWlRyEgkIci4MUTTAVLqze9ikCS1DVmXIGPjR3y ZkKYSE6h/cJc0lNbiDOYoBZ01aiY8KaRWp3sRczazvmMF0EEw+vBYhB2gt3mZhqM58bpuEPpFgYJ nVOV9mp8u1I4V7TVplLZNw159/vUTLtJgnLDdEvxpnu5GHKCNrZULsXJycuJyzJx9tCYKwpNL9KA 4XyhU8hnnsDG2HiXC82QwLa9M+7HWXrTuUNYaiWik1Ame1a0G67k1JpyM2kd+i4/EK/9KvV8HWAy KIt7mDtZiwYuIG2RyX6Tb00RpcuhizO5wh5v1aBuhyypJcFPDQLlL9m1d7s4v1gRw2iodCif1pvr zXGdrjnOsD454zU6neM/YynRLKV5qG8MMX3fbm8nQXM8GqgiRcMuoFDLPq7lHmGqmKNFjhnI07x2 HtSSqhM8rde9l0kwoyex0l1nP+0Tw9BQ3UOl36cAO/7wlPgizyNEfqN//ZnLktvyyDfVbSAiJdtB 53iSTz9mJeEAYCVfQfzGix57/BzhNqguhhtKUcv0XZ4tJpa34zsXmEVwNGABNtf4n2j6b+XaG2wG m1T/qmaUH1+vGgJ2BWGypLGw9+nIjbioDb+pTKrGwEErARavXFga4FxwDIidvuyvxQeekZQP9JaW TJMj33AcguiXd9KZeUdoUKL2B6amg3h1zFUnPF7i3IL7bYvFnDsNy7s/w8YQvObfpZomms89c06A uQ6XbVmEP7DakkeZnhb+yi7bmMe3K1RJtf1hmXS+bem/Qf3zfa010pMZOYwS5nLO+H/bTJpPJIh9 aJbGwledxTOJAGbMk9Tq0Bu1optAH67G5xsfhC8JFfxMzVcrWmR1+lScit1TVj4HdtJZKsMeaHyr 9Uq/EpJG85uDMiNyvd697NDbHvZtb8NbDAkyS5zM8c3jHwIYVDZHx3tVCpXZCh1WcXRFQFB4Ikc4 zJ7wpi3SdlzTUN8uyVqbUW3WlNPiAKAWdRvuFCqZN9yLolQbL1T5Qz4x6L+GYYte0O9GKtQ+3OXU 8T1y771CaoSSA1qB+EaQ4BrSB8iu49r6gIWwBh6EXELQG1mpYmlzsB7l2tnkHGDMq/cNX5LZIomv Lg8P6lPT6cGw0Aa394wDV2PaIcPgvWXoegskJmoWliGvl9NVF0WnkY2mavC/10l9LnBC9jxKqp+X 9UfobTpqEbTMwo4oiZ+QkW6g/muxR+8nJOfETk5v2x5V0dCJHFZF+tFOtYks7/9EDDP4e5ivh84L KKB03x2a+WV0D+ULD3PDL8Sjv4Ovdgh04D6zW/iD0nxkZNuu2vRFzZmY7yhEJVQijC9iNSSuiQQZ mxmz5yBhcPCiPcKh+R/iDoey7CZw7GHtd81UxJjjlQ3tuwoMSEPRI5JnXjuZqo0GHBmMKCzHPtrK KBuL+skZS3GMno4EIH7kAq300N5SfQj3TpKPckAd5EzC0t+5+MdCbpE5AlXsGxYj3v5HW/ecu6Pg 2CJvM5yxSjPH3Z/J7sag4NDrCT5/dXYZn1Uld54ea9lWKs4xxJuX82k3FOn7whTnDyeY2ERLRVWS wE9E7jHWLXCgv6aVtM8REWrOaF9GBiytIVqsQg5odvKIGriSj2OXvX6UYtYwv11jgRye85qNVAq1 CkFZOkzRy61FGl9SiewnpPoyCD+EbpvJCfGWW+JGo/g6PTElAKA7poot6xCQabUtDPe1IscMhO0E rGlZ2ifudtv1q81I5KRPcvlisMwUSfZx0sZOQ1x3xF/uxtvN26dKIhFY5E0p6X//iRXgXiSTD8+5 fj1Id3W5c6kyabNz5t0JoJ70M0zgaGz1khqsGzfo/JiI7G5jujKlrfWJCQGzyroQcW/eidhTPBx3 i9ST+7D1JlyTbyX1dLeqCs0aHu/T1JPT9CTrgM9Rg0GMqx9BuDr0b1BJGXOzhMLj2aRVLWLdy4Vl +pU/sPQoyemJCLAB2gokPWIVIQWF9Zji6DBdpiDkNVZspwyuoRUSfLp/l8NLKfe4GD+xdx11Hvwm sOupTXxyPTNOEoGGs2h7BFj7rQ9Ca+0tLM26IqSetolR2SoDR7/nz/wVAd3Z+fFdT1mAQmTQH37E rurjR2ceR478lD8nVO5w529D7O1kQQdrnsUuJB/75cjq+yNAN7qnR8+rJRhR5imICWzvKPDGrCOw EDfN7QQpz1deqLsVea4S5C0xhVq2o9VaU/3J3kOZPaehnIrl3TdomFdVJfriCobQoGoM52d6Yy8A ZhSOrp7hoAulnuBf0L9H9Wi6F5DxSnbUFmZU2OAyd/8cmRz11fJJYJzFJKBt5vMoACp3HMU7PXwU OAtXsdaazzzjuqdQvqyB4qvBl4UZsYdiyzF+xdIHmUWtzsQsEU1VymvANQTLU7S+oQ6P1aDP/bwe DtKLL4RuHWRRHA63gc1glo5q0rfT4pLOsqWFtMfY/Lbvh4Hz8so0u/flIhg+gfma4jv4EPWuIZt5 MYU5LFeGpSa/s2S1QjgLZijv5LnTgpRNOVMV0kYq1HlQMfYDyXcVzy1zyREgbOaxLY+E7lxdGCm4 c7PDwN4bFxHmV7HnI0cnlfCO9pCLaE+SntovN3oT26HuVDa6YMKv/gW2+kSOWryvXVYhlFEcwXfB JMIPRmT4WiJ+QtAyt5Pm5Wzari6CvBHhH8TavjyilqG90ekpP5/QAIeHSibwcppAde3sFRDWV7FC A/wQVpT2Wbp4/AxZfAngDC08Op7TxierG2hjRrlwjryhLqNvQb17bGB1Kn6tf/xz /Qc= `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_output_block.vhd
9
17048
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZDbLXMCW/rFA4qQp7M4XtRAVOMy7+62OqdKd3dOe4Jvb/C2JADukHaa3oslAf5TtlaTLr3ozEohl VKGhLio1ig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/syMaBfRSQ9MD98NKAleGixPcntMfRl9i4DpBCi/l65gO8EpoXWOhQZbbZ/maNd7yin7yuO19Yn GGuE9YDWOl8XBpG3phkcKzJdSu0mKYd+0AQJj9q1lFv6qrGMoUttsl/IpN2yMUpz5fUapnIBd6rb mRz2FHrHicaebKc88GU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FF+Cl3PgjNR7xzwHRMbIHqn9oRbNDNLj8DIaO1Hlm+2QX1CI/VCFdTgjthL/wOzU50VEXfI4vdA+ 5GN341oMmZ0O5YACNPw0jsmb5K/Axml5iblkv1aO205Ys1mBMBZkaFGlBcZsIV0uEzUDpOvPfeVc ABQXYw6KbTA1+NUfxZFROZrc/rjF2mQh4nDUCfFYZPrriJZjjyEjlSX+cy4KzCuZbbpJBCFd6XxQ koLohsN3xKemISIPZsKR/aiic3+A4CLGXARU2+NNZ8Y9zw6ZjLQLvFiy4Fb1QeehEhg6MMEY/h+t IjJP8sZ2k68e+ilMbQE8db8f77x7eXxc0dya2Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WftP1jT77k0S0KW6WZKPHR28tgdkvbiMqDTC2VCWXKRGglkNUJl3J5a6mxg7KN6NyWhnYj6a5QQx 8Hz0va2ePEpBUyQNGP6NCbGXeaRe8pCPsXgRKTVJmrMqDjyhAZagmIXcKOaLXzSspWEBEQiSDaSF bOXSgmj7JNe+zDKqwGQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RqlUBtgum9dv43EmKmtzWIjfHZGaDUNQ3TN8Yu3IeXyJKi5cWCoW72Oqm8t5IbLFWHnY2SKPDquO q9pnAH1xYve2UU/ki12sb1zBNCPYJNGoVMVoYZ+WBiTxkJRS6r3QcID+4PLSLBrCx6FOaNYxyz+N HNXn1tfTA8+dazSGeer4nW4ht7uWxXKe8ZcSvezFDU3/Z+p+x33qF8Pn4hTSjcYP4oZL0Zy9vG3Q RhJw+4Hx1YmXbpfrBWVqQOuYui18fd1gpad/b4yH9e+H5xWbSO//cFWXzEE/cO+APY0/xbSvI9qd ejSJhSc7iuIlnvzmNk5U33IYSygGzh0yfq6Rzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10880) `protect data_block RnRPKi9jEml7Lu5FUKT7enOKOvnc34gbCzO7zvUDeHtG+GUynXRcFA+rsSsRPbZpqCjxxTzp5Qun 6WLhdv9L8FEaS9Q1jhKlkGFB55l3+p4qQKTbx5MVd+YUJ7Zu/kMglcFpSUa+KoqO0Z5hy73i0hJN 4NWbm8nVqK+W+TFXMYBWUUv8aiJpbk5rUX8c6NP6epVkFpLlR9+peyLunYEUenGU2yYjoi+w4gtO OAZyJQPBi9ZX8ALBo4pje3GMW8fT+0WHbsQkbKnYPch58C5QTU8YIT2U0NIcMcjLTsDD+GLUXDQU aP3GFY0KrHDO38Ke6b0TZ8R6ZgY4JLkL71PDr2vR6Od+oeWjcrlbRoZ31NGIPlUw/vRIHffn0MhN n6Oe1LnKgVQEoM0cMX2+Y8CNaQziX0WvHey9nc+tDwS6a8IM+cdehwfaq+v9e1w4nHGXFHvMrv7J XgLd+PPj396aHL6S2ZYlNiHfhXRYUx3DE5auKfrIjltk3Bc5PmZdzU1DuM+PehEMoY+41BzATXuO M0rimqNeckoKlFFfyzpEKA/YyHms8EilZ9c1PQBQEet4iiy01BfHig40wlrTze26i5PhsCcghh6g kxThOdU4t2aU3UQaMQuWIxnuWt4Ms5Ob5sqoAX2hHVEKvP2U8s+LrZqG+dELEpbkIhAgyCMBNgj0 Inhi82+4rU62Zsus9Hlq/pKchL9ztrRYBH9hrpcl8bIqvnNh3vlWmZdcwrpfjG0deANc0vHZstJt Bux6IBdaMBCwPHADTTlvQeXcyZO9VTy/D93ncxXeaJ/FuzjaBl7UQo1T4y9eRYCni3N8HqoqDfcO EtYJmnRFzVlQyk7tTpWXKhu1JRkOd86AUtW6n2bth+0bZsX0trG36iSx4BZaMZeiEAejxtWZ8kJu 1KgWqEPL+pbgmjoeYfma2EPnJ/UAM9rTbFS0Bai51DLaBlNC1U6SfXm6VPLkwFVyqn7+GhVh4kRs Q9BWCrDMXyJGW+kaZ/EuSavt/v5hXOLwrq6LVA5rtiETpgT7mqQL99fPqpqX/qYf0mMtJyfCwJTC bvOM/L6wHzNAcMQK2E3h8hiWJxwMZyl33SuiaHuH4bxd6Bf58uN80KkwCsMsOT/5hd3U5yWRnrPp BwD7Z6zUtVxmvJT6eVMnzfy5dkJyk6aBT79JiWZz7ITFWyFn8k/SBtzeQTL0Pb8oHDBmNOkk3qXB g6fhSUJAvTp0Rjf5K440RObNehIbuCZ3uMvp/PhUB/KhfzQdCgduJxkmcOT0UuzyxRdMgK5LE/6x 9CLyhKqHxOY7Y9yjPF62alcETmAnXFqdyUnVCutzYUwo+VON3IKhy/pdq/PjXa6yRrCygAmVw92B cre0eVZLOE5ew0k0fS31pORFlo4LuowaC0yJZm3TYYCQSTFYxkJlM6jeK4BWmSoPmfBRBwMjDpTR 8v0r4pThYzeSWt1CBCmEYq94F5gKOo3cQ/lchV5zKIrdIA11jYExInchOGFvdWSuhHyKWEmiouKI Adt+ttvJEqRlSdVZwdnUI6+oqIhBrZIdBKMXRNA+0lWs4MzFdl5odIpqRfoRG+Ck2LWdfUoygEHK WAdLmxQyhHkmjqoq/Mg3uujQLFrby/gMbq4A2udy2d+4oh2tAjWSLd+4qvxuiUMIlSEl/RPqFFpz Kl69jJkW6MHYQS4WG93Ui+ANIRpFYz7pGGPEbEu3p/pUVI2uI6ImNLV75vl4f9VNDkwCrFZ2wzUI H+eTezozAHiLmLHJZOOuBrXbBEeiPomOHeqQ9RIXe4w4bfFfarpyIsGLSkxVZbiPYVz/2uzZngqy +1n/FVO+S46DODztVlVVGMI7Ty8An0jFsKZS1Rw8Om7udaY5sFOdmp8NTCzeOMRiKU993LbyGf08 QXeD3OUratg46nyrMATDQu0xOAur/bdd1dxJUbWiY8emyKA0A/OFYQv98Dw5A/5fV2ffZnRvFYn9 p819ZVFdaGG25z83D53gM72aw58WtvDBThrfhPYiOmGCnsNwzevdhYuxXzVVoRm3XiSkkFlVkzYW kAPtY9cl4YqdkJicSys+5Lo/n2NqItSj9TJ/yVO4Hbf3/hwuomKyNZ+0uYjetwhGFomSuDrdTKLs wnudxVbD4U5ceP0Xuob56QwE4ovuR17hOy8fw6ZA3pXf1hd5WDA/tQCEriKruwJ2x3dfatTREspE ZiCXueZWz4iUCRgFtDZAWD37FVVb8aJ7Utj/KuPDyIqujW1uTHXPC8YC4rFOiLsp02Fk/HRTXrUu 6a+RIG2x7cfYFP06joczzSRGLcg+MZlpwv2H9AlteR3Dmom0B6+h5/EwV2DEU/rht43L5kOaDmDK sPtA3cL5+CJnnclUki9sq2W//NhWAxAsrF8Z1FRtq7f6gmeNRtP7D81NRihHJmAp6mPdepYnW+1G bfxFWRqiirXLzXGWRENU9VGJ5mRxZRloFknCJb1tEf4FKsBy3XVr2dybjeijp4AHBTSmlMgHP7m1 yC/y+OaBc3fPnOsr8eQ+iwpFGWfLuQxpm2ozCW0uGT0sxh+dXO5lPCHXAAs28ygSLeq6EDi4ObD4 uNm7vEG0yewGgcyBcaKmjDmtoECVUsMVnDc0VuFR9xgTnJ1BTodWR+9nA5ENs1prEHe2fYQE0gsx MycdXoYIxZekXPbVkgxLDnEgYg4X8ElIwCpsMdEnbkOZC1dlZZOO8h2P+BvjynE/3DGBzbkHVtRP dOqMLVImqDhmrk1JrbeIlbg/Qrbts70QVTCmAfw081DhqzFHvGnmcPqJXkt809gPqe1joZ1+K+0R qDDqTzPQL2tA569sWN7UiPX+yqzfqibwJxFXNJ4Li1F99xxyluiX2cmkGboac81yO7OqziAoSspJ YyjrtwRIy4Rjuf1BAOd6bhQVSXaXDVJbr1ZGk1Afyp4ddLZWQc04NYriBsCgFw4iSl5TuK4OTvOv 871+VmklUyogTiJegUQX2M+L7T1Yq6pAYKsufo3an6zUSmbMN/9kY2dPJdKKIC03G/4/OpxlLo/a WLhxMkWVjPemmHVQhibRl1IlVIrLClpSvhE7n/na5EaM1xej/J4CKwNZFwhG40xfvUXSWxSjIpG7 zVvTgB3+eVKFS2t2/JUvHsTPN9Cjad2EiWY1lanZ/pkkYYTbIxAqHj0rS+l66aXqb1BmatdcZIOd sjTpGPK7fRvwYlOZUJKqVM1epE8Fm1jMdL9cY4gfCNrFu50rIT9VvnCVduuuA7lDtxauMVWR1qKz 0ecD4kMWpK9pcX8eRgoNvJnqrP6wzQdAzAl78qCaYyqF/YQePBbHO7M8ixvaBI6rA+XUWK9gV8U8 QySkkBt+OByPVsZ56m5hCAE/3NVLKlBev9vPGuVVMiXLOyXYIwTRQroN4YLxNWqtJM10XHeKADoG 7OmWKpCjh7AGxzAYcaeEdONW5GvVQ/5M47evphkKxMpaXlMeMMgQSICjN18dm75lpXNjvurvdZrN GDDhJb73T8yct1yQGomqbth82tcKeWQXGdTuj94yvDRwb7TU7wXa/84cbmHzXJqXRST7j6mc3SB/ 43Rqa04OHWf03U1mP/aVAr9OSaOr08Do/MA/6iayrCBmFGlOjmh5csfUK2cKhl/yPWHe9uW9Wl4p mlg6UyeEWR8hrdr6tvIN1VwfsiVoYVfQi7uwvM4dsT07KlfxE1x8Vi249sy9KyTCa9RWBu7SOQcn MIFvnlVDY5wSCht5TP1hxXQct2F4k351/xGqvqB1Ouikn8SCmfbtTgOdL3CeEnjtVLKdCN33ryrk dSxgdpwEUrxKyNVr8OvJY/RVrC0HoTdSoh72Imw7Waj+M+vpqDk5GwkNRxNQyR+CkxFCpzn87980 dJL3nEuy8zMqqDue154EpRiz6SajQF+dKz9iTJWLedGtczEkBuCL9MP8gd0K/umt6vQTiWTLy/As XPVo/2ISYwdcU4vQS+5jjzp1OSwu8CyaeXq+F4zm6B4fY7Jhhn/0QQSuxGy0sxLayuZvJ/XUqf0F uJubjPFq4paAz66mB6ZiYHCubiX65Yv2DOyF1jVObZE4WcCNhc69RTgeQ8s6JVqVztWpRSZBMGLq hj7ZcZQn1SUU1L//bXTN3Zf5qPi4iuhk5TDjfqTYnwAs/dHQzuBsaClL6fLkHgHbiR1nAJ99Ga0j qrRFBq7kk6i2MAO/7WtPfwnuV4VtFJsFuASGp7pgv4TLlG+lJwE3Bx/X8AK3+hd9zkrESZPZfzJh YyVI/SjId9fwoyEgrOGBZFe5cB5GRetIxHVWvEWdR+BNv30GM7puwMkSDgQfULraG6j+BufnYfGo qxnlc8pmmySIBYd2XDvCZWdt+LLTXCGpu4tRcpkL2utiRXkUpoaCqO8ZI55X1lSigYQg9PzgmpZb CYkITKloliol+rhHMBx9X8sOJubHfkkkgscxcMoWC9Q1dQSAONR+dg7XHdadzg3YZSWzshF64TI1 hHgdhKNCQvBr3E3jXN8wQLmy311a74zy4USwRB9lwvSEFyVjx083i/3L/98ymRlhnlO9rfvWeE4T vnGn+qyJEoZmjY1zhjMc9zHisj4Vzpd60I8g1dxsxlSoPEwBbzz4BscQYyrpJKIRkS8hrGunPBLl 0H2lC4CZLO6P4+VZ/oiK8CahZ6ZiYCvpiVvfa+y2PJs5sBqldgwLdtFBGFh6+dsSkD5SXOUAc4Rd Mqp8QFAOKZzOdtJegswk67ch/0DxGdDLVm6awQ2VsM+yk8YbPW1S2C0yEtO4FgNHt1WUC+BWARHb a2gr6kcCfaMKpw/H44709wtU83Rys6T2Fbdw+1KpqPOnAs1xv1ae5TO7qFP2JNbAeZQns6dJHskq CPmgQ6S83j8Cx3hJBmynvOErv5hPVphlfl33ITpwumxR17lBCC5qD8WvLmgRhKHL/nL1VJITTVBO jJ8Mc2aecViN1F9bQZOqau2aZyUMVNFmBrPhM9xUDcBiga9qXwX3rwGLtI+yfhSH8wA7c2SWwN+F 08AW/edx29X2cqRXhJBNI+CndbcECfZ92QE+YldnTPwYnlXciv8hcKRUCzxhrLrzzHCIBU5VUB3V JiWnZMzVOVvso6gX1s7cD2/gsDZkKcTcSLfC+O9s+8NKcZ6/jezNnnZMB65LeUGBr9V68BqF6uOk 6WoahcUciuohCvT0s42Uak64KRT9jWSIF14tzLqTH58mNyeFtLOwxEp4L31qQZ0+LcLW9uCYchfP Ixdtgo42dBrhEpl0PHGmV3WfFdO6JEMQLQyfv0octd064fEYYGph8+2L8cp34jztfGljtbEVZePq lxRBd/B1gjsqH1geSHj79gLywLfgnkM48NXw7Sx0PHkDsyEo58ybiyS3x1sF2LkE1e+Q32ukxxxk g/ZUXAvwhB+7UU/xtX6bkGc4bAI3yRaVkQC5Ur5mBzQvH6LzGcsV0jdEqFTQKrT3Rfebi7W2I5oM FwuFD3MCho0yY1dnmVul8pNutzBZuGXCT3jMUf9IQN5rxCdPqgbpwfetLuEoGFWhRTOyiSPjmPli vQ++IUHQe1kwLBAMaT5mf7eI5D3TJytxgMY7sStxyH/bMDXQs47aKoWC9jruRUcGve8l8RuA4Rql aXPyI1uEKhoIYCfFOYCJDCJblHLsKtQYoKtqZsd1QLpT2QrSa7ApsZikziWLXRXoedkprdvm4K6O x6G8zP7vlmSC/X5SzYhu9KqiPUWfb+MuTy6COJqLLYcTwxXFvlPYX73/+TQqB4CEpRglX6Ovqkxd qIQrX4Bedx8t5ppQ7ZqQ7QmIBQ7nI0Xl3Tj8mMrdSZQyCZJIilcJ2ZpTMDrLytYnXUUueundrxHZ j8Tx+sDWzpB0oO8guFPYG3nLYBWZxOnVB9DK393gGTmcdIAG2S55UJf6vKRUl0u67iEv6y7WYc66 U39NTbTLElgYl8qDed6dcBxF3d4OKjFoy0vZbLG9iRCWvPBz/X93FYeK//u1zOm5CIi5cU6sG6m6 M6MAUPPvi8/Z/0FxyRWeCvMvwRGjg+YXqJg1xXOMuiMsledRHTmbCfQuxuCERzzCxPiwU9gthViA lUQc96DLsaaxozWrHY/bL5QJtq9JN30KJR4/fgPNHhBYWAvHD7oDhuFrBqZyn5T8ukRfZaQxEEDS 8r6GuRLD83yvpAyqJLEgwB7rCRFIp+9Fwh4Hm1PvyIKh+j/luPInNWUJWJZfwP35W4Nreg2olAm6 L2i2xh5AZQvB2U3pR+Marlw4pLj6LNM3blmmibUn837LXLAlYlijJof6N5tvi1PV5DX21DWuh8mI grfbTMd/B+jrOtTI1NIcXXNHRAWgDfnfhH+3C3zgfIIMRuWQ92gRKE8U2XVngejX5ObgJNIYm0u+ pivXrJxHwQ0xnR5koAg6v7pK93Pv9SXmKz49aknXl1Z8ZtDOvKDRANtMtaP9Eh3r/VQksT776Sn+ 63zTDGuHj9jqWLjGt+OnbtSG/IDT3v7W9HOvuLvBKaZc/Am3cX5oHbC9QHcBXAhsO/5PGesdDYzR 9tiaROWgDUZl8zi0EOM/171FL9W96CGyOUH4JBNZI4YGQDt6Zaou767sXsJTrXd5VHEKcnX6gqUS y3K/ycfn+NUx8YVr6Rh1VRD5RnBq40DLBQPry2kg8grqf/lFKeRZxioKelcrndbqPXhZ+tujaTnO CmJ90be42ovBjOz/WDm/BFHnGyH//AplCg02QZLC2yH0UihxubCPQQzbR3hxdpKp/WeNlt6PPDXW MAEmXOquvia44bZ16IZGs2pU6vnqBxdLKzCtTFSpuULo9jNFyAIt0EZXO3uX6QbkpXPfKA6W93Da K2X8heZHSnpV8Eju2IUusC2Tn3BrKpXd9JagIQToGFxzwOFEveX+hYyuWYUxz2T04jEDzWYRx3nY Zicut1JNKebA6w8c9FKP+55Pbc5OCgTBZ2m+Rk/NZgHoR2ID3k0xzSl0jDUikDxRWVqMi/NTnhB7 Dghi4Tw5XiaMqmYh0C31b07OEkTnIMuOvSx+pc6mxV21iOHbdJsu1qKNxCiQo8/XkdtzBz5PeGnz bUaFy6bDVROaCqrzTg4lo0BxNChneUdakpQcQXbMvHcDF0Z6REFHXZYn3tpNSOU1LG5YBzgVy+SX E2vE2Fm0BiG5Z9MmbzehF6anRINl6ltHAfINNu0nu5HYLDnnwOIKkfjtJzD1wE5qxC2nCxqMSapt UpQIh6Ff1w7FHjbWoXTNONQPgHR8f+CHHcDKdYExpbWgQ/hIPBl0qC0UODcOqB/xMLXcSGZT/iRT OrLjhfxOccu3+J0Xf0TpW57/LnjUXWl/A5LQ9OtulNLnNM7MAiYd5riTTDIBV3fjGN1/ggqej9U8 +LEjwTv/XByTgNAyb7PKiwixxFoA7jWjslLSY1w2hhnLE4pBLpb9IYAY4bEDNKbbDFFSH0qU3GTt bQh6TlOyyGxKTAnDnw+vclF4peFLbTmry1pZLVtn+okznV2RvwVBLza8+h1ISLNw1Pkj4qpp1cCA N5u+53tqAc2kdaNfPXzRguMI71UDMAoBX2dJHc9BujqQPhb31nu/8L+GbeXkj5pNraYO8x+q9wNC 6SGuQ6+JP/QlMCVVRPbl3NQ01S9agqYOP60ws/V8dEIqYRDmaobyxA782ts+wJ/4eA+D6ADIWm2N SeG58t5RiZubDk8AqDoXLUPrSnLIwQT7bNATJkrn3KOQ+FBM7OhCs6UbUVmDYtjApNCM0T+FWvx/ dknCxMOj/39PY+hhgSqRsr6Ak0Uy8vARua96VI0TAFpmbm6zvRvI9knO150la9RTuZvz4dU7YcsQ 6zhthtN0DQjaodQHLw+wLpjZw1M7uOiQEBBwV/r3E9qvRyPDwXAL3SdYfQclGnn0GXNrZvAhEp8i /hjJyzrYvgyDyhruCI4oLiMk8edtU+pUej3uWIiFTPSCfZfuExw74PmVlOm5RUT/1ILujdtQ1kMm r62YIyUZdBUK8iwb/O6aGo/VPE+BSg0kEr1/0lptahDwuRuYPiyS2N84xSRYYhgBkS3OasRxWZg6 Z9n6GS+fQZFCkK2icDFzIQndMm4gz5f9q7sl3+8SBIrZS4xzFJu71S413Gq4qQcOGeMaDxujUVDY H6v7SA4nKZ+MRKBoGgYOwEVfTPSo91qpDKBjWxz7hBGQnGvE39CY7ww9Kn2rEi3NgmNczV5UNdKZ HWN3ZlBl/IknxoBjHs3qPfYC53mR78QOgImVZak1IupgFsNEPSBKLvZtp5w5TIAhs/UCPtG0WmlJ dUd/U60RBTVWosIAuJsmpTE5vrFxKB4Rv5GfWjk0xL5p2EwqVB718Ti0XEuVR28Yv8+sxSswbDje Sz6NtfgfnsBIodX75fNNHraYEmRNor0dfGGMAl/UaLdy5ktbQd//Tak8DftrvFP1PdJ4NXpPg6ad Ch4RdJQ8dYwPCGY/h9cjx9aK0D2OEESND4Hen7w+EC0LuPHFwy6EBxzSuqhcPZRzuBPy5jJ/FXid 9n1oxUqfbKsc4RlXy4RyhwhjJcCWbcRaBD2V1csyfSO8BMjfzUV142u3QIMY/IXx36ZYrBwuw12e x1+gUy/mqdn8rDJPaX5hkvrzXHLWgVo54THWG6/Spebao4iwIizqiLhO9YR8qpCsVp8XQ9xgQd/z h9argOxMLRle4851DhC8ekFjaDdjUuZmW3ea3H+DQyWDSSOnrBk0lm0GoTX4aeI3LzHEJ7xAq0qE r92o04i0OxLD0yfh0bO81kMXVM/xd5oXb64AwWFV9WjUq/MV4ZGYBH4HYc/BonjNSgi0rLBBvutY 5K7JtVRH4UArwk9Ev6ZK9BlnvfftDZcOZqNEaig0ZWwNV7qlUGAbCcS+XLJZJIyZ6H1VNjcAyihS PQfZtuHL86ALQ0xOQZbWZUHF+b+vWWlRQnyJFpoHiJrOnDM3sYcPF1kiVy46J6P13kkqkGfXPB71 zB1Du6QamjM5RdfMe9r0tvtSAzgApA7R7Jd3fg7BKQ60guO9sbIQHh45Lsh18b3DznO7IkNhTqLo UzZlGLuMheNgO/RsdULEYvpKAzVXkm7J5IjISsiBsA2aRpl+EelOI1UE68CnzJzLZ9P8uaSHTVEC JF/CiDU5TL2kBMahLS+iBeJ76kBd6z/J3vsYhoa8WUTRlbp7UWduumM91R1B4zBwWnDII2TU3iF5 EXGwZMLqCylT9O60F0MYh8wPYZLhZiLoMC/OhZz3QT5jC36Y56VBB2eCKH1iWY3J/kn85ogyxuEZ Cny0SbmG7QYCFE/bchI/BKqXmNr1RFGaUD1Rmv93sLu+EEAZzF2MM+4WgDQxIEd4omJzsKFufTRu /Gvmi/MnSJq44cw5Cg2W2ToIB/7NeErcBuVe8SBkVZb3TO0hHoUD4a4k7bdwuTfyFfJ8X92qqqX4 Qn+MWp78c4V3r36FKMbCrWWgFz1g0FisfJujLbAbqLfP1UQgYllcMMIAgBt7RMGBIlQjiO1nWAQr +C7edhlPKnUJMdrT5RG02j8+rFKKEloxEA7Z/4s7NXVul2l/b+EI/KyNUSpVRAOF/Je5yWZTY60L YEg12lmThMo/mlKbPuFmyCfYh1GKdor1IC1tm9nNlrFcfzPJl6L19jar4l4noRy7dkQHEipmhQHb oTx81tjPCGZqMnUJeYNuzG6RAxnF3+CJ+jEbnh0twBo4SibMHlw8LsPucu6HwXYIlwAYnv/YVvJP nSNyCBq5T6UT65Huy0Np5V9oL6Gg/iuuqdWv9SxDa+GqAnQVVaw/I/abJfo7tGTEyTrlvS8EGHkh 0dT1HQJpF87JrEO7L8Rq+wtaLP2PVonR4KU1cGxfmkC+Cb8QdXHXrKemep9VejZ4gIvK4tKC+z3E rvCDC5uP2QhRC2+swOhDuXMAMWYviJP5Y9aid7EoKG68mu0hbmxJdUqY+JhxLPKt4DQOK414xrnH vqnLOU1aSP86m3/EGdNi6hsjg4RiP8Pc4Pl9rpwi7gVc5Jrv53CAIvh0MW/PO8jTuqLlndx4Ga9w BMocuP9D0C77isVKA4RG9Ztn4obkGUBlibBAMigP02StFUw/4u0YxDrqyIGMoiO6p3OmfUnZBkKz XUoPuI+SeyTvuWZ7K8X6J8NXGFdqjvbdkpN76P/DZxd9U/CIVUhQJewrwgugepBzXNEhUEULpnAd OW/YeFz4uO2J83c/5kJyVNFKjCRD9byBtqlgQ6Bi+AOB6xYL5H1PktQJoE3hfZG8CRHfyGJF6+hR UmpmDkVW3fslnRLeaW3Y+dfxxg77HxQxEZGeceWGUSAsn0c3kJJL9Wj0DpIF759goYel2Mu5PFqs duQPo7MrZpitM1cYpOxtnSIUSyEFd5Jp6zpMqdijsaOQoAZVJ0wVGCAys4dxpySp9k+mnisHZiNt VIDRl0ieBFpWDn/ZxuylFbT+B4hnVJ7Z1tzSXHOyrYJjUWLgFy7eT0UfZgL7Odoj4Y5q+DteiN8G mvz0+mO2zJkvW2hlontPhH70OZMb63blB18MVTg8iU97z9Zmsay+vdZ6SH9Zcor269eaPQNlGOHr X00bcntX3GbjnWF6VkBoIvabW6x2ILuDwmAp1CX6h4GYY2z9H2MNe15EuBLn0VZBBJpMmGkMF0fJ 1i/7NVnkCKwVFgqT4eikY+io5NWMokxe6+NThVk/EJUZDpmPyYRjc1xNXGt+BqqTrZLnvAuborPF 4KmEo0Gra3uxHq14AIB0l0WsadUmg3Tf3Rbi3kmhB8msJy3pWACvcvyPv9v2YG1zUMxI/fL1q/uU fcUEEp7+MAaJB8p/hTtNkRmmQjg85uNChVQ1imDzyRq8w8mp1Ke5TKoa2k8S6KyFMfRFtACOXp9Y OG+ejDu2ycSQe1wHNDmS3m4CvrZTYb/ivxGsqCvqVb8OxUcp/780nvJy/KAVFQ1NLbuJj5ne0OGO BX9f3UQVzjCyuu8aCE6r4Jd3gbs3gnly0irwGOZXSFrXfVJFBuNkOaW8T2h2kf/UoNg2fMaSTBUR mWhPwJh/hojDh21Yy6vqwlcv5xhbHEkJnFDge5Twgi66IBmNFxVt51tRQNkYCdOIFcCFwrBcKhz0 0cvYjnp6d0gzVN9LR55M+INHPQfXroNNEvPNw4xJPn1vn889k6TOSP+XhcQ2f6QNhVi1JxrjA1Hd gqe5fDTeUMgmrWVnPETuuWzrlT1L8XONiB3+YgH+x1x3sLz6ivvtKgdO9Os2f6/nYgIN4LH/Nvtj 139xeWAPQu1txhhe8R4JHeuGebV7zlMAAIda9JAUceq94crzyOkds6X83tGovmY5FJiZ7h9uq9yT LMTVcbxxb5Jvnn8btyfX5sFHp5vl/UpT4fvAmc687nbBnUZn7CJjzEbGi3yLdPkN0kACveWeGQUq 2iH4ubfH1SFLmQSFFz5/sPlkA+pa3VqBLEfSbPlOvF/SlwxjnWPDSrZp9F3aNfBYkbzaJHD4zun8 2g1rhwslT8GCQr7xo/dukSuH6J9eQFp2E2YGfHJvXj+lR7CU9PX+uvm+2jocpftUzzF0rK8UndGh GD8Vi290fLsCgBZSFmNxq43Njum7RKsXqpXMTsQC0QO8TUdHpQF7mDTw7Amv8RO4kutWwmGjiBqx LsRaL7+hNHixTBta8kNro2VO50iJD0jizX0TA62mdt7o8kGwHW7RecXIgA2wngJC5R4kxnHnEWDz MjcTlfgoMr1ZNecwn5CvObQ/Yq83IN8CmDJQgLWlRyEgkIci4MUTTAVLqze9ikCS1DVmXIGPjR3y ZkKYSE6h/cJc0lNbiDOYoBZ01aiY8KaRWp3sRczazvmMF0EEw+vBYhB2gt3mZhqM58bpuEPpFgYJ nVOV9mp8u1I4V7TVplLZNw159/vUTLtJgnLDdEvxpnu5GHKCNrZULsXJycuJyzJx9tCYKwpNL9KA 4XyhU8hnnsDG2HiXC82QwLa9M+7HWXrTuUNYaiWik1Ame1a0G67k1JpyM2kd+i4/EK/9KvV8HWAy KIt7mDtZiwYuIG2RyX6Tb00RpcuhizO5wh5v1aBuhyypJcFPDQLlL9m1d7s4v1gRw2iodCif1pvr zXGdrjnOsD454zU6neM/YynRLKV5qG8MMX3fbm8nQXM8GqgiRcMuoFDLPq7lHmGqmKNFjhnI07x2 HtSSqhM8rde9l0kwoyex0l1nP+0Tw9BQ3UOl36cAO/7wlPgizyNEfqN//ZnLktvyyDfVbSAiJdtB 53iSTz9mJeEAYCVfQfzGix57/BzhNqguhhtKUcv0XZ4tJpa34zsXmEVwNGABNtf4n2j6b+XaG2wG m1T/qmaUH1+vGgJ2BWGypLGw9+nIjbioDb+pTKrGwEErARavXFga4FxwDIidvuyvxQeekZQP9JaW TJMj33AcguiXd9KZeUdoUKL2B6amg3h1zFUnPF7i3IL7bYvFnDsNy7s/w8YQvObfpZomms89c06A uQ6XbVmEP7DakkeZnhb+yi7bmMe3K1RJtf1hmXS+bem/Qf3zfa010pMZOYwS5nLO+H/bTJpPJIh9 aJbGwledxTOJAGbMk9Tq0Bu1optAH67G5xsfhC8JFfxMzVcrWmR1+lScit1TVj4HdtJZKsMeaHyr 9Uq/EpJG85uDMiNyvd697NDbHvZtb8NbDAkyS5zM8c3jHwIYVDZHx3tVCpXZCh1WcXRFQFB4Ikc4 zJ7wpi3SdlzTUN8uyVqbUW3WlNPiAKAWdRvuFCqZN9yLolQbL1T5Qz4x6L+GYYte0O9GKtQ+3OXU 8T1y771CaoSSA1qB+EaQ4BrSB8iu49r6gIWwBh6EXELQG1mpYmlzsB7l2tnkHGDMq/cNX5LZIomv Lg8P6lPT6cGw0Aa394wDV2PaIcPgvWXoegskJmoWliGvl9NVF0WnkY2mavC/10l9LnBC9jxKqp+X 9UfobTpqEbTMwo4oiZ+QkW6g/muxR+8nJOfETk5v2x5V0dCJHFZF+tFOtYks7/9EDDP4e5ivh84L KKB03x2a+WV0D+ULD3PDL8Sjv4Ovdgh04D6zW/iD0nxkZNuu2vRFzZmY7yhEJVQijC9iNSSuiQQZ mxmz5yBhcPCiPcKh+R/iDoey7CZw7GHtd81UxJjjlQ3tuwoMSEPRI5JnXjuZqo0GHBmMKCzHPtrK KBuL+skZS3GMno4EIH7kAq300N5SfQj3TpKPckAd5EzC0t+5+MdCbpE5AlXsGxYj3v5HW/ecu6Pg 2CJvM5yxSjPH3Z/J7sag4NDrCT5/dXYZn1Uld54ea9lWKs4xxJuX82k3FOn7whTnDyeY2ERLRVWS wE9E7jHWLXCgv6aVtM8REWrOaF9GBiytIVqsQg5odvKIGriSj2OXvX6UYtYwv11jgRye85qNVAq1 CkFZOkzRy61FGl9SiewnpPoyCD+EbpvJCfGWW+JGo/g6PTElAKA7poot6xCQabUtDPe1IscMhO0E rGlZ2ifudtv1q81I5KRPcvlisMwUSfZx0sZOQ1x3xF/uxtvN26dKIhFY5E0p6X//iRXgXiSTD8+5 fj1Id3W5c6kyabNz5t0JoJ70M0zgaGz1khqsGzfo/JiI7G5jujKlrfWJCQGzyroQcW/eidhTPBx3 i9ST+7D1JlyTbyX1dLeqCs0aHu/T1JPT9CTrgM9Rg0GMqx9BuDr0b1BJGXOzhMLj2aRVLWLdy4Vl +pU/sPQoyemJCLAB2gokPWIVIQWF9Zji6DBdpiDkNVZspwyuoRUSfLp/l8NLKfe4GD+xdx11Hvwm sOupTXxyPTNOEoGGs2h7BFj7rQ9Ca+0tLM26IqSetolR2SoDR7/nz/wVAd3Z+fFdT1mAQmTQH37E rurjR2ceR478lD8nVO5w529D7O1kQQdrnsUuJB/75cjq+yNAN7qnR8+rJRhR5imICWzvKPDGrCOw EDfN7QQpz1deqLsVea4S5C0xhVq2o9VaU/3J3kOZPaehnIrl3TdomFdVJfriCobQoGoM52d6Yy8A ZhSOrp7hoAulnuBf0L9H9Wi6F5DxSnbUFmZU2OAyd/8cmRz11fJJYJzFJKBt5vMoACp3HMU7PXwU OAtXsdaazzzjuqdQvqyB4qvBl4UZsYdiyzF+xdIHmUWtzsQsEU1VymvANQTLU7S+oQ6P1aDP/bwe DtKLL4RuHWRRHA63gc1glo5q0rfT4pLOsqWFtMfY/Lbvh4Hz8so0u/flIhg+gfma4jv4EPWuIZt5 MYU5LFeGpSa/s2S1QjgLZijv5LnTgpRNOVMV0kYq1HlQMfYDyXcVzy1zyREgbOaxLY+E7lxdGCm4 c7PDwN4bFxHmV7HnI0cnlfCO9pCLaE+SntovN3oT26HuVDa6YMKv/gW2+kSOWryvXVYhlFEcwXfB JMIPRmT4WiJ+QtAyt5Pm5Wzari6CvBHhH8TavjyilqG90ekpP5/QAIeHSibwcppAde3sFRDWV7FC A/wQVpT2Wbp4/AxZfAngDC08Op7TxierG2hjRrlwjryhLqNvQb17bGB1Kn6tf/xz /Qc= `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/RTL/New_SHMU_on_Node/shifter.vhd
16
3063
--------------------------------------------------------------------- -- TITLE: Shifter Unit -- AUTHOR: Steve Rhoads ([email protected]) -- Matthias Gruenewald -- DATE CREATED: 2/2/01 -- FILENAME: shifter.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the 32-bit shifter unit. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity shifter is generic(shifter_type : string := "DEFAULT"); port(value : in std_logic_vector(31 downto 0); shift_amount : in std_logic_vector(4 downto 0); shift_func : in shift_function_type; c_shift : out std_logic_vector(31 downto 0)); end; --entity shifter architecture logic of shifter is -- type shift_function_type is ( -- shift_nothing, shift_left_unsigned, -- shift_right_signed, shift_right_unsigned); signal shift1L, shift2L, shift4L, shift8L, shift16L : std_logic_vector(31 downto 0); signal shift1R, shift2R, shift4R, shift8R, shift16R : std_logic_vector(31 downto 0); signal fills : std_logic_vector(31 downto 16); begin fills <= "1111111111111111" when shift_func = SHIFT_RIGHT_SIGNED and value(31) = '1' else "0000000000000000"; shift1L <= value(30 downto 0) & '0' when shift_amount(0) = '1' else value; shift2L <= shift1L(29 downto 0) & "00" when shift_amount(1) = '1' else shift1L; shift4L <= shift2L(27 downto 0) & "0000" when shift_amount(2) = '1' else shift2L; shift8L <= shift4L(23 downto 0) & "00000000" when shift_amount(3) = '1' else shift4L; shift16L <= shift8L(15 downto 0) & ZERO(15 downto 0) when shift_amount(4) = '1' else shift8L; shift1R <= fills(31) & value(31 downto 1) when shift_amount(0) = '1' else value; shift2R <= fills(31 downto 30) & shift1R(31 downto 2) when shift_amount(1) = '1' else shift1R; shift4R <= fills(31 downto 28) & shift2R(31 downto 4) when shift_amount(2) = '1' else shift2R; shift8R <= fills(31 downto 24) & shift4R(31 downto 8) when shift_amount(3) = '1' else shift4R; shift16R <= fills(31 downto 16) & shift8R(31 downto 16) when shift_amount(4) = '1' else shift8R; GENERIC_SHIFTER: if shifter_type = "DEFAULT" generate c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or shift_func = SHIFT_RIGHT_SIGNED else ZERO; end generate; AREA_OPTIMIZED_SHIFTER: if shifter_type /= "DEFAULT" generate c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else (others => 'Z'); c_shift <= shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or shift_func = SHIFT_RIGHT_SIGNED else (others => 'Z'); c_shift <= ZERO when shift_func = SHIFT_NOTHING else (others => 'Z'); end generate; end; --architecture logic
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_read_wrapper.vhd
9
56990
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f+Y3vSXYUASTjJ43mVBnJMCP9yP325rminli/Zg9mnVfjDbHQDpmJYDkiOpLezryZzE8Fv54VHkj 6vGQI2NNLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JqYyklPBGxFw/71Zi/GpIw+FMByKJYbBCtiFOXf3ICVEqUlZmbUlOvwjeB5QXJfKO5iab91Xio8+ 0yDsE/KYZ8H2GajMA8B8VLgnAaRWyYufGpcxhob2QwwNrcjxbitDoaO/qhPxR8O2mmA8wskF7x4Y Mfi0TSvj/svaOqc3Sw0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ixlXWjGaL5T3DMY6LlTewa7/qN1GkAU0jlWPrBC4u3IMSUyZnE4UXOzUS3mXt8nxY8eYVEm0UOgx L9gJG6H9HGXb0rrZH62tCMHryNd/o8fTkLk1D6hBW1QGP+oFDw5s0Vd45gK/4MiXLUmHRXAxbFsT sVCjIwmUEFtYaMC/efSH2YP45/AJXjfVjVfsxfaAO+9DwSr1iOS4xK3Aii44DcaQSA06Bx3Ug9IC tWRpZvCHQWRbOkscemrPYV1WMPIfPVzH8o7ayiCCoVrOj0aWro/hdKh9P8QeR3L1MaRgBZ1PioiT KiMRopJX5djV1W9hVfa97oM4DOvwvVsCGzEmLg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SSoCi6voYWgEimyi2Ul5y1zEQAqmj2PbeWtCr75N9CmWCA3QnU6cxoUemHpDwO4xJEXol+RZdhIV tXXdUmeDJ8W0gaLFmYDiqNUJekaRRZk2DTVJ9EH4kycGb1EkD2tNMnidi2Emhk1EQIzDMbaTfA7D j3Zj31FE9jmGU8NtZsY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BI4d+cT131kE7tJlgiwXRX3Tv/ZM85f++/AElkAQ4aQRL+phq1spptnuoglQCMzjTgxrr/Hj/9SJ eScHTBPxWWjdras5p84+hiXI1IJWYbMsR+zfAFPtqd+t7waGZD18tL2bmEGeFKPJXOAuTuwa3o5L wUVkOwMVrYOK5zp+ylEoJBBRDUYiOgLumhoFGlLeID/iUdfgp/X1GavL+ptxJfR8+smuDZ2JlsgU aVajJM1c1Far7UD7r9FXmqHnPXhBWf2U/0Ghwv72NLTxzKJ8AGRG6XCz3FYR7A22N4GuTpk5rycs 2hdmTb1ScasQo/4m+rRCUNap/cbiUQagR4hGQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40448) `protect data_block 5cKwlr7Rc97yPJEMUmGD0wHwh/Wr1oQt7bZz8ct8KEnTIgPIxV317HQLObbsNfsGyv10n+4OlhOW slq5n58wxP+IQ9yNHw9hiC4Q23R4AdVY1T2Ywvln8VLeLZqByreNUx0LuipvlUXIa09OS6e3hHJR tiynoNDW1zdL06uCBsCb3mUH4RrxpoyBr06szE5JD5lvYTdB4s3dA7V0e4wDQEp7Y/970e3rkqoE ZNPhlvpBM/6/jJib9duvvLYvGtBxBHIV6d9dkK8ZjL+PvY5EzX1qFGB9dTkU8E5KA+hlXZ/eo6Qo RTif+Jnu91Z1wiauEfs3qQ+VllXRZnhQbbn+McCICZeCs/w0Hpa37B0FvRjpfRgeMQZ9SGafiKVd MNA4k7e8yA4hSm05w/K353TjHB75yYAps7tEY7n9PRPgKyos76w8fXODOnJNrR1xzuAU9ywsPNXW S90pHiKCtOf7sEbYwixkZrlfuQybpTACp+4nsJpDcCYlIx6bVGdtkqods4Rohrui9L7NJzoNgA/q 1/XpuPUhqFvCaM4vPFFV3IyEHruER5XIlg9TFJdTO1t+hF/1wY5xg+CpazpdGCtVOpTQ81vaiTAp I3rZ4mvmetgXFEr/0StliFIGeCTYtvvln15oFaTNi1ovSlXMMGgtlwGbror9eR+OTa7W4qoUFap0 VQgBTc9Ei7L359hKtA0/ubJU4VQ6cxz/aIOVeWHT8GQ/EL6AscCXHrE7fhgjqVZO61AKNRALWrx0 E6SiamWp1xzIjlsoG9JcfP5dBNoFP8UNyP3bnbfKZCox/8kj9nKsdfJsUjUHNcv5+5CAe4zff6Be zE2Ch0a6QfEQV+wJtbvXcGsJ4M1OZI9R8zUmF/ewVEMWSvAh78sWTRTqZ1pqLZx9uldoCmSfu6du H61gkrOlpEboC9xJtoQLQUNiRkYpG3NAiwHrk/Sx8u23/PWGhGEojhjt81dqiW7mIXVbSNIhKnFs 4VTOUx/b8FrTPmnse7NJnmjBiKfkA2mzMYY2fZPBIfZuyjPRCC0tjikdCSbakhma80P5WfStakNc ewORASiXsDWqjFTHPIq3hmy8VB6pRy6w1i+tfY7aqMiLBvx6R59qwCAZHI5B2aXDSnDgffmsySqJ jY4fEKF45BGn/Oh5sC8c4Ecm4TZUWyI+srMzPbwOxsNxjz/eO+u6o6LnwvPTNmR39OQA4KuvlER2 VQgDqlHYpnI1QNADnezLRhX04c1rHkZzvgnOWVlsUQtZG2bfDyzBOJPKIKBcjywq1v1OmzCImKJO 2FlvGzPkpo6kiCIZKHFnNIGBGf6JxN4Wt6NKl3ouGcRx6GhARm9NxU7ZRnNWV2kiVAPbtMAFIG9E 5qPt2WAdDwOKP4cBWfS/aso14GqMeePUnN78C9GxpJN3Vyf3xZZRCaByKeTJ2LtY1xg5CobUaia3 0DB+l9V6giL3BYBt3PTls9WJTKijBuSixFyHHlAZEVY0vxlOdKW/3ThfAD+gH6OJ9OLF9DO+PBgg vpOJUFZfn3EZHJdz+ts+b1EBoMMGLSRCO5y/lhllWRYmV4lwNKBVZQoMh8EnGa99XeB0SUho3hV3 DZaWksLvnyAIuf8QBAezA0ES+tykpe6gZ7HjfaykhuqANZ8/LvtjzLGQl9r+++6Xif5DLw1EKBMI mA6tKqs/cAejQJIDRx/1OzZV/ABlvmmz3KuAgfxddi0Mp67fswst4HwBaZvtdJRSKXdo8XbPvzzE s9bkHj/j28NcPJz733ywlgA6kkVPV7g+4ujVidN1dx0zTgHQCNTNIiBw4XOrpEzRp+/s5whTG91V s3ufddO8LzNF8g5R8a8JSG8ymGKOiFvV4KAw7pEeOkNefVrwufaq4PQM/weEPuV+gxOiDzyIfJnJ bGCa4lOVzjwlhie5etDh4lqJu2msjNVynYH1JYW5X8A8fzb0T45nnQTYqJaK9nyv/C0O60/SsEIS zAzsrQRbxgVMxUqCkAqySq+ZolxYqb5ZUev7u58X594hVVKVx2oHRAXkkAzOiK+CEThTfpmUsawQ EYII/OqT4QI9Xj+GjOzaC5WHnqyLS9XF6Y8drfPvbJ8g1AWsh0R1AkFe2aEDVtUyuAkYNFYjvXb0 FGoLQAGlaoPWoUm/HI14ZIPIyGIMDpsRl9B5KgLW44FnrldCHo33DFrRmi5odD4Cr7m3Q7ngp1DB oxGiZcuuM9WHe9eyrHZc4uNHdCojVT3/VShKGw6p+Jb5MNAXYw0AtsfBnl4njB9/V2TPDeLjIxfM adGM6rsMAKdSHszX7YIDnacBDeNb00VT8/tU5US2sKyK8NPwwyjeQXGQaspVLryDcsZ6rc3srMvi 1z8bYOQVyDrM+RDv+T+MU2e+HmauR6Uk4J5OrOgcmgRp9umvO8KHiN+PYwmOA5Og/KbfIGYFGxW3 TCcWgyFusNX/owbHjs56E7fYMhwWJxJuYaieG2BQ7IMC+jGIFljZV1XFj+a4zMHzXJkeDIAW0VrL 95cvCcZwOsZyWdRhfcHGoiGiJyMsZ4pSCkwLOWpGawo97J+zJRAGtG0TmQvdFNg2XuBOZuhMDiDM P+xHTBHaNtmsHrHve5go38u+rfb4/rPqCmq7zXLptsPs/J7VuvuCgfjv2PJGpEXeDZ6s60xwxi9F T6SfBoBtN1InNEx3pm+1BT8ZOHviH+Bn2aihI+sVpY14YAZvwlYANj1Ga7IqrjlGptF/TTWlfQgb stELR3slhsXbkztJgAIaDhCU95xAXpG9/dTUkDM3QjrJnmfFPnxAeGhlLsq82HSj4hxnUHsviM5E auz9eX8F/prJn1HMI8F51+qvMaBu1N/MhMMoqkbuHflJurtxqsZIz/DhvQNM+X6L+1KVA6B0IyHl Uf4oH14ucOj6vSvDmZ3oFiKCxYYTxfniUVU18HLqpsWBN3jSfCdHIfP+B0RqJROLkPJ7CIgj/q5j 2wJz7iimA5ST94UZvCqcxVG8EfDS9i1L9eKViYgtioUoW5u+0ztoe1VPtyYYE+Ti1qtej3UANqQ/ gCE3jAwhorytgdT4OYI7yOUUuDJV/N9826FDr+CcmF6BZlWjgEnjPf+IUr2SQ+uCPt57u+aA+VM8 H9a8WCICZ80jxlNM+lQqD2GcQkErc1DuCK9TeeSEsooHCL6PBIFEIxpE6PDSlCI6QGZi3Nt3JZPP 2F/XXRWo78teen9BUJvIsSGyLlNxqkf36svqctIUDyk19WeonPQAfRjxqF4duj4V0kzHTculpMqm k22V9VqfilasbDGe3Ed9v8CLkI/+l/8VdH0ZCY714zLDnR3LgpxSUHZkDP9FuTLpXu5sv6aF4cS6 zWWMJjU9p+/0xGL1DbhtTsed5s/B/7zcIJl1FPUX4MOH7IB10Bi8JeE0wpz9SugwX6cBrVICtTJu 9Qwt5Edw22VBY2sqwS/MP4Hwouuv5BNylDTwW5IskPShF6s05MnR2hkPhTivO3lUR2jJIC3WHOC9 kaRDVIajuyNn/JkXQ0C01ip7TWHWOqIdfJ97IrzxEReipoIjd7gw6Jp9ZO11a8bn73tLesytYBu7 uCMZJ0zypkoHuVi7qUnXgvqiS2pq8Lz8TynGwDaHuSanWFgBoJ2RzhesIRRPokkCAcKAsvLuCIix mJgjmF6FW5ZFop5eDAxUoKvOvNbwkQCrM4GbL5WaMmo1n2SjM/2wxdtpIRA1XO2ofIF7e1IMcEyN qbRnR49lLLIWSGDCW7ufOwDlIuTAwTeqrq/9noDlcbDGjmM7nDWnlNKyapo7QnbYE00jI8edxGGb 9im28oZYAflMdhVzlMMNGagq5j0juMuUreCkqrtAxid+cvvoW7+Nw9W2XJDXNtoZtVQMTmzZcjRt Xq0mf4ZBrPYcPU6I3mUuoPqjGLePWqRIvPlrmsYVt4nI9tx2fovmk/ChaqjTmTkA/aw/1y1Ll9lJ HQODZORuBYjYZrpnymZo2jvA7ho5XDnmKaKRkunghG70bA6u3Fx3rO0FPVTgQIqQdmHlnkBkqf/a wVGk1lhXitQKGa10C7NfVzWGMq2yJU17CJXIx9X0769PM3C3DOrtu0+FPth9k+yCNHU8+Ll2sqai jZMxfq9rf+rDDikAEEto7Qrrytv+w87viU27YH4D0l1AOLI6X8P96E6+4ww/Q1ogtgHfZvlsy4ft j1YtmLtoudXEApvYWDgu1tnO4TP6KRICKk4VXgq5Bq2ct8sQ96D1cXhNcnxO3XSiRX0AsK2a9EMu rBZRIE9GzYjdyJF9wskHoOcRnBbkaG7Klg3XMTM1Um1s6/f8bY/xiJgVcFAvWwhfe64WbQnQWhZ4 Mk1Gn3NQQSGzeKwclj9AUckgCU2Hc23n8lLf+cvfj7uyTWlTzDXxaP6QEjhUg+51MTew4N2eB6+c Ltj9T1mlZrqAsdjKiSCt9gKxzsyq6a59Bd3fvfiLaFtUWdKNG8OykCJnOTLoqTeEl5gWejDJe/1b UleS9U7GtuyuuvOpqC3VpK0ErBkHpP+GfIgulnCJRiJa7e88SR6MosW2QLyYJKPNJr5/3DCfRALF AL8zv/tQCRkJPbgV65kZKpRbiz8wk/g9vfmNrSQBsyCNkcGbU10ldX1gRrAqc0l8uAWTK3sHsvZL JhXYqsBp2RwO2pgpJ7WZRVElAlZlf+PIe/spNJ+q7iB5Uaoba5cMAII9d0zU8iRO3E7xQj5H4JXJ bBMPGVRF5AczPU/3xTwYVyLs7N2CYerEaERptBLHk88FAriVlY422pFapCuFFDwBsu4fxEbzFV1O bR0RPhA/b8sJyrGR7V3xxn/il79O/HbfAQyt1pR7RLWxw/Kl2+5HFst1ER2roJqsIKdN8jwgHkfr RiJ5v7of9Ei/xgzRxCo2y9pFU/7wJsBAzaCMwmWCGCid2Nc34Pc/bCuDjrpRpMf1IHW5enAcfCiN PUssPZ8oRw85cJQfArfEfRS252rRZdVysZ16zNJ+WJVhYsm52kUMnAFmk59W0foiv7SdFcnrsH5G FxYkp9npTf2RFWBrsiB4FKnY5iXmQRI7Puv1kT5t0LIjkm43+o1irXNkZQybt/JU+rK4cQeqgipo flU+9OYKMM8opUJcpF51N/N85r96fzoY8ZCycW0O7Kc0OEQy0gIib+0gzGa0TsI8qzWNPVePZCGg HkSrS6BtUKNfLO/Or7xWMR8BziIu77a9cKtSO4+AkAIjuqVNo8YDjYlsVjFcRp3bNbwMBN1KvwQ9 pZz0FYEqD0HkJ1+mdffqt+lAC9oq5z9zyCkWPqk9ogx/nzq57BBjLnglTg8U9LrLOiQHVqyktyru p8Ov4GpbZwx1MWgDYxttxw6rFoZZ8pPSmiEE3XGfLtZCHTgblaKx+flXlM+4llHBsrfLyBIWfJ/q RdXY1HwiiaxS/O2qmW5XK6m/xHs5Oc+Jf0Mq+xVo3CXok2pMN8WKf1PUfdU4I8EC0xFYM1sxqQAY QufgDAuQj/4RIACiohNKgY4tAcD+xkNvqh9QpSXQgWsXUZ8iOfVS4QQkmC350eb+LMqTfVKhGmd8 bmPt8cArLV3G8hddTBhf9VJf69iRYLRQU4O5VCxJqo6UZ6wQi5L99ynrPw/fkcWRLaJ5GsERRqSE 7T1++7v9IDNPPwrHU2iYyxW70NGMoHK/VuL2YpK6krnJOE+BnPVUlZZZI/lz450avwiCH1IUyQf1 lpE736DlB23g2sAZt1VI/ky2c8sGCJoY3bu1emJwpySyHv/5LROPJCP7ZRKV2c9s3vay2t7CWGLA Bbfrf/EiLRCx+HBuogPV+ERJconS2ouSB+rMUqN6ICdpJ2SKTJiJTikF7wvQvYAjthYEr17WGGg6 8yKNPmCJqejeR+435Gn5emXgqVFLcMmB10KW54+jQOhy+2WIEw9Rl4zvWPITG79gvRdFekVb1KsA cgydlvarp3Vy/PhL2vKDivuT/o3ixZGCEEyVcbVH+iKXJwbtD0UlE9+Vkoe4x8swlx3M2t3G1Cso QtAbalA99mz3LlZ6JuzY4I0jJanDwyLBVLu9vLYnNVX54ZT7WHc/f0PAN4ppgyuFkT4KB1hcWYOP 0aDPpCnEYgtAEI/7k4OkwTBLp6G/JjZtfCBsEI71AOOPUu9mvOjI644+FYGQ0kIt4Mq5Y7rFTk2S U+VJKaVjqdit2spsXtx48ozvc60O7IcqCBkkniL3y39VRyblTJ1zIuo8iiT3n7MOMtVF8I+bkPT2 l0j+tLCpOqKHACiQq+fZagGWL1UoeN58M74vsyvSJaYkAYUf4KsCXNTXkEco5Ux2M1411u1YS2qG c46woHYSyJe36tJVqnEOlumYgpQi9G1lFYPQspLMqrSBYCNfHzwVm/bi0ddgLq679xUAN663/uzI oTXYdqf7vOjK1SoCeJu/zp+Zni3/LgYn38jIc+FNu1vyFwxx0I5zvb3f4pQ0l3RDIFjhR0ZTRhWV V+s86xSwet/NM2lmjlQxMvzoCzaGo68sCK+J6s8ITZjxe2DPxVXgXoB0NtP9KaXfuxdDU9qTG6lB Xz+kKLw8pZOkGBDMNX8gPWESd4de5lgpto+JBTTwXi9ZcTYRQ9WDxrLsXU6SpYXgSdozLm5V5Bxw pM0QQ9AydkQQjXRTh5U2FjuNZ3Nbb65IKQeN2rGe2KHG1lFXTCM3qwUAhk0Pb4tyLVuXV8Ko8K4/ Bhizd1ltw1mzTFwfNWxxb/wRDvAWKaB95gjwhJ2tRuDZO495AL9r0zHedTfOU8lqQuXYmOYR6jnF UQevubc4/IYln8eEKFCYpB9fidYosB0q8nm6wfVRbqkHkPdM1kIiW+LdFEVF0T9kJ9hsUKCucdFa Kv5A6HF23Gf7rJ258gviqtN6wSbsmaPZJMLi+FGyktq1QwwcVs3G/xOKDCpjGxLF2qFEWf6/Q48g sOkQWV/ctNg+koGMmNTXwvI4OlgmZEEGBmeW8rtfBT3beG4nBUaRueSHTDwJF7BRa+Ni9yGhYpN6 vpdGKgRJXNqwE7CsLv/N5cEJegiO1o1WCPfjkp546ydIyuRdI5fiq7/w1wMCAdWL1+0VEM1iInKq E4K+FgzUjVPmO27iUXMiNvvPt6UE5SSNZCk4SmjgqKx412rMUT8vlH4w28skZviB/SOc8gXrLQMq THsfLa0+cA8HTmmHZq93XK6DrPb5KyQ1ejcqIes6M4CgrYbPLJupkdurqY4RRRHY+VSniqpR4x/v pxglKX0lv9XrbQoPK3xeULvCaCaYFcBlrz4uJ2F38iZcTtVRvnW/cmsAu06qhdjOnuTs2p1wt1Aa MRxevDPZEAnlDDOgZZro6krMXOW1GAQp0530pTXmqBPhf3JayPxkhml0rLXqLvFlWbCjTxB1t8FW oREE8uxhYRLneExaLAhuKMce3h2nesNsFGE49F9b/MYqdMEDsvfs4Wu+dC6C6ZYbB4wFsQP5QWnz x/VkLVjd6umncTS0cY7Kucpepn62c/G25PVslqga+8qFXShrF2w84qZfqSlxoB356pN6MeFskPp/ AZ5EJ/ppJRBVQtyYjZgj0zxNpDXc/sMmuPsHB84ViD47SNNlIefeUhY1CvecIXWIZmybeuXBp9Ko 2OGQb6vxu1EYuZKcOBkg6lD+rBTJHcpbyCEipED/98ePYr1NJkq66oP8/eeezwzWACfGmTUUGcLV yoY5snwLsILjqZpzzZPBYTtwwXAyQG3MBIyaTbDKbc5CTfCWVFznf2KV+Zbggmdbo3ft2+iRQ1Ix 5pK7UT6gBxpK8P4Tbrd9tINMB+tZZYLRwsR4eQfTa6UQbDXjYD/aKikEVasKuHswZ9vKe/nsmS4u 7Pk5/1814SyO2CTfMuWcvhE6owDFO8xNViYmq75h1Vxd6Xc3THeW8GKcPub135RW6KIgUnm4hV2K rX2zLy8gUdSpO3Gv2qrUhH/+DTGYf8LUhE9EXQK5Dn+6jtRoG8fjrq18DYDXDEDeZpTUvF1iJeuD rFDc3QdDl+jdNehMyuLHVVsUzNGN7MiyAwVEBoQDVfsoztGPQUBjLq3E16MCDrqQ7oVb0ddTjyFG Jrf0ODKQ73C1C5iLo3GtskITK6yMVmQzaoYzKrgxs0mbx8m/3RlNBg40H/pW1Yw4vb6Zp/lDKwlI 52N69KFJx/iyO3SB/Lmw2d5W9uJ7t7yqRIOIOi70/frMW3TuGVfrbyAPZcJaghlfVIeB/y0jzaSu Ys+hk0dTw1nu/DR8eu/Wgv1e+4Ix54SEyoWymzMO3Pk3bBetLN92rDbtAIAty0DS3iduuHN8hf84 bs4LeP9NONw1c+pT+9dIsUmDChmixLuerKzdqSlUWLAUlrQgK+RtGt/fOa90zMbStnwcOFCcllFD Kg4+riRFi4dfhgLa5Zgtd+XVY2i6PiiQw9Bg6nuxX8GeCVLVtijwCua5AR33rvqFgg36degCUi54 22NO0pPtdZX7tVrZhbQ7GlsIfGZlLaq5D+Koj1a2DwiNXmQdvX8dWuiPIIwea6FNP1DbEqss1BB8 zwh6ivk4IqyStR9ooa58pEDQzBF9q8f5bcPLPdSWqR/T/zkEVhSbhdUaRefOywsfVwCAx0ygvO6F SX2sHDugn6pXOwnqPtTwzS351bIVe3vuM7BddveYJW9Y+O6bw23jUALdzhBJOotTer8xa2tWMh2R azduLozdonvWEfBN+FfiStWYVLQpwVClHSh2C+f2Gum4aaGPkbKZ8oljowZwUJo9mzskp9iMgc93 ExdVtazakXdXmpAjkKxbOBUyyKqW564wSuakwHGgsg8fMxl2zjic6Cg/0toCSiO0p+Qrfu7Lj/J0 9suJ4OOQAARYeZgSdyvMBmG0+qYdNn4fbRHbZBujRgiQBjsaUXDfiPX21uzaDQkOSy+7MNqKIO+j Ix1pJbOejqWmsx4eI2ou77XGnwIlk9bQT6IM1rnxuCOqZ5Z9fURQDLBy2EV9rzscpXOrS1FsGL7w +2BmD2UopMvDwMSa6e7nnCAPEyFnrgBNF6qQLxIdrltfWdvVQ/Z8//Lg1K5LwVucxg+PRufhv3et sNTmXl3DXa4AJU/MjzFoyFg6GHyJEVAkKQ6BO+lRzSZmZBTNkeGdhmZ0LckcEIl635N9iywoSLJN tdROQsU0iJ0O7t2QxSu2edJ0jYDrUaoYuuNbBrHb+f2LfuVX8YxpbWNAF6dVKOHSyLZPAcpgCrfD 4+jIG+lk1uxeaZxuIu5IX6ZGXYd0w7Uugi173U+IhgglG7m8kZ9noBwdU3TTLoVR0P8hD/cRyaN3 m5UQelTH6SMYMigAdYbVzMHNzTIXhQ2obgV9TVsC99bWT7pqastoKPeFf7GFkAdCAWBgGg8hw/j6 k99MZNYiS3WkvV1gtFUAKjSCUnF4ATo7Tk3DgCNJ9z3CxlbcjXeNIuM0RbgfRQ4W8tSvIrz8LXJf Xg0s2jk8tzo1i4z2+/YY36cNgBoImBx411rSBQ1SrSqoEenlugd0XAqF9eSqC/e5YtCSJ/QHhJ6C +1UyaFWz+E1tmFaKEkbf/eHNJW3y3rLK5FJGoVcKqAx78z7ueycyffYkPW+LJ8JkthzZNTVgijrl Qlr7sfgC3sqmHMfPB47pMSz0iyVOFYWkwpvtYZ4EKsffOxWlU40ppRou4ef62qp42L+oEgWkk42G g1jwIs9QzK5Sw0EpHb6ohVA2eLdxWrnNjgJZWoHHNIPVQFhqrvproL4A/O/lpRfM6aDZhOIV2yGu Eqjck8cbvjpoqoxWDf/9k/6kFThTnt0qyUrQwmWTVeBGzqmnUPxsfu0GhCyGxIVxTJ0ODft1MFZo rZ6zIGRr5gpRFzZiHD+yM3qxP4+H3yWqioeu0egwNbYCygPyW3uF4NnJJ6SwtSQ6oUpcJwLe1fpP j/g/TYQCL192qXsm6jXcQEbSl/g1kCnyICgRAZWijpqygFmFsh/CGtEWB5NDS7DSHxG9zt4gDrzw 0lDT9rxy/3y2rkNh0R5ilC1EbO5+nHXK+EY8ahM/pvXLZ2xech31kcsLLRDogLQyAXdOnCcW05V4 1na/q3dAuwDkNjP53kj4Klo/vj7GgQ5t4L1whU+7jKY9mm/Xu0Ad9juwIpUEOaoFWbj4j2+CiOSt c8MhcFJn76x5w11I1qmZ80vH3YklDLDCeGjB1/1kmwb8gL7/g0cMTI1E1taJhqziSI8TAzYQohll U4efzulP+KeQK0Zp1XYh3aglnbHhtAHxMlXKQWWSwL7CBQLFZ3sDDONoCPv+NLvKCLhvCnaml85e 8ThWU8Pa8TnPYbCOx/MB4uAUazvQsyq2zUdQFmzkADT9XyCskW/+sy2oASVJ2CN4v+NtIbV5rS4I s7Mw84NnyMOvywZrtpHMqULp3KxUTCpLyyqM67R7maq1z6Bm9eacCDraPpRyzHahnt5ZPwcSZXxT iSxJs5Zp0TbatCKfaSCu5sei30oKMNE1b1MVUU3JFLuzJpmUXfCut8y/Jfze6+WZZQ2Jp1B91FVd saPGmEBd72tb2EkErNxMzTvd2fUSxD8NwuGPbW59wyAntG3L8ip+Xx7WtSelzLSZXGxeERbVUbU9 mgoy8zJBVkEt8vKVJsYr0LgtlBZ3QffcwsVdIjdmEoJYM4ZsXmAvlMV5D1O+iPXS8pylgiyHOZaY G69Y2fVA6oIkujunDliJR3JaKv3jm4xudNLmWUXMJJPX1HtBdiptnWPBPj+7cSQB2iptYar69lcC VQV6QrKdQCvWEVtCB4DdbouAY7MJkcOWOJ1BZej7WP1IuyojmaT69edPeRtA0PodY58qaNXujgjh ByyDfe414OZ0cly1B8Nz413jXY3f0oKQqOCIZJZdinswxYSKmwV8FqlwLCXtH9FmDK8t0LIP0RsH 1qauk52KUPMEc5idLcjQqtP8poT6J6Y/tmDyqhUqUStlfLI+X5+bD82NtdtAhgBgLbFEixaWKN2f GPyUlsRM74wk0IRg9NU+Vg83kitZayXBuQUburpVTt9GBww+UCnrK48onTReDeetKrI/Q8SFG0hS dVpK+PJCOu0oqYY1IEQFkSjiyHZOd49PThRRS0qNBBpcqRHadjA4h/2CkZohMvKBPgd5Gs+SOmmS JoKbq0VMB8OCyBTWXURxKRGiDp/Ckn6mqeXHQs9oea1QothOUm+QrY1VVCNphbBnS5aRuPBjV1ZY SImQXnlaxue5BoZX62cgxiSmQUMSkCZJ3T2qW/xo84b9D86psjXOqGut+PPxuJLrzvJ8sOcpu6HO dHIjfAt69LSWfnutavvGb5pD8so71xs7AERtxqI7PSEsnlNf/0IdglQevyajs5PPeHAICswwskfJ 3sLhDnkOR3BfIJ+vNX7enZSFdC0P575rvLftRRQIVZFgBCfj3QwcNO4kE7x8Ekma6pf/hfu9d8i3 f3gFsLgsEnsk4xuwYIpjJHxIWSz2XsZxKK+hwUgHnzpfNsTGI+UcuJZvor9jILV5+nKkXEILJUG4 B4hC5hXDTQEpW2sTIcdKsLkJdm1I7PzqbH9GskD922IK1IM+K4HTqLlFvT1k04pTrv+Jqukzwfnb cSR1Kti263ePDDM4BjI+z1o4TbxXSvJ0tgckpqd2pz0CtyskWH879p34ncNlXI70KAULvAtjjT4H 0N9OyrVWuGLK1kEbE1CHNCXTbLZvvUdwi25ptmpdh7xJZ5FlakYmF118O64piq0x+tIvoFQ2oduG /fGza17HiZmA21jllZsf7UhXm9U9MVxDpzN0BbBqKqAVTAK7q48gMEKmjfLSo7kJCAbx4mOTJFMg NvoYdFXc88OpUgW77eY7WIMQKhHikGlT5Z1UBQg4TPvGwZw+/8Nq1oEka1MPrsxvb07I40RZETR2 OXp2TXoHe6zKwx9j5DzPBNFz1ptzlSAcYrvL2DfyUryS3wyZbNHr0FJylrIfPetzlFPsKwbThH5f hI3/qfmYBhxACijCjNpNohZ6SA5Y2mu5WBPwZ51T/h5q2NbOW+ZbZJ6AH1RtIHkkzASl/q9oY8un KZa4FVMg4+4JAZtO47NXKOo3sgcykUpU7iGH7czoR2KUJBUjy0jrFeI5ZPFfnEDyGrdB4PNH42s9 34EbeZ6PLCThuC0PD4XxF1TNMJSZfEiB0310Fc0ZGOEcS/CypS2Y+53ul1z29abL8kpFJwdn9FI2 LMzaE+EIWg/fYpfTOkKAKzWO9Cbu5OGvMvtZ0hRuXZDjFYH4ZBwfjtWUVF+1KJEK6Oj9aFJMGaoY /H4IADL+n4MUZNN0V8l1ATLolYeMIzoGcfgD5tyEVzgMZ0/OVnLWIXO+7ZCb3mPTHYxUXiAkkv3V kJOrtbfFc+9vswuCwo/zYhgW4mChpdeHbAFlQcDsc3A7BQw5JlttQ/oPfUs0FnLU5P8shpxWp/Es Jm4UBrl1JTseT1pxc1gn5HfksDTGdK5wIPr+iAHAFv2bfbuK/a0+cCu/Z4upwxiztMJz35QeSMMV kLw7cMaAL5pAh0wLOAuOO7QVx8hvOPQdOvmEU3U+/SDTG1NlevQFYzkPbXfJCBxe4+5hzrP2vexS PdlMkfahBsTwDhiFDlcGpNa7PcyKh0GlXwpStsBSQWQ2MK5bZMGcQH3yPzWIFui2BGma8dqZXz/F plYxfGLLRp8rF+ZhUM/4paO+uQaQl2/8JvUlEa+gRLzMmA1LPk4xZ70rCT2ZHZAySMj598SG82fD fEMa4JEWO1/ULXU1eEiGwKolft81rJcXu1qE0qzhdoJeNGf7QPGbYiukZ2uI0ER82ZwIGgPsMjbd JnAwGuPLyKvyDJwFCTY9eUKnyktcVO/j9DyaHhL+QGQwYn6b0cVG0wPFNMJtTLp3Req3wpKxRlib xcv1UinPijXw9M+rCuGltEzttzOv4pTxKXVP6q7MM0yKmY2kHVK/YB2HntrUbyltNFHV2j0GArUm Pa562wo7sCjZMREZp1k6aVCgur+PsDcAWaKxOtqJdjW0K0dALrW/WDvnIoMwFDLXk2hjPJNfqf3o 2ZsUSXa9lHzVY8hU0KDHThXYI1zviO9osC0REHg6EAtV8Dm67HczWtvc18oLZm5klRrv1Tl7cGzx b3NAQmkG/CKz9+HHh62LBqlKzQjDUO8nnieG7e1ho6RvDsIwMuHXszUkQcNvHM25iSIsdXQKjCIr zp0IkztFPmXZ+YVhXsS3xhAyVkfHQKzU0ZqumWadoamcvZa7QFGW6TSp9QXfAjkaKJ63J2QNR71p XW2vnz/2jusA+2BQKS9N/rMLuMd9Q5ZY0SjP6uVhSDBsTLAhUVUwZdeVJh5q32NEOZz6jSJb7JZM 6O/Yld1vC9+7Ngb4UkW1U7UFc2Y+WE5jJAcbudZ2jm3SY25KjUIiWoTaG6x8DkDiS/gfMMOKYZLG +e1m+WhhNB2sksWgCA5rs5GyR8mIAQ0DkAo2TNOVGPIQVPSzuKE8HrnExB3xDCwXU2+MFO0fGmZE WIw6w4k45MFDtuesVdG+VAeNV0voEU4q941NFNl5ScVz1IJm0aWZWbJ4IszA3wPH1kTY+k4WiAFq htEu6cQDNvHFw9bFmj0tIpcAW9EocuTdiuVM41SueBhvJNLQnGuUQX5KIeR6mClbcnMmc8kbgJIc hKbm1MZ8f1d+FbnHk2zFP/UDRv0uPwnXe8lwuSmCu2q6XJjDTvjKe//JXU/ggUSKw0lwSrAEcd4e S2Oq6ELLrM2XKxEOCBTOxAtVQPvNiE3GoHsED8NBz6jmQvP9kTbbC0LYCejZpR0RDQxu9uOIDoJ8 7q93Uk/s6fIjiwI4S1ZssoDMy+2AxeQAzNJsAmBPUhaYiz7q3PIgcfsj8h3Y4aHjacHaP001w391 iG8XTItVUlKqK1+17/NmRRWlFwpM8lHxXGPww7oWa0Os/Ipfm4SLqF7Yxcs+mxCtCqqRXaK4K8uU zB3JgpAhVE5ArhoSfFhYWCAJi6//I+JKGAwGSlrX3QxvKqH46FpfnMemFAuqvDES+I+vHcJrguEk xJsGrVWYalAlRX4jlmCaCp5isIXHmiENm45y9o34r6Sw7aOw90K7FPiCu0q0eQrlsLa44k+ru/NV /TQM/NCrZ+HksYeF4HSYhVp9DNJU8+GdpGinA8qaPeoEkTY95G7v7nr+SJcg5JXfBBOnQlOA0zLL mjwW6/HNLzBfSrUJk870P5Z+CnTGJW1x2UK2mXYkw7PJq9FuQmF/Wdge8bW8zqYo0W5g+pYNzArT ud9bs0nOkvTdPW+JruNxZ0mAzAy+/X3Ksmujvq/sFhzqc/L/wiUcX8Nli9lXqLn3Fme+QHHBNbnX aBHc7TIal5Z9czKS92jwLJGs4fyTiPo9IfAe8YB6Ka32b23qxp8i2KkH1yJhdPrUCJjAGlBBPINp wRtX4kgGFodC+uVd48Vq0R0A2Y6F7x8BO0yo4lRJSAPOUBgfVTIes2rM/6y2T7ieB4IWYCqB7n/b mi+Wq8Dy31brczIWSQRjEt1vLSxaxYqqbHKD1GWCfs0XARndpGHlWyAz/RYbMVUberJcd6BqFRqO 9yM7lwHiPV+0/Wdtzei+OW3Enf25/c2qxY6zXXbsL4wkE0XwuoaozidQ3suE9ZBadR+YgqZIP5vi 4l8yFZ8/gDaJ47lUbEGiUcbLpoJ/V7Wz9m+2kAhG97XGkkh+R6q5BQDb8Un403omYtst+pA5wUMx MFLnC1W7KTGyLYhJHDrs37xpbvOqWUkzArSyGY19YV/EKfDCEDjOyuJZJRUnKjPL24P1k51h/8I6 WbguNXBuFyXtS2wjtAvFVfbf1dXyJBHATaTaEb2SjiyNjseJ+eNBGqoOrBzOu0WWV9DpZ+WxOJYy 96vSxReqRNC1inZ+OUa8iF8Xl9rOGojX1AONSvEew4aoi0wT61FO9w7to2s4h98c9R/G5iIL5ZII VkY9+xu0JfL/XmS+Lk4ndWS8wwVk+r2I73xUDFoMfo+v8JvX0pKX8pm7Cfzmk9nIbXeCufL8+JIL pGQ8R0nj5RA6a5Q0QB0xnePqDKIb1YT0hpGwjwWcQDR5uCTcSgoPfj0pERyjTVk7LNKiT2nJoaz3 QKQe0+I9rpodI4YeABP4e3B+suEHd9BJ4hgXUgjYs/YVH0VqBCDtDnJ/c0ftA3tFfZ6esM2o51Wx fhJgE92Nh1cv97zfdFFLBlUHWbkbi3eAt+5A/r4y6XwANo+t27Tv9Oc0fCN3fiOspeiCgZooOiV0 7b85R18FCvT6mmqOx2vEL6xFp4+5UScGGh+4D9f+AANu/HhxT+6GsjX5smQA4G91PzHpbawWxXZg XPOGLhQR+CWQz93li+tqmWvofAQ/AXsYwyBxqQklhKXsc5SjwSjpTfBIMun+ls+wc1UTEokq841T 1P75wFyclreLwkBdkcby8pTaFqaKXFQNmj7nPZX7+YkByX8gyw9zQOrrRLkHo1KRdN0VjWQVpT1Z EbdaH7NzkkiuRVaPIsRe96AK3uT6gKYysk+baVl4NwCaGUtjsoAkp7G44sP60f8q8UfLb0NwZB4c hyZQiNKPEJRs6Els5eWvFgXduan7dDvY8WALEAgA0GJBiClBLwf8OqOagsazu435820qNBOt2Sql oTsPi6zxf8LYJ8p4dUv2Rdi7XVjWhg55l0m0RBbL31ORIeW5LRDoPdlH7vLUl1+nFPphZ5uxAAwu IYxqM7+DDSaoabKLkfjUXvW3otzWykTFGRl8i6keZYlJu75ACs6Ncnxmu5vuptPVDatxYgkjQp4N 7//lao63L0y5WzY7+JtGYhYUur5fx376i79k+HowD+PRV2YIKuEFgevOM0uS9sF13QwdjXJSHD+j ZmcjU7th9DGCcp8UYvdXUVf/CQN5s/g1Mmv6YlgvfNh5ZX8Rixcvp3PEkbqsgQvdutPaXJvi/Vq2 A87ykNm6DQjLlU4B6V1iqr0cRcYB/W8Fm2cA933KdIq5RiJ7t2sAA4K61pdDUtgIF9XH/WBfLjA/ 5MXyyeDh8vrVu6eJma290LsrML7ZZDW7AH4mt6F5+Ht7S3cdlTooQrAmLCKezYeysdICyh2LKYha mbZCrUMOBLqKYqIK+9c0lDWetUScbmuGXFMWFzFJ28LIW87aw0SMBynu3zkbMPowkWlSyaarJscd 79x5zh5bokhLmj/ROU0Ozu7CJh0rAf78eBnvReO1TKELQr+zagTyZZQnbD73WMKM9kNBWszXceUQ iF82IaacyvhNmu9BAfzTC1Owmj+vq9470iIbOxqBNSFHqf0QjRQpn1XReYLDoUqxbc+P7e+ybr5C SXgLZOg7FRES0eNiV0cuWVf3OaZ+Dd3/vSCvtefVxl/7uU3X0F08hzvAAO+GWbQw0myrNXh7rY6b Q8fpBaqAaw+XXDq+y+Dgk3vD3wrNUKgI/my1/oV2rjeUXy7W4YOOKrO/UDbJIGTCkfHJLjSsZQAs 1yTOzQip/Xp0ItRhKOxgPw20+PJ78QCDYS+VypeKW2jc7AXEBzi3q7fVsbAHksToJLyxbFZam+ml lq+NG45VPZ6R2BQY9viIGnky33CMdwCK3qNeLV6Hjgw/XhRfhBX8u46+W933cLn08Vu/bE82aI+U hcHuML8qwcmIp/WXmEoQYj6wOcbsfABe9t+7vkCQ/XTaXDOJnO8OFjWajltfftGHannkcEcEFrqA gaLCqKfdvUAgWjrwurtGvDiUPrZLliVZkJAGbLKZ1gUEdnGRn1VlqS3b7BGcCpVTE2BhrOjJ+u67 TxePfTMrN0deJhHGSeiikHDhJvhNX/ursI3VdD5sFDNJ4J1LrLFSJpjD3a2F89REqOQPUDuUmYYK FZQsHHTyv2/PPlwqV7NrymIuiAkIwJbHnEsxcdcqCQJwKTToR33xHGroL4SMAi3d35UryvXtIztK DO0pihv9C2xvEsSkdv0aur3YYmbIVyatc9+SSuk428R/vAT6/JqsPY0gz0Rg5nRBxxIlGa7sdo+/ tTOn+wmKnYSzOqxVdCoWSAEE43f5oxJpS/WaIax9qegQ8MynM4iMW2595jTEBgm4Q34sg7UC1i1t 5ciyOkuqi6L19gN/V2YzY+5jY9D086rmX+x9fDWogQA1pMdeAbZJL0S5dwR+vV1iBfyluzBhZ9eW rwgMdHRaYRkWR51ZQ//kQ4+ZFlmmHYdR1po/XwwUynlrcEyXfDmliOif897vt5Lx76l1hUyTaCaE jrGV1htzEw2VQPNVXH9JCxfZVLchV03e3MJNKB2NfWwUyh47bwVh5Fi4mD1nagdXApOQ0wMF2qhc AOz57DHXvoxiT2oUgH8yfuBhCbLuyptZsQlhnxKFE5cUCbf07JYo5sZPhU64FlMd+nr44KiUPmfb JQJ9fudh9+K0ueKlBFtlU3AAbdF6W5WCplSXXJrrM9pWTtrVKK9ZZSMtCZq30OGkV2X2pMsjFYLR p0AU8gRX+YEDde7g9KHGZfdQlCcJR2Yj8xBQnhvZdE3M5d+KjuQ8GHfWdaXnv0pL1SvBzPAYXtGa /EfkW0nvSauqOzjUrp4T/2B0i7O8P6NeIabypaJbI7v85wTsMZmso9FQb08o5lkDXaZHWbTs8gCl jaLVlBnMqoa0SCjDSguGPEafNlMcA825C05D1tog+9uFLplDQKEx0+BCYZi7VhA1EZgd5N2ZmybH JOy9IU1BUv3CC/R1z2a24P8KRl8lRX8W+yDGbvBHNEILH+rKBCu6iBbxsCHcKy3DDhg053ua72w1 AEoCLp2/Y30VjzslDNmHSHStdo+AAf5afBiA+ZazXgWkrmQDdblbjJmDY1rIW/521HI4XygMuGMS tTb08qpEMWtnLEZJmq+VzdEkXQhIK7rhPpd6OdWcaZeEZv9vXJoBHsbS1Uun0oANMHpevf5WXnDI /NFSPwJIeZKT3T/aCpapNcxWk9ywEdVR58coqAbiCbL81Cj0IyDmGPpFCOqV1pUN1ulxVicNvcIz GemADsv1I/2krkTu+dYw3YPvddIhCOhMDsMJZ7sySwIQDxWgIc2ySxYzGyaFxwc1+NphgZZtTauS Wfn0ndAzmsVHEW7IHBc0u8TdkSUimX7CgqJVlpWECagCfAVDBUf8xk8rd636sPR22M29j2o/FgxF jysyuFZBLNKNwOq86fVyWRyaQgqhQowxOsiwdmcQdTDZ4AuW9zC53JJhns2Jq8GVrtzyMrgaiWj3 qjEZW/FfGBI2+bekLF9H1hogJtmMokRVmizFw/V8ywFxITPAly+0ABkY7nfZaYjEEXsurwn+WdW5 cleSRwGfNc3U7XG8wSq1UGppUMrS4Zz+ScZ+piZhUM8FGHSoo+wFs3Yl3+EJZx2le+xC06I+m4iZ WrWKOImCdqP0HSgSdS/J+Vq1X2di+k6bpmmKGAngvHRRAW9w2UsL8vqlXbXtToa45Fg51FVWGEq7 GLJnZFlF/+qyz0YNkUZOwBl3V3Vd2yAdgFs9sbsVvWj52o0nZB9Yt5j2kqVDCdKGUOLi4ffTi8fs G7n9HQGAr8kkdo8tVauHYk1f7ggmGCog2XGooJvep9A25F44xvONiIV9PqkgyGgml6t3o/NKjttr NK3BZwq2hxpdVagi6CzQ2Z8xwMK9y4hASqA2G+NV4j/8lPe7GO82G/7PqKKwqYRcFfF6zOrZQUSf 1SsZ0D6+oKM3+7iZJUg0rZ5XIcHxzlyf607nlfpfO6DxvmbYMOE9EP3hNkHWTlx0nLu1g9QFAQl9 p1l+e4gdsubGjdJytE9KXpgDyxbw5yGVBSSmJk8Fys/GJRllalz1236FjvFYuCfe8iPIUeNpj8iM vymMW4KMHOJuDizSJi9EE01VWuFJQrrmrJHuvwy5U91HadpEfTRGzaGjfnJU/a6EQnE792mhVz/r 0FP72MYbaIp0z3M0kP6TIr1mtbeyWjxVzddA6LOuywJiT2O4Pb0j32ogmLWFFgu0Db7gjVze5IAB oev+PLt/R8BQYdCQa/mIC/dYwO9XGpfHkRGVELSX7kkiXC6co003MOyhM6wSA3QUqXgwVoa8+Pzf oS6lidXLjJNFWiWByHSSPLmzJoEfalx6qQvpm+eIUWfmDSG4N2Jz5ouSsSCayVrMWVMxk7HXswQp GDFGvcfKPR9UGYr51pDZp1/c/7nQcVfT/B3Mqc0wxytiuKQ4lwbzRw360fbGmOWk1fb+pxE2EDjm poSv/CJC2m+fvKs1IuOAnA7gPoViWUtRahFH+BYAD0ULKyPWcxUp4ESeiJlzRYN6G6qeutdW5jZF 4Jj4WDt0CqDqnPAFaWQgK+F6AwLZQkVEmAO6RkzxoDy4VzQRxcsfflCnCdgNZeo1X0wQiFW7Pqs7 E+/yZ1I4PnCFmgwMXny0LXSka27SmiO1K3VegImv3aNcPcfMd4divBNKUjyAhi57JkT8j1547ulk 5H2yP60VpkhuCQ0CmCnFlGO+Kzj05BCru44uS9XxY/krrwtTwKr9zHqz3MJyX9A2gIvcSiaA9SI+ q+PWksKJ+PhVi7BZYTDfAAo6t/eRmW98QPjK3YDBLrY3sJ8NAq6Sn+lIyHsg4uTXV2gFUBXoYljw Pe/iFMe5jy0C0ouwm0OYo7bRPFVCKx5zrJlzVz9c4Urad8+RLWV8ZGH8bbvJLGcdc/9KaQRhOtu0 zeENrLIcpCt0dU6vNUfdFb+BLdBobuZL0o7IxE2dtpfMuGXLMRI17lX182stD07mYQQ6Etto5hEX rG6rNOvcSQxJjhMwakfEVSP5QPZUasndpF5gXAkLJbA7W/yhlSBQPgpAgdqewoc1Se0IviBcofaJ rMceVFk30P0fBBkRr/Eh1oLLuvQdLsE7tN4KLQs2JSVz8LA1yxfELad4T8sJ1djN/sALJv33DmKB FnNNA0KhrnDO0YScNYIpAvHBI8yyZWCU/Dh9/fsrbdecVCwET6GW2VLsOkxeQfCQQKtjVG8hEXu+ 4bj4Bgwla/8kRf+yKV92dascHhYH16NowkEOfMF1iE24ksN7Uwhdu3OyH8SI7/ThAw/NOKkwtWB7 VLofOKU29JllpfqQvKuNyv3yZoE1F/mjGUl7fDphiqQCRkGdV7B9DJWMcZNVzY/ww8kzqcJKwAk4 hEUKFi5OQ0vRQDHEQoudOwjA9ACXwUTyj5LkJincZeT5pu9pw3ncD4elNszm66yHcY3rlT0XNwTQ WYlSahDnol4jO9tU88c3Z+/STAbwY7UV8AHTBYUb8b+884VrdlkW4GPtKCQ9XNg+9jLFAR43Ias0 tIaHTaiO7aizLoryjdjyODkvXcf9L+mzIpRF1CgJmiJDAuLUBIrl0EwnAaiYLFTX43WAuTRoDr++ A8aq9IafP/m8M0gqNUHeJE0EepHJDy6hHS5V1L39ykJKWmc1St5jqxYugeZo8uC0BjhB9OrRsZms G9uBRigYF10iUfRARlibL5La/6P7eLPSrr0oI9K1Zu8WCnQXvX+cKgr9AUU9338RL0l96dS+ghXS 0aoe1rWJAsjPYXiR4f/PjHBSUscKbrlALjez2TrHzc4DoRqvEjYVUoFnCzym/WcmnwYsoOFg/TaV wu6jQ9cRKUiBfkcCC8daeJkWmRYFzPbuHds2g4t5ts9TCrs4l/VGpTW03MjLtuT/IbHMoxRhvqsj 6gnfO5Vul/i3W7mK6zyQ8cSW4CVVV/jZ950i6i5Pi5Mvk/XZi9/a/FrUbcegopgWWA+oLOWuG8oF VDYovLa0BbH8j1xEtbKG1w7bv2/+KwB3hFtgEuLxHvhXyJ6/yiopAV/e8RARLbw3Ohlh2yC9yDer owJRQK0+OkI2ob/yYrgbBwLA5yJA9xpTQgDs3QmH2q/2Jbol7epYCHhAab/xPmwovIZAeZl9GgrC Rh/z8nzmeYuQjb+uFbV2+J37js4Cer7hauCNNDr6xXMBnIsS2Je34f97YBVy0byN+g1WTKM7SJrQ oXjwVpEliRrY41siMpZZMhedSAYLE6abQOWylmAlP0FyL+ylm9fpKSeVhYkJUyXV3XIpdRNXFr+j zOWn0yIBcls/Ru++mloNQmr464H0CI7Q2w0yZr6TeHeSScb6Bf8+cNxLSEdA9jMrbmNydYMMqFRz 9ubszc2zu8vxTuBU6wqgIRZuLnENkoHA+26Xrzt57m/gqCaH7XGOmOAkhDIpj5WljWlK449ualG1 IwQ3Xw8/AhkB7dMWB/DXq9Bm/gmbLtLxyfR6sj4ZgW8/VYRIx8JRLehJZofWRJR6X8SPhfKEQsVS Nm42ym/jijLzJssVTEy0L4npFZiDnAztczWrWLpwVAMf5BjgiyF3C9xZ/rWycw8ufaUOQM8eX5Aw 1dvZD+0TdVrGfDu9eNd23cL3tVQIyg+Ma13kRT60kOJfq88IAQrdk8LtxTxpQTEoDSdHaTH+6SOy f1xpfT327dt0ftXL6NGewiWTMcMmtS1so3RwAH4ljMjGpdfE3d+pH9m4rwktwcKX6XM6y2R/ypRv 9YYdBdE15QjAvJNQLgI/uoDeWyYSWpA8oBs0LLxVo2U+e0b0i5LJ8Ge5oX1D2M9bladfpXxq8apy u0KSk5mGU5k1YnaJxq9+oni9+OiItrOw/zy8hX2gRDbut6ixG4JJ9y8u6+kxN+6/8Cec5W4agcAf kw4I9raySPB3UJTa/K1rUrtSKr8kfcpDmtyG8gb4Yc0v7Cl1NXn9CQXsE55gPlw5FDkbwzfc1PaQ vkE0Q2VHvQLSYCKVBwxpP+9A4Q76nckL83C0WYRvfzAflP5UwsNGO6vuoljfuObCzwz+Er3NMDa0 7OuXqYi6Kk1c4reR6WfiJKHdgJv1fploKyhEJ4jTJQ9edjD1clcAMQ5bZH3MiTHbE2cU50BCfHlz nqEOKJJ3CKl3r4caNEsL0ZEFLUOnOyOyzRCEzUZPxEPiDTZKQuwAjHUptIG8kUpnJdkUoBQcJYnU jZFVPFmo8rSN0R/dMKzCR8ouSbsXf0yRQthakQty5NXyBLbsDG3v0dl0I7o5hb2tAVmvTp1Amv3T RjmoLVGF8/f4uO6eiPPIDohIuA0y2aJ6Rwuuctvk2nPGgRUXEvs+PvEew9mcQanI9wpZhzM8fi4G t0vZeTOpnDQtBl0tbh9IDGT+SG/Q/mS7VbUuHHSmzk9OMIRN8Nf9g7af9oteT8PctYOi1iVAZ+Nk 3y+gS5gvLxByov2I0M/25MQHjIzF2/oNX1a6V95JftHSM/HsvRq/rzJouBk3RlGQatKw8UhU/sRI qoHO3MC3OJsoRTiIueqqCQBRqC5Xy/v0PVTfhCaXBrvvxVF/0gB9/GwgE6J93m7p4pZ0wrG9wcUc IVPYN/l6dV1nSCQXuD8h04168HNEWVYNcFSt9RagwoJxfzAdQDKg2D01u/C5Dxy6548YKaDksUMi o6hFe2qISe94Kgs6p0316aQKdve9dmpQ6b6uSZjWcFP/rIFtkKUx6DvIAhT4+y3cxYog2hCu+Ypq 3lGmOfULQ5WPubWgahLVXprW/fcAh4BOzbovYVaHDuWxvcdbZJoyu9osPWZ/y1P+9QxeVs7c68qk pFzP7PpvAVbP/QVAQwwNGzCZXSO/t8V9lu9YPOnLtF88iCr8bRkqOQ7rydXi3vbaqHjJlTNN70RE 2hbSjsNyB0RUQ/NDAsEb3Ga7lc1eR7cbNGlBJRSb+rlv4KR4+w7Wj3jJGthBX1/hm27PhXToRRvU cIxdw0Y+zOETwEoNk9QbDW23G9XRVbagED4XZO0brZeF0Un2ATSMB6nisFDMTbxfmzzW1bbcYwJm xAVEFv5q0yPc9YvlbyurS2+LfWKItwkW67Gg1i4dc6cXOLn8PJtF0Ihbzv220oOiJUhHCNMBqp+A hXYNmIX2imB52/lwx7VzpDKjkz96j5FcA2s7SlwMtoeETx7KwyzfaiMOyVNwds7jAAxwfUUEG97p y2iZ0H2iPzYeZlDWfEgOQXtbaqEjL9HH86TffRyNXRvHRFsiG/7EhiJlvz1/UITVkECUk+XdnKi5 euKPNUPaCG/hA+9JfmtHFfCBHfkGLdVlf3zhuzKy81kCNgAqyl2V0iYmBAIjfJeinXlYx7y4/1+6 fW/bZdT+5vkInabCoIJF/fUhrmmTBTK4LZKcYIpyjKg5QyirshVYCF7ESOiQx8fwLeCNf7luuin0 CZksU1vRP8unsHdk14px3EQxPdvMmAhkM57ZBi848tjCJx2RatNhx073SNN9GN2tETDyeeDoSz+c j7BnVdUxG7JLBcWqLCfRpNV3L/kuXbfRp0Rwi6eL+yjqj18+dEhu/1YbK2CxMMHYBUb3PM8jJ/BO Et/QgL6EMFg+su1Lh1rVD6bEtRJ2tn2+MGDP0xvj63xB23qXk/cEMtuoD28P9M0Z6CvVgTmP/gEY 4tKtdBrRZf+dkwctv1/vj187sYcIZvJUMTpfl78bE5yQ6u+SNJ5lavhAqDEB3ZVGw0Cg4VaCWJ1R +IA5jG+6Uxth60yfyJBRdi2u5NlyPb3qAbn9asSNbuN5LJjXcPApneDKCeiR6oRHAPA2nmG1Y3We GVbo/SddeSrO8wK74uIeMktgqmy658QtmLGFTF21jl9APkrnhEamsAmc3QuS90NCnXgitfU4kTaz VIeJJQFVuhueA3po9IwRZbIBsLpu8lOvMrg4PHAK6u45SFyYailG3s1OIsk2C+45YecEeDWn++QS Eg8Dc0MuMjEAEMiWIueYXXC98y31L5HWbJQ2wq0UjdpALzEI6yfD6u3fAquFfhX8gNLcPUXg4MFF /Z9nrARxOmZ49jWdpukSLVgrmFps1j38VkFRLAL18UboFc9EuIFC6AEuNH/2kDrmCjh8Re4jkO1n 6A1sQ5+gWhB2U0ojm4jxrB83cbPZ99hKjU5/oNgE34okq54XhUa1VcTZaXkM3dWhWugOX2JKje7R TJcQlT4aN/uX2BnwYopAi4qWbqVDSFiVB2y8nPUxO3MC8e48arlqHGB4gUNcgSO8ewAEKq72Hpyn j/tZXWOE7wb/X0WaPjEvIf4bba9tyNc26QM5G+s1yya7b7bHF3SyMrah8eTR9vhD16Kp+J+NRyv6 D9CrcJauWXUq2E9biVtxP/yWLH8SUNovjMC/4eHDUyDf93BreWlzfwG2AdcA1IVqTDoHPQA90Rda wxUZZqsVwP99i1evS3JSgN8tpjrgrLm31N70tEyBDZljc72kxMFGSesc0EOe120LySXAKuwxW6Ra VwxrONXcr56S52ITr8myGS1L6LJzfB9OKDldOHn/bTXMiGIdkYpVKdgdo+Y9u9qFjXYZcTNIemEz 0j6q+Q8gPu0G4JMH9NN5pSH5Vp56BRxGABtMfttc3XyhY+QxaFWitYV/18Iy8piLJOj2bkLBuWn9 hcVnhWkcjvO0zLb6EJHH7/2ahUxbZEEPnnbrenlsZZ5GQNI1PVMxVsNbA2DnYR7rE9c3xnHlpQ9D Uxx+xlAfSHnGpBe8eiM5J1sLmKkaIjHW9EOvJeHqJjKqQYt66e0ItqaY+W5IyOJzSJ7NZxru3tjp 7S9d8GwgDgyhLYyfLn2qXUqezfAgV5aQ+UudXEikw+cTG24XEV45gGjO8s4Zl+KmxaatDdbrwssY qo52RjEZdyxZ+JQAFhlo5hRzfUbpnsvVqZQb9ZYrxbwdENeWPKoJsy+I9fTvQUKcSuBRgfXfwOpa Zxy3CwKBvbShSzAoid7dJkjfgJXdPDZsmBMmUwaViiZZsW4eDRe70c/3jJdXOgq9kqbN5bg0q2rg VpdZsfBd/XOZiNlvDKnb2CCJoEq7Z+uF5jU5IN8m+wCZE4vr9ANhfeiRkOAdT5gi6dv/meMQv32Q lQNN336p8lMCQqzhIz5f/TvD7Z58UmXGluPtlb966233e1A+cB9lcEY0PMpMS/AT+xc8YP+2l7Ov eFEgs+cop8td4FiIake8hNMXdX+OP536NI5OPbFltGuIX5x40QZHeLLhW5eJGNDjKC0vIzZUI86n 4/Uzsp79R+i8FRJ2EgkajSOAtQQZsv3qlZfk73apa06/4Re5eQrFiwVvb0v/5gOS0ldfGBhu8ggX 0dSMPv8WnsRR6aQPobxFuuXtMb31KhMWz4PB2uPN8i4fh7SEdZZg6zKrr/xovnMo3aJ5GRqLR/cL 7AHe7T7vP2nSVvozopjXyLjS6GnciP8iOhVJzFky1BhGOakH9Hxk02mHVdcTAhhgQTxIGeeXdZsW GCE/rA1YVd1BNhzQcmiXHu6mzcPbJmyeR/YwKEneozdcGjxF1ITUdKF+nxouYM9T77Zp1uTkba0h w6TIuB1YPo1DpZvmlwGWe30Q9HAf10jJkiBFUUmwtphTOqvFUJuNM7t5tFEI2ke/gJiarQ7X+U3z mQ3vc24vBTXXZacLwOuWDLV170WaNt8nDMGMMgKGuVkQL64uReoCVnaFi5+XW6J01kfSdLqI3+2Z EI0teuTlzKMi1DuonwrbfOO2jsKHzhr2M4C42u1ZpsSByvQBxYb2e2VMlGVBQvuXgnMc8xl5B2Sa DSmiY5MnKbXoph4upZ3RqmRTQ+y5WyrHZ0iyD4yd1hLRBngwxUCQEWQHfeUeOp8a3Mk77nRkwdyi lDDjJyqZZ5yWxV2vqZvuWc0lDPPuYmNfbjkjr9BQmk2f97vWol/erWEGGUMcR29kY15m3ygmkQ/M jH49O90Su7RDhJYe35DcCja5Gelp3e80/eJdnVwFzTX/NvjEf/x1o2AAaku2fGtXenmcamU8H/Hh CO4oH/5DOzJb/hPtRLk1tRsZeE+AfGSrR0s9LOqIF5aGbEwJBC2+yp0508yKieXVpOpLgAsc014H ErxPN6x3Z9vlNutGtiBPqCZRsv6vcukJlXSbxIBztA6NUl1IPrsE1kZfVdXYzWjjNe47MpzKmKQy qn5Axa+IMRS4oDBSECMkTxJzIn/CiUJqdBwJEROTyFTvioiSNFkm20CaTKz6jQgAvYZrJzz+4Vfb hTeuUdciw0wJYPTXxqYEaR5YHKs0IEuNEpJ/5LMpmhfkYkjx4glglgfGEwo3AdY302Kv795eUMJt FQEB4BwusggGLWOZPztNOYmljmodbIVF3S6oPaQUSqmnL7YrZlRXlDhGFG9muelBjQ95k4VJzIZT J7xw6+OQVUPJBzYCbeHLCODNj/SvNb/IYvv9Yen/XDzbqk+H4BAmX4F+kP+HQEqms5BFjrZMTw+n SyBEBbd4DX17795yYkkWef+TUnS4u5AX5I/tnUw6ClOeS9tIWQ5gMTZhTELI0VQv1+fcKnu/n1Ik rEc92jr8x2822w69QptvIsyGBSuCtXoMle21a9YXlfpyDH+7vp7VpeOn62QN26Yin55JigT4R2xk LT6LzuoebCJUBH45LpuCDep2hD59iXZL7Ucudwazg8ilAsVG4hjjplG9WjtFJyRkwWfd+ujO3jbW Rwy/cVi6aX8myqh/Xz6OU+6jB7RZN+IhZEZlyUXgQVEr9mE/zhRmuShxQppjjFyiVIy8f+Bu2WdQ ewLgmWYEoA6KWIa0aSRvz/14W29uq9828Rk9X3FzThs1djvyRBsIgnYSltO6rw9ieFCf2kbg8a9o C5EjFp3AJO6fXeEjIJU/jovk5GMsaa3ZJfrRDuZrwDyLpaga63yE3EmQIUJzVMZUzeQSKFPsgFxc FfM5mTOXGUcCqnv1nImNIzA/UsPCDTMiWgsftRGA49uXiihuOkh0Xk1+lVA9CpEmizn90JRBdX9E VJytl/YlSbF5XavIOSnLVVhNr1lqcmZYUvBnomeuQ87UbjqUG67ARPdQvr4yCB6zPxjyjSbchbXx YrpXC3JQJisv5zpdGBcXqYHkPcf60EG5iHPT5DsoICfGdskGnHOE9cX/00AxY1mIf1ax98Xxl0GG drtD9CzjhSk8kNyhxjFGD5+6MF//8q19HZiAI2vHjqEPEHqDx53NI3g7sEZxFmgAeK5PdTLi+b1r AXV58gkO6+g0k2UjCZrBmzevvNCdWzCnU43DvYLcDs3eRAnwJBh7DwIF9LDpEK8XPU27+b+E+ZLj NKjfcWKutMyuMBJMUXOopeiZQ7d8pUnNHOug4KPi9IRsgGbEc9gAOGKW3rLX8Lmq9M7RucWqXfyU 5na0KSuJkLjkjAtF07mmzjcZ6pDJBTDNiB6VPNvhj5Nk9+LiIaeSl/Nl+m0ZujnoyzhmlWd3X6JG ESH1a8W+vW7e3KC2MxEbztfsp9ILl1utC25iGVyf9DwDMp55nz/pUsadW6AQCWFZ84uGTUiasdZc Jlv7e8SbdMwRJRlXrDXqkWjVlVBdHPsjnev2tinQLH3tawr8R2AjmTrTpc36rZxz2Pd9/ciJi4Ok DC+pHWBH2CYzuPnT0g3kFsDcj7KxuxzwvNVJpCaPgOqRC08556Xs/207v3Nwua5K1+F/qFSFn4sV kC5XUAQKPXRhpuBF/U97N5AK1c5MgKNdgBKwDZX1CJ6qRZSPVGIOrzRkua5qvPvwdQXbKb6sItex Khj5rUCTq0R5wqa8KT+Issc+c2S2Dqdn82zVxWhjxd1h/x5LADIpE+ZIcdOopZFmBvAlBgtITFmV 5XIoJfPRiK5Fb2oPDHTJblmu4r1QLaJy0OAMsor9OHSPQZ0UiPXas2tFZq1D9eQ4yzrl5locZnt7 VAIRuoqNU7lP9xi20jHpOUhYDUNup+kpSD+ltEFaCHcbqvregCCBWs/5FEMgosonNwGChwMnUXdp HF+8RQZ1ugmrt8Zp+SE2KMq0B4uMTf9O7EKsHzZiORSNPmuw/wFy7dLn4KEACoTq22mJz48GQDUo 9vAz4H4QtN8iUFMku//gl7pzb2QCC52fbKbySy20wfaEka+h4FgLWlbHHs0+cGwsjM0WvmvyK7XR HeTv583MYdAEgCJ4x6s2pDPSnx76eNskWFK1TE092DfrxR3oBGy8i9+A4bg1HPU4rTl/BP4bk9g+ wpRloLikiLSLQONuyR+yBasjagYnGtjQPK9Lq2dicTU7tguKSv1p3mXt5ZZKLm1A3kNt6rc3DoYC M4WBpYdj2RzytYgzLSiTAFNYfbWgInnGJSR8y5v70CHp9EKC8LvN5uL0NSaWU6Se34hLZKAAsI0j 3esDSUV0ekZhYlNq+mK0mgAEfU6yI2oECvEqECJybXXb4J+ANFy+t2OzdXLep+uStoqwkc0zm8Sy 2aFJxYjfLSu8aREVdqKibj3BZBCthUsrgev5HcIoWeULLGqkWMe0PUDrXqy9YjCDYnAfkdZJCAEw G1iluDbMvf/NW3//5iBVxjpc1GgwMt+7sMI+7+P6zU+QfiYyKpoiykzONH3WeX7l3kVdeRiq5208 yrLH0OxkSJDEp0RXFP/mGUfH3pfIBY6nWHgGTNoDT4bBKdBW2ZSs0znP3OGtzl4ChZuGG+hgIFd1 JrBf6fTFCBq3TV77XXGAxHEm+M1e5sz1OFgEFrOuUI0nbwa7T2VA6wvwuHX+t/5boB1eq6gCJ1wg YqF/DwC8YFq4ZtZ2QcVf6gMBi3Mi4kE/0AuSArS43kzKxW20m3cVkJB96+GJKfuVsWsOgSc27hPU APj4T8qmc6SOApSJGgiKl6CzLC+XpR2N6XIYOEySKUdUms7ZdBSsxkQ4kB6gRlb5fwgiJWNjBasV hjK4vJltm893jipeewqWet1KbiFJQnyjS0TNelZzMTXbk6JZq8S7E4dkfVYK3UkWC+muKEpAXOQf ZuTp1ZRF46VbfAK3OhdN0Ok9yQu5tXtGHpqyfD+WABJiTZ6EurRunZ7tqGJa6IDSPub5Alv/aqPV lZyHnwcWnxTPL4jK6KKnSBVvxZISQ5QWvYdLz6FGhIS1MCZLCgulU/utfxE02BdTSbDMs6N3WIHz PNcHSxIlvX3uSnVLNPTEjml34LIoQf3CvFL0oVa/nPcimrljuO7PVWTDqUb3bXLOsU464QjZI/HC EQ6dhlbRzYnOBTfWQaKZHcZYrt2opSTTO+KURey7kc9uZfW/GSx0P3VLKZpZzLTRARQc8pi7GFIX jnDvjH4R2M0B8e0n3vDR/WnKy+10a7Gg/96bkhp6K88kE3Jo+okTUkRdDH9hfqhzfyAWwWcAOPjm zeQkh1j8vkoVw0PeWZMf9Z/i0T85AR3Tr64UW9wxohY7kWZ5awSiIp4qweL0MCoydzkOPze5829F gYZzOLeKs9xYNZKrpzjva5stl0c8Mj+bR7eb7UG6dmjN4GxI6yTs/UsHOWqXQsqINBsY2qXWYzt6 wTljr99k5qjuCp0Bw5ToksZf1/+8FG9Fu6UeAxd0RReS/0HIFXrkwPqbQuKcPPRmO43LBeFKdw2x +VdK8vZfsWII+vV9wOKI1b/uXqfsuR+KUEHluDyeriqlVAReNiW/97XF0/+/5fqN6M/2swB9yt3W iFewgmD3k5cmv0u3aHbJ30daw2MQu5Qn0x25y882VlldLrOx9/5cMVsvdjUrpS/7ndgva9EwyEDZ /cNlfa6WRLWa515WvA2ZqDmOY7BFLV27DLsqxAYjSq+BZiQRuz+T4wRrCRWwho5Z3zxB38uLc/IY E3eVnYxDF1VHRN83RNDUyqT3UURNkI+fTASvKWYY8zaf8+MI0HDQCzs+zZ8Q68pD5mCoQpx4VQCJ FhXJ/yIRZThazVMTtr/+vmdvf9SibhSHuY0/C0IF1iSemYV/bA/mP74GTrVGZ+V1PVqR1UOZlFIw MSLihADNM5AMLh20xir7vtSJMgCVSaNNTyObufbYsL18D4MhbCn0OZnrxjgXyCyaiFxFVKqf+VCg y0Bknidg2NV8jItBt8K9y2e+cUe9bFtUTg41crrsVW6orq0rUsZb1rx37TIMoN9f6J00tOgsUJOh JP6cjSLwlSPGXHEq6K1BWmJP6iaBdnCYINIPyI4jU/YvVVXJKrISy3eMqL0G8vZoy9++52LLh7n8 ZDi57Hw5ETwq3rqi6zoaPf6jcmZ2LOqkg1IZBi59OofaYi34zoQAA3HtQcc1rLkuEN1odJnvL08N R+rPJmTrkNX1WifmFxlzFSxN5/J3ULCG37u+RVtJmOfzhfn4LsULTO2c3Xp4YMywXmg25vuEQ6gK JBrCmqAuXatmuxgs8SVHZwVG+XhjezrnMTY/SDfcP3RrSbEynUyrr6bPa67aGePwBIXyepd7dli1 Kr9PUhDrdmp0o/4FQ7Nvcit11NnJZkjwiK1hbDXsaZ3+cpg6VMSsbcQl1BGd8lkExKrdzJ9aYxE/ COxvcd+zfe3qPwOcEYK4ZRBtIBSsq3MHZkskp5hw29PiR7FMvx6m3mTX2TFb+/m0wsmEfZyfNrRX QN0zgJMWUjdceyLz7UUPn4nRBgUn75Dqe2G8EMamsrSkxqsdtZ35d+0u5oP9OMnLjbnXeprFVREF /Jp1och+4U8eojNR/x567IrpTa2W4fOKBtnWKFCLED+GXw/u1vJxrFRUgQ87Q0Txvo9Td56OWqdW LTcG9vPBqJVpQg7Dwg1mT1AFcGEG6hqLB/EhxacL547ApkqviCqInrPo4QFztY4EU66IAK+ZpVAS QEsrddIMdsUoo9HM5FHNL92tOlPPyVfNwzIC0wtzToV3C7aHzF1Kq+rkwKd6yD99RJv8Nhcgy6ew Pb13Vewsg5agv9Iw6CDrm1xHqQzGfSGR0gdKyZ235L+5U2NqiSIbokZqOiangQo8sEfq7k5Q/eAm CcNd30UvhKrktDzOVBhGsuCashn/06rw0VY/6b125FJZU/V8rAW9wJBzlwNEOvlMCEbjTaz7I0V4 H/yfw9OQ7/APbiUVy09lZuonAwZTo3DMCI7E9Au6A0czvwI9ShWjxzjsX5MSjhzY1CkJUjjIuMKF SVJ52WU//xs0vfbYV9T+mcTa2DJ7aMvWqtImEATEfRTlqBbT6/JWB7ElVueXsExE9u8o/sw62wK6 tEzHUUPRUeN46PIPNa5RiZuK9r3/zkbIKfC7+nur3wBdyGtxdqs46QXkkBir09GIuiBtWwkvyEFl DEZM1bHmx1c/t96oXk8pYq2aXi+IA7eDmYsVm+GEIbHTB8rtXEszVy1cCPj+vK2hC4BSl2SIas6I eQAHZpe8/mnSAI3CtLwc7+O1Sfunau9WJVfmHUFhnxvApiRLv7zhxLQP0KdElmbACWdAHv9Vi0lF L3DwZRe/jEcAZ1ld2rAcuY2RCTMukoqUCMqQXp7pcBLmw9JTfJg5SvMgVMtVqeMC10VbBUhE+All Vvkk5pXlrE4bKgp2xEZbG3EE0EQm0rBeP+5VZ4tHUXQ5BFqPs1jfyT7htFgr0UMaFyvS2SKXWeZY RoBOmNzeO4oWO9zHyMpyeIPG3hfvU1AjyBlQKoAmgnrzp1AxfHFt3zm8OqrUqgnVvbyrudsJy+lg 9L7Eq2tvO3IheYxFK5/RjZokd69X+TaGLzsho0fKmpzLxBP/dwbrjL9QwWvWHptabDMZKvZPJEGU SzoVa8t2uZ0lbAAaWtjM1bApzvcZt7JGXh3yisqAJK7UN7Bd7/TWuGeZtjo5eolmHJW0Dguz1Cbp rq/Yw7Zh8EauAW9qRFiBEscq3URX4kCjDQ9aUCnv1ZknGLCpKKbvOAcfR/R+EakOSQ+H0Ik1+LNy 2ZbuIO67k90l80DEqALYZEGnHGeEyAmB2bPgSvfrVH3GAwyIXHOhya4xy7VhksuoJs/zsvGFowUu ck4El+UuvZ3gPTOQmB96I5xUBp2EpDHP8XZ/u3S8G7VM9ftyTtw1Bpki8pjAYAnMYecMCERUUYFh wOLQsrzDNc6IkE4i13HXaJcyq+AFWeXE7/y/M2r6e5SLMHNHZeh3hkR59ReXKFGWjGPOxo+ZjqEj K2EUKVmfWS7ZNtXqd/7CEk7If2HjQiENURV6bTG44qXrBQQbolswTGBTYOl5aTbJCX7P7FKskzEM INV+Ds/MLs0Fk+e6FbXF4tKSrXJKKeWAnsLvPX6TE4uUgS1oLmWnhcEIpcZ+NzKf/kHhToSIK2L2 l3g4/eouf6a32t4kkwpQtNbLoxotMjPxEAdD/OcDXmpLp6Xa9AKxGd8mFclqqboMhrysrCae7FOy +6EH8OugleN9iqdqVRdLRzshWoPoBJvUbBSpm+AGHiclfbIshS6piHgv/WlPCoFwbbLrHvmsApfr W55gPNpUbFvQxP3vQUS+Gh4oj0307mERDxZy7+aNfWprr7mLZgOhvhN27PpOD08UXZX/TF60+vSB jqAZ2BePW7kSaQ1ffBzc+fefw3IS2ziO/HYHQAQ9hm98Bo62r0zGNGyQ8+v8jKYXwP4gB6pSs4ZF Sir6F5XzMOkaYUv1s8/2CE14Z1cOlrpRlt6amalzJlxwoPNVnBTwl7EngK2IdDOtjqxJAVx3an5n oxQu+DtMGY+3J6l6rh/U8/eUyTOkoW3U/+ux07+3NpAMFXnKe2guLRYSxCbpeJTD0NLlm7rOv/9I 65vJN2HrqN3h81F3oZLHHTXFfzED8HX5qiSPYmDPL1EU6vjvv+dy0YYs6peXa/d1k0S72JvfmKjR mabiqD2XvDZTwoWwMSxY+brMmLT5N0d0qn96ZnGcwkwkef6HzM1jtTO7UExxZVIcSfEZARxR3yO/ 54M+uBu94helQZOio8JC1bp6ipIGtXYA+zA0jFId2iglGtLQbe5RxiaYzlJ/eB8/phZYnFFiTBgL lpzeTIFsw9jC1H9yVS7VpYEnG4Qdlu4VFGW8cOtYTPa9hmTIJYP6FN7Fv0pJog1+NJXuDmag95Bx cNv9t95oltMDU+3R9I5mlpIkDJRngl2NaIfWvS/36c8y7H4WmHKB7/GupYPNdO9+8t7ye+K4DudV hJ8JBzuCQWbcjWD/asX0Cp9tJx2D81a01Qhpn0V6GbYRjFP8cT8EKYPlNpDbQQ6FjWeVmnrmGkiZ YHsBeM7nPCLeAE2/DTYRZRMMrlFfoo2MZt2pgAJ6eBBtM98ydlIH7lRaCFv3RbHkHGZS6cj5pfWj EI+YlHdUaxRPVPBVTjlgct60qmzMYfIbb35JEpmOSvD4BQ8KJ7vWIc0HX20NmFNTZgVAfLXKFevW 3OLW3+mnffYx/4B1DdL8AamFKQSbHch4FAEM/hkgWbanJ8XS6e1SReoWT14YGUhRCTbL3d09tU/j Mgy47GZiaVSRGJHiB00HRnI7aRfb2VC7JQCfjOn2eunFCS5eUI1JJ3dxg/Wkf5AevhHSsT/8rrCt c5FqLKz99Ur4nUyrz4UawoxcNa0UDyq0lcFdsVIBCn7hqw8bxLFhxg1etk6HqfibIhVg3cX6MB0B G/O8VfiFDu+k4CfP97UFh1GRtjawPoXUqy9Kl3dl0CvcXHhzIv5o22a2Sz0BQzS/VrjC84cSGjhl BkGQf/s7wE1tCE6KeJz21reNnhU48MhcFX4WLEB6WLHr8SW35Ibs1pOK8RJ/q/t8TYWtHDTZ4XRD pH9TmRq1SNecq97JLlST2S2BxKCt2dA3Q9cHdG5IFWJGXSi5GrPv/AfFMzY1zjtGVscAD8dDwlz0 1qaJ+qyEQ93jwHlo8Qi6xVWb7eWGZDPLz8p+eHyvwK6sfE4s924tOG5rylpPkFWfLbYBbiUL+HHf kR8R5ekRUuAm6SW3GSLSAD9+cZKsYoR0XPy9jfeABBPlnPmJb1g4YhYjcF2w0ry4G7Co+5eRUKDD h6zeYNUtlSs8FlPNjNz7muvstk2P+lfZMfpLfRtjVtA4S0w8ap/D2qWCvweJVrfRsGmsvpDBUq2C NnSdMRJllBQY3CB6hpd85rOXnbotZ9yP0MK7pp3/YT2E8ayzXFo4JnBeuUyqrxALFjV/k3U6rMod tPAVcHJNFxnJwQWpcuo+OKI1XngehJOo9cYp/ZXs+U/zK4lVvi5Rv0nh7YA7mqPLT1700Hjbnw5+ +AySBmHZ8XfZTeAhzHNCTqkxG6SZwm+JVSC7/aHBAayD1gb9wJwxZjJTzf57O6zU7VxvGLSNVQKI 5ayf8wu8A7etuycPSNthVs3w5s5JAdz7o40taHSt2EpmXrp9Lc5o8+DreiXkFukMwt2MFZoUO42q 4o+f0kfK89xl32KmyjrtIdhKSE6sTDjmAhik6CNldYqNuKg5iQHn2gcEGj00GSP6duKz+tKp97/R sGK3ynHRB9VxErvcHvq7UEhrkP5f+Wa0wrCXYoK+dKSwuFJV3hobOJ8jinyROz6RPzNyhnTIsaXl SqZogIw8H2rV+vxS0nsGwQKXxHH1LXOEzMBuAFzB+OOcwhRMHxYml313Jr4ivTcOrj1ugWxdZh5D ONKjkgkGL//qRANJh48b+Iq8b20JI0xAGU749gIdekrRwcN/qIZQi+LXp2emhmrQ5tQIF8RPzLhE /6ErBZd2zg7R7kONLq/m2Z3y7mY0td8PjVI28Cc77YWxoSnp2xWWlKCUObM3EHsRmiE7j77OIn9d T6LRpSTJNSM3rm5RaiKNEAS2MxxHzLKu8I/Tx7jhznxBIJKob1tgeeuUAEzc7NpwZUN+Jmc6RexA gWx37w0dPyG2rR8J0UWLsSuh3iKmMb7sfUYII6kiLScrlQQahkrADkSuWpBMuUyPfA0f05iS1RE+ W2Zp7a/X3/yydWt3ITT5stDb9Vr1JzwDU3tNI88YkTgBr3TK8/Tgk+6PdM5nSs+FI00Jjy7DSElJ JjQ0Y0QjL7s7bn/h/s/2fVTwpIghLfNpNH0WVh6l6/DsWfjjnZCHmqDWYdDUMcQ4qUzP2A7CLjHz Z9fvh+HzpigKUNYVag9xQ/87Hnd+SdFwOsk4Lr1xqUpzsgNOkF+G6aUalb3+58xKtaGsPxyA7b8y zKJUUnPZbRGk4OyGj1Nq2bagRn/DaUV+IeRaeoFyrcLVK9i+HbW4R98TzNC3iTLT8H2DBS4efro3 6QA2vlDCVr0LqsjN/9dla7HjyhmQy/I1h4soYeUqxfU2JiKa6WUOPlp7Rsbjmky/RrBQ8qXea67q QRxFd+YSZmfW5hdYwSoPil+L+Gg9KVcLPivLFkh5m+zvXu2Fy6xzq0Pwi3xtYYcZpHY6Kdq+pfc2 ovZG7SG8AGwLRytvJtiNB3cdQjAZlFCCzicR7AX6Sg1Xgu33H2a9wlSw8AcC5RHWy4SZkvgfg0eM uNX/jtTeYt9DtfdDQ0us/UmNdeqwMmSxQXV7hh1z2LILiepM0l/+qNWouiUmzOkfkEmrIuIzyecp VyrgQP0BPNfZSQp+7hN9iX6L3qGKo8h1qfXwFQwwpMHlTf0S5wSgHUmGKk75ZxmcZbiT+fYikiA6 msoDkH/Wm/sgn4dfanRIP5/psoZ844yPRRyAbv7M/uVqAjKw81YbnhmoJq2KWjXqqA+gnHDVRITv vTnukjuV9B8KBjhFwzucfyRQAc+o9Pz0UIHIr4P1+S/iP9OiFS/3gQdtQqvWFTOP2hr1W3B4Q1MT xA2zCVinbTTT8L4vdEwn0/K0c7hgHneyd/ChNrRX3IrTam+sgb/UOcS/6a4fjxOxpSelLOZ2cqvH WhZXg9TqRt/Ft2yeuEIlIMksNwSgqN/cB7HHuJogClA98pf97GX+zFRo1qAMdGzyT3O2YvEZHRWx Q0ngKxTtiMA5JCoYeeeEMWANzRKlZm6zfIkM9u9lTZLXTWG4iYWwJX8RtyH7wQhoSU6/Lk3lHTYL cjS6ALG+E8TzcksBhiZMgwmUYO6kPjj5VeMOeWIqMcJsmZC2olco36Y5+M8FDQs7n6CPlWAcxHGe taTBjI9gUmSKfnmsHYRp7rXrnbkvGMe5in9zY80buZoYwlMvZXmHuu2AkpMwHMJ5Tu/Avd5qgCmu 5R7TOuWX1C9BkMdlcp1ejTXbJHhv6q5yUrLfcUfIsCl85otPOmpMgFVG+TohpokKHp/KO8tQxKUH N97SO3BmSl5PsxAXpg88MaZ6BzmwdFmOxmGY+rYdlyQ484I1kCsy1YB3YqCsvOap48IVr/tnNUsr Z5IUQij2PHehtI5dBkXD2WzpBOH6QynM1mMcGKwL53ccP4QyQ5e26rJ9CVtyjasBtXPwEXhi88ny eeO/P/qSO5JwXpzhD+CAYkkIlvAEGXeLEes+a0vOvJFrXh4/C6HjsPDDdPtJXeYR7DNcQYUdJGlT rSSOmR9sLVXTqQJy7Cm5qz5KvYJL6FqJ60v6+DR/y1sgnuM9wJ8uTF4ywKaOqHFhTmpWgAgBO/4B hmwvSfU7h3ipeFeEhDxkmpTWjkmpnoErwrm8s7OXpMR9GltxoCY9jH3cROJ1PL8wr/Bc237S6DbK kgPgClINLq/o3rDMryxqudGSUqEVXmdbNxEtYvW7i9GCFo5XbfeGa9pZOMecCIKZAD4ISYEzEDl6 GOagSDzO+32Yc2bO+9z/204AKkS5zhHyklL7eqbg8ecW9M4MhGNDfi7SGaguwKP2u1A6V/+u3Mry 7WfVedd+yOxhkVPEKNZ02lZoSV2kqGFPSs8lFkwPX2QB2G6SccpOJukTUHTWaxHkPpg36Qh58/A3 K9qNlTcjg7mFUk5gHlGsGk+VmXczZBWfBBYZ5pgHieMRKWv5TJhHo6beSmXwk3EnrBv6hAkBNTEK sRdH8hja79wUWJ7kaLOFqjtS8ei4wpYCTJ4XZnd7xOqA2WKds8MMrB0+udWtYSWfVZr+nnd2RLOC fFcwVs8Ej8q7Jn+J1Vu1rxGPRGadDAaGbr6SDFUMeHRBesOq8VExaeKYOa4eOjQYZMbl0iJk9hEo 3sV3g+9X2lwlJyOQjP2eK32wGBE9BlNnD6npRxp0V9yW6ITHkfJ1NpMz7duDsjG95i4hvtnLwQZ3 ciwCw9h93BGqzjoPBAtUNqa3lNmwPN3wR12EnRGOedPrvcLGTLDThx7O2ATFLng3LaVNHFjrBz7u jwz+8aI28B/8vj+8F0CTkmvVsC0amQsX3Irk/tIl/Sj43GuY0BinRw+PT3rQeuY+CH8EuqFMXWTS L0AgVYgfad5WjbnZ57RgduFb3RmMslKIfHMGZD97kXRfKrQeSz8s/vRoRG2EyE8yJcdeE3CbJHyd 7ytuGih1qhQSY72yzytJmcV7erIIL6hRBfXhZe7RKhLEoFaXcmDiLX7faaTt1x5/9UHKczCRy6Ga CQksA02q3r2WBqWlUSMw/PTQ1YKcSxJTbLwOtz+EaO5qQeff0+0LWUdgGVKUc6/SudCYE2SGd/KV LSkkb9TC5KwBeQe2l6NX7jIObKAkV6pQFHustvoKTj3VcYuaFh+3uHq+u7kVJlSP8JhfOUi5VMaI 35CPgYa59q8R3fD/cvwGGh7YsBaPgouD82J+riuSGclVVl4vGNqKZgK8hCx+kRB++QsDqgU1TgDQ MS+jm+7zUIoxjCI6jcrugCr5832lKuC/kzgWNE8c0epr3roIE/aBAj+ziKcjNR1hNVMOSMcaGLS0 ovwnDc2zJaEwaEgWbL1kt4aJywgl6tYZSxzWqymMqOR875iAIDKHKTNfOxR2WVZwwOaSCR/gEwVG 6jdcJlSkoUrwcavI3R2DtFkbYW3d5qmUQfeujNcOofLViyewHBDeuxLF7eTg3Bz4ZsI9OmuuIkae cR9KaqfOSJwv1DQq74TaWVaA4gdMiShTxePGdKDX+YOEohi5lN8ycfqymT/fwDl7Dllf0ZMWH0Ab cRQMKXAqT5q3uV3IzMi7yye/fwQ7to4uJ5+yOO/etu+UobA/AMdxotmBzBj8RyDx19iz3dR6KpgI zzW3mITrS+4p3ny/eqiKUv9+C93+nSV+IJkYb9lsXQrlJ33LnR+fBrzR/xpxnEn/Cxe24WitlX96 idJGXcxEf/GryjF/I2xfzbqYZ3z6v96O3f7j4BlZIGCWxbY7yEM3nmQHzXtWgehkzXLIht8WxfF9 OjM70/okyQ/ZUR+1E4YU5hjj0aR4f3cL+g0a+MejMPULUGG656mUb8KZ7XeqTKYjphNHhc0s3b6T wMl29zpcdlGQFXq1TmKjfehqprT+svMeRk2U9iA4YDKVx77uOINECcpvabmAzxhEqHRjcIqIdIht +mgmz80Hi3Td1eAKvnTiPdOssPiQXrYFJcSvTODU3yMnszgOMT1wHnPDIcb7BpBRjdfUdbBjfwco kKrykuq+UUpNvqgrU2BW44wJVCLXfkso9rafVb6sg9c8WzwGCoQbSy3CSuX7FI9cWrFewRQvY0Sd x+maHJHk7tTpOEHo/vDtcrz69AbbpVIHwVuJTyJk2m9b1r1+3mPgm5kf31V27i10hDO2cv+WxOxI 3jrr40CmG8JVPCE3ZaW8+J18+c0hk54PiEpgzkCmlt4tx/SdE4bd1LaVGijgb3v8VD/hRDvBZ/UC nUuwwlqyUOEFyaANGfJiBgnkIk7L93uYHnOtcPktQureXSAM47gS95GbjCc+7IRTy9n3jqXF/1QB 3qYOaoEgN6NYc0N0tYXJfQYTfxBbV+xbiNNXfdxk7bnY88pNM5d9WkhNoARInAPoXWpnzLU2tufk WNB7ul8D/aQpzIakwjhdzY3EsVDh1qtNcMUiOUwI4h4+zgkHzJ13/VUgAdHty7XjFQ0qNk2h+mN/ UbB6BtR8f86BIlL421m0j3ZfFHm626r1VrJCSyOzjWZ+EzaUktM1FZs2nWNwVhiW4WTlztdLVrCb GS2AFK9PLO/xFye6ZuEUMlr7WGv15VIzu0rc+QRa0J7rxKp7kUTwKSbr/Ein8y6Qf7YIHs5rrwWQ Ph++xSi2fxbwdJy3+DipvVKcw1pVjDrQYIYcJpNpzq9rlLGiFNqvQneU8W4JczHfi1g6yFxdSMig Cuul0L5VK2HdwUHqssHjwpS6tPw+aDAbT4JqWejFezkL3TPeHg2Z1E93EKkrsT5u85wvR/vkSWB6 p+/rqZljzl14y2RKVJLZQ2wDzAcZdkv5y1iOl/o69/FxKePEYIkZ6fKEDq8b7kz4b6Pi4LwILmqZ Awf4H04kf5fpd00a/ahiCh2KbPoInZ+lXILAealKS3A3B8VK1JfIRc7et2ycC3/PRPpbsqZj0/Lk IxZhX6Nb0QvbZwLlciD+8x84ZaiAGPHF/qM/mUQ8o37CnsTInB6YwWQEPhf4J6f3YkIsmwLs7iPg PrizTe2Emjt7OuDafU/i6IEHu0BXEFZTWWeBG2Jd7UdFV7FEsLpR2a339zgbgymYnI05O1tHS8v/ MbyIOb4EdSCQcOY0Ob1KSEsxCuCRuvaXAC5i8bRQ8HMPdqu1K0mcaveLZbflXjs7/0bTG+Yawwse eP1pksL1iGpwQMm2nCupjR4ogcdCyWWa2QPMWiASsRF6Y+WxUdck7Ts5H3dujolxcN6T4iyeMA+I YfBFYEAeJZM3gKZyRqpBPslvBY2geeDdz+igldO3/HBzGQu6jSYhp+FFF+ChCba51pLan2zfQ8qb fAKysbUaEnigU/rINAP37DbbjZuN445CLH3J+Duap9F/heCAvsdGirNARMeHSizoDcX4XHZAmUp3 RHX327mBN5011vaxrzcI5rcEJj6+wuvH6WHgaFMoXH9p5K66ATppc7DL+zmkhH4I5MlIi9DHlSVf XxKUi5KD1rFYxrfpqPAQISLBhBVuKP7gvertcolClktIlwql+cFwrM7kewLAAZiL7dUJdmprO4DY jAVrfJ6keZasnbySU+0gP0z0BIac3EAqAQioNnGXwONXRf+QYjtvyXb5AHswqiXlW3KllQDH1mMv ORQ1+KtdtlgWhbHVVYGoq9edc3czMgGJ64iZkFIyLTUIxOu/fGY8CyDr7FWehbA+92OJ0XZqFqw8 Zkr8ycAtniPOgDoL+zSkI6AUkHypre3nFdw0HGu+XFxxyxkylVHOIKy7Stt5iNYknO7YR0or4mFr oi7M0zl3Adt/pkOF41JqgvZVW2Ya3BvTCpthrJLpLJ/D8Mkepcx8qPjeTt5AV/pzXOt0NMiLqXfr UoC0zMw+6Vs61upefPOf5q3OZ9azKq3FncMe5t7EtR15k7SPkhAi3i99lFmqoR3LF23OJZ6+OSTR OM82SyV2WkLM9qSMMQViAv5tH8odYMX5Xn4Y/hPXvhyz6FV54BD3IP4YFf1+lmj6G45I5IQxzy1p 3sNtDa9QN3KE1cYm6sYsjBkopKsFXrDxowaNZC3qGSDh6Waxg24QfX0IQH/bCdkj1uXP8n9FGuiu GYo9sCnLoyB/4AZgE9e4IfvrIjwnF5GWQ90uA1AojzONIDl8ko94cS1tRexgKtecNOd4lgTrvrpy nWyPcthHfwwL0hbHokrqGWxBOb2gEV6gA7hoKG1HO3lEVpr7QwqhyLAzCIWofEFj0C2jRSCLRuCU EB74lhPBiyO87bJ8/cGSwZHefb7MRVI0qctXYBLtR5DjnWqZQz57ft36cnwVLvVRhi7Z1LKkv71a HGeuGWoa4IGy1aTq7NyYwOFWhTrkUPDBBtXd/4hfuLk6rLXEVnexk/puIBkaBZ37Zp746tE/RS6J shjh3ovXv5/yZm9g8rxY9XqObGb9XY4gmpQvZFlb0AUAE2T94A6QcBPy+sT2ve3eTSwdJSPccdAp /Au/PJnv/kjQXBItuNe3PBZjNjWKZ8yFkon9DkeGpSJs+Wp9PH/WRFAvJDW+y/8O/j45NXzWC9Av 7Fln2fv0JwXFRTZ6zaduKyd1FBo8FK+aF6yoE2Ku0rsCW8IjnnlTDNRS8CDAmUwrCjjGEQqvmgah XAkd5/VWyRPfRkoNZLy4cPq2UdABPDRtOuDG4s2Qu0zhaa5C6xGAy8XjE00VxHonedCu3hbaD414 QOyhVdcwzoB4g/biHUpaKtz2eQzDtSuv4Y5Rkj0q+h6NqV56ABrc0eyJkS4NEk9oHgB1kGBQ1UAJ gwgeZ+6c3nVeq/hQ1ihe4sDFYOUA88DrWjjyiLUePuCBefaxMl3j1GL06C49WAsdWIn5HuNTfrIB b5l436vTkTORCCZ0bFEvMwMyuBy+n+Okx8JbbRANBjgNIA6IOcaSQh/H81OPThZ4//vfHzGKlh1T P2QbeUdEjlQrha+5lqtgl3CVFfEGA5M+sDe4FQhHagtFng40nx5oh5VAiqcCN3/H7qSod21dg/3p gdTq9Y+MqC5doIxNZV4BDGS4VRoCaoqs9b+J0314w11GB8CC6RVAE0dFxYoMExBkpfPfWAUQKjy2 CEo8khm2UoXWsvtqXME8t+nH20H2aDEPFXdLYTTXPmfnDVDYsOc1m2CBM+ZzxMEZx08Be3wRTpYj MfocE512MJXlD7gOXOPQ3fezb8WZHrDnoj8ZdcXblnHpq8hikBMn+u5hinXzcH78gOX5nTOmt1zj Ukr56EivXHDu4tHmw4KTd1TI16uN45Psu9Gc/h8X8mGv9tNVxQFiaURvoZK8MdXvtkEz3ELD1Pyp tbXIjHdjXS2x/5EgU2lBU61GynWXfiJzoc8erY/smYOHKrZtYIDod7t+Llt6q7x3Ta2PgIEJkDMv jyxqQtcqbajFNBCmRDxK+lYWGz/Gy79ylooQBIIip3p1osRHvKPX+C5agO5ClttKaOIB+GM4ElA5 KMZwwolXxC9kCR3pvkPZaKyawB9njyCLBjA9lpmfjtPszJpMf6VEWkm8oL1QdBd3EwTT5VKvOoG0 CZ8OGJ0+wjIYhyVu7r8vijl0iANv3nzL2yPXhfN8xNFPT3AAsJBPYkrgUbzA9CLvk0sm8u2Uciji rsMklF+B65Qz4NWOfQqmfXlkyjyIWkAQSlKpo4fXiBm8yJ0usQMwXpuDRtEdJRyP5O9FrdR6yspi 4DXTUoVoM/4YLoxCBiZsLWk9rIHQU81xuV/85EI/8+MmbwrIjKbxOXor9HRDPslIygkPmxj4y5xl 89kYAJpdvas7vKlIYg+MGAYazfi258xp0tQbOcqWDm99B1i/2pzSaJX+LO7ZfvkNLbbUqBOnp3tH qKIaElQgdbR2gF53FYompoWm/UsdqtJUcKLMlonHsThPYP5V+hAlbAyQy7rxzgR0GoVnMyIEIjPD ltfZHqeARuIYLROtvN7gEQXgM6lCLy5wvP4ggVJqbHYaKUxdSUphcP8p/J1xFSEZd8PB7122TrZh LF9KHc5rxw+hhgMEZW3vx+9LSAbV5Ee1BuZfQ5iiQEr03GOIkqO7zdFgDVhFs/DWbygLeBo80UYG UL6+VLpFcvy8hn1mB8Itz86r00fkZeXQYop5ZQDzAhDCw/wCbEkXDbUYoJrGWRArAQsw6ZIJvuDP MQlPzXCvCazKOdc6g2nBe3y4T13JwkhQjzU2ocRSB8gVy8ywFXfYNkSc4IEKqplie6afji9VadWt 0FY7QW6kkdNFGhqYGlksbz/9e2AWngbJ5lV7nIdoa/ojRfX5nYBobRYV0XLUx9xIaGOVco9SY4Ev DoYovtg4ICIaP4TGbxu4yeJOutATcWVzdZAQbXN2k9HuTry4ckAI9mumQTpN6w1N1xwJclrjNKOy zw+T4Q1Px4QthZGlW4t0/JrDjmhxEd9twkM/Djam4qy7P5YtTYkMhxhZA9teLIlcyh053QFOJZ1c nJiAxHbWUYpRMdmP3wgi41NxDd4+xKxiByiRLXzMRALmdqwHVylBTTVQ/Nw+yNYr+/5X5ZBwJPv7 cZ164Ne6h74Rf0+T7kAdDoJTj7rQ3k4J/m2ovTZhoIkPlG9feCmWzDsC53XSpQ6k7i1XlualQbBT 3TipWDVXHBfzBx2yMi2S1UfQGnkkdf8bM56qCeG+eYSi6fTbiPFsdYYYQ/sIym/0HCh4xr7cjXCl x+XHIGh/Cm11Pkh5Rj7Er9cPzA38F1FHPVEjUnV7R65xUi2lP6/b3HhJ0MlvvYWoL//nAhJuIA/q auZm8bLp7PZJ+TLIYeI8pCwtDWn+dAl6HUJFzMz+NFxicI2HXhyO3uvdpzZxxYH7qAUvjdvZg9Qg QdYYciAybadYKjxop/tv7yFrEG1hNjsR8/lacE59arXRHf3jLF/8/hiJx3RHTC5Tp2IrPX395UQF 52DBjOhk64EA2wZPj6/VrQOgXknVmec1LSxHC4opWoGN0duuOd3pIhOkc0O7qxF4VV6xq4jXEHBn dpY623UCCziWsDl1/sQFgNr3QPgTB31aGAUcjcUujxYi3cebOrGMVTNtu6GauLae54LY60S5AH9n gZiMEaMX2U59GZqGAhLMpKyWSUjVVleJKV66FdeURnx5RPBm9KChVv1MqVZBKUv/P0jHqDBy8vfE BRH4VJURkN5pLliHOAORhheZG0CiTQmFtyhCIjZk0L022wZxvvLc4hvm9LBNJdyjlNgOowg5coZ+ zu8Qstq5xXlOMpYSjhmbUnz47x6JCYkig9pj00HLXMYvJOUyVogZy52XPXf9filK/LV6X4W9By2Z GMaTNpNF2y7T8EqzrGlaYfPBnUIVNRnZPiIYzf3bxOkLrMk8B1KJjXYt34dORNcg8ixdab1aESCY zE2LHNuE5CcrWZummnfLW/mIXgtt7r2FqEd2wtY0d9v9TnD7Lwi1Sc4cG+Tr5avCp3riFUkSKu0m 2o2r9+bLnmLGbqhTYvEy7rXHcZV1LtHzUplfOAtZx4qLYT4M5bPnEYA7WQfctoWtoBRT/9l7JC2V iCc6E53f6DVMTuEYddo/MloM4LusHQfixwz4Une09m8i5kWJsC8hJfYVtB/7JbrP3Hw9XP1O7h5S h4AMkP65abwmYoe8zYxeXzszE98E87Tx0LNm1yTizMj95lxZssvzSu/EV1Cpk6PqIWDbHXM5zqfc Vbdy+GkLIuunOeSwUyrx8XtcSQscN5/J2NbiOAEDEWBEJDWKWsCwc9NmDED0f4tpQbL4qVBte63b buC8bWsrVo7nCSqX58T4ks+nCzlH2ILsdsUKDopqY8wLVmly7/FLqHsj5mtTaZKKpL9zRFKfeOkU nFulkoPBI5lH70OweTRVGsmAnUf5i9U1iPU4tnc0zUkCZhce7FD22uOGJWfVKm9hmHr5VhFRWGNh YRPDcUKb9f/NtPEF+3KmqPa2cM4p6loiSQiYb28N2tnT8UTDScgqD3xg0ziVxZw8KoEvsBf6h4LF /FHte7aB+sVeq3gactgELeLmXDoF1SuJdyaPn/U0DuPDZYzYsECSK/zcSUy5aJqzpSQpdwnkOSz7 Fj1geNjS37fis/WbqRLV625prd4eDsbe2U1w8p4MGWclLLRwlXq/qPxI8zZTtYJ2J9DbTND73kUL nm6cWMM1POgdrX2lZ7rY3cL8MdpZW9oSeMTaJi3nqugxGMC8SqkFScQyvu1KHK2VP3aLWVBDyZoa BBsX78kmbJiPGsipuyUU/8CEnSkUrBpZhnk0VY/Hs19QlOJhFYZirOSj2TQRY6aqarXAFaVCAjZK w3jo5waPOzflIx0aez0q3jHsXSt8QKiiOLtMvg34he1wnJ7l3ltGuKths4PZGyEFYBJp4ZoJLK2O M1r7ugoxBTjpRWMLdid5MJ6CGMOi8SFvnhDWKqnqULEh5W2H+km8aWxiEuOPL9puYZ6Dm6a+gaWA X9mNN8KyEZpgqVqk4WsQnEtW478ustyxLBbNdlx2h6LBiWbtfz95ohXwv9UGjm4vuL3Q+5fWoVV9 Gkcyf012PRALDxxkRkvoxFE3DAr8pJQzZCh8PK8wD99pBIlpntSvL+jX+bYR5MgDDVFrVMrjbuDz pGL7nIYAIICze88XBpBOd6qypl4OEiecIR6Co55hC7iI8bnC1+bYH+ENqOZoBnK+wbx4tKnLBhtk xnKxdVaBRGZumyGbtCoZRaZW+Ch8qQRB0P3eirzc+GKhAE2UUEZSlyCX7DQSmfzsco0yQdVhOEZ+ jEGVbQNtKSCOdAEg7AceRDmEZnh/W5PdMSZvGSeQlf9qhCIPio9pURnaqwDTDcrSoqNF9frPly3M 2cQhggTJHQtAMwDErLWISVekAg9obOSOERO41goRvIfGwoolPLOqjw9EgVsHMDUM36TIGpYLj1Kk MQjE9hmAV/ij263FY8ZhK2YlCQsDLkzMmiV5UOQIcgz7MqhKhAdgjuqg1wwspmSzOiDBW3j/R3WD ORuIBe61uYhCvDRegHRS071UeZbTHXcINzOi9hMO2hpuraHZIiKTVMEcpKKQTV2IvCSH4QYOw73b BNuJ7ew+C850iF6rRq0pcwxGo3+fBtaTbdJvyqQIFgVkdvh5rOBgYSkema66tVqfeyeGEAZg/q8W vDhJrzdXvxqHh8F2aeuznl9JvNFh6/eJRJWXy/TLchHsQ4RwRJC9528l5JnSyZB21jfS1VP3qtoK LjJSTo4Y9RIUB1G5/4XA8KINtpE6cPpkufWegxEOjpdQ7myBlFR0uOsx9Y3vCF6pCCr924jRYX41 W2RvvMnK8Fy7uJBvG/nPT5cyTL2LlTLsbX0ixJusRTlW6m9XRZVNfC7bngBE1iLXU4eyk/zucBug y27Oy118Ek5ivLaIpq/jNXEvsqs1xGEeAqVh0xU7iylv327TvS6E7wS0R8d/gkqia4K1ePfyTZaK N03tqBN5Q0mqRd7KTZbh+Wv108yAqcN3AFIxkz2/m+Irne3Y2XPvpUAaCk4EUDvN26mjxZ+453Ms ZGO5rYr0a1D/MKzWsJopeaKc89hNDqVsGulfXBjI9t3mFJ1+qBfZygK9nCeP1gPRB75stlqNd5qU uJkNMT4X0kZWy8tqce5zr1LBetHOs65VYuh9gsmWQ5KdZP1zq/Mwv/CKI2iX7S5USjs+A763yHWp HflcE/d83XFcmWBtNOIh3g29yqoRwS+JzirMR/+RDGc3FmVAScA6WmYczmTgvdnkfe6unjXNq6c6 C9tWJskPvkWgyrL4SMBZvmnuEX4l3ZJKnBip9f6gLOvDE3//ZoFYi2deSDHDzLYczn+nsw5YlKZ2 dttlXC9QA0itO2V7fTJ1sN9s6hCoH3dpSSkKcM/aeQir/gpUO/B82WSY/czH1PGIcqkCU1NJ6yey +uLhTpN4AKU7P6DwMpcBdxU5lW4Zu06VUlJaMvBL+HSUonmJBm964C0UQTIFOvUIQDF/n9W5JtN7 WpDjLap+uw3Rny+OObInbz4os5beH7VnwwkwFeg0q886rGnoR7jnirq5Ii3jZRX8iZOKbupoTmGF 0falXFhrnUWwW/m/jHnt+XPZVlsTS9kYik9Z2s7wmX6Fjse0ZAmkxoNaSZFfI17CkLjk5mOhvcvk 4z0xrgmytizTaFNIeWI+pr1cfBoHxPVExtY2EQVFjko+VPgdjmat2SJpKc63y5HlULlOX32Pdzqz tiOxjViin9Ep3AJ0pJiZuSj2hO8vGKhj9SiubEgx5NAvigQ3cc4acbWIJ5863Cfltrlhxfux2X8u n1LIXSrh7/FJA/iIiHyVrRWkAFBxWdUXfvoDDWW9dpwPidO9DnBCkyZa2WSOIWRXapTjN7HdGE48 kjB81KGVgDWrtAxc5LPjNWu2XAR7l3Q3MK8CbtQJgRoxo/ySNlt6pxztqMZO5zhDVo7q/66fZFdh J+bV7i4mshSLjkXzvHdPFjYu5eX8OoeFx1VJCAf4yodR2cdSclNHsJ0M2pJhomQipkGIOKXyBDcB zJIhaWhax7lCbLXfabSSOeMS2YhbglQ8/8TrZKcnDNoSyH1EhkBEFLYLvRWOLEbV/uqaD3ag8WzW mwFlf4r/+uaXn+7NaKlOFYtCAZoVgICLST+Ln9zU4DiFAXScV9EX932+tJE4vnwOpXKv51zKO+Hm M8CpqDL8SoVLL6S+5vHQut3Soud0RNdWT+/dO3fwcGsS6nhhxSRRMPTPp8QgfbIh1GGxmjb982ox IKJCgzWDZKs4k5Deg90m1uQQTL8YU4I6AqYA3yro9DAwYwuOKYSFNdK7tH+AYDjHL3RH2JE+MPlk 2Am9+S6GIpCsLuVoVZqWfCRMweES/c3dNTjZ7+L+nBnFwEDgQFdr6nhBN3obG3iHrXsZ2bDZZfsd CTtG9WI2HoydiobzVrONIxbHOQFvCpeGKmEdiKRRhCBgjHe27VbGVCCVh6t7+4rc+jrW7nn8/ITw Bb7C5qRZ0toMFIL7BzdNGHdUgWKxezV+hQtPL8aNT+BeSpjV0TLhVT/EVW/VTPkFmDYVxsZknPjM 74IDzWP1afzE3iVsL5/+lfFOL62KkVxsqJO67r7OKD+8bL6RSb6LgzH7cGDSUwi4mnK+lKMvAe+F dmyqn7+qqnul7/ejE7+i85Wcrq1caxQpV8Jq7632rqlRrBcSVcRvWikgr0QBsTDPZkC3WeVIn5fi HTleTFMtD+bidU8Uq5WvVNOA4VDIUji4pK47GXVvx63oOY7mJUwa1paBD0rfnxlcANTfrJnwkAJo 14sBaQfEHF/C1Lm91Tj89J51uY3ykiyEJOiDFY9VDJQe4PftJN+VfY35meAIFpu8CHsH9KCP1c4M hcSvLMYS2YfqkbeNZl+cI0pSWBpKg22kZFGHFthnLfmm5LbiBn90shLapL62mcYROuVOJZ36qWFJ kCj1o8c3QCpnAYl/uz68ObKbsjN+BKxhIoOpd6mmvt2dCeLW3tYW0TdIfie0nvVpfyw3cdj2w38X bB8YAzok9KCPjywaBpH9Ta61PP090rmGmv0M/pK8Wb4D68YyTZr/NoUP9olSS62hZ17mSmnLroyq w+uP/tjB2zbpdYmWR8lsVdBKby20AANEKY0L2Z4ZEjEpecuRWxAiryjTeA1HaQMialfeRf1qSrPJ 8+BwCGgs20UoCF6HmLT7wGWMXHzxx0me0DXuSl6QFKf0Dix/x4PNNWMSc6Bvic5huezwOXgFiQer 6fREtyfMMKFto1gtGi1Ph5dR5tQmpeejWwj+eW9eeFKc5fix7pVrgrHvBqcrNdxbkvC/aNXZbeFe cTajn6Th95FdMAFtBVH7h3/3nihxQARhZ12Nb/EQ6+RDeiTkc2cJyG6hTyrUKCHM7o7WeXFxGZE0 IqVWFCNeF9WAVkWyDk1lHpBJnBiBKrUU1MSdxANqHp3GikwYgLre0Njb8/f/9u2RFAT5B2CIeNS1 IcblBpEE0fc/iZPx3YrSbyZ6CRoM2s9KIhjHZLEHtByC/5rArw7Skza815ibUsjEymjiMWyrCt/x +g8voKvnoi/gI/zKQUQ/cPYf7/1sAvuG9H2vc56/P/wkHuzFoYc3VxSa1m/zpSI1+qxnxGMuTIYW f3HuDdGoWgbuRVFIJAteAXjAKa3ByQeaJeSt+KGEKwqDq9OKWv4UV9pD18d6cGKZXhuEs8gX5Go6 IHP4XBE3SEaX/9nOuNu5CgiuvLNy2amghh1M02pE4Wllvr/sNlA6IXu2/elfIvAzUE+PtJBFm78k I07ev03GMNzJ513L1xGyOoNbefp1BXSYj35T9d1u4bvN6lmlTl2wJtQ7NJbx3tzUp58kV3oD7eME UTFFV1KXgQVjDmW1zUIbwIntZN2rGUEOdp5W8jVpWwZ5Tk47E/Sm1eaVRr2x3TQ1Jq6ryeVR9QcH 6jToK+Qks8+nICsrNibVtIwjcT3PQAd3mc+XqYgVDqARyNEBAkKbtFhiOcOG3y7JJVUP3Jd6PnhQ pS5rp/S+4BMVSM5BRhiKuThy06N6oWDyqc+0P6SSOQhjZrZsg2Ik/aQ8q1C3YCnVcXlC1bWivquf /NIDzYDoLq6sfG62RXPiG9gw16n4j1i0AiSARBu8yeqNzGRl2L4h6Z6F5m8qU4/IJpeHAtGFpVv1 +LCSTqAIgdtF6QYRW6Ycjp33Mco4bsufqJQCXUscPTcwVQooNzkAaSq1Kx2IPVghcdKh23dI+dyj 9aeyw9kHDKqikSSQX4qb55rV1h8hq59RZBP4fqQmqwW23/4PKdcPE4NYE8AGa/o6uOmIzX4grpdc eckzpm0WhQrye1Dyv+wXyjUz/uMyWfRm3GuX+xN0qmCyJlRtDcEAssk3opEMFpZ1spmFq06rfM1e nZ8WlY1LXbCuyqHQKy3IKQ5ANeUjESph+4eB/5JssiGJFvcduQVP0TnafO3dx8idZLwVJg5UnlND EvP1cboj2qI2rgwxpoWx4CrP4NlRvb9l8TXZGAPyhVoKOTU8FU1YR6BJTnFOd06UIejGG+10FWrI 5mtdnk97UNzn+f9HcVy9CW9LI9xMEPevRQx1FpeU2UiudDsobqhy2IM4hH2+AjzsA2LTa9Fhgato m5L2yahneNN3sZutBY3LBAu76KYUOgXH21kNxcgyojBdPBewk7YC2Yvdja1ocSbbUBftOOFenKd7 g6h8qb47F2vwwTuqtHDwkqvlS59a9UnRD9HmUPVQoPfgar0RryYLPSOkS82LUCUUBBTmQSqreRu7 GULHpjArDCJt2Zdr+9ZBv3c2BgPNptUV7sCi2CNs+9Wvvt9SOtY/whyOcEA2BmyAx4F2uha/NVI5 ZbfBWMJ2QOoSNNRmxz21ymzKM4wv0A2oRApJwqOWk1MFkzXyJ6BGegcbz5A1VSBNn6eWmKvdiO+f 9BBN1EhDfIzdUy24jMYTpONoI/5Jzv55xSnqIXg3Viu5efiZBpTazwR3rp00beZecvJq+hBNjSwl zgieW3B306xVeUVdGUMZOCJ9XMaVXKqQ8kUgCEgoDolMgszho86r+EApNwiObn+p4H9CrLGRTdNE ist30OaVHsr/2xqY6JSJlS/6J4mu2f5/b/FIZ7/cUtcKVDBJCFEPxCFQMydI+0F2YipsEVTVf4Yb f4KBwydcuvBH0OBs7QgsbJN+f+CjUSM/27oO+kYmXQToxMzXPdeU+WTXCk3SzlDY1wGRiA2TaqlZ z7jCuGW2+Xl53WAcGtHDb1en+zBai7ED2Ez18OFdP5oHuv6TD3xb6LDyepOxfH+5thGpYAkbBmby GBSJzrCx+T1Qpaq58EUpn1l5wCYSZ1PyzcAsPFf3VVmwyBXwVxM8pmZvZs8eutWZm2HAvuquUntf 7nWhzPlII0cCQjI+FaFKJOjnVfnQW4TUoCBFe3KIXaLlt7pp/JWoITZwxWCjzTdrPl4+ytW4QE3C IOsUnROLyLbdC+iSHmNoDbLi0kb+TQRdi5U0MNE1QaohWOaVjLOn6HaJ3nBl4Vg1J3/llS/rwYEM sMhFQYZVF+QxsGmcJxE9IZw8BphjTrXy1k8sADN2Ie7BlzgSqslwBvpEft7lsPZjjx1mFMA66eXD q8sd/qRnw5JBiwEMP4/dVKLzo+ukdoVzIj2HGrk8FKisCiqDmS3jKCpYhjf+AxMLk1AXEUd0W8PY x8mjKKVnTlBZpQEgDra9510okSqe7URujBkvzMS0yQOU6sEyYgwwFSDLDLx9+XwyGfq5kPEYM1Aq 8tib76RHuFOPPv6K2d4WjnKOQKYWmSw5g4basZ8RTEfJ9/+N51JQCv6mJ+3BS7r5/bF6j31JdIRi D054WRal6O7PzJ4Ka61B5WzGpv7IaVwO24JDLnqHdjYoC7bFjb+ooT1myngTye6wK1JxPAuRPbWI KEtWmGZvWvrEvkQ2Obd7HUE4KGav65fCUbqIk3S+u3dpbwKqnJca1TVq5p3VX6QYkflRoOBLkHe/ E9tFgoTBbzh2HigmJ6ZO/sxLNstNYFcxwsdR3klB/zrjuVBI0Zi4TTg6jQ6+X5P7gpY2QtzOMbVL grFajvrkXohy5BGqbP6OPAOBkWc+VbpFEVWM8BL7qk7irlm4mg7fHFs4wg9bfT+9i/+awJRuqDcq 6x3IUnqLh/2mXB+Yh7ak953RlW+dY0vN1VOgHRGDNUeXU6fj4GQEfM+DJN3M1TKLpZ4ldumqrwBF 0mzjvtiMgGXiGeKgarGDNyVg3MdnpJKvRa8dBQL1AggS678zzyvcWpyWSN1BGdFB2PTpCOQCT1ke IMvBjDS8KEdfxO9aAhmwaH7KzmUpTb7VWS4UU2AQ1vEIBqM2QnsCnBXCNo4MSVJYmQhJ3sJcI/z4 5TtlYyaJFXAymh/7s7FPm0XurODg1gIjAGqSGyu7KUHwh/6n9sDQgXn8IEPqWZpQIcM5rMa8cZEW Wu7Jiiu+bCRtRW+60uJEPRnJna1oQVQoLOtY4DuNo8bakGH7frF/4eogFnynRRzX70mEmtkrhNku LBJrO4WJVhoU38LS3v8y93/7YV8cUP9dKU1QuYiPAbEfWJHfRo0g9I9X5gjBS0+vnbRNeaswjwik oPR2b/qeIUPn1Dv6Q11Vx3rKACUFwgwGt3Qx6RPLINuJYRH8ETiWvqgbeOcMXGpV1GGxqIthZwpb 5bpCuFfQwGHDKa63H1de+CrXVl8DU0dr4doLKgJbm428hDzKH7hixtW18wRDlQvMV4R+Uh58v/NT rq1M34SZjxLH/J5W8VAdGNBSn579z98Jzz7O2FqZMhfWAfgWFo3NuwKwq9aifexEiGdPUoGTvcig /Hscyj4KUDsDwTLXh+8sHZZkVLlS4w1c9C4XLU7O0gl1j1Dlt+PfWrsWJpO93YMizPl3fjVLHQVE bdcOoPYQOvIryAAhYBN3RAJ95rDGN5HjVcy3eL79eFpZbHj1rhtwQtEhP6/cmg2mNGzat9/wgwZJ 9exqkwIYr+zRId2zan06mwx5LGg+Tcq3salFZhoYVruuIT27kaBZYtawpmuNekB/tCDeeotLJFcB m3f9R1vaNH26EECd/csyY5QI2MgkknqavwyDYd2MRJ4B/doWn/QNlccttTCsRJXbUIxp9UbFOiSs WKUJLc8a420N8VG7c/+eW9j3RSNrf6FIsf+l8By/QTB/m6X2K1hCb8sZeFdnjbreI9QPFsjpmtpS 2AH/xoZR1+FIE5q+AUr2X5ngpCKnZ6g0QtzEnqHt+o5IyMr5nNzLjmgYH6OXG7SfOBCKsY4FWjMb Z1eMPLbVQWfl+YFuu7KDyfNeURJ+a2ZbBNDPlAU9f4Ks66vqeW/3PJ/WWmdFsnaR4kb9FnyhqLpU ccfxivnWKGR6I+DrYltcPtQcRpq3RL0fTOtcB0n1Q/vpB0XCFYXe1JO/FYNRTHnZqVwviqnvfXhu IIWu7qB+WCn7TFq16znkORkVW1iz1PfMfKwAGYZ1udMeyvrXjHips/y1EX67uMFSxns4NCGAPe9V eLuClduJH0Mk7q6txYr3XMdtG8BSmARp8YtaxHnRut1K/GhBhYaOfisF/ISzuBe6oNhizokVIdDC hLxPya78dBvdI3x0K0rAsN7g5dmXEFOi2h9w280NWyDOcAx+OHmOxd6NuPg00FFD9rQQjC+tsLPK 8jrRSDsTiXOKvKtWTDypsGTRUMvz1QRTWFVkan0s331y2cs7DSs42nId5MQeIkCiV7eVL2YE0exl ySk23D4t7W8JDs328PSeuUq6+Yr1l51EQ4vFArUtUtPQxp6uI3qW4GHBPZM+1I/RmWdEnca5XLCN 0BBXcZ8Mjz73MjShw8siN+VILtIKeu6jrRd4YzTju0vQMt1MeFpFDbAKM3Tyd1ibwCxo/SebSLa8 Fe5UePnTLk+NLNi/dNyXsice1rZ/tdP3DZh+bRNjqPyMioRgM1BUESC4vHgEUr7dDdj/2eBYWt6V ucP+ym655ySujeKthIs0Xrz3Ee+WcUfy60qjktw5XDQXrs/ccz2wteEqS6pFReC8ae9H9TtTmdEL LxVQXMJcsBL7CVd/ZKP+IMBjh9piImL12Zqu4OaKIakm/nEau0H6Te3s/043JkySfQKuK8aeIZFK nbP6k9LgsjknvrLIrGbmYkwxoQPT002dnLohFQdRsTZAw3n8lzcGNftxGTpw+QMtNImwdz1R5Qfx 5JYjO581DOA6VUJm81WdukFQ3mLPMpGwb6YYnbjL+etYCSHV0Fsagl4HaEIOD6b1HRM+jt1/VLOG Be/rai1ooGP6848qJdSqIEC15WzqK6qG0fDebUte9edY0fgfPr95FTDbhd29ZwyxZGZ9B/k3RGey UXII/JOcIAK1+zzf/7JsHTJf545MQQepuYtU/01sD4QplR1eLlsmXlOckQyTGJJI8ZdkBc7abvrQ 5ctDwkcDplkCPdZmQ8+m4X7Bznz0fVBKiNp4UTS+BOzWmPMLgRrzeH6xayl+1Y6EKjj13JVq0K8E r0I1tPEFcuSnWKiTIsVdQzBoYm5auR3I+ILkYb/IUapZwZMHl4autPLSzhwnn3Uz2bDTejGRkGPK A/izoBFsUM78OKt0kaHzMln+UFL5LJ4PlubUiAORt8zGbVE/pKM8EpWW6NLIAaDRxRbJ/E3DQchi AxmhUOyuIy8gYkiMOsYkmO/k4QkF7LvRyIjAMZfBzN/PvvtKZeNSqzdAIYksGTUVcz//2ayGiFvD GcJSMH9tr8RoG/oINdJh6rRMRLG8I6dZGWdmnSrjPfzXwzpXC0mPEWSaWc/i0ZqrybCFgMlZb3lS 0kT+w11tIM0E2/5cxBs24PTD1cyCh7GbIgf1X4+C5ZCfWPq7/hcTSneX7BCrLaOQqIxhwcTXfQ+6 UXjva88St29ovl4X96L042MgoqHHX04e5TKv77aJBDIEwqpIuD/fWELABf2dEbrswsIUHf8qSgC6 YztZf4DHQZwFI3fYp2zutc/V0fevsUAW0PzcgczW9n8gksbU9tM8SqbK4BBc17sPolLwo5PcTlNu BVUzS21wdsk+lnZm8WqDe7+++s15+YX2fNR6Od8AUQc8X+umV53eX3Ue3IyYPApsieeM9esoXf4m vr/Dwl8pRsmzLqjk8w8D3TAlZAQA0dGCbgyIIVAfv1dmLyaUhEb6rt3NDsOJI58WSJNCypEjrxgO xu/n6SloPZpd4KKZYg/hAjY81dHcWaOpAxMLAg0ymXoWyEiVzxvS8wSSXcM4LTgjtT43FvHsmkyi vKuPAmb3WU+7go+Cqhw6d//0Vy0dsnQFJvqGPhFtuCyy8BIFhtWBl++ScU6d6CcFls8sm5gQopGR yALPx59O6cqVc/3pTfppwbt7//xQ3DQmpF/X5clFEZ4qzeHilufZk/kbAIHvAYedOks9lXM0MU5A pr1aX9c8VrDIFA2d35oUDnla2Tyvbr5kjw5FXaqOGnEmsvt0wRT2pM/GGopnOfKdEjgjQ+3nefd9 EV0UmQDpQmeC2Q/pWNOmwF3n7pSqqi2+Nsx97IDet2DhXEE= `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/network_files/Allocator_with_checkers_with_FI/Arbiter_in_one_hot_with_checkers_with_FI.vhd
3
13338
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use work.component_pack.all; -- Is this like the old arbiter in the router with handshaking FC ?? entity Arbiter_in is port ( reset: in std_logic; clk: in std_logic; Req_X_N, Req_X_E, Req_X_W, Req_X_S, Req_X_L: in std_logic; -- From LBDR modules X_N, X_E, X_W, X_S, X_L: out std_logic; -- Grants given to LBDR requests (encoded as one-hot) -- fault injector shift register with serial input signals TCK: in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_Req_N, err_IDLE_grant_N,err_North_Req_N, err_North_grant_N, err_East_Req_E, err_East_grant_E, err_West_Req_W, err_West_grant_W, err_South_Req_S,err_South_grant_S,err_Local_Req_L, err_Local_grant_L, err_IDLE_Req_E, err_IDLE_grant_E, err_North_Req_E, err_North_grant_E, err_East_Req_W, err_East_grant_W, err_West_Req_S, err_West_grant_S, err_South_Req_L, err_South_grant_L, err_Local_Req_N, err_Local_grant_N, err_IDLE_Req_W, err_IDLE_grant_W, err_North_Req_W, err_North_grant_W, err_East_Req_S, err_East_grant_S, err_West_Req_L, err_West_grant_L, err_South_Req_N, err_South_grant_N, err_Local_Req_E, err_Local_grant_E, err_IDLE_Req_S, err_IDLE_grant_S, err_North_Req_S, err_North_grant_S, err_East_Req_L, err_East_grant_L, err_West_Req_N, err_West_grant_N, err_South_Req_E, err_South_grant_E, err_Local_Req_W, err_Local_grant_W, err_IDLE_Req_L, err_IDLE_grant_L, err_North_Req_L, err_North_grant_L, err_East_Req_N, err_East_grant_N, err_West_Req_E, err_West_grant_E, err_South_Req_W, err_South_grant_W, err_Local_Req_S, err_Local_grant_S, err_state_in_onehot, err_no_request_grants, err_request_no_grants, err_no_Req_N_grant_N, err_no_Req_E_grant_E, err_no_Req_W_grant_W, err_no_Req_S_grant_S, err_no_Req_L_grant_L : out std_logic ); end Arbiter_in; architecture behavior of Arbiter_in is component shift_register_serial_in is generic ( REG_WIDTH: integer := 32 ); port ( TCK, reset : in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output data_out_parallel: out std_logic_vector(REG_WIDTH-1 downto 0) ); end component; ---------------------------------------- -- Signals related to fault injection -- ---------------------------------------- -- Total: 7 bits signal FI_add_sta: std_logic_vector (6 downto 0); -- 5 bits for fault injection location address (ceil of log2(17) = 5) -- 2 bits for type of fault (SA0 or SA1) signal non_faulty_signals: std_logic_vector (16 downto 0); -- 17 bits for internal- and output-related signals (non-faulty) signal faulty_signals: std_logic_vector(16 downto 0); -- 17 bits for internal- and output-related signals (with single stuck-at fault injected in one of them) ---------------------------------------- ---------------------------------------- --TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local); --SUBTYPE STATE_TYPE IS STD_LOGIC_VECTOR (5 downto 0); --SIGNAL state, state_in : STATE_TYPE := IDLE; SIGNAL state, state_in : STD_LOGIC_VECTOR (5 downto 0) := IDLE; SIGNAL X_N_sig, X_E_sig, X_W_sig, X_S_sig, X_L_sig: std_logic; -- needed for connecting output ports -- of Arbiter_in to checker inputs -- Signal(s) used for creating the chain of injected fault locations -- Total: 17 bits ??!! -- Arbiter_in internal-related signals signal state_faulty, state_in_faulty: std_logic_vector(5 downto 0); -- Arbiter_in output-related signals signal X_N_sig_faulty, X_E_sig_faulty, X_W_sig_faulty, X_S_sig_faulty, X_L_sig_faulty: std_logic; begin ------------------------------------- ---- Related to fault injection ----- ------------------------------------- -- Total: 17 bits -- for X_N, ... , X_L output signals, not sure whether to include them or the signals with _sig suffix in their names ??!! non_faulty_signals <= state & state_in & X_N_sig & X_E_sig & X_W_sig & X_S_sig & X_L_sig; -- Fault injector module instantiation FI: fault_injector generic map(DATA_WIDTH => 17, ADDRESS_WIDTH => 5) port map (data_in=> non_faulty_signals , address => FI_add_sta(6 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals ); -- Extracting faulty values for internal- and output-related signals -- Total: 17 bits state_faulty <= faulty_signals (16 downto 11); state_in_faulty <= faulty_signals (10 downto 5); X_N_sig_faulty <= faulty_signals (4); X_E_sig_faulty <= faulty_signals (3); X_W_sig_faulty <= faulty_signals (2); X_S_sig_faulty <= faulty_signals (1); X_L_sig_faulty <= faulty_signals (0); -- Total: 7 bits SR: shift_register_serial_in generic map(REG_WIDTH => 7) port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta ); ------------------------------------- ------------------------------------- -- Becuase of checkers we did this X_N <= X_N_sig; X_E <= X_E_sig; X_W <= X_W_sig; X_S <= X_S_sig; X_L <= X_L_sig; -- Arbiter_in Checkers module instantiation ARBITER_IN_CHECKERS: Arbiter_in_one_hot_checkers port map ( req_X_N => req_X_N, -- _sig not needed, because it is an input port req_X_E => req_X_E, -- _sig not needed, because it is an input port req_X_W => req_X_W, -- _sig not needed, because it is an input port req_X_S => req_X_S, -- _sig not needed, because it is an input port req_X_L => req_X_L, -- _sig not needed, because it is an input port state => state_faulty, -- _sig not needed, because it is an input port state_in => state_in_faulty, -- _sig not needed, because it is an internal signal X_N => X_N_sig_faulty, X_E => X_E_sig_faulty, X_W => X_W_sig_faulty, X_S => X_S_sig_faulty, X_L => X_L_sig_faulty, -- Checker outputs err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal, err_IDLE_Req_N => err_IDLE_Req_N, err_IDLE_grant_N => err_IDLE_grant_N, err_North_Req_N => err_North_Req_N, err_North_grant_N => err_North_grant_N, err_East_Req_E => err_East_Req_E, err_East_grant_E => err_East_grant_E, err_West_Req_W => err_West_Req_W, err_West_grant_W => err_West_grant_W, err_South_Req_S => err_South_Req_S, err_South_grant_S => err_South_grant_S, err_Local_Req_L => err_Local_Req_L, err_Local_grant_L => err_Local_grant_L, err_IDLE_Req_E => err_IDLE_Req_E, err_IDLE_grant_E => err_IDLE_grant_E, err_North_Req_E => err_North_Req_E, err_North_grant_E => err_North_grant_E, err_East_Req_W => err_East_Req_W, err_East_grant_W => err_East_grant_W, err_West_Req_S => err_West_Req_S, err_West_grant_S => err_West_grant_S, err_South_Req_L => err_South_Req_L, err_South_grant_L => err_South_grant_L, err_Local_Req_N => err_Local_Req_N, err_Local_grant_N => err_Local_grant_N, err_IDLE_Req_W => err_IDLE_Req_W, err_IDLE_grant_W => err_IDLE_grant_W, err_North_Req_W => err_North_Req_W, err_North_grant_W => err_North_grant_W, err_East_Req_S => err_East_Req_S, err_East_grant_S => err_East_grant_S, err_West_Req_L => err_West_Req_L, err_West_grant_L => err_West_grant_L, err_South_Req_N => err_South_Req_N, err_South_grant_N => err_South_grant_N, err_Local_Req_E => err_Local_Req_E, err_Local_grant_E => err_Local_grant_E, err_IDLE_Req_S => err_IDLE_Req_S, err_IDLE_grant_S => err_IDLE_grant_S, err_North_Req_S => err_North_Req_S, err_North_grant_S => err_North_grant_S, err_East_Req_L => err_East_Req_L, err_East_grant_L => err_East_grant_L, err_West_Req_N => err_West_Req_N, err_West_grant_N => err_West_grant_N, err_South_Req_E => err_South_Req_E, err_South_grant_E => err_South_grant_E, err_Local_Req_W => err_Local_Req_W, err_Local_grant_W => err_Local_grant_W, err_IDLE_Req_L => err_IDLE_Req_L, err_IDLE_grant_L => err_IDLE_grant_L, err_North_Req_L => err_North_Req_L, err_North_grant_L => err_North_grant_L, err_East_Req_N => err_East_Req_N, err_East_grant_N => err_East_grant_N, err_West_Req_E => err_West_Req_E, err_West_grant_E => err_West_grant_E, err_South_Req_W => err_South_Req_W, err_South_grant_W => err_South_grant_W, err_Local_Req_S => err_Local_Req_S, err_Local_grant_S => err_Local_grant_S, err_state_in_onehot => err_state_in_onehot, err_no_request_grants => err_no_request_grants, err_request_no_grants => err_request_no_grants, err_no_Req_N_grant_N => err_no_Req_N_grant_N, err_no_Req_E_grant_E => err_no_Req_E_grant_E, err_no_Req_W_grant_W => err_no_Req_W_grant_W, err_no_Req_S_grant_S => err_no_Req_S_grant_S, err_no_Req_L_grant_L => err_no_Req_L_grant_L ); -- Sequential part process (clk, reset)begin if reset = '0' then state <= IDLE; elsif clk'event and clk ='1'then state <= state_in; end if; end process; -- anything below here is pure combinational -- Main Logic of Arbiter_in process(state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L) begin X_N_sig <= '0'; X_E_sig <= '0'; X_W_sig <= '0'; X_S_sig <= '0'; X_L_sig <= '0'; case state is when IDLE => -- In the arbiter for hand-shaking FC router, L had the highest priority (L, N, E, W, S) -- Here it seems N has the higest priority, is it fine ? if req_X_N ='1' then state_in <= North; X_N_sig <= '1'; elsif req_X_E = '1' then state_in <= East; X_E_sig <= '1'; elsif req_X_W = '1' then state_in <= West; X_W_sig <= '1'; elsif req_X_S = '1' then state_in <= South; X_S_sig <= '1'; elsif req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; else state_in <= state; end if; when North => if req_X_N ='1' then state_in <= North; X_N_sig <= '1'; elsif req_X_E = '1' then state_in <= East; X_E_sig <= '1'; elsif req_X_W = '1' then state_in <= West; X_W_sig <= '1'; elsif req_X_S = '1' then state_in <= South; X_S_sig <= '1'; elsif req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; else state_in <= state; end if; when East => if req_X_E = '1' then state_in <= East; X_E_sig <= '1'; elsif req_X_W = '1' then state_in <= West; X_W_sig <= '1'; elsif req_X_S = '1' then state_in <= South; X_S_sig <= '1'; elsif req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; elsif req_X_N ='1' then state_in <= North; X_N_sig <= '1'; else state_in <= state; end if; when West => if req_X_W = '1' then state_in <= West; X_W_sig <= '1'; elsif req_X_S = '1' then state_in <= South; X_S_sig <= '1'; elsif req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; elsif req_X_N ='1' then state_in <= North; X_N_sig <= '1'; elsif req_X_E = '1' then state_in <= East; X_E_sig <= '1'; else state_in <= state; end if; when South => if req_X_S = '1' then state_in <= South; X_S_sig <= '1'; elsif req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; elsif req_X_N ='1' then state_in <= North; X_N_sig <= '1'; elsif req_X_E = '1' then state_in <= East; X_E_sig <= '1'; elsif req_X_W = '1' then state_in <= West; X_W_sig <= '1'; else state_in <= state; end if; when others => if req_X_L = '1' then state_in <= Local; X_L_sig <= '1'; elsif req_X_N ='1' then state_in <= North; X_N_sig <= '1'; elsif req_X_E = '1' then state_in <= East; X_E_sig <= '1'; elsif req_X_W = '1' then state_in <= West; X_W_sig <= '1'; elsif req_X_S = '1' then state_in <= South; X_S_sig <= '1'; else state_in <= state; end if; end case; end process; end;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/dmem.vhd
9
12163
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block An6KsaiYrulwxqqCTyrSzzHcxhHI84q3UlaJ2ztMp0Y91rLK0dC0j2isQ24fiJZ0WhzYiZrCc0eG Whj66v/AMA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PUXNoMyJk/CLuUZRXW6yA9w/aCvSD4KS0m+rQqTC9OMFAlMWa21jzZ1fElvoVCAArSjuCdB7ZUz6 VMCtVTWHmFRjDLUo6rJ56jZUnw7f+LD41SvmGWJAmWaSVCc030C9+ThQIs70xbnGcVnwZLoBcA6M p0jFfCvKHFeZTpbjvgs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UUAaUpoxmLITpPzkE5LmCRGKvZ0+JBe+f5cb87Lcc1AZMOyA9lnrCCHuEefru5gs9XsGILXZhTC5 nizT/MXh7Xtgf759AFdAyzC/KFttobnssXP1vjnVvnKS6dvQcRlbbZ0hxZ/8hvlhD7Heuoc71w2R kAxAKqVsKgxbbnTW+du2JF9Isee91EVej9zj1NYhuytNxf51EcF8VB+dssd2hcKYL0r+K2iEWqiq fGBVxPr2IUxXkoYk21Ucs5MaZvN4jYsVQWUUi4yOGBlVJng1LIj57/NE4WXUVAs7ni7c4N6d77xf /WNH+naxugwBnBiYVNJiEulZP9UdK0BsbbC+iA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IDLw/3tQFeSorgOJ91Bg4RMVGmlWTvk0dAJHaR5H7TD+L+abFlQ0WuArltEBRxeeJodt8YWLRbva iMEf0MsflEGPr5xCr5v/0Nay8R88AcSroabyDH8N64M6yCye00ulFfXR6VOLurDD4nMFaphpVQ4p 9PqRf7HD33FJIZQ7ht4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BKKj9+Qx1I58JoS/BxXZl3fLldE3jrRrg27/9nODwp2GR5QXBRqyf/M2GPdsdjaeSMgu3PZ2OLmk Lgpy2auLvHfkObs8OTBaAq8VTOkQuwpgC1g1zqhmVhdrMhULidVsvRutaeJCPd7CVpbpinOGfZx7 Qq6oaXwoy8k+cIpF6j11fSv1QUNZwTOTdzA2XEkn8BvUk6QVAVwhphnjcstaGz9CTjE+jZucUJ/H iB7SfmdU8UjkGADqgjuMwvnAEvm079pBQas2pHe0Uz3n6aFAJpOBNt/SxBuyAQ0Ed+lfHWkW+6fD 6BWjeBWVOJ4QxIPklP2REpr3cw2PlK+Rhx9K6g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7264) `protect data_block WItqXlGLK1AKIAkC1GWUemBa8MA5gVsWdbfZszt5iFpCwkzjHSx5TR0fVa7KX9W29D8dfsoYVEgy 4now8JQ/sqHKpvvD5GpAjbh9VT0jbQDnXryvCoy/PnngtZgutaPz+QjeBu8yHyeyjMVJ7+YVAWqN WB+m/h2gGRbhtSoiH9fVzRucinXSwKXLfx6p7wQ9z5rjh8yhzrBB5Cryia7Z2FjfDaiPLd9Thjyy XKq+p12w7V0qlpcjSFTcbv1TXVweA0A5co9ituz5Qi+167JfZYDAPsxHmLKlMZ498e1SORI//wPh Aw2jnnS5YlP1l1zk59ac5hPxplZq/giM2nKr7ZlZKYRUpm6DqXXNJPsmMJKL9NOnJxYVF77WvGOB IKLuRCKGdlaXKv7g+ljqZUfS12skmx0kpGXINJsTIqjJ5gY/HvNkxm8u30fTR58QXhfbvDT3uK9o RI9w9tfoJ9jXQCXmXs0eNpYoCHFaSQdUUAuPtpjHa65vgPY+3U9tLxskLjwKX8QxnOKpRtfQE8TU Kgrcnuk8HOVlHhiUnbVlLtdnc2s30tIL/+t2yoykazI3aFnBeJcNGAtTIhkxRy5dUijmXZGKfuSe gMEhzHuDWh6BorryaDsMnz/CRogPDbEXTmGg6gqpBWjhkhYO2tnGihR52NlEHQed2ESE3BGsgXcQ g1mgtWPpwka4lmrqDjse7aH8lVaAr3+LabXyl4l1akZ6cogmAN5neINO7xRv0T0YZwc88gezxZmo CkM0XzhXW5/r7hsGp42G3xcdPUy/+EP3tWeo+8S9HOO4XPysgMKsEiou3+HqUfpbvzzUVoso8wXU BQPRNCUUrDio+GhpqGMxRXgDlYF2RnciLHrGs5le30nbhExX9tiaZvp0xUBrBaFmbzwiFQGYCuKy Wray9t0aGxvcgfYZGhbDXRrSXHQju0aUnsRuY03LiRrbKAQa73Of2BqH8rGyql3xsM06z9lYtO9C y15qqEzOpjiPekIy2PFjO0cEElN0wEa0LE+XFIm+IfmlMLFuTPErZYsofsdfBI/GQ8qna9mt6bxF y/wUougQwmP2xZu2o44VUgaYWDg2NcpZoRKy5K+nI8iyVEajmdmBX5eNbh06d3NqqLdTWf7YWlLT ZVEvvGwgzQgU+tkUe6OsrG/hrgzSc2Yw24Sw4WZyOFcO4w1rL3OrXR3ERy5wGC6ZtUSVKkJEx8j3 sfAOWkdzGNtsZjP1gzmLiKt0RNBLIKVslcgYkV8N4+RNv0GeXsyCxLdbrcrLMa6c0lLnfLlVTKJk H+dfw/rRZQNJ6l64UnQ1odJDIqE3DYn4uxuXEoEL5m1Qmi/tt+0w6hzNSFch/cK+IjtdHgLFSrCk 2gRDTdz9EIUGSKUrbMn/p/8fabYY/ZLRUtpMiigd2xrSZVWS608AZTAoyG3KAy0ruK24Y2TJLuW6 j1WbanySmAMwWN8tCD/92sQC6CeDjoRSM7U8MI+igah+kupHkB99wN/bHsVUsl9Tk3AaTh3rDTyp eokhGH1Dkthqo7QkxALO9TxjO6M83A/+SLqu8lS9c+Jw8EnJsxwXffZuJ1A107l5YECCvjkzy/8x m/D6FuTiIjDCbnlsWXT7zoEM1T44PAFdBat+3ibjEjpScUwmjFsQw1nmXGztnhyQ7hLl36mX+tKc 91/5toHMWYuQBQ/WdP0gp+Ex5bVH12EyqgaD4RztP8ZiRmdqR/QuUtr9Dq68TXPxCdj1DUa51iwv henfkb/PmqGn6le4pMPbWtynI/yD9Wjm9pvfXlcVV2t/nqocbmCLG7zxCnUxfiqmOgZrG+2pxWKN NHRSjMu9WdF0ZbSz2E8obUZZPnVCBd7H6mm6igTMsRqeyU85s9/AIva6oNSKJn/SaPHjFErgg2hN zLRE8nvp3brkFDIQCgQO7bDmISGWAcXY4v/7aqej31Xg1GTnMmOexU04GkgHfDVHmd9qGpuS9VVF yEAoQew77KR7YItxapxLfiWBrZBRRuLVb0CLlwdxu+rOw45Vb2uLoEbZXjdt+3zPRnhM1cNWPP9e zxed44PrVq2FB1Uflu3UeQp6QTCsTXbcus+iSoc6XHJtz3xG5fnBDQu9lE01a1RM6A6V9o4McaSS +lZzztFSRHEDj45i7+PvvQ6FBv52ixFl0frlUyU+fgmlOh/Qwly4SqGpoPsnKrbw5YggFugOz9zi d38OkeC92LSYV+ONH0IK/Vpo6HQpDsBJcvgyj1A2dVEJi4N41dczw3rhdv2688qjBc7N/jL3aIHQ 2EpEul+Yh2gqREutmQxW5IW8jiSl3a/L8fEAveElNWPFpjNIUtiNt/IquW/vgRAhIT4tqR0lbm5U kpeQWuURD3YfYkwyPGw73c6m4TcRHbdC0/WjV2Bj+XIoqVzUUNM1kwg8eqTznvU8PKI/0rIrQATC lpYDOdnAf+w3I5/zD6Nx8vLVb6O4Lc6LMYvj2QlQeK/OpnQ/BRi63ZjfEvC5DOnSssvyt5b4X+NA 3HQTVAz7KICd7VGqz17jVkVujHXu/T6RjQg3tVUMtuiaVfxTm05SQG9O8gHGUGPgqLXcqBZ3M3m2 d0zNkRvUVdkwVYb3lpAHStObXjCwRC7/WCveoL/fqSCcMrkBJOOq8I5YDt79dZMcSMbV36YTMsLM ZDF+MP3dEbLTuoEkHJMA/yuLyl6jumMX3d7Lde9lMbyc8KENu4g2xkk1XxuMFp+mY2XC7a/a+j4J UidoHNUOXOrMwhakdOPfPm6x5A7vTgGxulpzKnGbS/3hsy//5AioLdAPshytLkdbD7LT/r8V8aMi RUdb1u0huo+jSiZlXvlq8patE2i3OBq5ZtZKEmjhSo4dod9edcPKH9Hw/oqw4RAeg4x/Aux6BqEi JWB4Z3SoYrTZcGYFMq1VhTSV0zIswSJ0uPdB/VGNym6dsBjyS3hNZV96ZZH9CcFGJsRP2Ye4l0Fg 90xmpRKMJOmdsZKqvoGaT0jsSO96KQBv8aE3r8oWx9w8w3UDjEevxw8b4gPFcJEkcXy2eYDqQ/jp KSSEWIsTqTkFpQBXj2wf6kGlDyJJ2oCSkBBA+AaYhqtXxDp3aVyJVxj5eZrFlGfyQ++dPnbcOUmm EYk7e6NZlENtuBhF0iTqzDtuZdCxLW1XFY5iMlpUWEsrwzB1SSxMMBuyUsBw8Q34ozgZQk90mbYY kcjo/1w22S5+NA0g1mtJS5vWIbHu0GOkIPmlPwuUZyXhh+O5ai1LL4aQeWv3rS/kQbTQpRMJjmxR +q4mqoNhRr3ohDVg8ScZL5C7VNR86m4Gwdf5LVz/neBuiQxF9fh959gnIowDvxK8klG3m1425nPT DiH3SXSK/bLhjSoXwROohmfklFXI0FC7Ew0EUnND6FLKLs4UYL++/MosVSHf8KZZpv06wjh3M3cn qZcQ4AwElLpskdn+raPs5cvXkcDIq+fGoS9CzOorv/aJ1SKaIoz4gF1NC2sXhHgz8sCi10c2VKu1 WCdettVuuRy28/W8JSNVzqzv7L0uiAkcP7k6vzsvUQEGPgdJTG5RsL3spiEOsRAkvYLs58gsvDnp IzvAfCrSBhVZ+IF41hcmeoBp6rrmzYyimxd+N2sM9u8R3ovEsAJl1B4KD2Pato+VSNjIt2AP5kIl 6Fyf41kmYDgh68d7ElvmqOb75u4AgzXHztFt2Ke2pS8RJFk3WguvDiY8BAjZKqdLqv0D4nOMqRFP csK8WX9LfjFBOufh6RR16iWWd80fOdoIoUs3xYI5a+rqV29p7FvjdDr20rhxzMPLYBqI3F3NP3Bx NtHiBiDaOpi5z5AE2Q1lS12MZgGT7pMZ3njlxOaJuuoqasvYlUtvaNY5rOlSiV8LkZhq547Ob32P 9z/zFXVzcYacwvHQSYwrJiLNAmRBH84fd0fP6INS3hvR1pC9MXX49f3CC6KbLnErnmNv+I9Xyk/k yHk9C5VaFija+G4RHdg9r3b7LPlbyMsjYMWPYn3tSjbVkV59hSxUcZn2GNE3IArGDB+uHLghFAXf +1UPXRjSPfzYJUZ/baGYT20eG2LCuiMmt5BDG3wTaaipXlrKXtQUfi6sdpL7bFDn0efiwDJEZERn 9RjHqO1T12AMD7A9sIJr+dmNzHq4PNGvlNwM7EBg/K/wRW5iFV0+/igZDFV+WM8PqZ8WwjdZBqvQ SzKQdGq/BZUS4WA0rr2Ejan7U6JlWzcUYH3W717ssYpWo7gR0WI6SJ2EsZWEtad3GJQdwZZDdxEv qFNpp45NRhjlQM24vtFPOqQvEzBJWg3DxeBBprGRj/qOmqmTmoZ7UQjYzyqaCctUrdBGbcNyi2V2 ZZmuJrG8gVXxlRojIQoQmZrq3ornNky6hqXZeUb/moinKpQmLKiwafEoBK16ZREUfwGhWA1HUgNP vVJvpiBr+M0l9lZ3u6mINF4EeG1BOZH63rxe2rq2z53y1GYaz/34LvUlSEe2pJySvVwPDk70HQKJ nq1R+nENj7NBsVL7T3znXIffdwuNk2cesVDL/Bba9XVqZGquctIgwI36UPJfKxOZVbt3Tdmz7xDa M/j2Vcozac3Z8C5FrvSwuDBbO7hvD2+xL2YpdFZgAGPbzSKLRRcfyeGlxsT/xGkbngxDN73aTDEK DGTqyVrVWSknS0IMSfTflBg/YWD8g68c64m8Oa1xf1SjGFT3JtGWYMVRLsKNzQXNcXALWiJESAv6 NY9tM2DmpGmfhkqYMAO7dQi7otlDbaUXBDZuvBvOHWFg7+Q2zhxP1GBT1FJiDYIIY+0DLW9cNvHG SgfB08zc2AoiTACiK2PeSoQzFwjdcZUsl3R/ljdnOJySww9/WmLCQ3NtVsizA5K7tf0YgCghpXiZ pHiLVkolzqRdaZQt0KwPPZp4Ix7g8JAKYcsOeZuRZmYmCybACO69qkD/sDDRrH+ZzagUU3yQqMVh 5cLFQNA8GnFjPxrjQujku39zrPWOeOSOsgkqkW5aVsmz7B0A1H2A4RUB/DHhJZz0uJIMJcAjpFfW nnlU/dSBFhgaI5j+9e5zIVhCZ6zoz7I3wcggp1+Zx0hRd/0c7/KU03Ld1J2Oz+zB8EHiu2SGW4wY 1UUI9Jj4iZxDdcu9s0WE8aaeVH9kd1w+wfB4hy16wbq1lau+LbTUgUqWYX1PjMEd6aLaTyZKq60U IB7CovdSePv1bD/rTPQGKgmApaXnpRo7jKOwiJidrfEoJ3V/sxoe9R7OmWKLl3beLk+V/r/0VR+J icv7EnDASh4f1UBrk8EnWo6AJeffXge8iaYZ+MVVyfF9uPNY99UOEnmDaXTc0g5mEw2MI9ziQgdX 4Brdx1RVUm9HaYmdNMjmUlLjK/8ktKNwEWA0Mik5BBx+VMg+u1YszTFwTzPonFZll0KCrFgsCLWR VERBCUN2itGNZQl1p/q61rvIdeUHIKfQfWgNV4fHUDv/lSAyd2ToJQ4HyaAFH9H/A4np2+/Qp2lB XVMWXfP54fAJCNuHU/WTVIVqUsWldhRABx0GKMWc9Y7uq3rcm47KGvnRgY67KfYw7tjrZmKOfYL9 7IO00nbhmoyOZXvxG10W1Hd4coLWG7MydiSJoZFUpU/SvuoRaOLU4JB+qQh9ahNuzrpZtpKuR0N/ ZDdlkPHdNB/n7ca2ujjrox7aQEv1qdEM3t4+zAY9fzAfx1v+srYx92zGZsEX44E+iYstGIms5Hzw I0yGzajv6BD/SxtzsuVd/qkpRaVi0okmGPKvYx2T4GLkp8x+h0PoDcyVq4cynl3mfNcybThLBikO Ju8w3Nnk9aS73H7DjEXZmp9uIAzA7AtfmMm9HksGpMSOFNk3tLOpwXO5me1lYUCwJkNJfG+gCs3D IXDma+Xv4L1TbXuf0XXGGbsFrCeFXo6kLS/Q0iUQSTKVpc9sqyZPVkIVqv5M/psZ+p3WEXtRyzun 3pN6GNvgKH8FnL4Vbu4O0dA86cWV5yRiVwvs0ca95z1lFsWfu+aPSFlxFCy+DyeY0BuZXZS7fvrB PynYsAKLRNzDLFYSJ9TQoyaod0Ywyns5m4GjeHvUOK2Wxh1hz7/FXgGnSi/8dgynGt+9CR0pfCyk jOBcWkGeLOUhTHC+NdgdwU93C5FIflyiZljieHGfIzWfi5DspQHVZ5PMqk3GlcImy4zy8WMEvOpC 1m5Q/nm8cPGqk7ksrhdrCZ2zKyHhWAx+veRCx6GDEWyYFopb5bVbCGYyiySpYB7O2t983d+52EfN pb/w7x5c6zvsaUtysMPk9GOSibQ9iWhMvus4AF0JnEHYRljGwObTu7Fy1dugaLFH2+zlMFttjZjP DfMCqh3RdYL0M3SCbxNXqra4oXH9Q1tMULsOPyvB74JTcByK85OPn3Q6CsylGJO0OKxGrhCPepZr 9L181Y1ly5OPMGIQPcuBD2feNdyQr2ibpnu6b1bQ3HuUBCY8F0H7WuEv9w3XgSlHihnpq6QBBza6 cT9LJKXB1EZZ/kEQj9cmCl+PeZ8e09giX0tJQ9MObvocH4OBCEJqG4lBqQJpTsxsWF1IilDAi4qW pe7qF24orH3+ZcpAXYkZewg+OKqTpzSoBu6YGovioOuAHXH8IU+eHZXVxZTP81G1f543P09nvOlZ +elHnZqQtjP7FMIX6JWl2Xo0G/14p+5xZMbdkt/Kvg6Jh4aBjAZCNiJzoLctLvSP845oIOPcIV1S aEegHtHk6hZ78J3EjJdHHQCeXK7v94sar4Iol2TJ2IQdqe2tapvYv+PwpWLYdazGEDrjHtM4oCuc sB0un6XSJSXAlwn92lc/M6t8SbBBA448s5OPuYt1L7AAZoRxat0kdih7HOVtpD6rEvelpBAHOCpM XS+bAlDATSZed7GQc7ywIP8nBleWssJF4snuWFfU7CNtkeUQz5XZRvKSWH0E5ZJ1dPJ6gLTXvBX2 MCHh7gYWlVUN5pb30TRxMoET2f9rmERpoKiJvBcbVsH4Dq0jkSqtAjmgGpHLtYH3qh/fXdZLFbPf f0djH8xGhUjbGqksRctJ8zkCYBwzu4DfLD1qly9AtV1BYVyB2SjFuDkYcjOzqHy9TsGeQOV00b6y 33okU+W4L2nwtP799NPl5/FgT2ii0ZoYuxMvzOfZ2vbIYHnP08zi4PeDxXpI1FXQjkddRliObxW8 eVcjoKXZwF25JN35q7nPgP6GIYR390aCZDyS4R7UPIwbCBqUbaxk57Q4dfuVq7z9qeyhFnRLDDLx ytF28Gd6UE3Er+fle4mP8dldnApFzpiOCWTv04C+Td9ZUO7lEoYuRqpl9xDB3k2p0jGYKDL9PeVe uUL3MYtROvIBwfbul/HLsLSRhCX2g8Z6Kn2NawzCTopSdi2KDiGt1mWpyuIwkacDC93ngYPc0cfj b+2CKAAerQw/lsZO7YSO2un8Lw7qR9mE88lY+7wZFfrPYjSZIDUe/RUVmHgUEU4qpsipTyd872P3 XEOYpO1oHbckWYP+mS9YGUwmwJN4zIQBjVUj8yDPyJ7pzyUB+SSvFbQxfNQCbWK+8l8X6lnmPCkp IY9FZdXZUXf+WDG7ypRM4QjE2Ab83JmIfdBqi+yo26QLL5DoGOttmt6ZyNPpu2oEygvyNPTcC4IL CzuBlsjstYyFAXrKbCBB9bF5/Pd+l+cag5tSLJumP4IgX2x7FYOtLilyZ6e/I0xC/mEXeim7JCFi eoIZW6lY7h5O1Mrk5LMbqcZ4ugOVLIIxpo1YttD0A4FScz3oLpx7bkJKpPeYAq8SqL4mGcinhylZ bv5EC16fRicGin9RWYyD6RBSNKyEPVl8q0Zh7Dd5PHB98T8oYOBfOxXCpniOPKNgUbW2Wd5+JEip +K80GYknsfIbazerLZQ5i41hhPRI9/5LiyAflctZJmEiAxPrN7yGP4yL//VZu2eTm/dM/RZhGhTL L2UKPO6ZSvrPQg94ekewaWyluFDJ7T/dbx+2VzSAb7lUKEBm6UzaLF+UzdI22mqjgLbKByib4c0p IahTG5/jSKeaoBclTx1Y99RhhnyQ4JNjyDZUghFt3oWmKRstgHOOfhIH2nGJKDwleeBZd2jotc+N fLNL8/Gsxn+sVAOxWFS7kESp3lEQe5LCBf/miQ3zJ7MtsqPbkyhtWd1saCsu+hVPHbjlK0bEhbeT x9V6gOKMvphMPKD6NZaZms4/vmElF7ZsLLF98BKCrNE+JgBMRqjIq8pMyJZ2hAoIsdPorIwgc16g H2fs7V2TsWM8qeNj1ER05LMkhoatPWpylbjKX47KZL1dAxqJuFawoeT6hh0/s4oakaWPqnCG5BWO glcJwrgyedR+ekGJohMmuAOBJx3CY0zdvG5kiX7F7n13OZHJDGwNBWbDVnMkjT9bCbcbAli0yafJ ESBkhoGDtvWVWVuK6K7tC978XhJSM2CnwxnKt62apmb7MgngdqZ25yT+79t+fe0az5M6LXWf7Fl7 gA5TXXgBilDCC2WYqikvs4jPFGSjp2LlWSlIlcOZoGaIHpQVY0X4uvgxpC9JSe869i8UQ0ibhU/t qD7o1/g2Ss1XKgAz+hlsizsvbtxvk3l8SGviiNwb2b8qKX6fCnXYMh0D1iB/DJnkCE8MAd38nflm Snvc0kjiSInoZK/H2ZNUJwLduNd0ba5CifsQy+GuInZp9WakKlqSxcTzkWaqb2VfFUqFpfSg5OVy /qKDh1JoBniK87WtuoIvCeC5ZMXil7YPmEb1z53y0cTKw9GvX0v+1qY5GyiwHEYmBJ5Z2s2QKc0p fpCYCrxl5SiU7w1y3+hjIR8JcsfVj/E41fQ41IL1m6u0+W5NzrOkG9bUuonaqh7hfjkoJc1H1CWw 5yTW1VUQT142+Cdkq5NuhFBiSSZLPgSjpDjLoesPb5n6+V6TneJu1yzHD9fx8uU6jdFn3G88hDgY btzU+rxmsp7jzS5wH1WIe0QrFjtXeTYZpe3GZIg3l4idf1G+X7Y+MMcdXX/LsBu4TWID85fWxJBz nSZOCQKYrlKHHei1DPUM+WmELMHOQNgaA4EzdRcAgAAXowuQJlY7NL40N1ZNPEKOZicC+hetWFZg LLZJ6jyGsE+NIHpKhkEltv3AJUi7Exjm2BInBgMrViZztVL354j8pHqAlSpNHg9OI7fiGtLlRZcv TNIo6kaTW3jENKpPF2uMJ5H04ReMHh3Hbx995FOA+aJwF+zePSRgJ4Y7teQYVipijkRH48MWkwVH UoLqGQBWlPhEbrwbMBcurYo6QRak6IVs7js0+1+Bw35DfgfV/tnRbBRRtIuGU9I/83t3NsimgtIT ln05li7CfZXHYjPw/h/TkkfksDPGmvOyiW/glQjlHD55CmSoDnavLhsL8oWkJ4VuXbPqUfngMXZH ErvFWg1pdXBVMANbrs48qWr8JDbzJv6h5vGBTGTfq22JE3qygLnkVWRQI64uh13trRSwy7CC23mh i89Jw9cEWbZ8Xm5opt7M4UD31lwZVj8Vyu8vTCOfftmHq2AZexyNbUQsqt3N6bbieI26i2eBLViQ Yf7vJKq3wNEN6Xw9bTe9FySTcYuNQBwy437Rmg/L1Np9o0E+LnlPUGS6PeaFQNBzTPwpX6DGbWFB VeN560ChowbYcxZ2y3BRJzw/AAhf2u32IVyvGE9K2XOQhlrsJEnIz6BUmIX/fB6z81wwpMy+Sk/3 r12xNnZAU+GBKpJn6yD1fLI28djc7d0mbg== `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_top.vhd
9
40066
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block N69BdjVBL3zr447/IslHpcQt6uxnKlEGffBeT6O/HPhIhs63hO+yBTBpbZe83b9oQQkb3iO1iekX AN7IS+Oj8A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q2gSgSjShBThnpN7ocpVeIiupKozmKwVJ1Ka9owDuAS9y4GGTKN6eXAv6ND3rH3bK2m5rmiGc2dQ GqvMSafR3R5aQyLhHV0vE9ItdvwRv/PiR6RGhNqN3zMe7lJ+6AH2FuJN2tV2YbHEWsMpvrS/ozM1 eW8vym4p2Nmkhc0/Q74= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rgf/hTKzUgzPmpRNjQkGUhm/PJt/6MCtp6g+tuGzA135di4HysJDD1buAgwquHID3E9k4d3QtgNV jT7ynZQIoMsXOeKCy7IbT7749cprpDjO1OSVrXQIUUcP3F+gMYUpeL/mjQnfdCEN743AXdvxRnDc gVeJsjU9N64MxSJjbMUJmaddW7RRGip+wgYF1dVschvt86zUuMsTTbRlWaGT8/PVkqEVuyGg73ia FsMYBM8Oi9K0SgUyaUoQqHE7F5kjUaDy36Xg4c9dGuC4pkwoUfWUCMZPrgk/nygkA36gY8gDvz6U 20GmRwirRv5LPFdEu9omr/mfCV1tJE3cL2wf2Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block whJ+Mq4deBZDhR4gHJnHCL7JxuEJqNFrWBV6Ksnrye5rfDk+zUSuuj6k9MLoJRMZ9NpZeM9BbEn/ lx4N2zpT3HX4I7gsrzePK4hxagplucoM920UdfcilS8ZUjm0BM3SKRCGqgigpDbBNz1MyRAauRR2 TGMcoxB5Ne7BWv0iUBs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZsJUyEeEG26LW0tiqAAVmbPXGV42PcJw5qTIOROOgw6cXXLb+KKUvI0qo0WOH27D2IG2UjbZ7zpK 8frckgj+Ei6f1HtE74Q6zFaEuZsJ5XO7FGsTfepBz2OcwZ2tArvysAeDtRyFjKM04fsTIg4zCndt 4Vdzk9lAcb45wumS3BULfbRVGBM9rMHjGQgaLzK9mgTtjibUERm67lPM3rx4Sli2JlzzgXGzw4cK 3Pr3iYBz4/HwqPFPp/u4PgTZaWGmrGjaG+cGYqnmk1B2xC16prjJLhkisxGz7rsLaUay+Y9Qtah2 0cQFnUESovN+P8/1qwaKLu4FVsSMVNnVAlt63g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27920) `protect data_block oUSJIenzMgR4/U5g+t0OV9b2YzRljk+3vTKsVmP/tjyeDBM/ad42F+YNEodlggZdHX4Uv/M2JDnX F2Bh7bgipZFJXIBYgIbFzF+CYRIfBn195Mur30OM3bc9K2TZSqS23UiRqfv1EprYZe5PFr99TMIu K3wlq3slmtPtuNGDxh8MIdZXbXSWWC6Qo6DIF9Y+jzxVZda0AhojaG3I0FHVGMyhk3bMbzvvMF+p V9A8JHk5PIvvMCmMJ7BjSRz3Xp7qLx9dM7lFM4W4WlHlKAH9mPMFlrjcbjo4j0iQ6WaA1k9i4O6g uL5tY6I/9Mr3RJuHCOvXOfdLT3aw2OdlbZ7aIqq+i16S7m1mvfWseFPy1exlEdhbh0QPzc+xsbic A19eio1NUsGNUGcqfGiQRGpScFomvtfYsV+riUorPxwf9+xBaw/Fvyxuqb4Qm1qkJAl9g3xCbtZO oPYqKV8mIKcUuTctDjSz6WHNX0Fi9ZHCrt2bnNVPH3fJzAa2u+QoRvebrnzpKVvR3z3eVtN2epCG c1aFNiOhI77bMav5qlA8mJ8SJJB/r8AtIRPasi5t643Ypw8ejBb1LN1LnDENUP2tFrc1r0eIlA6y XQjP+8wr5r/1BmsIrWwICOIkjxUICe1tNTwP2aa2YB9azPrG8INODj/XNeU6kcgBuFYJR3Ku9vCW hDqCM077hhDT6Jw+X2dPC6F+Pv6ARhHcFRRC9nxu0JpVHPuhcnTARvCG5Z1SJPYekPHoc5dv32py Ur5w9npZhvBOw4/QCloCLLCiJEAl0iCRFm0Tdz4US226nLjvMp/YKt6qQH6zdWQ9oqtcYWwGs/5L VnXG7xMDtLz7CafNLAHqGM132lsGcueDH5fEzSrZwEGNkHbLEBpBmNCVwryOgFRDCW2fqWrNr0A1 Osb7EDkXvg2OaHOF+Cdv5VN1oEHYkOhDsIKfRtzyWgO8WUiyNhHpAbPXtCArwkoL4FmcY1hFAz2g s3h4JZocLMppbqal6/Rk6YjvrxaZJYAZT4oSeJdUjR3hBinQJ9YzYlZ9bp9bWQp7fE65K9L4qnBi CR3PqeQmks6hmtpC0CbcuTcjs2/oDqrMZ+avAALZ6U1Svw0Gm00xLlF4p7BlTdXE8HZH/4NsSSP7 8AWOnjnEHwlZf1OEEetUDSfxWmFylSzIbqmdGIE9xI6v6V0NzSGraJiepSHX1zaMdEd3X+3r38n5 XNWjxxh4wotPfVRuL2/6wbQ6YSjwArIgJTkfbU8JKg95uytqIT3xpqB6+4GoA58gzgXZ0qvuezqb iSF9FYXAEYVGY/86JvltODA/Lo9uq0q8Ad/XZY34rnZys2C36KRAlraoiAN+v0hAVhwqKhKuz1ll Nl0jEIPh4iU9rB+npZPlrZsqqgViIvc/vPdUCW75ZPDBz7NnOPWYgGJ3AoCwwVNDw5CmF6ing1Wa jHJrhXdD/EN3pZVL+oBDrwD67QJ9eETq1KO+vAq9HbBQkCcxqOqI6NieFHk9RnaIZxDqn8RdTmaH 5Mv0crhxCxUYhuisW4QtIXgHx6J9UiV1z/6yQvQkJ2ksuwZGiN2vbzk6WXbNvBXex6B+pMn7wkoI 69hlJNy4Rmj92qxFhaeymjbBTDzTmsN4sM9OD4W0qpvsJV2MEYnvwn8Kq+JAJZygAKJlA7KyLiD6 XHwp+kyWuGgXjt6cUGxutWi+vyNrdZGhOsNYTR1Zzp7BifaWZVLPvN+x5+gEUvQIKcXyp5m2rlLj X3Zce6d44129LbzVijWnfBWibpuytW4vNdxpmHkwnlEvGRY6+NT1fujpIj0QzVU1IeGOqBVIv8CU /p5/fcIlho3td1ydWgnyf1aAcFRJ98DgQC0+QR7PobeVlDEGSpSmbenRBqIzL1FXtO1R27eJTkwl WaA6gyTntGM5ZG0Lfn/GGABCaIrwxADNvCElxiYlY/uW3wAYGawAV9NOHmUb2xeC9bH8R1a42EHX PXSUoJjZMyTZUDh9OQ9MLOELvdxAfsAOcKorPmLC2hKmy/qaKQekgPRuMHCXSDNgcfAhVayFUgun 5OOWh8Rm5D6XjQZuV4jC13M5giDDOJY0lz3dbbe5hgOYwKKhhxPfn0x605RzuXSIXUr7ii8iOv5u wXVVA/pkfbmgAMJwd8zKeyJY2EeSTNTyDFIw2ZKTJOu6Ija3UxGv5Edon1U2Qex9pHqn05zz1M8O eB5W8ISWQHX7/9PBNhMBYb683izyfATGF0TRpwjAnVg0ulYUekjGNq+uT2+43zXbCOhRR6OYFb2h MGxO+LYdRyDwrFAZ3AIzQN0i2xl1Gea7m/9Yg3bIgkiCLbLXevEswynzdTW6mSstwrUY7I5bnGVC kMHk7LJCH+pQpYXH8knc8biwuH0HLIgiINQxuKKAScIMcn9HQZTjhRXQ7Ygl7SAEy1Vzlb9dVsCR cyvDyifCVmOh64OV1Oy06/1O0B3rhwxYal15LAsgFDiZ6Ealv5i49FseagSCIQBBht2mnOSTIWiS 4wc6m77G1dFYLtVyC9t3RP629zMxa5o4deOi1aKFlKbDeFGPr48J7cJW/oYl5pEREgt+8UDaCPAe adwF6Qs/NkISAUKvsSgET2ZhXRNMqFtrcD+JbzUequsIJ8bnZv+IbxyJRXTKJ1vu/ldUDB7dDs50 K/h4rcRaqQ8cluDv8iGnbX6ECMwafwtlnHN+5P2oGLqn13nBEbZtEto2ncQ28ZlTxzJQwgA94BUU cCQfzyZN2na4ooJRZUSZddl4HdL/RzzQNpqx0GUPdy7BGxSjdL0Yq/F41H0GycCdL+UgcdUWSeZl yu+GY6Lco2TEfIjRgm4X5q6EuEranUumNkaCidHVgYhKItj6SpI7ybljgw31gbgB1cZP4+6cSkUp hnFcBEFO+ubUsG3HaXKTFNgRdCdCLRCuYjgPpGSckeys1qIg7XsO/7EL7gJIolIFb6ehaGcEBL6I CUfozmftZ8nLH//Q6aWDuEfA51N6vpHFo8VH8xEYBt1IiGwYmTQCrBgPdtUCXIBzXBbR5H2VFxml l3HxuHj0hnS01q3KFaeLM3v0cBQFuASODdm5rSjElwMocRYceVjlf4GD5XaxX0Kutgovx0c/b0ip olOOjO40DiKvU5Iab9QkMHkXmdlqE+s7Fr84m/W9jGR4i1IYyJe4bIbWuhYu2wiXLCTSLLpKIFnK 6souCx0zXVJLuVfW8sviV6dYYi/xPnWQvs2E/Ke/TJPqe6qonauwh6YYNyLy68m5cw5fXo+SWDi0 7+cDM7vLhEX3ELh25i1xlzowDQsELJwWskfJA2yNUrf5g68UJgrJyVjyrMkh2BJaFvqfA3jbAvEb 9Etx1JE97CdOqEuKqnPexYljewjMdXGz3UyzBBvNVcLA/migawlEKxPHvLpfy/GJBSiWIyTUYJxU IrOWhBfdNuXTF6t61l2gPo86+ok4kiKMR0JZEbvVH2kxxrKDcZROW1ytkI3W0iWdRrJ/zmiGpqUL 81np8Fss50oTs6TXz7flk0nKyuT6shUqYd53udBu4CUjYYMcR1bVel/iGCOuctHqtw4OJGisOclt TPOm70YaTFdtOlN5V/1DIsThRzlvFCm3WiHg0x+MwRwfa2RQ61Noth2gQe4+UzNyv3SQc0Il01ci hB+sVFEmOjoWctbTHR+13ZSZpo1HetpUEW5dMP189nNiU1x2v7u7bo/tWYHGhNkmGv0s81Miw86G TxbFvaTTuw4NwEaIFXko7o5XG2YrkaM6Gwd7LtBXKH5XsStJxdeY6zdtKzziK7P3W1TIJO4m2qK0 iVH8Y1Ao46A9Xr8VlC+/4E2LnZ97+2gAlpTbuhiPAp5NFz1cgqPG242/mdvQA13HC4k9ylV2hTdi MMQCq3NuZ5WEI6Zd5yWsFmzT2EvFEo01TUQ1yy+1fFB+Ae6bV3ca5+jStAVVYSZ4O4EOjJcICv61 uktBxXzR28Nr2ZglbeignLWIy2sKEvnOMynEC7nlJrlwJl3Vyic5cAkVvfo+MFupHVPLr+/bhTnV iUuhWZH++Cv8Ufp2VF25tpJ3kY0Yya+y+DvgpO3enxF1eDCTO6CSwM//jWHjR3cnK+CK2VeIjhbN jY8vpd6mC7UIoZ5EtxqujAxlEsePn4AC91/x5sk5JbDIEA0aLhu9msXe5HuSmac6v8v1jeM2D9vr D4cgnHP9gXDVVw1z8P6fCUZSNyhtDVsnkD80B71aADN4T3LFXx3Z4walWbazbxE7Fa83My87lQrq sAl9cOxtu3KMkzxp2nZedpMPwYKjaSXti7dSWRpm+TPk/qudacrIrdCc+/BlOzEfKwfXI2N9NuJE QgQwNyaNYaNbW4sVkx+yV+O2aF4HzvJrhqYulm2Xd1P8BLClX9MdSFZY6CIziSAc4J/Z3QMLu3O8 6hQ6Sja1wiVLJ4n0Mf6GkMC3Dk5GhJC5mvfp3qFjQctSN0l5s1e0lpBrq04LB0MalXUEoEF1o/Rr 7ffpK6J8WW2QtwXQYD9SzZ7vyMMTVg+3rbgpsTZiTJqtSpxPFI6MmpG+xqeGfi0INaIv62ptYgOB ogTei2duZx6qrNSVd7tbtj8y66db5AbchLeFLktXvwahU2buyFkRhMTadtTpKwbWDqM6PpDgV9vH fPC0gGBBFzDZgkY0HEVOf1p5zIPMWaF/i0RB3RgOQxfmFBVNDko7fEiOCFSF6Ghy85ghMxcUDYuD RPpcjmqCP0DkKE7GYgvn2rqy+oPqfDk0SRnaa4U5Mry47MMtWLyte9ZuIxftEHtO0YD4jYMda0Hx RVTwOlDj5C5pPM8hJ7WeQkrB9sr62PhyBLpvimw8i3jqaEyJY6eDcDcESvJJVf9hDJpUzdIq4gtM FPOjNEBs+wwXDFqtryYvNrJQ7JcrTH0t+ACTyagiX04x1S2Id2ZgYPftgm1GvTr5DWkHGr72LqDj v43k2GXS1+BXBjxBQ2xZRWfnVHLWOXT2ZGwquLQXEwNJgk/6NHoJNY3X9JMWe2iDidXPX8I/HUd2 FwaAGBFMyN6DTDN2rkgmZloF17N9ls3J67Y59lTVxQ6qwlcQem8IUZ59t8DAPipNr7ZpiUM+zHos WlZFmO3mj1aDcWYWn+GIFo1YEHse0mWOH+1IJV0dZex4Uoz4pqdh/EKbgxc7KghGKSxyDeOWb7wk TdINU6eAqPhil6uHuAbfLFnATIU8hY82bnc2ERrr6JDPMaEKiTdfUv/edsQXiA0Xx174Tba1Ne6Z 7ElEDcc0M5Mq51YLPfeUOs98i4Q3Be4L8pnSN1BoMxN34YCgJe/t9eUxdibUuf/pDd85LM+g44gb WcG9Sm4ilEkA2dnKE9sHBCDOgSMXC1jfun/jNGjxcIi2VQ3d543VIrNzbRpzEtqK4dFrxSd+qZvB RKlk6jR+Y2pmi+PoZdMkRnuECJFsmaUKF0zW7mgER5+Z2hQZhVm/TNzc+pYl4oLEI9Nyzk1f3ycT u7ipmVUlWx3Jn3rp7Rt8xQ4kFBpYpUCfW6XJ++HRybc12EbtynHrRoW/TWdT11OjQp9nITW3ihIM LrcS4wQlzqEXVuZkY5x3MEfPuhIMyMODcNgtsSnYzGd7qLKlolxXwxcOuUI2r1ZKtjBi1b3wHWaZ 666owtdmApoR8ffW9rrK0ivtW4FH0FYqTSFemaILBWI7QZ0Vg0bhc57nxyITJ6b0G1SaZQT/z+s8 wgvuf0+872WjLIVCEkJ1VKSUBQKCdOTVSFKXK215cZDQSO406dygsRYaqz73PlgieCyI2lU1rSx1 p2m8oGYkUTkyBWByOf7FTLRnFrdOxiqUxtHZSWt6RLAk+Zqz14InSTWijUI1IDdtvbZ6F/bsVHBM 3NJxxDnPs14DG1qCQCDwg3TFbL6Hr9q0SPfk1ks1qZrspEshfDj8jfGImX0sni4madBco17+ByJF xLG57SaT5saRSHNSqDdAkM/hQUqI38VSyi8/2UxDRvBICn+ZAjWQIzWpdG1DneljRMDALOTUMvTV aqtZi6Ogku0yId+8AOfJMNTFwO3tUdzCYG0oBaSRFCV7XuDkrFktZwhZnovGKmrp+gBH9G4J4vFb YsSxwvDBLyaI3elMOVt+Ww4llZrol2UWY56VtXA98i4hhA01hDsfjmVv+9iF74fe3BYQC2ewKC/+ uyuvQGmfpxJHC6Ju/Vq/DDDEL9Wld22w05FaynpnyFyhvevt+HsG/YCII1AH0NByCHVPHBCO4wXF HYjH6UPfiL31cC70dwg0IPhX/YFhQSmpAboja9M2YZo9mMfg/lSup7l2BBEyBK+QVmVjFmz7HPF2 xzC9Dy7LTEXm/xT9aFbxZ7NC0JGVTaRnAIZ0tG3Y0tkCvA4E6R9YTv5MyNPMG/UiUZ8T9TBl2XQ7 EujK06jFo/dYC2sImCOr2qESeWLqKcnRsYxdUb3xfsxqJV/uIe790XrDsMOj6LZ9DZytSAtPT9kC TLpo3mv+SHUJV9Asbs6T2ZotapMeUydl44fJ5a+s3LCE1aiFX31BcXiJocZgV1Gu0oBKW/AL6wRC cOw3TnyQFK/j2u+qaL54FjJMgOLYCnNvAQtJ7sYkIbgoP7Qnku3nN67mCSJx5+AzBk48PFhUGgqb 5Bsha3ocJrJPsm2MYbq9BbmBlBM4slXqUpE7qv9SoG0Ibs7lMBi2DnG9gM6SqhbosZ978/h5Zm62 I7wq9J43L+2gQwEHelH8ImVQm+V9lMKQsU0ta3WG6j+w3pWkqAw0++XZ8xK/Gsd4zQnudN/sUajx q15xxNgYKoZ/WSJpnlT5RircfzxPnAIW4j/LJeUDAwl6oBmeznerkBNaTTS05Yi5m5IiuSGk2Z8M cGsoD8DPpzqoqq2mImJiZnNnxI18lHXF+/i9rwqmDMD8eIU8dE/qadcDQXM5+Dwgu+Fqrm3TUlgF n8/+kvJlhA1LVyMVahfvZZeWfoSCPkfZmUqc+AM/eF1eOEwy/UJQbENvPNIkJ9wBpT4X2Qky83yE fbcou9CDU1D1Km9uqAMDCA4bM6aviZUtRFafIFCd13Fp79yKZ6ZNEu2VO7loeznrr/evqVyKVexW O290EQxIvQvO4pgQAPs9x3V3sBOksHEPM4/vMoYlu89jlxuQdU5yAWLRg3863wZ+9ue3ij1DnqFI AXKIlLspRmmTgPTGhyC03Nw07L2TeTwmQuCe7/dTTtm55yyedh7lTAmRIBrC4o1Nxp5bvPKMFU6z ZhYZ0qsvlrQd/1jKH26cC5F5uqXaorgVuXv45VyITomobrv+cCauhUegWtl8HdRCXLs7lIMc+MGr jTaExid9pIZf3WP1aoNMmN+S5IzX0mYMUJDc1V8uyhrdt66Za9gSJhanNZDmYMRGUe2ju1Coq+2h Zt3cpKzZEODJN3Z9K4W09zpDZ+kMCDw9z+Jw9imRvJl9AceHUPo7uQyC8g+ADSqBZqdkJLlgOP3/ H+/WSEpbgcouelJ/DNKD5ZUhpSPG5TGZL3pqWve7zUEHFX8VMlu1c8twZQwi3tdauae16uX9JBEo oQPcZ9RvaOqDcQ2MFucY/k7ZLAQv4OpjjLVAKNMnmjkog9seuq1OiZZiGujBi2v2S0Z2o2jgVCKB 1qfzY2CHTuWyclnR+MNNbuycY5mIJxg6G3cPA0eXc0lDg9UcMepTj0ZuDThV4uDBE3klDSkGXkPg WyM7YDdln6WAV3OqrxmrKZqMuI2f2ma/BbF24cqS6oLNJE9DRcfpkwYk9WZbkOzc2Yoh4epCG1xW P7rGraND8ajqXqq51PIo21NYsthm3lZXMeOA5sCoCmN7+3817SnlCvc7JBt1zs5QF+DA3TRTnrBN 4gkAwAcFhT0jUz8PlDg4Pie0/GJDXIm/dH+VJ2zXmb11djk8YhP//+lOLkHlNunYhQL5QI9TwwOI Xe7aKlWv0tJ2FcuJOh601/KPWxsWvIzNPFsE6z1CsntQjHaunkmqRMutcJrpKTXbguavJmsm+zGt xdTCd1EjxBTl35J3Tt9LFifC9ThnfxiubgxlliKnTpg9iWJog1Cfw3P4oBNcFpkGueZXlAx+uy8M 0IftsancCJMNOkpdQBZ6muOWEBqGLE6yP0Q1XwJKfz89Np+fFIyclYAwl7sctptEM9m+4RcoXumm iwS8zDNFwzWhzr/x5udibIJNkkwLS+/jCVMBFEI/gyWfVkrr/Wxb6QR8Ob4K3GkxfayYdyWzxRH+ tWUbKoNq9g0vPsb8x3AujGVE7CkUmePulDXq/nOOZCaw9//MH0iVBaMR6obHJxF8S34zaLMWVtmW Hid5NbUxOmUsDvi99ZTrfbzWqPDZkjuFcPXpIteH+XAyTOir6EJiezTOd+tWr0aPFxEgA2wtqzee W5i9rXlX+EXDDb1JUBlRyIV+RqpY8Vlhe00NnpUJlkfBPj684Qmsp5JYXo8AufhvKcjemRTNPIvE /OT9aZyZy3Lg8U8hwFh2VBPtns7KhsthcqyTgNSj2Jgxz0obaQIOZ195d/3KMvEgJnFYka5WMNuK j8YPUZRVxqjgAXrW+AP/rUFoYG4ScgJqGoLiPboftFX72BM0NYWF6NRM6/Oh+hgnIFqEfdVTCpPj 365GOMC3HQLqEZiM9/UOQ+Xtp2a0kaAHqtW7Azl9W7L69cwPIkZIO7QG0z8Zrfu54CnKHoiaW3da 0E29NWeNY7I18NirX/7giar58JZtH2unUOo+earRInRIg044FdesiYwF2s3mzes50TY5ymOIyiaV W1iWF/NPVf3cX8YYn/CGhRFUby/piZrFcsM2EjtRJ9YCRlRp0CiatQS9Mrw0F4ks07k6Q1waXShW 2JsO4dWDrBqMMpYRDuw8eRDymeq1mIdo1VI/v9Biv4lqdkU8FwLQ1m9epEltkfSy5hbeIAaMnk1s 0FS0dsQA/HSWbZ1EO4MWfMR4OAV0JxAmrbQgbWYiLduWtMbC97im+ZP9JoSDUTNNAqZriI4BRdah 3fTFt5fwNRMm+uwTfi7/jRpoU5x0VIm6AxJnAFhFNkrSZJJm9urJ0QkmOPhUxWdU15oYrcI2qHHk WZV32eYiJq88yi3jN4u2DjzKpEc51OFiczR2G/kGZVsq3fIObGtsF0Vb+n2xXoF+V5KES6dpjQoy yXjSJDWMZRjtZS5M8rKz3XeVcTT7K90ucgnwg+QtkVvcY2KPGEn8xFgH8r11u5ooC+5M4DW959R7 NQpI5rzMLlIE/qYzy9XC2VYAaFaCCptWT0HQRlNPZWQgQ6EpG4Yxh9//KYWPvOBsiK834HrRYHRA Mo07OrYuBfkEuxo8WtGss/4L7CeR6E99Gqisj2TmMN9HiON4+KYwYpz7wMXQE2I3L6AmUa2jhd8D peHv2EulZicX1HQw57T4Y+a62lH1dKYk+xc5hWz9bw2VX74VuaFVOE/8Z1XCC8gpQAOr5jzePNOY MoQ+/Zq4URvOwUtgRVBnHO3vRpL2Iow9s+0tOZnft5dcAeMKKVLt2LYRFjI9Dm5+Gpu3TiPD/d19 2prtKQkpCNFL7XBXy8l/WmRmoqm/oBfc82yB5B/hdfcOmLxUIeWIG/lz6+hjh98+EzGh0TIhzjfP LRgfY+xmrSK/i/E90VU/AM2k2LpMW4O8e5VQqKEc79FUT8AuQvSx3s0IMFeTSF0zojrYsXuUwKwa OBj7Rf1OyOy2S7UusWrNYSvmTfMqyn6qMjP0fFSb4oU0Yfgc78hdZbSax++bRLHbagX4EiFPloMw GSwyBn+bqsVSXwjOF9qxb9qA+Ws/8j6OAm+C2XdqksDha3g4fC1bJN0w1rHmMk1CHbUDDd6QZBgh +sfYnA6EBaTdqoA+uFDBKYAkxtg2iPMy3cN4HHEF1iOdrrJwOvyaNRO3/PJA1JBwvYrYd93ISToF 7+bT9+7FJHAgF7cz6MY1vINMhwSNWSEg0tCRGdg7Yydsi9QBjJyf5czQIcfvZp7i87dSh+Pu2CxZ Xg55KNevGN0oaOjjgdMFvANJRwQgeo7oP4HsF8HvWfPWr00axULI7sOETJTKTXCfkixKN5VNPUMt wks97zyVsrRnCBOrTX+FwyPEnweyWWXfYL8lJtb0iMyzEFpls0vLkKCP+qG5QrQybwaGfyLg94bN 6ffcpoEbXfuliJTGX2CuWbPqwypfmq32LK7Tq4QGSr1oGvFRYAgLLrDaMzZIYmjQbgOrnyfyRbVQ uRj64Cu9qcJwhbEKHVf4oXxGoAdbcg9W6mu4ZtBIWmPIBc2R4v+iff2iK0RzzMmxa2EQ28p3Z0uW 7OMeznHp7kgFgeVH0b4DgV6+tT9+IzdPW68l53IqIexTTjjTj1SAKnmuBNYnkZAHCXEaDb8/h6tb P1a3QpzS9mV2IU42LNwUu6bJtQRHL3tkyINxEHvSV5tBIo0bEd+G4yMCBPNxV9dCR/RFp/Bu6D9A qUBW7Y+A++m4AgB/NYd3vsUJKj5I2dsmNMJSoksFfEwLHqnXBzmiRRyMQeIIc+BqE/UkbWJHIPIN ZE54w1vaEwmrtNxwNoJP2rU7wdB8dfRstLiMWkIDTbgHt1LAG6nt0If1r1go6ooJiWNUkiPUyxf7 HrshQn8lTmpHxCpSnPGfBhm1hao4DaFC2SQqJ9GOaznXmwaqOJvObiNYVVCMqe7KSBe0+xQF8Qwy +HROROYu0dcQDjKpQC2GgTScxYbzCNBUmIc6WVKgtq80k27C9UG0BUG2v8C7HCJm86kCJ9K1JS9q 3pkra+Lz0yoOjn1aukl+O5l69li30yy/J/YNyWRVXiD25ygUlCdwgDMYbY42E89TIl8hDBQTWHas Zp9OwaUfwQLYEQidVi5jByCkE9ynSEDf1h2EcszKQAz5iHqPsXjv/yb8GdbPDWNrW37cyhSj+d9/ l3aa6vZt6GsjCpgJh2tt8kxbPg6/0UzUcSQny+oH+gaIvoL3umXIpVmN9vIJWWprBUpEKreK+PCJ 1qtIF3OB1kI2KkyJTqglnNFya0Gsdq6RPjSQc4+pWCuGmZphNZOWMlo4T5PHrIqRv5rlnfSyFTP/ XHriZd+5BdS+pMcB7KtIYDBlRj1UZQykETTWgP+B726L6If6WxaAlYgmhzVwMVqUyDZzjQE1YSID VhSSyQqIKwBSN50SW0M9EdDuiU6tJ0Id/ZwOGmdKqbOtKarA7cinh3IYdP0UBtnRz0YsI8vLRXZf sRlL5on9LTfigwpndiYKO+AD3iMrz8o5vRorn6NfA14UBXV2qchW/6Yqw0sU38PCsBhCxBV299JZ 0lnQuOmwNDqOu4iNWVkHf7iA5hiJep8F8P0gdtKqTCRIE49jl5u80sH+KQ88Vj8BuTCKO3U7Aade q9AcR+BotZZIdC9PTJHLlb9xHDKKC848RuGv8EGEfcoq+4q5/QP5a5vfF98MbfMeddguwhNAVLrC K1FjvmxE5BcWF7tIlezkowO+JHx7G0lLxAHqKiML2hY1wUyz2E/9ChIDSKOft1IYZGpDja65vDFR KT/qH57KeR1EIBVejNfm/oAdnw/YO1cAWrniOqQvgwwUlYEhuTLTu2YuJuGbZbXR5en5yaSQjRrN EGcys7AmnnjI211ZdjOjvVAeLRr3jSQqZfhiGa30wNUgxlPvMX5WLOslKRSKA7gjzhULCrKA4qAH bRmOiAm+robXxgQIPJdwgkKWUDatkXT10QaGjaMOQrvJ+5WCAqFPr39qJq+ao7DJ7ZhnQFdnLXtD 63Wrj5/0Vxkc9GPxEUo2bH2qpkZL/Td1CYv+oBnChg/S4wcJdikHvN8wb7kjgmG0l0RKQP8p46y+ 1W6dZXKDL5m3U81B/F13OkflXxz6ggKRCcteQh55Lw6SABqJSexRWoHDNgc9siP96TcQAP6evIwb IjptNf9wQ0IoQM6ZBIBDJ29HYoVfNZNw9YHb57rmWLNhmBAwn12+GQLtjJIaj+RD8+BBnDheJtuI Q9DsMfdEph2GiOj13qju2/EZaCwoBQSvso0WWkdF79BGmtPF6twYlZLsmWt07ZrsI9xNlwk3DGvs n8RWb9A34PMDVLiCJKMcdJ4ttRnRNmlR6U+QIY9VzCfM12mGASXrCib8o3ZF9ZjWy93u6bcDw/2k q+1k3yTzXfDcfQejEzl8Cr58pEiHWhjfgmSv395Ondq5btEbh0hcQUGejd6c/H/2a0R3jtaQehCk KtB0gB7wPINjA25DTXHohnHMiwNUbT7fn6jH7kC92etHZe5z+4FS6o0OdnY8I9Estgr/L3nr8XXV mrwKLHuT/ygnTZ7F91Dt97XFs8A1FnPZuI6GH7QUiHp3SnJv/7SAWbVYPNT7D26XFO+JP5gJ672K prrGv4PD86bTmMf/bUIa6yNquFcaQaD2U5PK5pPleh3fIiegem5GOVVrniPOZ2ob0uEO4Rq36JCX UH11Tp05gOKr5AdPV6OtulqHp+JA+8mSfIR7S26qu7Amhbf93m+V1Zj34iARI5gnLmVv5MbK9UBV 89YI6uH/dQeOvrHRsqV7+AkCpEEsezTzCwS6+U1nFMnowjUNOE1XZYX4gUv5/YraXywxXyYofECF dJPxJyo1hL0pDRpDzyQF+Es3udsxKn7q0u77f9I8uoBh6hh4HP8KQYResvkZZrgxTwQQTxiiaxqR 52PMlVjeMgqJ69ol0HaNdLLXDWxw+2z7SyFBtv8wBnp6byKzn23VWArM9E/nMh/m9HLm8YqNzd5s J67DZumP4jIF8KN7ygAp/+LDsXVXj5/habTNycLSXHeSYKE1X023JjPqpyHpimOL22tnh/N2C+iK 1WOMkbAx0FZUkqb2lIIv7/xJEwvHx1W1tyWMEW18+qUvMx3Xt6ABgKAMJk94WW5jgNqzvMDvNbzF V2J6Xkk8XqGZycLQtk4byLI1BGdgk3GjXADzqMLo7fCv/c6NS86EdWukaxa68HWRLf+jBFZrZB2C iO0fvOiLTnqfUiuS+MRqi9Smkojl1PQnKSuGidDlBpdwo1FrcOJ5cu9IZcc1dvru4fa5WPxYbeHb LV/3hHvqcixlMAPwmZsPxEg0C3dHzaVJM6UC+6x4sfnMnFLgrI6sUJF9whnDNGGgPOzBDDzx5W5f v2KPXDtzqmAhpSqBS+x8t1xgmlvz/LDoXMaLbf1m8ERAM7ZU0IXXSEn6qA00tSd/MPTMOC9Wj4GN QW7P5p26iQpANY9wI5Ki3GO5DmCqVj07leODPqBeEtKV0xseaCwnH3kT7FUqL/Y8xixO7wpq18qr w8xdAW0fbYSmDZgOqUo6rFdeFq1Guk2CegdbR6Ny1QxCRP0aH4LsCkmmLWuO0+T9cgJe7jAuVnuv SF1UW4RN0eVFdw3Tj36EfQqVOJmfBycSSiuhKjmI/2F7815WukvQCKhZmnstLC21nkZOuE1O/1Js eG27dQ4PeYmM4iumvDxUSbpuWkMpDhdqJruMs/BssTQrViPTOLta/uBxYsNu1xN+tu36U7jJk0qQ xvO9Gxy+Fd/W/6NFJMinjBMoA4lnyz2CtmQ0MyEZczVVn1YkP88Tgor+1jMMh5BCWRx8TPUfr62U dRTEMGK8by6i4kGjmR2IrS8hKyqOjZ8VAT05FAfRQQCYvVyXA6L6jgFKGAfve8txKqZDeCR/UF4n pOsgQUzb3bg4/GGSELqYct/NikR+6nQWJKkcCQYWM56D7pu/KGmjrSoEjESKjfMetvE9MKjGF24K gpOJPU3w66PKO5U2ODSOjrxMJbmySMp9FHsQFKcp4mzEvfyahdaVTDaLiTnjQ2Nsdz92SRo9xP0q 33aTEzczQg9HJ4NEnUXxQ+j1K8d0iYnOFFOW4eAwfpzJ1S9NhJVuoWAjmrPP4Jq3S6Liq7vTyFYp KqyeaWjIT+VQSLpEZLmyocip1IEdh+uPJyHVCpb3TTjtUZSHoy1oOAyhwjxV2WbRjKp48XzzruHT UzxP1CWZYV4oFAp1Tl10UrXP+g3uiWAh2CHwEAW6Q08t6VQSskgGj4m32kmvAO/UQNUn88sKjyer LM36W6eOWJAKG4hVzYNeBfQSFIMc5MHXnswYNrUIzcV50GCm4ho9wUeekzK4XIKV1pJvK78LNOS5 QBRKiq7a2gFroHnE9TV6U5dNmEcGVEIDLUqqlVr8YoyFbZKADpgSD3Ovz4Hb/ZeB4jY49pTHnPPR zFSq4hCX2f2XOEf9wMsU3QOY8Mtw21ebcaJ/cAPcGTzbaBdBLAEm+xnXLnfySbkxwH1XhwNa+6ER ldDipQaTWZhnzTMG4ZBzMRXSrhanm1lxsKtKXeGsQWhhlpRShOAu1XmVBeTz1frphiwNEziBjLBU Olqw+MVCVFgN0IWrM/Gpak+Cgmo62SHvRXhFYB3SqL40nupwNjHELoTRcyfSlEN1HpRnLywC7vWz iSl6O+tyCmB6G4lP1cWS1FDiPeglDqI0BgJbAOolPBJO/wHEQFhRYyfZJH5SdgUxExrpbxio6VOe RqHfZoJ4XrJoyJYokJHDGmZ7hv5fH0/4Boa1H2zzXY5z1ieIQJZ+1c80cxMQzQqv5ccmFF6aQAyd Z9BAZHdI4QU5jXfnTGjksKkc8j3gJ0Pbhv9YLB1d8vWXWlP87TulKfPuoHxGXwvXDvpin+7PzDhl 1so4g6jr5DC+gaG0sOTLXZB+F8ShzT0JxWxAUvJ/ECPYcDDgwZqbXj6PgsZLf5pxmPmNzHlgAK+C I7t5dyFPYhgQ1hjMyIKHFRXgKw3sB8Cmwr4f+5rlMrKmd96KGGlJGQQLD2FrenwYbxpQ/RBxBqHn WF8CVjSMHLnHEaG2LFFtLvKKXS9ouIe0WO5ZLPfqy2eW0Y6Lkk7nTo2Pl0Igg/zriODrINLDP9CZ rtPnTWmmq/Pf/M52mKjMb0f5rSGepmGImmjuKLWCyc1AsqgivaQt2yl0XOzEr5kMF/C1+Jl7UN2L J13OswrtaAg9yquxzYISvvx+YBnMUJ+e9zcLy7xLs2xXUPg/eeaHwM0YIoZEcQ+/h0/bQfMFiMUf 9efj0hC9h2450PvWK5pIt/os/gXLNTGDTiDmMQzOJLfCriPmi1c1qPm60AAsZstun3JByz2sM1oj 7KbdU2QZotS+Gd4r1atIcywRQrIUYPxcVNxgWCmw5EiDNBYXxIR7x5nbjAWxmKoE7Z/jXunTBqk0 c4mzCa3K3vq1z8Jh65jn8ch4sjNQkBoYuiIwWCuo/KmquW6tLuC2RAHB0K2JbUEJBAjoTvNwKWW3 1oFRIro2LGWbvNxYzYen1rJ02D5APcP7j4Jx6L7TjQ2NKv8cPrRjxFL/Ag9JLh7qd7xWyj2Bl2VO D3D1niYmUm8KU/OC9qN42HHcx11O2k9IezTu7UWP3TK5wi2qtiJVrlyhzh2qYLMmkFwYzw3j2RWZ z9RaceIR9ZYrfVo6ct2uCL1AkcZ5gruhDnOuxHb68jiI6yA11xBD8O2lYuqaPPUHDh95vpDUQ7QH 4TH+RI7vknEEl7eE7tEKb68QWVCESAwyuWPmq+ZJ81qTx11IhjqixV6RB50Wt9Wmas6N6FvkyX+L SVXG3c5MpWmOBWb9pvTKYNvapocrdYLNF/Hl/Z+dxJHoMCBvtWm39Lvdu8lDOmnnUZSwTmWhPd0n 5upvGL13Ohv39nVhZofkpP9ttecIxGBJRtVKyV1LUvVoXf2rJAWZ8TgP8aqjodlfgsmH+rAlfQ/o G6mN3rzSNeM00OsuBUKi37D1kzdZCLJKrF1CDsrR4nwku81zSYlXRBBjvmkVXeprhz7ovxFHTxkr PEQyh2rHV2POj93jjQBQ4cy9T3HQhbpr3MDt0rvupqnPamLQVwSmNMisCgvhO6MTEu7EYm88pjcT QVRfoZlurUqbCwK/+pQBtm3DAzK5ZUEWcNsSmETOPAF6CmBbEjAhMbZRFIkbfU15Wa+7BaNsRdn1 GvaIVHZEIOMqTwbrszhoUTDvurwRb1wSsbXmZQ/LswCjJKQ7JMB3ruKd/ay02Ega2I6yGvXhNbrE xhF/BVt2wTGuhUJXQs2pbYVNfWZqeO4TViltyghMuNVc25+64SlvLj41Bg0Sub1LUiGMK8rZrj2l 3Is4YHEZY1phqaj92t2loCHR4C/PudiWj3Ja2h0eXSiYqPeGAwgsK65fjtJdFGhF3v1L6rzRJ4Mi Q/D89/ZlREQ9PCKmKO3fWnOpTFvoCV8GK4Zy0dw7+ezxHI7TTD5E1WxxYO5coSFdY6r2NpkugpNX F5ckupSi50adj9oqSwyCi/PxB7Zf8f/QVYZ8P6c/TNdGC9svHU9gQSbwzxmroMS+uoMQ+ZRHZ7Wa 7PFo1+6eoTpNnVqHW7HqRJf+Jl7k5rgWA/IoELzugSQLWhcSAWfgLL01I5AfRwvGUlBVJXFiAHk4 nNQPmE90E4Xjj1fft6EEUxax86L+UpR3Yy2wc0XDvqINC4TEu4cBeYwzYLCuhHM70lk/hgPfPUJ8 RS6gwJfrAD2JU36fs9nFUmEjp/GZsvAtnUkOrZTuCxFOXeZH8bW1hZWblHm7qlyDcEHypbYMdUIj cct/gtGpyu5Q9NEx2mVrpl3dw6g3nhwb0pzJm5q6nOZx30JRZ2BcV0noqbIN6S3H1RhLhPeW+ftT wNUuzam2HtTjJ8qWZsVo0F9d0uYZkCbfv3HvoCJLsqGEi+4uX6aV3ldzFq6Y4k5K5ANQDX+/cFfj PGotvyPP1g/ylB3H0rFefzFcJ+y8vhGOm2GKJNDerX9j/boq2mnxEojGbBWkiNb8eF6/vcdTMtKD YYhozzq6DxvKxb76kUFmlFg0OMB/BYQwzPKShQbVa2m+jfhaCuqKGF1RyNf6E0fyWhgWxDZyTKm8 5nnybao4wT1ecMRYWLHBiI56PTRhwlyRlQfmHfswCvTa7S3214PoWj9c9I3LDYVPtt69cWyQgWLi SHNuvxNDec64kZ7WLE5RZYRx8qh+33U3Z2BYr91OSKhNSnWSg8NO4xTBpaKlnCCgqHOwdxOnEtYe YMzLIzFtU4dNGGXAwyKKjcjvXnE9PAOn8m1ABYmuIA8FqsM4YBxDo73onclhiNz3NMtvGD+8qPxG m724nfQ81NfjLxDFd7WUr4Ws1CtSr3XKkz+jNXbb8XH/d02gD2xLaZzG/YF7Sq6seh/ob6UQGCcD zVzNlCmNBxMwT+bBbhssSrkRNxoo8bPvFOieb/isHugMGoVfUX1l4vy1OveO/lBrNZ69E5AxBO1A 7mFtanrAqRo88DXkIylGHIlJjpL6jGJZeSNzGu8lDfSmK8eKob5fMKbcNJW9bofIk5t7TXWtQ3bB WIPd+mnfuKX62y9N0vVkAKwUfi16c9Zh+VCUJZNWsV/G4g7iakVKH1oDVkvF3LUP6VzkEcNcgf0V Hii8ldtUY/ExNhJyThKLT08IrZwWj6P/QBDKZLkyVfrsB3PuzNGbfPbT7e+PNtTOY7y0S7yl/KU/ +AaBSsufapvQ/lZxJEbeMJHHBQuhqWbIU/LhFQNiddmYt8zmrY7U92/3ozGLF8+gyRf3tjUbeCdh EQZAgQj9CXV6zUqvpiob/9cOpvMufSxwZcTynzMpRpBm7uTC0ZxjcuutQvlJofsBeSk+K1cd3Wif cY0IxbanmjbQUQXJ5GDmvl6LeTeCSZrGElI9a7iY+SsL/bHDKI7Ohk3hlJ/aY3AzJHOFkpRVs7N+ szsMBRE4pJgT23ABEpHH+YzjJpjq4qERuE/3wvD8LVMx0B9hnuAfMzDT5y390sfJI0xHoxEhMJxs fHL1GpWqGDH/NnzWQt1gOWLJmqmvjx3qzk0vrmEf0EZyeAMHvephoxCNndJDCrLiswlP6/98FL7/ MCi9Tm9hCBIJ7QfN4/1drNmIK3ZqwM0lWfkkpQ7zlbFKgoqTYnNHF6ZQ19lAmYQrOsne3RKmw8XY dTCPPKadMbm4fyFctYKHZGVnzksoigIr3FlKGgmax6BmIrHcHAH86XBF51AKmqf1qWasQx4c3rR9 khm50lTpn2sZitB2KTps43WGB6PlpU70qBBDxjB8TR5AMGgkdMdHJNoKGjydIvW5lm9tXT4iVm+c J1SeOm43NdCghm06bDHY7gxBmds9+kGIScSIWK3sWYQfTVwrTSLNLvvzNUd4BukoZ64Np+L5J7WY jENmN+Sz1t/C+ThEq5I0PZ9KpmGWC+YIXtmfRzVI59ZeEzyaahoVwo4ErhEUXvZ84VfhLCphB0iV LAG9mhhzU6SMYvmOKZmqrspo0hi9Ol9NQvskcK/kCYkbtnRZyoG3+MOYLVQzUGaw02ki3mWc0S9n oGlQ0mbpZ9befQ0yJ1KX6XSiCSz2oe74Xzla4u51Fl6DERbs4Aq++vaVXJnLrlmQdw/+i4hTBTSU 8QF7qvX9MdXsOu4RdOX4gehoJWaES316w41v2SdZeg/Jutru8tD9L5bcY/43JAAanK6OxOmm+/xS mlzmX54Z6tLP1FXWpeRUY6CH1oCHJ3oUhJBhMrSE0tPHV/SA9TV58Q/sbriYKLkZwvA25bfJJxT5 DKDDsxtIsX5q0z7zz1aKp9g2T+DyVqZDmqFxt0p49Uw48b/rwg/OkiRr7JD756kCn2lHlWt3lysh 3EMezATH7IMC6pgqokTri0KP6r5C8vtgj9SWbagmTPBLEf5PUkHce+k4GhLSjMKNmZfTle7ApTvM UtEUdBox0yUIdAi34UDiRqVKNBeei3HPa16ghGEGONcZOWMrIM/00+/XKLECa7SYO28AlF+pDj+S kTqfkQ5IWnQ4lFPjtS3Dz73WSPV2dVc4v3JFU4qweYC6Vdy3fCZQ/XESJC3rFcEN+JSlETneAanU 5JrIJ5+9/zn+h9dF21vW6BsvuUnvESpfvu9BixcnO1zrY9pzAKDUtTWt7KgLsL/Cq70otQTZGKFQ vW1ae4w+q24LFTdpaLtnXzQtSm/rCLHtTXHKzBdjq246ddhvBAde9/a48sAQiuZtkqtfOWmcwwWH 24j7VDjthsimNgYh3hiUhsL7wqN6z44N9eZUjbxqleh+i6MVgBN0vKSRvd8ugX8zwqcxgVO+u/9L 4O9XxJX8HYUPF6mITMrTODisWohE6z4BZGPuFLCK6pE+oNh9GXFEgzRHeXJfJtUWwKxaZMonqfkQ HukrnevEpaYq28rbKh+iDumIhLM+br9KjBgZAJBga6Ra1Tu88meMSJc5/u5Q4XcnU5J3q7SWg0ZU 8cO7O9sBwJbs0faW94h+/Jzm8/dAK7byxCsG/qjLH1uq+Jvsh8XT6eccwDQzxWTTBZJei4/9DaRz Ed4z7CBu3aqU4IsgGJGxHRBy62bRn/vi2ZBFzM0IArek3m6OSXiJSIMoczDEsmbMCePSrLeUkn8y TO3B8a3yCdvGLg0KSz45aedeSNmJUI4Ee5j5rNbINs1jQnwVnXHXvVWHjXX9rhEAZuZWIB4/u4pw vQcCakig8fWQF1PjkIvxGsA47LJEXD+4j2HkfYoKOpxaVdVy4E8JJFv+0Uvi7qZv6i6iR/BkVMWb v79kuayjtu78keD52ZCMyqi38XAmjlrQZYNfw5L9U7H+Ljj3VSfrpnbkReWJbythcrzZUupnQcuF Mny52gHVFAffOtp52YB0JWKVZnVl27mfHJcXOXMwhDf65I0SiAAF8m44J8lA7INRHu5YVh0IPUqz kEYXCxpPqy84vKLzv/bMHs+rYOKFIUpnwDSzcWCwBiz9FXSRDUurv+tg++LN6zdNdYhcUi1ubQ74 143GQsupMTPsoKVViA5G1/t0hJwsMY8Vn3hrDkwveO8yAvjt2Zu/kW1/5ohl1latD3TSsx6JZHf/ wCoby/0soteRlNobWzZAA29wDVptPAdea8dS/QiRK6BTyI6u+8DtrY8D/2V1i3WcXjdJOcXs8erO X45H0WkNZjny+Cj4DqMwgiN2w1x8KROkK4X5NWQ9LapTu319/EKbQ7omwgU+O68QkrEnIseJEcYK LTy0wlt3hJTTdlNv/FVEDibdB7wm7mWkTixd5TbYh1MH/wivMdgUgaIGS8KKmiwhY5yO44wkJ+BS ferzfJKpR+ORiS5DLjQ/jv2aLESfC1N1oPIpKj+UmRfsF4CXMvgcsompIkQ6VCKKOYPDaozkE81j kYCOnAwCbi7ApzUznSnfBEtx97I5MEbGgyheyX3zMCEfJAZAh1K15Z3prNCbH0cKUSXbwEWFxdnj OwVPl+/+y8ggDiY+1uGm1p/9nVTGaB92q4cVdMjSM86xRaH9cpYcGX/SWpUzTWyvnhhRLfiEbtaH sIh4TnbGRI/bXZ5OShJrgovQiBeaaFHQhyqAqLz6EbxJSZUmL24mQ25yhcyAvYoFd53UZvBOYL72 uHC3SUE5mObb1RFaxJjGH0VJgf52CIqh2AqdF7BnLxHRMMcD0p+IdQ/rfiv4+3AuJ4ZFriafbRRC hpuOvs/+cLEwGOt6w1WfZUQJYW9O58rOWBaifdaBxEmvNb2MIMX3l+A4UA+iKx/PelQDPNJme4Xf m41Zfiv79bW0R9ABJGCt/qOaQjO6/Y+gvG3QB5rPwtSEONq258S1bQVdfSs4//YNIfeb74ty/Qw+ Q71qmethXpNOIxRfIAksrcMfpOZstIwwXqzf008oKsAREZVK2qPCNtNQD70psq9FxdbczUoot00j RpzIJ1aYjbYs0KjzD6b0Fc+YBJcLjCiiUA4XscudG7E4Q6lhiojYnlGvGeDmRReWNqsAJHG72O9+ kxlwkVMqAKvZgqxJWY0p6cBBO+oMLaahW8L95aK5EWvAtnzooi3hetCuuELrrhg+KmJegTmyvXQ4 DXglf+P5GSsVrp4aIYcfNmEj87a7MBs2fx+1K5s7VBq5kLkdHVnAoB9thWd4jLxoplhuFAUwa091 naoHAgWQNA1tA3HDeQViqvhvkznOiagOBNg5CJFgA0B6gksQAi5yE4LquZFeaDpzO9qU4I5+2rjh L1JbwcQqiWQR+eIeOCzA1sKzjC30ZKsJbgUBEYYAuRv13e+lOxRZH5fe9/spTIWeIZr6Iqp0TKAD XjMHsnpKL+dViCOu0BnwpEgg2Fo0PWAhPVICTk57QiSI9QNcPskRQsQK5GjNtFk9TmKkay1IZEuj 7uWuEr2SxZhO/33Mgu2p/IY/PV5gvfWsXU05REZZ06aiCz2dKqAc7LJOjy9X5b+CuW1p8l47qumQ KjvUcj71GeTWbaAmoxb8g60d6e9ppnKMfen7PmTfb/TSE/Pcu126QEjkuSZF3+oR/WDE1dKuSgsx 8IdLX+/amFV5PWc0AsyZd6CuInMzL1otXXsKx/VVxO9TJq2lgUYZJNU7XX2JPf5oUM9+37tw5nSi rzRydoUFPo0XH20SaZ4zPJaPmLlUdvrFveuHvwU40CvQKBJN6wCkwPjYPbS8DTCMgUwfBdeFYkXo VOScDv3OkcpZcp8W2oAI8Tr4mPaW6mynOuw8idnY3BjruLhUBRmVWZNJEYjygEIhlQw8pOq3NtSW 4qXqqLtl9Pn2vA/7UNRwfPgvInj7PuWFlHsjzLbXLDSi2sB583WnP6DgJU4bKnrgwreg3aXCwybF GFu9sYiXzYThtm04Z7KgaF9OB8HdslvR75Y28ZRkfHkSDvRmqiEjjasmqqRQri2Lc5kpGlS3eFjv XJFKMM+tNiDdf2cBJ37EJ2F23LydmTWY2GgaKbUALncqRNXOVt0IlUPmq1mjnuBTiLvKQ7XQNTou oeHaJptA7u7ZgBKjX2gVgZrtG4bzZuSfjFasyRrW65h0P2OeT5Mt878nay7auoFCpmgrby/9aNaK jhdVPrfoSBDZUrfb5PMJTTpcorOhD7CwqU5AY+jJztpcjAGF2ICUz5a6wXpISu8JTHjH6/Ohig3S qS5g2xgV2jSi9R3SOU7HWTMo7HW1q0/afnf16cPeO9O8HDzYm1bYWenKbISCfZmGxoIeOYxv+rpK zFFEK65/AZEyvI+8PJslCItnZc5B2bwsOwfTSHT4yG+CYFOqK/MQPxFmqnw8XrHnwFCft5QVtfdt lOOU7pQHOWh9Fv+nOAf8KKHB2wqw/WLTmXdutBKHllmiPmTt6baVefxloCSb65FaVUCmDlFdLktZ RS9lZtTAZD1O5T60vNhTdEf+1V7YZlPig9UjOcEQrPVgfbDTsMWIylVfdXBQ790FhoJ/ovfrENIf ucu8mWzl/uw+5pBmA2gaWOuUJgmgkHbElonhOnBBzwWOyQWPGC6+MjhZ312EoNIB8sz1H1D/bztf s8oLoOUU7beBHuIaK8dBzF7EY53CZHXjKvT3vJRTkEncyG7wAPEwcIMlsR+YLguIjo+tWFRY4x+3 HsuH3boX4u59+/dD88XNIH0zs4RR/++06YPfrpMa2sUJ8hW/9hJkI2VZT5Sl9BWwtQaZLBnDZWXf mC/fHW3QoXTuLBXaWPZnpJ67bCLED3GjhuFkLwnI/YDIeQIk/igPK6wtaWGQBmGruChClb7me3kz f9pqJG7/P+lrkZAxBKT0hMRbf14uH94Q1vPCigpSYVOrS7UD1tmfkN9qNhE+Lva/HxtRgAvBaMvy wnRXNqkjG931+8IJPAG3YB6FcpySzdKEaHETx6iL5RuHF4rFbo/C1g09p5B6L0FnDlfPujm0kuPC cGowOdNoOAx92xpEf2G8UrLCA6a+3RTMCpO9gLw7+fzSOo1RZuQTDOnB37TYehSGU8W1d2o/5PzO +tzSS48piN3E4FJoMbqjQVWFNHTKCPxtCPqxjfI+AyxI1fU7aDGpc//CfrEiDeJP02or92nIq/ax Akkslnkn3edeOA8oLUWsCCqgd0qcuOSCQZDeWeCZFfZHXEgeH8LrJqtickAC9b7moC/xrURANWl4 S2CXMcY+vB8NaebxkAAjv8OaCPgL4sDoQL/A8De5wabCjzLxw2oXSQizT66b4PD+xI/OnTlvdm9O E41EPATfBCONLcJcMgnb11Qxo4q43n0jylMh6mAJw+SmHjQUQavZnJGmpnuoHxXYaRGhIcmgCBho SiUdO98fDYrE+OKjf3Cfiuf8s19G88W6rlVyRVuInC//3Xkn09XoLmKClYj29wO41RGwY08m/rgR EgxoP2quNV2geZfdpNKlLJL2/OVnDVSfbcK/8IeeWJYjZSZMrcLkf/fg3Rb1xYth8MC0w1Sz2P50 JShm8dZbjJXXxfo6kw6bmE6HMz1FCwnEmnhvAZEy6Bt7rHEhr2eBYojoiXUiAjUu71HSWBVPAwXw b/CRLYHMHVoTceu7CDv/FyGQItau8ZFETPY23qYvnwwzm6HsJ57JXZkKNkNnurmxoyKtdwHPBWer Q/Ln4K4HPcZ1BRR7pFbG5+1ojwH5FJIPCiCg8jAs/9cq1WkSvkgo7YFBQjawpDUjJE8qj755h47x tlVv+71+SK4SY9UZVCAwQtFDAX8HJN8yNHkkBqHUjiLOlfxai81pdf+71aztl33YC/qXXTPx59Ip 49x/IHHGddTbhRIwxdOUe0PXGJL3h83OZd/vymxkApcN/KXzhSRK2UJdWffZtKsRxXH+Bg3uWjCU +dIObeNbmO9PFDh+te8oOYQWntuY7Sw+UURgs73ORmFL50qI7UrOH7/JxtUBp+5IR7SUY9gy03Y+ aCcPWJD+uwkHdaR0tXcyzWxuVRIaBl2BskTXWzIgAEluciCpBdxbqaSIL8B3vBLJ6MOoNe7WRIP1 eaRGntyCu2iqQypQ/fNktoGAwz+TfoHwBpLucZkl7Yp81zuQrEs0TSFULMGBFmm2BzevAN9kC6dM i3qR6ws1ODZHfbb8RIRKgFeYOFqkxYASjuK5OdxsjYeuOTRG759vgZCkYw8etE2rzNcx27oqaASE tEjGzCDSRz47Wr680xZINrEPmekdgcz69/RlHAccws5J7VDE+6EuI9xwgH6C6pnU3/lBWIG7+7uC xq/3voidE64NB3TRuY81jZU0I8/JCDLHWqNsOCobT16aBBxHnuzEyMuWORQYVPNGlQipSwTNCtQ5 TM0Nb2SBeh+OQG9sGSWwVW4SkBBBoXtUFb//3aayRG9JLfJQzB3YWv099t8lsayx8I7qAcHbbUoX JTkrCBhWNtx4ppm/U+KSQaoS887yLdtho3bkfJX/Z9bWlglNeSEo3BEuVSz9OBt8KQrmpv7v6DRB gshyn4v6rFN2Ppqsc4GSeuGxDu9VKTycVzyr0t2HhcmckMQOcX5lKnSR3s08ncZHu6Cjm/P+dwDn xXhhiG0tB/EfHdc8B1SKvjNhqSiNayUNTRUxWZ9phN0skyq6YQLi1Y8QdIIFzFqG4Ertv9Uu1+a8 AvqbjDig0ZpfUY5W0w+9ucjJG1Bp7Yjb6oQU2DjjhU61Kk0dVYJ/Kch0yWxW+BV3RHJUu+OF4Z6S 09oLpetNsWPVeoOcBEgRfchpQvRo7DU8f6xSBJ33OApMPHQZurQNjK3jU92mfRiHPEJjEa497xgu p3Ok1wuEpp/EQstau0s/0Mi5cLFqNgLxoGlOjD78LVBM/34wUksMuqPA3E7zNqctN1youPuM8HGk 9yGUY6RvYXmUFbEVfZXrkw9k+w8LgZhKUWhgDy2Lt9bfn1jNRGewhIayFgpRZewleVIStE25YiUq lBsk+RjnZaMOe13NRNic+P9z/xW7G3cqq5spTWgfg0PGO53/+jFeW6MDj6DGyDKMkpl85zL1mvKQ SMl0TJ5Dvl3G++XbcVIEzXg6ZSQ+9EFV8c7zgrj76QXiatsb6KJoxJnaYN7J07fC0kJD2m0vd+eX +z+QHXeyq8ZNDSgAym0P7V4emCcM94KhEcGrsxd2yoxmhGXpG3QNzYnAwQrcGf7IZZ/pbPliP0Bz pyX/z4pDTuGSg70JvNLPCrDy9JHJVLwTEQK+/9rsOoU66oqe/wv8DnYVE0g4Qz7tqQhtonq//Zw8 AnEpXU1R2IiEwWIkzj2gT+cEHvvtwqyLMj5Iis1csXLjM2KXht2JMwfs0f5tW5Ni0lHJ3BqQxdTC owaa2FbZfFzmuPNDl3alfYg+kDDaBeEYmF9mOfa/hdT3+72bUKVZDx3PRouq9MRPp5oXOTQmuzWx 2X60CaCj48PobENO66IAq9NBTC0FCOeMh5RLkP8oFibVfizBKkt3kh3BAKRZC/c7v8FOGOACjUYN aOMsZbF1/7hdwsikdof8J4yUhFYJaogiCpkyVgvmF3CzAxd8ppGS6YaL6gx0xWSzBnjkApnmsFTv cG/E+ffVjMJZRaypwepaYX4TwwkWRFHFUNboULS5rQDtG9BvBajyg23txZT5npyMcYEVw1kmBV/F 5erWlwx9TYjsWpPSZD5MjDAjChxmk812yI+drLwqv8pHZeqGEGVuV43vphYMKGcjMcIfpGTfN5mH DBxNRHUdEPxmascSyw4vbNF1PGwj81RPODflan4v6+rwMTTmbO8gWP5nwzZe1BTflHQRXuGRB1sH WabManfJhjbQb/3nQMG1Tnnlh50Ja1QHZrNjxWOr2mqWmj/dL8cUXZq94PKIyEKMhgerVX7SV1YB yhNqOp3wY4qJmw6g5PKSlWeM1Tb/JN9kJLdiEoEm7ZTj+ZWgOykKw9V7vNnMYZ4jdlO1IMBXp2vR ZV0FyfnwSfgKxDCp0gPry4zhxZW+E7SQYK4ao/MCyI6QD/ZeVLqciRrYQavi7PEnT3b/PsI/EgWz oTdrbPJEQAfvkobI1Zo4LmdWKZqR4qkGuxXstJod8AcB8GsCuTi+u2bv6/EC+ZtSj3L1ACUSCYqx 6jcUhBTgygrnNqKR2HwxRAEmu8p08Co0Fzh9OvabsyaEoUavEF+zZSGfxdX1/9yfmEV6QyNMRnQX xVjKKKYGGjXedguMOLdoA6cQDltLrlDK7JLj+2iJZXhjLWfEddJiWZHvh8eoTG1k/eervrayQM5p MbFukix5zaY0S+r8LN26ITEnIkHAPZUpjOO0dXbVbanGak6SLwQlpkP6fD8fFF8NZDG3jo/b8I/J DmjaAUQupWA2Pfu1If0V2OklziX/kQQdSmA9XAmOS3FlNqlcef+PH7dpTzDBzKK33f/5eyaJpQkf h2cxaCoaVzjUQXMb5BD/pHgM5E401UJ+GnAsao/utocsv3gYVyMKMdPz0t/C/ANjWFgoFuIwKjLf 7/G2lXIz0v8M3PpjvmMRc5kKSDLoBwN2l4oJrNtGuE2ajOgS1N6POFn/gHa5QXIZiYqG9USoVOs7 Kb1eRt9jmsPf+p1xBpA09Lp/RJpezPCheipGxEh4Zt/XaPXcAm+6SOXGiFJnxGL1RvH2NTiPpP81 HdDogp39vNG5a5WBdVultHuNWZg4xuTluAoG0yHM0t6zIhEPXhBZKUEB5VtJMWSGm9BKbG7uNGaE N0/KYTnZqpOfo7IP30mOVu1t3gMdk0X2OcU0ZOse4BV6iloKNR0leUYAMZP+nVt9O3MaSBLnOETC K6b4Xjdf+acLDPCjiQGWnJmfat15sK+OL8WSteRcMBVoOw8Tq82w1gb32bSwqng/7pewUl9g1K7I XTEU9YDb1Q1v79z70Pbqtjx1k/HqAcDhp8d9lrcm2Zik1E8MNWlIBaYKCjf2eoFLFlsaisJF/Axq n3OTpVewxY2Zl8k8JchVVxtSQiktJWjRmztqXM72WygNbifjJjJv0mLIHo7yCqdszG2vVBamZesO I/rph2Ba6JeMKP8nHOnZamOGUxQHazk1L8UHtsZRX4KBwYZmxRMTpBGNk57S0u2KyRaY64Zw6W5g gASgqE12zR8JktTvxJ2pHZBsRMoyhDQWQ6TkvTdEa4UVoQ8ixpaHuKba2ai6obd+ZCWl7b0FbpqO UA8W4fIIMU64UCJBWN89h5lnXfWVoBBoeJDf0xF0Q424qNv4EJGCN9HT8yvdbHCuTzPXP8xLO2DL xucJ6ShhFdmosQzpOIiIjjU52spCTzTjkREvJJ1rZlmNu9D8bI6oSZRMOVraI4t9a20ySY7p3HWH QA84G42rF3SyAtakhfNyGa3ZAf2HubfF86UUDFl/CVNH5QgTnVPJTddpABh0ZkXhqZa7Zt39KrBa eP2IK0EqW6at1aEoodBPCOd0gx7W1XrTpkKER7Vgdlg04rHeHyCqWoVdFPMXnSrn8QoaQteH0uPM DETgPuyNWXAT5gyXMF0uktf97VO9Eq/aBRr+POaYkrTbIl/ITYmlQyR2haJu189p/oCIbPPuWB1I 76kCtVnaCbcoekUE1BvAfjanax2eJmg4boLpStlAtOSKATLleYFNG0lAOPwo6PF2Stz/SAO+4+4d 3551HDBqL04+KnqtpFHk61Bo3XkA280secRxJn0OkM6qKsVVeQMoqVBCsyyMPwVLtvSpo5MuKsnN H9sW3O/1u4CH3tqYfL/Lw1ZopQ6iTUnA3qYh7X1aflHHJTyY52IHfMUwCvbbg51dil3nrLPBDCCX +YSzyqD351eRApn+CF0P3DhBV3edbYj2DbcyfnhVDSiYi/NyIntLIGiiG0W+2JCj59hvmylLQK5c Mmv/2oj6pFwfO7/8XWipjUbJ2Dl3M1uvJBtmrm51TWHmcdD51qGmsF6czF+8kwPu3LriJFhT8/Jg qAqcjRDqr8BuX0hnnO9u2nDyovTJBVnxkgPcIkNUeISuMOsmWIG9sSeu0URoAXhXIBcsRoaPSotL MQX45nwma4aBQjxMGDMI0+GDF3Tb+1e7FapRhh2ZUGupEYaRvfjfBysTKaBzAPJy7SHpnHwH4vJC ubR+aE6juj1v/fmVEn6JCPO9qJJvA63jxOGqVJTEAGD7q03VWF3jrEHMU3ze9IalfF5MYoiOB6DS qQYsPUmvgW+grMTEueGQeR8QL5dY8R0uN/I9eYD5Qls4sexz3VLAArzA8QfCLi6SC53SBMik6HFa uzmBkUYlRfJWdLfOvL3x4PxnbdJSAem45hA8tshMxfm0tAs/rskho8Gg4ZWiQY3WNcKlO7TaV/1f /0p28LQ9ClyuZlvO6sKQfz0BvQkAwHtZKRCSG2PrHu/D2hw6FFVCsHYrUEni2LCSSbPvxZicTA20 9U0jAm2PXZrUobCYtje2ACkD7p1UdXHs2jW2XyEqPrzCyIa9DN0Uw+EKjBFrIeM3b2FzUzjLzD34 /9NpVY8a325TTWiCSM0N8J+ZA7SZcnAx5JZsax2Brl+WExBAKxYhZVY3r+GEXlGLaFN51CBQ/ZKS Fidq2w9khP5rDvWXOd+2jPMuTCukUcIqMaM/BKlg3aeXLRFd3cs6Nao3msoPjaKR3rI8Axo3t1D9 3NNjLJQZl7eK54V5ozXY1r+VsEXunP4gHRZzjBk2KOaHSo6U+ZFKcCLsgwQBjPA9iDoEmfNW/v5T MisoP8f4NTz4BlisDXodQ3a2LbMyxgYzZ69stWIqupZtzEyjLFmGn0AdUu1O3hJEnMIQ18iC6x6r U/jy6Y3uYqa1lYr4DFJG/Jy0R7dXvX31JrSNhNEfXvPQ5FmPgF1orBzGtSjB2i8qkl863cNwfsxf w1h5KF2HdCRw45S4PFDrdE2Bt9IqA139iKX3MNQUVkxvF6+uIWc91lMRWcA30leS7BhyIvedzjgS XRH9wnmZw8JkW0L5Bybk9SE4HSAIs4KN/f6V0odBTywySmDbWIOmKL0BZDbudS1dOBeOiILAl1jX xUUwrLnVP9p7dE7SEVJMvMJdMLdM4LRkh71DVOj+jE08SS84j+HUT56XEY61TJo72JdE5SPQSb4f ckzbeRcbZyFvWvmiNQNMRMvKDy8CemWc/uyV3k+xumTtsBXKWA851zgEVqzb6yh6oJje49sag03j vR+Z9XopigcF1Glcxx/FPN6SmYBoLE8uW305CDqGr6GufTdhf4HVtQHxBZd4baQ+2A6WRl7E6NYv wcLml0k4h/eQRMxFEiij7uieLaTIPsNI8IDVMKS4xIeeTWGM6rdXJ0PBb/885qmehe+etJvX55Ya cYpdi6UVII6wQYFCAS4XjbzdcuMrjarfwsfVyTN60sdEhv+HP/NzNdbYINJaw5wdUBSQ5a6T1lCs i+H5dHJhCWhrl+H+34HB8+RJxHkXyhPvynYLmqCKqpa2lcBh3BqeUcxwTsxRXBj0jYysKAlkyfHW Dw8fuCRpAWYukIaP0KoqmWlQVLUuqUmqPH7Zl9uu6krsxUf9Vr7RZ8FHtGVcf0KL75+OHzuHRX24 MgvC4j4Sf/OQq1JZa+lQv5r6Hy7cxvcuM9bzNa1Sc+N5mp1oLXFoHdUc99ZuNh3tmhlKzBPhtOkW 700kCmDcHxGM039xGqPy912vDH6YXwyWBD02jDDxLTMkXZ38/T4G7gUHRf0WjGsJ/088o+39NuzO ooX61IszakvGjC6YHo1n2BvkcDaDgunLhlbcMg4/dAaFdkVsaXkVpp2Q7D7tTiZpgfWtsZjc5QW0 o//C60TX3gOiyKMApiQMe0Gl6UVq0V+XIq7Ia/sekqVkfJmcRw7/7LWp8+ZXwS1unbLghfFxQ6Cn VGO4IcXd91DMl+5Q2ArstBXAQdLzPmJwpjaPjvNjNgqBD6CMmu8ZllLjaxfzIxyVLtAqwtp/Rc0n ZwN83daTvnAG3U6ZIPctg/+HcHPpgiYWdrhdOwrH/7FeFGva6+kAnRb040ajr1R+LM9O3ggX07qU kt6K1jcIQ1WxfBtdnIt75ro9/Mxl8iQw1P26LXCHc9Zv/Pq/ph9vpL4dXTmm7yrWahW8p2XCPkbw aRRdQs3BmyqypqnwJYYzUPn3c7xywrB73oqNGgvdpSP19NO7OkWUxIYSRFOJ6YFfAwcDtGKGoLmt sClU9CKDk0scfCpK5S4cGlrDiHxdZfrVSMuse3n7zgKTyfRofJEr2GL9Ckc/io+noJU/S9f/S8dl Aj4HV5jnJ3SNSr/DGhwFMDn1ZG3FmIR6G0TJs0iJzQ/mreFvKA1FAEhgE2RB7FzuEKxzmPeIx3nn IrFJFUpfHDRJURoY/KUwJsaxYgRFhVkH3fhq3R5x9YQX+4fVABqn1fP9d0zWnFZT0rudK/14QYlw 61Gy0Q1ZCwsWCD4SiHGD66pv4xspATRwTp1krZ9pOK5lL0B41Cn1yzs0tWd3YRmJf71qjck5SBOQ TbbwrDYG1Tfq0Dk6o4FXHd1KwAYHkDda6I6HzrecnKU0tbnsitRcEB4kq2t8PD8XJxWe87eDhHxD e3zG1rEbjS7eREbFq6O68WF7EMTZYWBS4/FPbIpI99dIArCdhtmBTjttBlikxAy8uqKqxKouIxtD /5JsDNInX+acVysnwjv5GyYfogqCpeF55DTxN72g1x9TI7iWOO7vuVlxeKUWo9GdjfR3S/1vnHKw 7q8iP+b4fufvbK0fS9Z7gt5455cF28HMnCLKbIKeXITPKCUlClVZCRHbAs2+TkbD/KHLOZuj58MU k6UOX+MgQBGPg7EpSsPxq+nGYj8I0JRtW6pBFxizzHbKhvIrSv01K45xnUXbj6xov1bKXRk5KpuG /G3Fpt1yVl8hqyUiVzDiLqsXdIFG8wh7R2uCX8/Avi4VxJtOS6miMVC6P4Vw2zYQqllOd9/sKiEj 9GztXhdaFAc9g13h/bB5Ismd6+ymY8DBd5t//8H96JUn3lWZ+4E3Y5AX7FEbYjvoBBfHkt3HSbSq rz38KxZ1w/M+uZV8Tq1vhW96sOJW6tPVoKQpSb9BiOHaqibJ+T0BVK2j37OY5gceDukKl3O+wqAD wV6tm0mIFTHMtOyt+t10JEpqea+CknxbERITzAFjxxsuVMeoLSQyGEnBGtpgRgMA0KwmjqAVNaKo //8wG63e5aKe1zSgsIgvphL2u2y68ZY9xRCxV9bLtGQbCB8Ih0FvbTreOXbMvt6ymScAVm7afup6 yTNFOhp5iED5Vy15rtE0exA0C2Ky6ThjlccaONZfcSWguHtcmUZjbMaSjZFy97i8LY7kjiXHA/Tc GCoSAZ2cElD/WeEYE9XxL9d+5mtLeZkqkZrXFb1wWwOFisJFVOeqgUdGudSZKACPRh2kEws9RXcc K+NIpLTKeKL2UZ+cSpQDynlJj5NJqmymJMHOLNASmXnN5t+vrm1Rzw8+OO6Ct4CxeVQo31FXdmr2 0R6sg3EDXrDEvKinhPa/6p43ILc9TbFOJI+2IWK8+qfpbmPJZucOADxjjMxYPoo69h6uSbACFNdE 2AKD2FwTHPqJJf0F1o7SQtMvmG4FmwekmGmzmgOWy2jcLYdxk9CnYeDqnu1i3J8WzJx3MzmEFi+c QIfgoZXwxTambjG/NWPMrdzKZSD7k+pLGdPBIgM6l5FzHI5J4OAGXspRJN8OZC87Tm0M2Z0kh+GM ctxDGpYUX7RziK6rqobXTMk6ui3aqHqawobe6BeUn/cc3QmQJQrOVhdj+0OSBtCnexdMw9Mm4myC f6Z5qI3zjdNwvZsb2M/sAK+AqAYDCtz2eWjzA3Sb9CPtoeUnn6+ivPUx0nBq6k1FHBCDeDIPHhhi hHUFYi0v192G2nBXNUs7b7SCnsvw+wmEKB4dfbBf4AITXPBh/xAkZY/8TxuSNfGFezapRHXcmv+5 wC4a4+KDmy3JycR2rbpEq/B3U5+NFdEghLk/n+lkPxFddVChnui2dDZFM89ovbS/RczOk2kZnV8o BqzGSiaJnXJrFB3otwGezV5264J9TxVCU1ADHRZl/wMY7V9DX6oKLBqp4PDedDhscFbsc1F5xcZd DeDruAn3ic7+geUEOXY1Vstdj+5W0D9lnpQKCU35j8Nl1EYY7RP5ZaKA9tJwdw1mIXok1kZLCx5Z NSolPLSzBclmwyfqf45m/nrsC4b5rvSsa8QITbSF9jAXYsJROz3VhytW1xSRtvcaywVL2p4x7W2P b+Cq2YpV9m83ZIzWiaQPKIkgTJ9oqkKg64G3M3OJVoSFgD8SktlVMb1rJmZ4+qRR++m8eThzXK/P TPlSoZYFOxRcBl6b29K89pCarYL/2g+b8gSJfO8i1/ZS+fPTmUMEW91RaHwr4cdCVOWTQV2AsZTD I07nd0F4bUUnNOfwGcYMoqBOzY+Bj+iqssEAWpnLIgvlH67irSEUbiTd4z+ytie6bEujXuca4nFV IzELW4lo3MFOjPet3gPMurfZvSI3odoX2s1VsuRnrZW9lz/cFA7p3M8VrxIdJI6vdfXyGlVY96JD esltaTT++REQG0VQrRrqLPi+e0/YFYfm6Qo8MeelitpHlCexFc96NfGHEcPhc3QVHqE2nk+RmRzg SM+jxPZnvGWb/oZclVVKbpE75CAkwOLoKoKfLi1gMwKg4R5uNqGO7WevMdKHMp9jNFnaXCfsd/nm +EPOgmm2x1WCdvZDhMbB5GGQy2rZtvylqGEPJpZWgppZr2bLuyPgzOQHgOO8erO5ELAdpHPzjw7A VL3DQKBUsWaP/Sf6CKtU0kJO8j//2UUeRX315e6JyqYNGBDNbGpoW72Ufy/EIm9dqjEJnrJVqals XChcFGMJfPxBxSMAek+5JKnQ2s8omByexF9SBWxGpgteZrnapyXVARfKPpmEVOA4M7AJDUE4OLIu e5c297I7PcvuCa19IFzw768eQgnn8pElskdqHZSPJE0Yi8NRLLXW2nqD5xcAeCVxPg7AnJFwlCJH XX2Il5pIVGDgmTNyY9+a+8VdH/lvXKjh9sEcGCBuz817Mws+Kj7k9PYvFZZZJMnL5/kAI3HCS04e RZFI7Oywpgq3i3AL0F9b30A7CcjgjpNDyj9API5m1mkXeyA4/b3qv2h3jBeWfdCLSjGzchb0fUFM mnZFklw0DGClwzgH5y5TexB/EX7JS3TkdmMXYj2/UTL2S9HwIaC8C7IDryIoUBk2zwOGqVlmzhe2 UAI9hEzuvY2OXie7+zpk8gk88wdIPv6kH2sR3zCdGydp1wIxTDOfjEzg4VIo5QfWA5sfOQuAL297 u4/VvHaO/0e44sCtMzyXxjwguFUfO5BjzrdhUFv5Ii38ms9PRAjm1epPfREeE5Bi6yNk5s6GyoBA Q1Haz5fjJLZ5SDgdtQxNg2wCnI8Gk3x4+ijcvu54RysAJaVzHcwHDUwOhQiwNZP56JqSek59e93q NZEqiUGhriE1XHE8jBTQJNA3fy4ietluXe7x4VMj8nb6Q5QmvSJV9663rjEDfkVRtS2v83AE9Wp1 FgLzt56m+5hijuEc8UtTTymivw1f6aNU9WNhlP1ktUZLYMUgUjKW+GEiOjThB6m5s1s5rCYjqUGk r5bmkCVniUYdxmwVbyW4zxm0Fsyn8iOWbkdBVV9hmRKSGDqsAF8JkI5qvvY8r++tNcjnFgRJBnVT HFdfxq/ik/RQ5Tvhkfv2XJE5K/Nulb75AXQ9yfl0CNWbdxrpf7Jnyq5kASBuAvj/Yy1EygF8f5Wa 0aEckqe5rOsIseLvwdLsNvBHHTP0egQjic7QqitXz3nlVj/PQ7mYwB74QrV8PGOSwl0U75b5JSX6 BO3qd8lLrrGr8Q4nh+psyZKhzuAa1YKvMguw6F9N+PAg2q1wrx96Y8ABITa3/G6pt3gCvaUI4M9d c2Cv8+UxYvrr27jGL41qTeSn3IubQVQEYRXcOMenPAMHlaKgnqU6G9VpHiX6fpXhSX7m/KCWBTFz v8LlIiDMeUfv8SNPnyXoXU0iEvdta2Zw3PD7MxyuBbFRx95uWghvYrArqhKzVdcVoeLxRZt0iD9M e4o5axou2ipj8NYH0LPPhd+Oarmn721t32FjO8IsRwm2irjkI4pnp4/7ZYvSy0OH657YXqBSNOWZ IZVZQGIvVqv+310Vmrcv8TO6HTyI+H9pM0A6Mx98FSMcbofNSG5bM+e80Pi3MZuc64T51dFVnti2 Tkbg/n3vswBAGEU25/gWg/eKmwnXmaxgHMVkJYPn4ktOcxFjpe9M/zXBmSxgu11xrj2xg1kDU1X2 N1M58Ej6VxzevCblCu5CYLU+xszE+dK5z1DQjOCKiXmlYFDZFiqKCKp2dIT8B3hgzUlM/WRCofXM dij23uWkLbP1nRprLwe32Ov2acJwPPXHit1tmMpNIVAC0cnsFmNrWQqiX9UpXVKUn+FahY2ypqaT 81IDe517Z4wu3KkvB4Pvs+dHqTnnpHp33nMLUM8O104nhduG7HmKiRMOTX2OXG8t5j7Cb4ezKPfA RlQ/DMFIp+N2R6/Iq5w0Nj+ECMfpScy9rPKC2W70NCs3R1Lp/WLoLbPS8Z45GPxSyJJbk0dVxrbV ++UfHuOJ0Y01CBQ+8M3lRnmUTZtRJzjYLHrkDzFLxUQwtjB8sIi1QJpSC290bpLENfqtxJ15YoSx yY13ZQ4TPZfjsFYPQIqipUhUiPtzQxKvaRgAWCIyXg8gdCbXjGK5TMuPi/xCUa3wtWCcKCZtDJEB +4HQG6EUI70f7YEVc9ESImpdoGxh/pMJhM7UF62Nm3tWlfLTWiI2KrPr3G8nAY4fxGQ5A9HajS0f j+qcMqhVLF9P372STEaICvGsnJyGdI7sw2tQOlsslmG2hk4nAIx5A1PlxryhrUm6ALKsDKHpI2M2 eeBW3DSommZEyHRYGjSdPwACJa6b7eP7QdRVd18h0oK66BVGB3Pgtro2nnSTCk0oX/qGbB1fxerU SjpRVHHfgpHJTEUigdkMDMpkB6qubYp3zS6oYIucJSilkFn58HZQO4y4PR5SCZF3VU9CFMe/WJew YPiZ7nZliktTvyl/P9Ms968Z3pvkhfz1wiSd4aSb8p7cL/jOkpUIx9xw7D3qOIm0oN+MnIIqXeyQ E+K1EmHlbkhfkxH5oIbeTXjfyDRNXKb9bN4AsQyB8kR3YNeeBRmoB3fYHdDfw3Fd6jpoRGjI4XJm Xz5dWXbDxwdBmGF0R0OczOmzl2iaumXx9IwTlzK/xryRltTC9jvV39ap1ZiC/9WFNAyOP7Nk0G60 0uYfqJGHlB7EGXxCZ2eu9Pz9HVHT87C+tqoj9f9xZEQtbrs2tN49sCdGUa3+jlwP3rLt1xTtW6wI EAbFrpWGzMstwsmYm/qD5emjjM5oSdyg4W95f+5Tmhm3u4dwZgLPAwPJlGgLcoiyFNF9L0wHSGRI LPataXZh8Uk0m6tGPSl7TkBU6ii6cnWdRb+R8yG9iCjq0AQyhGmbJgmUdtgpLkHmdVwmgpK5vKmU HfaJl1bLCxvYbl2S2dqsLb22+3rF/+VM2L65ReNqC8jYbd26Y2wVwVzM0F5A8BPNvH1k4fz/LmZY axLCGQipFsDBImjWEQjoJzDDRoXOUe5cPeO/EZAQFB+Q//4A6tu0eJXSpWSSve8G5OrGrq36cu4E IIngDNqQDlCN6ypenNEkPdhshTUGv5BSa8O8zSZK5nY+hnqB8c5/PhXyfJO/YrCUUaYfmhRw/bMX K0qoCXqHNsWOWYTmbYLnf/N1nZR+nCLnCCID7OdltNy1tnsOBxoxivPnmv6VKpSFmeEX3HSgGrqd YNLAQijyGuRIUXrXO4PpadOFdh++0bBfAHzyImD19sPQzRT5GVmkXYjmi44IyLbGYsyxVlPiCx8o LNniHdKjWhfQUKytLWX7S7BM+MKU9+C8wnOmvpqmCoDFtL+IPUnFQthDR0WSyJf6quTFHAl+ZPiB fuiYnoqYWQcpyIgZsyhiyweEJhAMn2KG8NMRJVi5k4YrKP/5Am1upEl02RAeX8ef5QZlge4xmHW8 YlsdwJLWfrkLIZEN8UoAIEtBXRlsvxnp8vK7CkNnFJgaT7fLCy4LBHZ2nbWG2RfUhe196wMgFaaU ENCx78VWMYlUZ9khM7EBnxpTjBqQdQrENzEkNfVWZpQpBm0idwHo9jNM2XuV3XagazjJd+jU2hM4 jIv2ypf2nw/om1CdlEFogb+rECZDeBMUeWT2IGKr2yDEvoOMg3f6phnCaQdLt7/GBIJ5aW2kvdKs G86UFmFwTEG1Y1BgVKnoyHG3OxgDa22Rs4zVamZQYtmob28FnryJwmpzyKsRaMCOvt9mqcIYeTSl Qtoetyd0SFo9LBbvz94kHfgf37aYpYB8BUuqRigajBEKwFm/+4nDk8LzjJ7jocKSVECG6Gfk1TlM rq8jjbLR5rY4cZ5QtzsZyUlwywE0UpnRutayaeDn7MIx62iH7wpgv2uCM3Ulj8BJQdT3K0HgeHvE DoQ1fs0yZ+RvvmflCAGZjHqB+5savcC4WBwjKdAvi3MDZrqUrIS/u8W/Po6WUxF7TrYFG87cTkXM K1IMa0rUu2kLMxT+exSr0FNwsi69TwkMPaK4h7x0UF+uGRZRgtzP8x0MZSCslmOfb26ei8MmRY2q XZHiTdrK+JVD9EedfENI+Q9zc0hrgaTo3NRf27vNU8ZUEApsGTSJOUbLW+jcZDVAsKEXXInkuxcz AGpwaxnRJ0kNjsDZZchW7jjJJI8OSerhcGbUFUeBdCBDUCcIMGmGPTfdgltjZX8P+bM4xipd7a2y 2hYYMtVbpxBjpyHxBLIqk4ToDBfwz+MR3TC8Yftn34qEyaLbaHnigItjKRCjDB/yEDJd8qw+rsvc i+Qbng1bTWpcJstn3OnEP77mWZ47zC8/UmLMJjfC40VBNxlH4yBKriCIhQOCLbNKO0hVDkibSny/ En/2UyEbzbiqWjv29YSa18Y+iv6WgyaQb9bCIhNhJErWdeh33UW86tnRBZgoOMohcFFIX0p/jZt6 eaLl5qHMHdQmgwsOIOBebX2gtIKaAPFBRYEebLkeAWjMTx626QbG5njkB1bqUwHo+Nafbd4sBw+j UcLKNJpJR/AbbFwkHqw3nBv5f06zLTRrFe3tLlcexFGqNHEstU5hMxCnmLbuPDm1vNnqxihP+rmt neIFUBO2I+hym81AswSpRxQpZ+5cpknTbfLwXmC7Q872Tr/SSeQtIKGu1prDPQ/Uhzotbc8qDE7j J2J/zYza4wepX37S2podyJbeG9DA2313X5dVk8sNHe5zCwC3AuElMDiB+uRstpN5ENtbU0XeXG7k S1H9Kko8u81p/73xyu6MeT2EuSzgDB6zPrnMZvfG0RfbK28iCDXO0177DiPsA67Zi0rcjdXTZ3zr Upxum0U31TQrxTMxiWSehFMSjpBpWAEUbCLYs75seGbO8osjdUcmTHcUlsRWBEfjY81R5OefsbSP mHi+L6J8M/7CL0kVVAX55A4mueeCh5CPbNd/sBtmTtu2KHzpCZVHQf3zLLrWGxGKVLaE1Cfl8cQn qQcKlwbp7JyLqKbMAtWO6pQIXy7fGF7iaCOEN6AFAz29F9j4dgGTv/0Bkv5v+LRXsq9xe4gvq70L jgLzH2a8d8DeYdopnYUZEwR3kPbcs+7kKwwl5jyBzX60O1ykJIs2MdizlawNp/c= `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/plasma_RTL/ram_xilinx.vhd
3
181451
--------------------------------------------------------------------- -- TITLE: Random Access Memory for Xilinx -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 11/06/05 -- FILENAME: ram_xilinx.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements Plasma internal RAM as RAMB for Spartan 3x -- -- Compile the MIPS C and assembly code into "test.axf". -- Run convert.exe to change "test.axf" to "code.txt" which -- will contain the hex values of the opcodes. -- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd", -- to create the "ram_image.vhd" file that will have the opcodes -- correctly placed inside the INIT_00 => strings. -- Then include ram_image.vhd in the simulation/synthesis. -- -- Warning: Addresses 0x1000 - 0x1FFF are reserved for the cache -- if the DDR cache is enabled. --------------------------------------------------------------------- -- UPDATED: 09/07/10 Olivier Rinaudo ([email protected]) -- new behaviour: 8KB expandable to 64KB of internal RAM -- -- MEMORY MAP -- 0000..1FFF : 8KB 8KB block0 (upper 4KB used as DDR cache) -- 2000..3FFF : 8KB 16KB block1 -- 4000..5FFF : 8KB 24KB block2 -- 6000..7FFF : 8KB 32KB block3 -- 8000..9FFF : 8KB 40KB block4 -- A000..BFFF : 8KB 48KB block5 -- C000..DFFF : 8KB 56KB block6 -- E000..FFFF : 8KB 64KB block7 --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.mlite_pack.all; library UNISIM; use UNISIM.vcomponents.all; entity ram is generic(memory_type : string := "DEFAULT"; --Number of 8KB blocks of internal RAM, up to 64KB (1 to 8) block_count : integer := 1); port(clk : in std_logic; enable : in std_logic; reset : in std_logic; write_byte_enable : in std_logic_vector(3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0)); end; --entity ram architecture logic of ram is --type type mem32_vector IS ARRAY (NATURAL RANGE<>) OF std_logic_vector(31 downto 0); --Which 8KB block alias block_sel: std_logic_vector(2 downto 0) is address(15 downto 13); --Address within a 8KB block (without lower two bits) alias block_addr : std_logic_vector(10 downto 0) is address(12 downto 2); --Block enable with 1 bit per memory block signal block_enable: std_logic_vector(7 downto 0); --Block Data Out signal block_do: mem32_vector(7 downto 0); --Remember which block was selected signal block_sel_buf: std_logic_vector(2 downto 0); begin block_enable<= "00000001" when (enable='1') and (block_sel="000") else "00000010" when (enable='1') and (block_sel="001") else "00000100" when (enable='1') and (block_sel="010") else "00001000" when (enable='1') and (block_sel="011") else "00010000" when (enable='1') and (block_sel="100") else "00100000" when (enable='1') and (block_sel="101") else "01000000" when (enable='1') and (block_sel="110") else "10000000" when (enable='1') and (block_sel="111") else "00000000"; proc_blocksel: process (clk, block_sel) is begin if rising_edge(clk) then block_sel_buf <= block_sel; end if; end process; proc_do: process (block_do, block_sel_buf) is begin data_read <= block_do(conv_integer(block_sel_buf)); end process; -- BLOCKS generation block0: if (block_count > 0) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000c080400", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000d090501", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000e0a0602", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000f0b0703", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(0)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(0), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block0 block1: if (block_count > 1) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(1)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(1), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block1 block2: if (block_count > 2) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(2)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(2), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block2 block3: if (block_count > 3) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(3)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(3), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block3 block4: if (block_count > 4) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(4)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(4), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block4 block5: if (block_count > 5) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(5)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(5), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block5 block6: if (block_count > 6) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(6)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(6), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block6 block7: if (block_count > 7) generate begin ram_byte3 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(31 downto 24), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(3)); ram_byte2 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(23 downto 16), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(2)); ram_byte1 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(15 downto 8), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(1)); ram_byte0 : RAMB16_S9 generic map ( INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DO => block_do(7)(7 downto 0), DOP => open, ADDR => block_addr, CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => block_enable(7), SSR => ZERO(0), WE => write_byte_enable(0)); end generate; --block7 end; --architecture logic
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_extdepth_low_latency.vhd
9
37992
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MZXEstTSOPKn8d5gf+3b10LFSw1L9kvafhezpuljrAF/7ghdUav62CewvwgRX4SemyQaR291yKZu bGSff5WMXg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kLYSw7WMBCamT+m4atNFnoIZxka3g3JtON0cEggFoebXF71E9cyWqze2b5I3JNud2dq0mGJH86Cd tM81uqf2Xg9WhxjI6FuBts9Vex6Dv6Nj04kCYSbuxNDshz7+gd5ia/7qUkXzcA4guNI5WUF1UBV6 vDQhVHruydJ1Ww/FftE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lMrOCGyxZ/Fxxm6s9SRkkqLKs/uI+at6ayAxg/a9ANgJfEz/Zb4jsgW4Xt69KeT3jWnYXdV6GL0O jm2lG3IkYft69rEThC+KNJd6SQCFL1T3ZYzv/OA0eNyOCL0xoNpv5H8+4CBzH8WTy+/ggroV26dR hQoPf+zy21Zc8/t3QBPXnKLuBdUSREEg+EuSQd0FBzePur8B0T6IZAmI6EvX+dL0R/TZucTJyiX2 BTX6CcjyTSEuH7bbLRjv9rLpnNMdGbH6kj2fBldtAH9Gk9q7MchvRLlwmD+ZzXbSr+2L+Ep90L91 mZShWV7rMZzb3Dhq/4hW/q78PrJ+r6ohca3tjQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WAKJ9pPjicuiVZ/fl+UB5nOkuvOQJAs9LrqbFlgs8XDnUZpCaLb9BpTjQQ7lcfETin0krqrmPbEL Wuu6HfE8W0t30hwzR9t4xMkSGvKZ8OHZfnNuw3XYNLdqIpYQMH5RkOkP7LxnZa+4iClFwjRZiY5q qYSdY8Ga52Wi5cC2Hbo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QjKrVi7WlHib6bKGT0OGTYs84rdmdleh6oyJXreK+5fkpjerLYbeEOPTjafziaS2WrFtudblMdrM E/LNohWFMg6HI5Be26qj8xWEs0q0AC8WTaKp6gJqvbS6/F7+AKuVdcIPelTrXKZOdyuOFLF64ju3 ybXKkvB/gCzTX5yFRChHQ+LRxfg2IkNNBF5JGaz+YyvIs2ar2kXSbUjGTl0tOC3QQ0oOO/oHlU7y bZvJ/NYdMJGcDDpZOufURSkyS0wkF3aAOdsXQRalncGVFQfbyohwEc4ZKnhd4xUEvAdlWzeSxdvO 1KZnNrgRbhhqLJkrU6oEQC+8G6eTCrAD3PT+hw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26384) `protect data_block T5hEEpYZDyI6IFna9r87axT1ja05gx9M51V3cKofAcPT3uPoqwWN9CdcaQ2czOfPxeq+BwxpiZmk 5ouxPXHBQi1Ey8mKyAPBofva6jhS6BEN7y8ZjdjeMWhcHepRGx5Z8s/XKWl+D6mtihVlJ7U0D+5S g/s6UKhwUHFsJTM45xxzVXqVWq9pOsioMGIlv7jk4HCnZjiPHFdhSbI+jbgKRnfbJHaiP8ega6VB A9DBqqZmM3rkg0V3MC0j6bJ4lyA/NCna8zJibq7G+p9HZ+7/nO/HvZNJp3h3L8u5JdSWbhOKwXiJ UjoiS5BhuPxd7kv859ById04Ss18OJp5Nd+Q/BOV0USYrelqdZ2XMZoMzhRTVJDdEhDPovAr2D7f zYYUEhvx/z5e1GqnTTL4bhD7xRYamBOyhCRFs35KwoemFk2UvrzJBqSWc4ceI5DQaozOXcDd/41u cqRN7WcB3Nt6hpfyGS9/2vjd+rZLP5xUp7muWZx8ITdmar5rQDwL/nfVCAD1VPvnO3LRVDchbTsc TASUTRzkMqPHNyU+LLk+cAvkrqK7o4W0enGAjncaoOkg2Q3qcy/E9slq7cEnQ88iXem7MTbi2WfN y0Vsfs4A6Mec/kxKQfPQgD733dyTE+EsKtpNc/ZlZT6uR5vPWlc4Ysbnd+DaZbHPhmaWWFzCyNLo 8vOMMdtKOEam7sp8E/HjQjQZXhl6AKmG2gZscHP7EuAHu1G3HxszgkGRqljaAlXvDF0oyyINW9BX gG7mg3KtacZgaQHuXQp974lkdaD5QeeZpYxBqteY9YlBX9wSoAXTSgBbb+2suwIX/vleCcMXTrXs ZYPde8h8uwlKjdCfW0ApAWU2Wc9rVDQdjbtbIBULqiGcqnLkhD47Ik513wH1Oaxez9Vz2yCYFCHj g5JCu0Qc0fVax+h1F81XpTXoZxsL2rJM/hnAsI7LYzeCDmaAK5iG7DdHEISYd/6FVksuY+ZU6qKu 9TtG3+pbC3BfZvsutgEDDBjaiseiTwFZ6MxgoJtNPKef1n8ocSvOpZsS2JPsI6JduIPnmf0h1N9w 9EiRAQlwh1ME2uwavEM1T/G+1ZDTOKfiw/IgjSt6hMZQD1y0ndwJopGjGQIL1adpcTGRD6bnPmpI XCsp9B5BL7gVeJ3VweYirBxI339wmAW87arvKFYuDbPoMZ1bYjzi701sy5Knh/eyFmG0qi1YkPxB sNYCjFgT1Dw0U7Y70siSm5UjZN7Sq6gS8+u9lM5meS7r9yIm/0xp5EwFktG7E7KTistYgS4XbDyE 6k3+TwthfHq/bUaHZxwz+ViWzcxNtyfGZ17YstLpJcjMi3pwi907NMtP3/n3R+oxRrBcF+VBzkbi GCR9KhdfWcHsIyNrCEzS1dK6tA5pUmPnehZD6fYKgd7ZuBEh2oYsWStMJl/y3A7fq8wRPEEy4f6C fY5EFhhCfsOH3MboTDCeb3gONwjq/xJQzpRgBw2QZ66MGiHW2SP9GWcmrc7VAHkH/7yAZHPCEHEH TtAO/8GHbfmbN2FTM9zJN2NG8UNwknfki/ArWh8TZ6rS4hXWLPkoHO/WzwaPZSzBWCJd+4sr+4PQ Tpj0I/JhYtPQY/hR3IolPXCCTH3TNta/LQJ6QPROeNdeiU8WBkZ/kqa4FU1ovK11H4lhtKRVLjlV SdlMZuYZameh9hqvLb1HDRBHWufGgq2q4R/CWLIVxXjhr/fSSwCrxuwQHSVdvHkwK6WZR+QkPL5p He+1RInYYXprQnF5lDGwom4K2qOWAXqJ4m18pEvGgF73Hte2R1dY6C8lhMtNsrLK3XMv9WJomptQ OYkOcVz2NiGEpZwLuofg7aCMDtBDnYyBjMh0st+00KjGKy8bR9SvTBElyn472MxM/Dv1s4CFZpwp unay1ixJt0HNNMlktvtz4/BY8hr7L5wD1Dp8HUSBvFsFchM+/0d1ogoNffdlDkfKYTHKJiXy24BP aP2UxlrjGpCbxBbwGdp9nang/Wzgg9UMnWH2c/hBAH5D+lNYO8P6R6EAJwsZsaUu9CI7PVe4HHJM rXvTdgi26gjn1kueXwCweltZAlIqRWuuPgOVKm1tyKDS9qYLIosgrCptaWbx057DrW93TbHa5vfu dcPC/7883B+SYy/VO77EpVEiElpNTPLwyPFxFNMx8cqMuJtPS1iDBxYDNSGmP5bXO/rC9DsCUzST BaEks/moElYWK0tFNYiGmv/s3/rLc8FfEe6vPnKhBahOHwkkJTqjS1XsiFPSPPRpxsrRc7m3A8zW km8H9Hw9rxismtu05K8dl+ZfEoe8N/bI9pNjd/FJlXnTIm0x7n4anMKTd7fFYe2EN4DlsLOtnzbE bc/S7dLmq84E8Umej8bBXTim1fCKQ/CmofscKeg6nmR6D9VGDFILzyzuYpcgl/Nd2NFmxXs1c2my FgOlkgOj0mOeRNDhQ7WsSGFmC+EV2TwLY3Yl3UOd68AkM1oCiUoEdkqGvokcLrODqGcHz5KVMX8Z YcRkBHKdRLKUKINPpWd6ux8lFsbSzIVpw+5JGa1UBoUPvye+9242T1SVd+UHgskmL670+KARvLIu 4d0WP0waZaezscgGa+Nl8BYg6lz7sb6jGJC9T/DPck8pdUqesi7Iw3iLfsCMN2ve+e+tKQfB/KqY +ZjvwC1WqozGAdq6hvAhFrMDr2YQztDQZBBG/4DDNTCuNHtELBqLIUbyP5b4ciEBSQfa/cuSbDeq ByY8cCxb4iH2poCBeSN0+f0/112jeUn+EZLnSqwkaUz4Hs/dqtFjcgR3kRHMYpkszvP9mRPm0Pnt WhUS1Qf+JXVqS6hX9oWD5weumsalaYiiKSoVMEwAZWVHIyf5SSlANdBGe9QCozlauOmWElsqObcC xYSsSj+HlMrGNehmm0GxdJeCSWsXjNFvuquw/6eNbf9o/lzitr7j0aAMrA7S6JPVMqD2hT2l453w R+d2YMOkwDxLliizqI8fR+WxN7LK49baR7t77hgBcYaq+VOOI02qj/xfvPQy49R+IGJ6fNolI7HT cXFSCpfqP30mnJZIw5dUCWDsR0Sa22pAUTbHGHu1BvfDNtoS+CmGxQazivicMUjfihxFujx08lIZ bMTwkppsBdxJ4a88/jY/o/2lezlLESOWOZ7H9/+9y0hTs3JUhXuEpmd8GrM7y4uCMEFyZNzOHkHi E+tG2dHWY+nMsV0qep6mxbhKTqsufSg5F7cTebDe/r7ZacSD+QXHbI//LOZhf4N4BxwBvbRRaeOJ ewxeulT8DH4OU2qLPfUe9U5tSIFFtRCWIwuLI8SsXz3K+32tofkyWKZh3UndsClklFfxGqu4EFMh SSZew+ZpJTSPmRVVlI52sXe1rpZAF1uuddWv7Vc1TOAMkZzz9IZV9jjGOlKZBH3gO5onUy6NzWn/ 1W1jU6pDUO51/dn7/MQ6+aCWI+LZNxcwb7rYTGX7bkEuPdRDpUDuVe+Uzl8XQYZBLsTRVVx0qzhP HRNMqRwRv6QQNCCawvyj9abE5vv73yBNaT48UzmPLOU/52z5wbLQbQ5d1yCtxkC+OzuSezq+H+Sx hcDAW3lbKUPWKn8Mz2qkOBX/u8VRVBzEVa/2heqK/aFB1DvM8b9rIfkHBRSetLDzQi7Cmh6+e27x 4lNCJLFShTI+7Gc852xQRp5v/WKJ1+ZPKWPUsUVjpcB0pFKYZeKXqgcIqdjLBCCjlH0PaAoK0Pio qV+rzcwmSwRzNEF4isVx0+zWxu1mJM8fYwl/J+FkVqQxaRfYsDFdrBMsdjhzKOiuk7faYXdPEUb5 neE+0en5dOyDSwj455qkqc9ni+Q6LZFALTceR6F/plw57riH54VmkOSovj0ZSUcczKqWbRsjxqpO b+0WAbI9W77q7uFA9F4X8D39MOxHoWYkgEIzlnbohFc3QpD1Rb4AigtnPbIQta/5voZhnAy3ctfM +M7qSczGLY0I/3hW2jUKt+iWzYqauYNXgQ/lss96TvYQz3CIAFqOhi0L950Gkmp/zYZg7hbR34yJ EIZF/o3soFLte7NMwW2cfJx+EK5agx+N/LIL8IzWTY4vi+7w2bgdMpUcl3ioQEa4OijO34j/IlwK hYhsrOF3oWPGVuLtwk6lf2inUubTrfTIOgtzA6gAJDSQpoX3VlEKOuC8iyeW3kRDPVeIJrhuo7um CfK9F8tYdJZ8Tr+qYppzfyW6VDb7QPSaZViRjz9dhU/yALfTB5RVs35jnCyUDIVXsnCCUQgOFmBi vRq5M/5WQsMwVRn+XqcUG0/7znTcT7ERtrZ0CeZor5wCoBn1yn2+VFjOJlyxbs7n1hqirBQg/Qyv k/xBGyJasYJ9FllH1498v8wcXHLptn3oppa4jXKT0Uc7XkarG8Q8nTu2t9vrHZENha1lk+Gwg7hv yRzvsfJF9gcdwKp6aFqoaZqh3YFFTep6rFSLzm6MyddT3MjaPtJdmQ8rOPR46mEDnrKBl8hzmebJ ufXxiScvKN+npusLE29PqGBUpGoY3LQe3ZTIC8WvWGa5KI3h4GBiVC+E+pJlnDxLpV5RFvTRQlki Vn6n6oRt4BKwPOFR+DMuJ1HTNMN5ZLx0aUn6HLTkXYTycj17qBi+1Z2w2W7MeLx7QcuAz367h/GF Xe3C6yGCC72KJi6xy4411yXl7qU2nI/f2WFtkwXguCtLrJlrCEKkj7hYrGkl/UniBJSSqpMZHKwM r3A7K5tJRH0h6wZ7cpY9jgZYwAtW6GqI+totO+1xi0kWPnc1OZuJMTTOsSD3Sy7EguYlM5PJsSHX OKS4vr5aXwTOFNByMWCI4uEKuUhn51kQjP9h5GQVJ2YNN5zUVFkup5ixW+KvMufhsyvfuuYLG20H uTkMdX/upXmzFDxxQPO0JIDKIzDHXC4Go5ZCvbU3RqLHFSBo3vVwHpFlfIaFDELpZFz25WSk1quj TZDHqJvTBIs93D1c6+p+ROD2DDYRp/ijLsCyVcx+4VNVoh+HnlS8DdQCsac5ZXG9WzKa4RTKWagr SZnZNiA5rkSDri2maWv3iWapcZRB7tQ4AHy3XTZzxV4KfPET5UItLXM8GNCTEwyEPX+Y6r5pH5Rx IZdIvgJ4UB7lZfCqkq973SIPOARRcN45IZw/sEakS8oiXSZGqwO0nAUBsuPC3kafLf9z6vpPh79D rrJ1atqFWHLGMwk1zYzhsHwyR9KHr7D975dsrr9IFzu41MhcPGxWr+ejUBSG9nv3ayzaZNgIo6um iZofhPYF4NgJdgqmR0XBob9TrLKhDCL7Xao476A3izeW/7NR3yKT0Ky6Rdtq2/kJCqx5Bv1ZLZ8P rVzrNmGJZ8lhZOQ8UvEGgvzT8WLLzqOq6zSUkFMiCbRgY9GtgOH5mq4mGpTAPUa98Gi66HtkV52Y p0lKs+BYbRBEpK9uPvvdIGL0HrRFpVYGUahqovOfvfxk3DCmJM90hnVd8YW9/ab6ZfffTlkyIHMt CfVK1ZO92uN2lADN4MzDxdh7bGkiIvuQb+KCusu5q5M2YU8qnvUzvx/UW898hKgUJIpzKnI6P6yK TFEXYQRSU9n4nX5pL/tWxhrKAwxqglfLCMbuj1+JT41FiKXkiaLnN9mBoXwAb2cAeEYhaM0C6f2/ FxqZagopTAC7M5XRhi2jh8URFsSpICLmwhWPGdlEDMzA+42e/h/GnXNXix1zqgUFLPWH6Lx9gjlM 8rJm4tQvCFJWsT4Mvp3ytkxxWq7sL3JzcavWC4Zd5cCtDnnhHaTmjIObACZwupWxbbruKpkq92xZ bHR+wzhHYO07aG869hCKxlxlVGxr7fdcbU8KlD9WS+ojFU2o7bSeDSSlYuLjURJdzDkwLQEMHkAC NxM8vr5NOKA65onfAQFqbJ+5xpZuW7srQahIHUujzluIf9WUKRV5Flq+Yrx3coXuGivsUFxw374E crcAXUp55gWs26NAk21gjCi0Rz6zVgDloAAgv5zKcmP34QwcMUL44m36DfYCPx1N0E+fhhPuKiLY NpKQDFS94XuOanieJiktbqPCWAhd7T23lHtZ1ZWad2JsKmEkniCUXS0gPWOzXZA1LYtZechjj8sd 3hidnQVfr8sjTbrU5XTtzrbB9+EmPu2AJk3An0t/YnH22l83qq7LvrMtB7nz/aWbyo57NJ8vhQqJ 5rkXf5lfs9DEBuK+fRBwJ0uXXNxBzwgNY4KEHp0NqENhrWCV3suDZojJr2IfsoX+kHiYuc4pkSqu w2s9EArUY4y9rrItgi6qNWP8BPWt3ssqJyhkHyGclybRN4fVVkTEObDoWx/DKjCvjXSqzXELIRv+ 5OR2DO2OGG50YJwh9NydXLH1G01d/wNJIB4IQ0iON/RAQ3g7RHrzPZY0uSdPBGkKmlbt2/MPJG9F II3ZgSrurKW/SswqRzYZTgvb7DrwFc5gGflD3Yzc0qHROdpzx5pW+CISud5ytWV/59Rv7eAp9QD2 KCNGP1VBcoUHhFlczEKvdAtm9vtK6ulS4kdBFPRISCK1sSXj0BJzE4TS5+1luqMz/B6xdMHZ01zB t6rO51hMyYRRvalJKWcTWsSPB+l5NutnV3TLGyMu3maztgrWdl5aXX0pYwQO5LSxg6oHXEovLRmL QUHXd6I0mK5hfFTvRgJklGID24UAdphp8R4fzRgBm8fEwBezAXVgNsRHoF5eiOF+PnNXScT5DBdg Wm7J72IJ8iP+PVte944b4Q4MoizieN8eh/Yn9PJHdMGMPuNI3V+W9N2lCRNpIqWGrQheWZmlTrQc mywcVfdm4KBLrfP6cCmi3HBs6VbuWAVNfvuG2dFCZZ+fycqPoBF+ZtSDYNryDM5rPYzz5ELdQaBV 0EC6f55/e3yrRlQOzgqkVXvfKldfU7lqVx8h7U2TTz2yzBhJrjq5X4DyiZiriXZMI5qYekCdMdYM bieZjz3Pl4tZzv6JcjNYxOBBc5R6oM7NHOPGauRwjhrLlErIX+w2fwDd8DSlZTrN+hNteyh75CzG HmC0mQTJ+AX42x2tdlgMP6OTKuVqS7pZ/2MkwcfzZVlZX3QzSivj4h4/4gradEdv5F6/3OyNp/yQ /rlIyJu7a0baA+r9xE7tlmtilhjli1JRZ0XSaaO1e4XIyqz6Je/bPNSAhZHD9hLLixXHept6ETzW VJ6x9cvUGbXaHNSzLcG6mp0PUIvsxrWbkjdpdSyo5GKCjEu898r5PcdkCxYLzd0HV/e+300bDSZi Koev9Hc+6M3HBk6RTpRnTnH/uwOJ0OEPybUN0nyq6/LrTnJ8VXSFa4IlnB0r5kWSem8yX3UDWhF/ 3PIqbn5R5gUd2Hgs2uYswAFe39bQnIOzo2I2MT9zw7y1tOZIpIglMHbf+5jSqVus0QrVLG9Oo4u1 f8Z7pwb7G0C3ckQ2KTFIxGxsXZS4b68PcHwAROmRpMPH9lPjAibGyg70NKM43JgsMVOGWVh5HUvR 9q69KnBvr3QtsquuVIVEHLGE0C0vYVd4zkMaUBM2cjzuS5KMhoB1mHwi8HgGW/bC1fDkyNzV7ICw eloYojix56CDhjySo4GfmmioIOVOsb75KWFLBBE7Bz0nLwfq0LCKJ4rpaB77nGhAbeJU+oB+9ZGv +tcvfDTr9S/C1EtYBZdbYX4y57E/t4Fk8DbljUURFipZekTd8RCFk/JpQX/q8jYG/wvHEw7wTAY3 oVRX8BOkfwXjM+XjJf6txOctUdU6nQuOB/1Vz1tpyymgO7KMvOncg8IKvJHPjUR9ONcVpOU7LTdQ Qt9kgRJYXRg6WmaNOuHmVMTulFwGXF1uMacyJP5Q+rLcIRFMz4r4toi+r16QCbcMeJBUluKpHqRK pBdVKoD7XQOZ6sO7DpG7yVM5Zq+qlrGxvyX0tGxccDJlpDlhnfkAHVhKILoI+gWtXA9RKOzgoAPB AUjzkEHds00ndtS7Q5TykhpDH9e5PRfob01rqIaZBY7KJhtCPkjaB8noFChD8RGzzzg+b+tSmrAC vFs//poeE19G4X+M1RYwgFwoINiN1BJTVoxteEMwyz4hVOG5W22m9eASrXD7fR9kz5Dyq2SNNhql JJdVh7EHptUnSIRO+D4Ob3MDlQ+hnyOHW3RBjh5v9RP202oCkAjmLb4fCbQNez2NOuica/ggDZOd oBZi8D/zd3U8z7qdzcRhKjhiDIdbivmZ7DnhWM3WxM6p243RNKi1alKVgCtViKgJS8vOyPRfplLF OK8MznZFVVbAlxn26tM60HPX8l+PEtV4X3fwmIvgan6ehaw2edUQjIDHR97FWTg/rVMjoPPaiiw2 9HVBDcYLYev5jtYP4qEpGKXlftdJ/OFofXPkCnCciB44IjU8QCyl4CPuHJwPMNkeV0uBtlk5uQSx LmUH6fMSkPpd75HxWcWl325Mhzqg17AOieDtT/aQgNEiykrWcdfI3XaWp0RBNpm0TBBwZ6HrlPvR Ql0YK4j4uQMLr00sew1NazPKtBrMg5L6dSfF6n/6Q9Vw8aFd1f3PWCZqbkzi7UbEmCDOMsmJ/z37 K647eXx3mRLT0pwOlSXIRKb0Kkkmd239RmH1D+vjqRvTfxwuBVTbxRR1m93Xj+W0D6j0ocCBvC0C nbSraJf5sc61JRnmgJWhuZY5U3enpMfWaPJOrxu/LsyacqzZP2D3TKX3lZmgyHx+1s//7j3zNi04 5vsXtrpzUlCKj7FA3Bx6IPV4LpCUBeJndlr+8hns04Aw48RX0MMq7h0bF9UdujdARg8bpzjneXEu 26ZdH5pt80iLfj0LABQb/24w6rt5vt71E6Y2vIuRY+y3rY2spKGd7t3J8DAwwoZ4ElYE2dmAYGzM J09IeTegMXrOea0pqtL+aDz8TA3MR9LXtm4RmpS21JX7obQc6LmKvHqvONDV+ybMTlHM2mIS5Oce ZWDlsWeI6asmlZNVHPexGZwkOajx3vfHbS2Sjgt6lr2nIEyw3yrq24rK+FD4eTAfUAHc94DRlBcH qceIZufk3EJZ2kVk4IvIsB6Fd5QCZLJmuPIMUSX9y7gShfcUIrZKAxjKN6qZCpCukZA08GMfKLj0 SZ40WyUqZVrzPAGRCtHJI93DLUkFXVsPuRfBWuGmV505Wgd6IXIPJWVF7XYMUd1yEiekUvxo9Fxl uLmb7KUodKVkY5fAAiihlbbjSm5IUhTmD7HfaITfBt6Zdl9EMLq0WsurAiVwUdnGouT6nEd9LZNF schYDU6odbl08iseWjoZcF1GyB9UfTnqzhlt4/0IEHqFz1vEiABj67al7WRV8sbovp0HXSei3+7s KOGv+9CP4Hi01vs5Wrqg+hKp56Bv4BZhnjo1DiRmJLVznKiqM8U+mzDzkJmWtHR9VmAjGrHR6Fb0 hV9tVk7dwzQTc6tWn6I3UPY629fKrtHXqiUEt0OZdDqvmvr3vggQkMPUcGzm/5wyCQOmIHdggM8B RRShm5I9wbxNW6AMLSWUBp7BLpWEoteNLFl3DRa/5avCoVoM//DVVuNRwCluW9NLooM/hifOw9vd DllA0wX5AKwN3jTfduI3bfkjA9uMPosItPdh7TyFtpAP4rC2JSjl7yWIEviIMn/yWYNeqUQHqv67 uCeesBqNMJwOopoNSYYnwlHNSLlaI+9tF5QFu85RzAq4taf1Dqfpz0jjcOhGEc3hp+PkkTef7E3r JhfHgEQt9Pb+uchraQ6EeEl0JWpAEoJV5cbJEaGURZCql9UMnBZRK6ZoNVi9xhdK9rFmvq6Avu2a TPpciy0wT0ylaaoVvc7HxLSV0vNNodyL87Z9FQQhJ9X+4f43KQa5ewz7nxFMigjLI9sQiUtNpadl 7sL/f4jR0EY/OWY0yKrsioz4PgTIhaESSfEd6l3ZYtNVyHPD4FoVnR3Cs3g87/ployhbhwFZl80o NL13RwBgI7sqwUrBEsHe4r0dlMh0L+WUmGGwPWjlbG6MGefNwFIZ00SAWk7/k0IytFtvjLsTIcho 5QqLSKgHXBqn2D2DpCbtl5+cmGpW+4dNgJF8kfhx4pfAj8XHIaCMPDvkPd/TWwFhgHMZ+lHtB3l6 f2EZKwZA3wPAsuUoXNVfl6wRHqSi6eOwx87R5F5aAOGnzP5By3MsG5WKILG+Z8JKRnA223m6WhYR PuzErCRgzGSGwD6U9XTh9jC/BjYO7EgnlG7Ph6AabY4Rhw1zpz2PmRVZuQAy+qAbB9OydBlwRMn7 JoXmsObXfT9DVEo4QETvMooYswVGTwpE1ihV4hMWplKxP8Leud7DOf9kO1fRubIjWP9fCCuRpK0o pEw4HjC2yLi90tdBQg5G0I8WRmMo5KTYT1rMbcmTCucqiKu+lHOwnB24Ig7CvQ5IP2Fxa4vHP3of 0RcBusgEWi1l3fHD2Hu+OTSuZ/p9JfSevecJBhIrSXDvIl5588H1B9m8R+rfhyLtclaR/PZRzocl jqih3OfLWj0JpKiqmpZb+wHCVp4WizZTSlrvMQ3Z37qEJI+L+YxK/Vm+R52dBDjk1WDnOLGzYz7j X2L6sYH0V7aF+fQaFVUn14H1ZCyXbqKsEZ9iPpnlBGxZctcwZh7C1fBPQUTsqFlWxUbKGPiqVRKS fRzFwc4kIO+ynGmBsPtW18RBv1CBAPzBCHKx1Dq+g7l6SAUxQOUyNrFLPIYOQQv7bs0EZ+AlppZM WqEoJS6/0mQXSSMihrRbetkEK7mRj5SWBbLZrt6ZVlkXCjK6wO2WR3Lhp7HUn7HT388lcNZz3FfI EO8caeZOcFsy8ERe/MuiHV1e5u9lEtx0ENKXPQOt2bnh57nfRh2MsBpSFcCCgUpuwTm5HMv4InpV 0RowQPICQNnQK9rIxCa5DUYIRghWdoCsPzMStZFKFLpE9RsiEB6A9/ppqEGyL/pER4Lf53bslKke mtFG04aUGWKSxYKe+QqrW2UV4f10N2EcfOY0l6PZJKaTLe9fMMxhVwwsWyS4OqWE8Qs37CnvapU2 7UF/9/TgyCdRiOY1GpCkkBsSFQNRObDTXjBkXHop2HLdDigRlhX8Ef92k4EtxfgrdNKVFh0ZIWxJ hdvrGaOe7ZuWCnhChMzoot36+hZHS2+RUnqH3NgiHkHVIG3qOjyHnZhdrClcNK+Fnz7SLyNsKvAc wFz2k4gCy0n02nH2UJkmtB3C4mSMfb4Edyq/bqWR52UF84CxwZu+EFVS1PqIg7sFLlN58NLF4zp4 ofkR0VGYgChQK+/K47vDsnEfXUBmR+8Gt8B2uy4g6pkeRMyEWrhchNnw6e4ONa5KKzZXQR3hBp/p HPwrvAz/f1bJGEG6Msm31OLJsKfN9Byz716dW54lo3Nft5XjvcZCb5jeevN+1Kdbj1pjBbRmyWNQ xPk1f/NPkF8cnhIqnNUCcKjVypcS2LmPtf7HMJY9JhYhtqyoQtQrGbbpS5GDgD3D57QflmklfFzY wwlE3JRmVgcAFDM0yu09L9JsUSYhwf7CYhgRwu/YZc4LjcqMn8/lHi450An4SD9JN1oau5w5XhpO E3QM+nKqgzGOS98xtD/DkWhYPAsHiOCN4VdRcn0mMz1u1Gm9F9Lam3YjgGo9XVmiCfva1nmVtEqb JaXWBCTfYDcwM5FKUSaRca9TVPUVwxoliPIYIrbotD7fpxvuSKDZJKWEp7eNZSxKw2UtpnAhMt3l JYoQZxcM8Dh3t8m6WdR3hBKnsUiqucMj1tpv7t9rvygUSgLmyURnmrKUUdF7ty+FAHYQyqhbuvnB 7HEwhqV4r0gwjUx3S+/8BjwfMs79p+xVdzTso1+tlLEa2tqnYfr62/oy5K0LuMxUSei800cpVcNY znUr+pF8L20Zjm5tP3hBGECjRpTpFkKq8mYga5s82g+9jLv2JLeQmfYr9jaKeRjk5K44gBcdarOg MfPXwSrpY5soGcG/Ga5zDvwuddL8OKDfTn9Da+vd7KTb/IUzHdqn+cHiqKWKmZhOwEhLdl1uTogf LjXmwYmk7Z2XK4wsPhU++sM0FVOPDwuBVA1hElzM0yRoySTMdjHch7d323c4ei9U3woNAYu2BP+2 fr+Tyt3wPAZDtK3lLHEimoHWolqKKBC9LlpTxB+KyVpAcXDWdYt3PqytURhqwP5LQ+EjyHlEC90A AVL0e3Z0MKR8r8etc67/C2ozvUmRVFfGGI9rn6hWufoxM9sar875aJ8Mcx/VnvOEG94KHPyer0Rq L1gOJ7N+rapghyf9e0Bd6AQF5WJDRk8in54GrzRK8UiSuq2nnekXiUI1ElkyiZ87HStilpcS3ojc hs9c89afygZfgqHhjDw25WDCfb8NFTptdghkFggJyY2TWcPcYJIZAtB0VnZhyKlCAcArFkdDUqGM 2vsENM3pLkbuj/GmwyZENi1j5ghTVbtyzs6z9vas9jZeo8iy/53CHkl2V5OgcLNdpQkgLL/rqeQG P0fbsXrKrHQ93ts3gNcZjZ/4QXD5uT4eN3NnFt8ldwf3Lj/+0VTdKBzZoHSu6ynLafk0v6pt1Bwg VTqhenxFn6DLTAschVFc6o7S29+2nJtFl5IC7mVpj807KRHOd41JjIBYsKwTRr7uvCyZv7djP8f2 q2spGus2ABn+mnE5itjI6ifLg0sYmNo7rXk+0tNZkDfdFA9g05Np5i8cuN8OVcKsyF1ephFCLRc8 RVIkNGZGgVKBuz41PUU8r4q4cpJo9ys8fqY/r9XrBMrbw3m7dd9JpZzvNJwyynGK4yvmXgrgG4zn 1pcWhbkogXdzwQ+GlsEh1DfD/vdYox84u7ngP79zferlPqpvjtEreadudXdoU9xcAG9mZfDEO+sL iJtCgADnO07llzBq9ec/Ieq+TU65OzsLMwaydNpFO+drBirZtlW4S4MVO/WPBb0UtQlihS5TTGEw 1USTSlLge1HPRy6BwVA5Bw5JOgs2/LeKBuedsJ5SswSWwoYvX1vPQzwg2e/dzANivaxYlhXquoPc y28n33pmmPpMqh3bHIXSc0R5441oO+yWiWKl8/r6kkHsTwhuAobbe8fklVBQDVbHUdTrF/I848gB ZaK8M+RqROJ/MY26nwOZh0fLtUP/QZjJ2qC8q3ukVTtHwFVqvKrFuUg6yiMF3yzm+qG9R3WWp7cj 4XeB5kivHz3camUk1U6vG8vsq0/hHQR//CrcWnRS+5tHoCzOkK1ztbnW30fW1Gg61GaFOO3pCI3H dJiL1PewqVQx4tBz5RtekEzHHdbHFAHLNFb9GGep6yaNmEVdJtNRcguWXemWFVh/A0RcJT4k3mLi 5rw8av4iuWrT7Yb45Wyd4Z3YmZpulxXqihvTJhKvXzBJADIaTgHJ9erwj4gaexePj0d1dcDFaJkM 5vjK42SFqkCxsOZKrCyfATpqIfieTVZk3rfLYZlLXNYcyTWNkBDy11Bx6od0q8raieKMk3vJ9koJ yL6aosGNsRrNOuHYbDA8pB/+cJDSrIdo8jbbWongGUvjkDur7NA3igM7CjXxqUAJeqt0AjAQg8jx FvKXMT+bSKwPmvnWjGRN5JbC6ppABvUd1Uj1ldcoT7R1GH7UtFnVx8Jf73zkNd66A7iwgUfcgnzq V2tTYGZZi+bnnKkjzVTqjd3vgy6a3qwUZv7UtTTyFiW1JpBU1eOHySDN6/V4HzRsY4l7ZSCUrIz5 idOxSc7ykEP6waId10bsR3hkwvIK1HjXbhoIU/OWAALMDKwnKCQsYfYywFXsk1qjM6+ltP6SJk8C QtpS7pIV9bPN2Jhy1TLLMrtrCHRg/jSF1C64OcbTY3F+U3O70ogGV9CvRBuBTPKqcO/KPrEmsCsU fWqDGH6rRrt2f8Q75lvEQ7GauK6vmOpI1wb/mlnsxnV+JyBlkSHiBxxe7NIOFVsrWYpcfs0rTu8J TRXO3ZBmoSQKCF/K3wu+JSaHFGwWra1PaRjT5F6KWwWG3rUsVp2segJRyv+HJnlinhq+1LXTlgVT rDps1xfSLbDMDo1/NgWsrYfhg2BEGh2KIwHx5SlUfYPeCUcDWgmruhZr6+6yazhJUvvYE1NlL0M0 Q0ILOji1bJTufyfXrmaFJRYGbWzRVh2OtFWVdckEikVXiG2vRzPc2GucKdOeHqFct7xVym1Yq6+3 lLd3RGhkEjo0Cs7sVDuMSmuBDrsN+k+UMYr9dpsNUbSNK6xZodG5D7U80XxxbatJx5hIKbQ2aJOO 9ASCR5D6rWz/ZekbQhxabupOm3CE20F+TCLsq5xBFEzjoAaK8eIgxQnT0a4Q5ZSBjgc5PFkXGM2F qMU6uhpC3NL0NfqqJK5fx6PiU4u1lkVtYvvTJePUo0cXKWVfyKQ6vR2HGmahcWcrtffsU+2Centi n3y8KpnSxkQGDcmw0tmvDACEuq+AvHb770A0svLkRJikMlGgpC/j04pffRZZXhCzoJuW4PF0JLIY u/uaKgRpmqUtdaq5Kn0XpYPgJVyaBbysZop1aidoHdCpq3Tu6PmbT6s/fpzckg0hJ4G5e27yDLNk seXHh8AubvOJYMZLmKa/0bamQYirpBP+UWwRo4xtboqteXeopbjRSULzSk/e3kNAj03gwRwq3hkS NmL6RamtowRq6u9zZYCrP/9fSkPr7MfB2mvxp47M0+UUWGSDMUTht09uzLiTkrgHsJ7UCW4AKlc4 r9fsstPCaMFNBsarh90zX0h5RgxDeYvazAvh+tdVNRYQKIOFvUR8seIxmuH2QtS4VhPOyI9qPT29 LOqbs67ifI8BW31TRz2cVyJ2gLxk45rtKVUiwjVBAZPY1RIxo/vobWfgZckMWJc5Afe5fFfcwKGn iXunXPOfHUDOcwCu1VLHn1tRUfLPMwDK1dWvkvDyCJTckqAMFvN5Bmltns1HgQjNUkifF35Ak5TM 14YMBCemGmOCL7JR3yvkgC4H7tQVJJl/cxKqoywfhzkf/50q1sAEeK+Pekkfmn8BqnYAk9ZrKMW7 p+h2vRNiaHmtU+lJnkX8QmpJbKG8GYaYvRCOuk8DrTwmTo8FYWo0G49hPYQzZvJaF653wWpXLyAw TJgw+NhibPgBSh0zuPYtbHPWWLQC6pSkOpnDGGDgId7b7BDTgnOIiEvkahyE9aUr3yM0mh7NLreT kUhudTzgn01Dcm+488aVNslTNMwaWqcaa3qygc0caRcUEb7qZu9/pkKLGFRoJYDSmYZDk5ZW1MrD P5ZuHNiUflA5q3AGmfdmJpufYsqID+doqj9xH8QPEL2gFG89iSVEJL9onCye1HJ/mOFUXYCvD54e l7SJ3OVLm+hwnRrM1rymVwRXMgwOsimqtf6IqJjMRYvUFBfjoKhT8c9SUevrfJC5IyomGi8Pyk/z f5QfwENYTXv9TbEH+B8NgTMdfpZ0lP1NxMRYKipysoLlKYUEIhyilz1R7jsQX63p1pXxguI2Pkfs 248uPc3iQs+FKSxXF8nKykJLFPbVsuM+DLv76/Lnjtn2eckrMWPY3UvNLif+EMzQtNu1GPRYikZH gpDt6vEHnPIYZ08ZHQ25CQL5H2Q1DAltWJOaT0UDBqVHgl6okm9cakqD/3Xr24+5op5sl3QzwtSy i0msayZca+e2IdAa4lqmNTjEVcv6V1NMWzliQkYyv/lFJDL+vNbpDIMtDUZVYV04Hb9494npPfbn AYjTAe/EtR84Kr+8vfaFb0kmzKVgOkWFGx8SF8sIs/iNMdLvy2pLN++N7QOOrq50kXffPqvtTp6Y BLj5yBuVesiZlhuR1lj9Reog+gWvBe/djqPosSlBiMykdQxGPdNLlR7PCJipHAP/+su3c4EWFg6a qfD7DQ1k+JocuFvnHuju9zZkywmWX+EXFY/sFRfagn1b0dfNltsvkXHXK4xfjTzsDw6mPTPoliv1 Me06VGB+psOHTSxWuMLAZZ3KllrlBlTvg8yoxV2y/yg95HFLIKzoFcCEint6mCZnOHZ4zazpV8il QZSDY6n1Gpdb1S7zlef4/NksX1GLk3bRjbqrZLcZOcCa07coUdpjUcM+AotSENPJromzJA/a68rU DPu+9zCbm4JRmLui0Yj9t3b0ahQOHZRKuPvBoswZ5d+aW73J7ZVi6lOX1rn0Hesrknk7WNZZW3mG X+XZavCcCHC54pT5pALkbjhteoWif2on/eqmP8qnLtivmNDlAhLqIqUTrZGf84OUx8iNEWQSOXhJ RDOVKn9EnJ+LlhZpl2j5bBCxVY84SzAKTY9XkZa3zABDbH4O5aQIR4QlQJveUSYSlcxMc3nTGlA5 Kcx9f91hPJwGci1gBNReojgXPV9JlRo3xE5T1c5vFgvUk4YEyhflCGZG974NqgwLzAPtCEr6fZ23 yI89ukbp/s8S51HNpTg1wATrslC2hwdMAEsfV206PYiqZ0zjY3Ur1FFx/HbGTfVF95w8yT3gyAEO tsnwLSbXbRVa5twKvghfuBkn3EZyxDU/oxPZ4V5ceOlPMYmJEKeFKYg6w7L5TyOP3sXW0/hcH6F9 6+blhYmD+IAv90sOjRLerDxM9qewjCXX8yZa/oURHlvk6Fc1R44sHqdfAG0uHUbp8K1C3Iokgn1l pKuedKD45w9pZQbfj2B1ZPr94XThATRMh3hNtLSS2v4nioiCC0xoctQn2ZOcOvZue5KzFqFY66Uq DF4PaxyIq7aO2717K7kDmlekxVmpZBhg3cdoG9Osg936Ixf/5J3zr2L2/lZAzsRHpXRfqMaQfETr dqeZrrhtLu3G7Rs6Mj81ri+C5bqV/w9KSVC/Q0+81E7ypMqZT4i9Ddx00yGn98/lZwX5ztoLRTAm 17kH/prMr+Gvbd3y2aIDqu2viDEieKpNzpUqJDQasMdkQZ53uYKWASwUXWz3taox6JzW151Fz+FE rV5MSx6gTszaFe3mC9ce9lrcUGsN+9MT6wk4yzSZvOILE8/DCjQ+SGCiBVzUqeM1qQ3ZEgh3Mlhk qbZt9HZeWvrEw1hBW6vcWffx8eFZ4NZw7360DrtYAsR9Dl65/RJvPvlV3ct44tam1qAnGeDcNMAy 7xyDdsL8zFoOMizBSdGE/W23XfgVdURZzAeuIWQn08aq0l7mPpReblGLbJPi2fjBhzU15EFVtAxo VIjcnaCYJl1lvLoGZ8S3g/V15Igh6wUYnzdFEwdlz8FgINYsX0pIFhI7dL/Fbyq0/86OoJpXWx+I wU927ZmoAKv+RrWwvkLljZlLBLNxYufDnbhQVGy1AnWrrQl6UG+QDcKvP6Ci1VQPJmGJzuJIWARu FVd3aViRa/IR44hhfjiRhpGJm13o/17kVmDuNDvl0ru6zkLs46nA16M3oWbELN3p9i8T6ZxtMWK7 hcbY8mw0S3LqJB/EfV24v8Z5pbSM65KcYpEdvpYtXoDCYq8qC0WHP4S9q/GUw/+gZoI3xPFBy9s2 1vjtdXajD5d7Nxkk75sYe6Vc9QFqOhKQpAg4F0uLVvd8tlq4K51huKyiE0C4PsQyvyn8hJGuB9KR FQFes9R8zzeoIxy0BzdBaMd3eBLnKIkVZ3LgLPNis99vwQ5oOTdNSRWUoMNfxEohVII/PSlUzCMz JYeTEonWMqqVG3L5Ynx3UTSkXrUkLYlaXbPFSdYxF5FC/tgimAaMin/l+iTUJhmK/bn580QmpCu7 KAHUazrjh8QoszK+WjOkzJjxe96zxaA3ZpRQJUuv4YDKTymifX+D76ueNPPCBZi8u5LPgDymG1j5 Zv/gTOD3FdNuI5JIUZ4fQp2zcJuviKkAm+DcbLFVaxCV2njsubuZT8uyxU7mbFFvfhWMmawEF7Ua Tizh9zTDAhM+J1/WqhzmfeTUvK9FOiawIs3EwSsdA7nOfFiR1cgtoLuqJe1pBLKM+rFD+GbvBoGg MJmVeXXwJTZ4g81W6f+Oesu1ahkwdF6p+YVYBT1fBqOLIV/FJlaMNnrycUG3G4khA3FJcDDTMVPt a9anYcOSEQrRJDBSwSRvvKevaXUW7dYXTB8wvpLmYbvdezQ8iDtBYSYnSVXAQOhxEv1OCMsXDvmm YmYnpD8S5hWbWubbqedYfp43bjZN2NgqljwgGBlnE/oZ6PBk1I5rt7k5FoXkXXVkesXv/cgEsxcT x+3Rc91HrO417qc70s58lpaZM38XkhPvxHQbvFdYX+LW+fH6NarAfzPUADFd/nm7KLyLv1M9YmeR Dh+1tieQKRr0s+ltLyalvWrweqgPVrvxdXTCbhVbRnawcVb8WoZFZawG/BpuEL5+4Igi7X3/jUpT lsUHlPTN3PvEi890KUF47+5zLQnrH2mND9erZZT7xjtzO2WG70LgC9gSwEdlSZx50/JHsolGmSw0 x5Gzw2uxOYH+Sdqm97luLHruSLp/NvnkV2gu2Zn86Ot3ufx4PBqfbtx4Qn6MFLJuU4FdeyHeBUa8 LYGf2TS44nCqY/ReQz8K09IXeMYsIBdiEXymb/cdxtD71IKdK7hTaApOkYpLndq+33J2HbPBNi9n nSiM7jQ3+QRlkOiFSGo9IBYxWK0wm45YrYcifP1surj2Aqi7+j3pjfXMSRSr0EQPauE737LTtQNA 4L2ZVxBM4g4MhDaL8iuzuj+0F+5M5VcZBWWGEdSwZbK+Kvp/JFjMymBsXG3oBePQkbGgpfl4bFWC 0JiLh5ZXcVdXDChVPvPk4DTFaw36i3vTMqIzlMno7q6HHiCS0vgjm9qmbDluGj/Wiq0pKLo9FhXe sjh813QaCRYD387RFzLw5gErKU37x+JdzVmzpeJfA4FI55aj+QzPh2t+RO0ywhYjbuEc6nRDPK7S rI1mCuQhT6flIgnvmtHqOBWL2nWYjM+9N0hL0LYjQkHuewZDP9olo3hcH9rlz3NYYJI05I7R2yH4 yqZ5Ewrxy6wyaeTpE+zGduEia324bPOJ9ccYBmK+W29I/enAATm6XGwgXxRcLRroCBBk5dYyfv5N SHCTuZhaDtSDptgt8aprDCDYiNuvu3kZ/hkPxCEmCbMHKs4DogLmUJy1U9F8UiWydzL1IPqGaIr3 bg6RY/trbVIcpwpsZZ7awV8OQ/oAC4o4rldRikQxYVuQfaBepbkGzhP+MrVA0JvFbiVacfsITnXj 4dV0rPf+6OegXExeHBkSIvU2ZF48tc5RXlB4ceAY1jrXu+Mj+op8hMyqdCte/G6aGku60FhlJFIF pDc4gPQZouUbNjwomzqLFLxDTYpKKE0TJodRF6ooWRfrxvlDwMOmFyOpi5ggL3q/nLUkvok2P5ch B+oi4nZ6GwzRZh18pyZEOHPIJKVDly/urJ16vQw/df5XxgazvGQyhC4pX6HqqUnCs3mBWAJ5IPwn xaesLhU326DvQgc+CBbvEWB3nWSNL7ecCyFHIDwQ2kHHNFk2lXuL3KD5cEAfa4vVZfzhL7A7xqR1 ci3J6Uc/rgpByJ4MTNVbqSzYANSjEJSF/vsLBvHsHERnWnSAKBb+W9daasxJwu33NgSCUMG+/x8X ekNtaKhcyq7grwQ9EgUHzMK1k40pZ36PaYSPk1S/nvvcgevoiMoOypCmM6AXpwRqD/jxylIzYKhu zzGQi0IGzyRxGlIl6uWS8MBM+ANAv8l/410wuVUaQz0l7XCr0JzqCTblo3/rwbVdVjnYPx4BFV66 OOXu3Oxo49ZgJsnazLziWazhrLHLPW+CSHuDDVpOjsm4wCePWf6DoWhetMADD6zzfZd1aUhB4dGB XmP7Eu0OuTM9nRGz89QewWrcd7/Vp+KmQAht9H6/NOue8hkwIiI7YPDp/TcmOzMwWQMi/iEKB/Fh mWAXaG/ZCJu+Djmb2L5DgTQakh7mOF/YstameEAItMHaqUqMY2f8K+T38NBytd5xw8Z2eE1414Q5 LVHgtn7xup8zX9C9lJ1gbAuuT/eokSeTJlqFIE3A92zOwg5U+6IwBcHDM/9ipmzZBeOxM2y6t2uj /nFMK4nYpABpm0wga/S0NaeZ7j9i56vC5aHuAosLa7gvAJx04dw1jTHO6VMXSQkwlmyZvZU06z7X jwipX6q/1nUcUw/ZQCD82dzOkGVSSIgWl4bzFMjHCBMlMNDR1UWV7x+m6GJZ84dMBdTf6n3o6OC3 NXhGI+5JDBljjHUhulas5sR2bZduJcPSjJ+tsVMRSA10nZQutstuwMIMMZiFPzWSgF4z8ifijhY/ JLFYThaukeWbTJ+3DsSG4WVEydXuZhrICYDWXa3tPPT3PJPaJuLIv+wddJ/5O6wjAbIeYqz6/HVC IPaHdx32EksOx4oJfS4QoP8ySTNTjPEuuRR5cCsoKzk2VYB73g7Q54XZqaqmOASCOgpc3YSb5pGY CNBQLKhk10n56xMpNv8npWT5Kh/fNC54ne0G77KpuFZ/KfINkXzCqzkE4l14Ev3u9E7TF3W0iMvP ykzVguUafZxsbjHhr3zRRWNCKgY40a0NPC4gtmogzQ8yKy3crcDKrTxJ1JAwdNNGcvu3TBvOL+uP KA99burzNhLQj+SZwNxkCJ8/iPj6GiDeXkpO4aZKK0MKeoE3GpeqqjwmpUSufNzJuEPCpJrJ+jWU DPYXLrrGvgzYKFkZI6TCVJXosoCdFzwApvG0UeStV1kFHd1uLKjp37UPy5ypRbT/AKjIl/biWSF7 lERWPX4QHIOU957qiHxJANa76yflcUubH8K5YqCe2m/t50zxwx6FYRKlXUS45y2apcKfFje5osi3 J7GMyyesQsar6ch4I5HzjaliXPylIQPKWGI+cY23dnmERXMyWdj0mBljtIyclGfaz/S5EeuEI29X aOD3IF4KOCOUSuXK7PcxGj80d6qc2tKdyzUbDCFElLpk/IqPCMcPAGIaJr7iYmXgjMJFeRK+WQ8C ERNnQ7V3qJNhmpz+YpQxTJQ0+G63Q+ZK8cv1R1eexLLzOAPzDY1y2x9kHrTSyKYcRsii+3pulxVG K9v+CKeH9gyBC7aJVAe9awdHUfCxDvSXgTyTC2RqIxRtohWlMZ364LJpfyZ6rYghxcnUdVFZD/F/ +SDD9REKBl4TjewngY+/2iKIUECZk/iau4Ezv1jGRFBNiWB04PZ5OglHb6z6wFdtjV224WLyAO/U P5eEiw+stZcpJtypz7ZAYNkCJRc4Rvj+t7QQBSM+ybz/gSNGuzjnNXhz2agjfv8LTz313tszoH7i zte4xuhRkYmeUxtAuf1r549y4lXkbQ2QjoghnZP14Uy8Gqhq65bb2Q3SAFwvFejaPxtU6h008LyU TaHxRpU8hIqqEvHxUoCp+ScdTe0nxuDAuxTj245YKyrGS1em/pSb6WJ+1IhETQQ9GJ6vhHEq3XjD I2Ziy88++ZwS5ykg8T+yVwQsS2mjwn+tZvoqACk7GqZEh4TTJ3/H4uz+nT5RxTygcfThdFehm0zR ZWXfcyvqZ3tUHJmync9zU+AFglVZrEiyChBhL0Pi8ofGaygDIs6q3DHToR1aMbKEhVBBaFiD/dEI MwoCcG46YTjLVGkKw6f7htYD08a2mH0DTokhcl9tSV1uAfSYryzaWoMpQjV5rRe+BkBMm6d6ZlhJ poSyUG1408RM3kjSpAWaKX8TgCYZX+t+8QCNdv540I9qB2cXi22YW2hzgUZNmbrwS3xhzA4TKK6N 8aOWDxktEOD2fGnM1GDR3E8FpBn++bYMZ+GUhI+8jsaZFBMVXET4MbWfdrKnsYnGEEGljbq+ci+K hI6DH16gyBzmKBZcFa1U+ElYDE12WaaFR+yacQhTIYmVdxS1FQzZ/ljE492yXLanWlNig3t0Exef MK6MR1rHi4fKI+xq7hpkCIoRKHb4/vtgTIGf/lub1RadyOIAUqodr557W7ojBMBavGEe6B0m5hmy dnSPZ6WduSLIZMwhN3tAZFh11PZsNdGFaJjaIXq5FoTpks/vVmLRj9CkeIvP3s5726mDSk8XILBf JJvWUxShODByUr7WfGgaKOX4kqbM1fKR4nTNlm56xEHSSewtAzDz1ztiQwleOhcJdnJWqB9+o46b YRr8b8rNjkahCY8dRt77s15SMoqzUVy5ijUa0FobdnS+K/1cEZ42iR6Ssy9Nen937JesZV00Sp8R DKXIu37A4ZFJ9mSj05k1RogV9/clGr4K74ohkR9IvhuxQPWL6/x0Si1O288kpn7J7m8CRTlUtcWP rZJYFcCllqK9wiqmkzzSbgsUGcvBbaSUOPY8++y2dT7yzlgVQ9DGBlHCjCLBpS83Brb6cU45kqVs tvlJbFwOxHV1I/z2BqnuUiVVPiztu/oJmFuO6gYHwIFGturI30q9N8OSAJbDcETzwxvW8VwMcfBo 12tKzv7jGr71lYo9ea4rYSUnAcDbTjKRaBDdl6cBytYWRrTG4wyipd8IHVLq27M9WUHSJMI2MX1S xhvKhXcDCe+hChHoODHYVe8oSqlBn+qgaW2GIacZ7wORhPq6FMGWyLqQZqL7/NiNpf7kELDFZKAE 4vZGHqIcXWSuaJTX2UG9mtX0LwN+tkQNbTqN1d7RVFly+NE10vMOJ+NjUTAwuFp9VQkaYsdlm7TW vlHa2r16MrB0QEbFEAniGFwlpvbfnutjxmLmkY8fVI9jxIVyTR96l3p0xfHmBMidV1ozJzC8OD7H mbwv7fL3AB+99u9xIsRVRSu13hoemG/VLQCIwn+n+Pw+3rhHf4hDxd+xn8yGJ0RuCh66dXwxlTuy pU4EGKWopUFsRSAi6gIokwlGc7VuVY58y3f21qXJebabwtE1XcGRj4nV8YunEs147kC68ecayQ3I VT9Rnns4KfX17uHkfKZwq2Yvu4Y9pN3LB1aaY2Wkbts/O7/2g+XdvN/bIFf77M0uPd0oUF/VY+BS oqpYCMiT7sJPMsvSbXqzH0ct6azFnOu7kE7KiWBIuHAvVMEI1oipzrS3Xs4727zB4llAGxoruUpu STs6S2XQ3OaOjgRYHRTdIvTUo2yTmzdd9cR9dV36/Y3Aay+EJY2bpVg+4QyHP9TgrFN2La4txPlZ Ks/bV2Da5gkest9VXdISS491M1MfyPgZqDdiyb4p3fgE1KANF+Xp+8ikH3SIvXJnf6RjG+o5rdJW BU84hx2oHvH7NzMdRMN+tnXgwyYGKh0eXPYTm80khgQ6Ybx/1IQt+TvAT4CcB08oP4GdeNpoOGwM dnCueXh4ZckTXBIHZ/Rs6vFoshwpgOuCIuFneeygm3UNAT7frTjOhfUarm1ZI0H6m8O0nOtql6Sd oRPWz5OZrVT/7CxGklab5b/VnaksmoTESseHm5eIoEAxeJ+hu2LuYIp6R/DdsGllxCoj5Lv9b+Az UL7PvIeI9hQpKCulJ6ROJnVyWqJ6tjaPJkOK5nunxJAiL5zXkhehs3hPWXO2uZFmxuLDIQxVRod6 YZkZ6bCCxzu4yng6uZCpNiOvqVQilUVngRHpEoCUvo3yNqaWXjfVQmfSvk85qoUJjv+BCmBIDNaF BjpVte0mSe8NbHM3XZC1u8ZeIVOEpT5wMVmKlMvACJVk4UWu0lXIR03O5yKvFV4V+4mMIxNnUdH/ z+r7YyOxh+g0cNV16gH6PjOxwZ+1v/a6xiKvGbLYO63GNTyi3596mUgVBn1PlW5O8DawuJwLON6y M+f9qpXVB2UtWJ/yVHk0ukRku8siJk8FRMBemZdx8fxwrmSzDaPqyKO2pc7zmaf38iYqw2OxcQLb hUPG2LeNjnwsZkjs6XDPZqD7d0QMzppbtalIURwkOy15P4LgMwWVFAwv9SDwaqyOOY0+CgiB025+ DmjpeBZg4yNKMKamA62TEEqHOrNyJ3uY2AyrQ30cg3k8p0U6D5cgRa6smM+nNzXOA5ysMe+I1IVN vOd8Qfhu/6kWUMFvVb094cMqYzNiFLQ2H6jTBU3SPgaGsPpJtYvQ9JmC9kieBdOSEzz6WMsbaN4P 0algjFyK+JbNkT94orlQIeLtS7mJGIHqH+7VVsgslufIQfBCyv7IMHgIIXbza/MriJnwlcKeitp8 2HQperO9zI+DJLQ7wHo2LQY2xezG8xNFnlzlJUTJtD3RaC/QolZL5Lvcw0NLj1O7DYhbFAkOLCim ZThi2nemjmSuo2p44fqGepVDKHY7e0fGRn9A3/kVtckczZ93SHnbO3e7Ecj2xpRETVt6uBWeKRPe aP4sf4mlhXXP+bellFAPga0LK5Y4cVT+irC1elYLMao8b00YeWWjLxzK/ctLnPToDheDF6YoLYtS xQDrIgQtDBV1Yx5SZtE6rYaH+SzzCIX3qssWgiuz36kDHDWdoaaial/FX91YWbNYnVePA2y2QoXJ tKKFeUqlbqM8ZqR5TDKR9Qx0RCekwJ3cPDqiwTFFd20YOLIhwC8ZZiKkv/tM1RDckmGVcVoFELzs jqcvBHo+iKP0m55/hBL8BPuglglXmfHmeCJ16a1/fBWw6a1IWYMQ5yqVB3PDIlfTagEjJWrHKIpS oNejsKPYvIPxzdff0rnSpDg5ClX2Jhc+U2KNerCFq++PQ/lq/jGK3U2EyXGeawFz3JheMPpokATq nCjz8KRrfUxWesBYwONBoeaWRq0rWjUH7ChphY7wRjKEB4e7iCOJFaOLa43SMUfjFLyBXxfTWJ60 78HzBJtuq6/Nwax9oBvBIRTpXfH5SCoAuY+0BpXIF/j22/IjvU3dLZZsFf5fUvXrkgFTGlDRutD4 WB3uyrmIZs/jsleNte/EmCer/mY5fnn8S4BNF4Dxk7U2cmQmnowC/fvGsMoAr8WOaHHlwC+XexWe z9oCXH41M3xkDi+351YKmvL1qP4L5Vy9eNEcDvhtZJUtHqlsVV651n54vqqlLxvXLST6k7NOrM2B 9lS2SePPbLttlduwLRhq/ZmqFZLC13jyEZ+Bj6fWDIKsJ20CLcgQ6dO40nft0w1LFRQ1K4ibZSxz G7U4V+qCp4LNgZRgzzhoQME0unDwL8xY+5/IoaNa92UxiXqv2Jh7UzUOOhT8o2KPi8m3T+Jc8fLa bshryxSH3/QFKcGE9O6gkEtV5wCtAMaRk2/WDGP8prsnSU1E7d9NDQpwK5UFYMaXVPd5iHLY4oTN FvlJeql/kiWWkl/v6r+QHcD+tNGWtDC7Hqfg66sTq/4nbmve1nxzpYtbxu6lbNDFngIXoj4e2tof 3yL3XbRGL6EJSg+qN0mgTemFBsSf3N8iBqP4+EYidegfvdnRS+HCdThE3ZQYfUwJO0DhJZ4lZH9z xH5CDsMXI2rETgHibDwZe33ANfsWG72TtWide97qUIE9ny8fwI620R0ub3vXwzAuWk7TklIOjy1O jK44i9LTzIKh9HFM7AEMp0aGGPk2ZWo/3ptLVMVeWZkiDg8B3wTzup9e+spwdE+uLErr2bTbEQHd iRcm2cHfQYBP6dBIt7bEUzhXJK6GsGmyc93GtG9LrV4vh4yB0MP6RfAYgdKIWeCWjArivWLY0YD8 AJdwAj/N6Ht6vQMEYTeZIi3OeC3k08bEGpbUiyh0nNpQejUHWQhBUH2rLlyw7IORPCaP/XD6fzP5 UWEk2l5MqmxVXpHAECPkItcFkewZsrXSLGAdzFOJFtD6BHpn5xX5ugYR3jkkd8dHwBNqXW+7f7qB NMahX/+weoU80ADXdeSVj26DeMHO2wYwg5qPI/K1oKG3Vbxj3f/BLkWAx65Rnaw75Hh5Zm+C+dE9 JQB0UqZjq2RmUXY8xLuTWh+UHhDT/2+Y+BkNobW1T4UneJYElWIDbZaCerG26EIcRsFOVGKqAPMM GaeFpPNF2Csx6/OtlBD9FfBBkhr8s7lJF8X1Vk0C9RkLd92VovA5UAmESQcYID91SEja7j2aVZFq c4WlIjVnYUb0d3+137bU6u30+P2mRnwr4BpiY4xzILXi5KVLSdgJT57NWbMME0eAsEuZiBFj7fxg C4y2h87sfzm0/c8El3n6rB9e9Kk/028etHd8ptfWHyJwJARyqOzVF9iGfXaZDxv2UWi9MlrnnSBg f+RGYmZT/buwfUxh+GjV6I1qf5f/zAQVgmafAfulWMzCNwLqGJKOGV5Q8ThGQX5gFEyJCDqe4C61 ZtUmvfxAwLKjtGUB2LXa8Qp960IOmESy/SsbjhLllJ9DonD0CBk+dI5NGj+e7kfmZF+BqmIHYk3V PzgWEXRziqTq/829ScVbnx4NU48t4CcZ/wetojbJqVr0Gqw+pwmkePyfX4hWv9xSFLVdTvXSs4H8 /NjLxtg94xx1B7rC6kgHV/j0fO1w9aB14fYxFRVafFZ4WNSBOrm3YCEtFu93LPqhMdvWSaYofUw7 tBPNXYJRe4OEb6l/7pSrzkbZDo8Fcq0IIzrLCCi/3QxfIc3mwymhBt8UKaF4/mVhpur9vJ67aJbn dCuHTqOc1wYl6/gbEjbfj1E4BZTbWMwRH7AmCsoB1aSrFa6X3h1/M4FW/i33zPNAGHI+c6FVXQ38 qu//ClYB9r1APoTBh/yeyXVAu+3erp6mGJ7rHmeFVl9AflrpEXeerati+VExmlx8PQ3Wj3qNLJ1X t1gqh68ByQ18KFU37ZoOTsRaA4FFetlgv2lFl9s0OZ7+88U7pM5bXFi1bLtpLoohxkOfMbswztkm 5qKvLAvXe9Z1ev3wwKnGrBN0ruFW3jZgM+ijMmT+2sgOXFHK6++LK5STcGZxWtx7aNuYFhi+rGpo Og2Wd9MQaM64nAlVYl+6hCzwp2mTEjAtpcnUCrKm/AuK04XtNP3PxlmliiQkpsawOLt0lzwxOrDc 0a3rEcmavUw+Pcku+0xZ1l2p482J5CJxhR7ZH2d8Dv8mfqo5LQSlsGhv2+Ul/JIPVe/6NOECfNJG 7kZuTacGenS5PyI4Z6jDicCHC8KanL6Q/4cQrO8/WPT4nyc07H3xyps+kiKfZ5t5UjQgwhDJF72m viJ3ChStoedZ5m/tiRtQ47MZNNeveOG0+vBibAwQOu1JCyOYOykXKSgH5VeDlIhTOWFaHhVoWlXQ fE5SIAZaGsU9fxpVWSa6SH9g5mflivuPL6NrZ2J9OfSHHqI19ZG75k1jiYf+YTOW6HfrjQiCX+jh bAdXFSwiCwD0ZnAijvPqmG1OyNE8sPRrfahFlz1zTT/256Sz9Kcu2+4+AJ3HuX9jNXptJn6oA/vy z1ntcysL4pj9SvWoWijn3eBpcV+B2ZCCOTb/E60Lnd5ENkCD39EJ0WOMoOcTLkdhulS5KrXgB+Ci u7eaocNonZCCbg6i1cvCglsD5nftWgkztFYAeKiJxJVdkrmh/aGWefz0SzXOyj4BYedb43VlZOOI QzZvfNmkLsqC3rIK89xv1qpy7jQ/UJVXskADUZotDq4YMKZBZegsnYeteJOOHzgNydZ0B8LB5ybl zri4+f3+B4Li6y7Yzxb2/BfowKIbdik5AkguufMhnHQaz7hbL8A4cVcjMlhtm0p8oo+nmI9AVzsX RC/J2VtU15U+xWwt8lU3cHPt+NgakwbHdKk1NRzh1MKLQA8G0L4cvxHUL60SMasf4Ordx+cg39+E igMTcPPaLXrPfN8iCascY9i0w5vFrpS/K/+W4D28gWA41WTLHLUHxLqb23P/8xpw69B1/bmL/raq YCEIfFwCFMjCEbTih8bpw+7qOQvsF3AQyt9+Fbr+SHr/tp1cKm/KUslqyDNhVxT7CvlhgBuuDbMl vrfFspieZ0LAqC4Cc2l8SL5iSfR47GLg9NuadAgW4UJl58vp+ghDjw4hj0XOECT53nWSo4+HEu/h gqAUS9OIr+JSQbwqrOZGV2c2SqYMSYsE55VNFBSAaqGHNuzfsWQfAWW0kWbQnROk42J28B8RZiT7 C4/UP7KI0r/YPBScyJ3/zMOuutAkNKJBIf7xHXBF5Nb4Gec94N41CWq1nvvrCKF8olIlT9H9Df9W mRr32724HMk85NcDMnVymg++DFgwljfGKbVpju0mAhiwT0qsTlOS4WK9kKCfEnBPw/6qRSmx2S3y rpiy1xU4m5f7kDk2klOaLQyV8IfPW0RDGHOJ1am8MhZ3CsBOOFg02yGkNSQEV0PF9WRwfvnMmyTY Jd6bzk/5MCt0LKEZZfqCpo0R5E/vPjHp9sAWT1gaBsuVoDVv26XzzTpvAX3XzkVSil0Pet89SzbS ZgnKwoa/8wOkeNZBRW+HDaV3ccF+4k15T9ITWZKOPMq3oUKlSS/JUGwefaz3nJ3J6PnCDdtrKqE7 8zzVDVyfiJA5OnM1AafFkeUSYhwGlcrSl83wHPFoGydchPIdxuyJC/PQ50MdqbwblwN5KK+Cq6FS Zcvcl8Su/eZmctuw5uCIGCOMRx3LayvRnPWW8mRLABmWMQ2RBAURUDOB5QxAsScqfJXeY3fg6m+9 9WvLf8n49f8uOAAwBaY6f99YQu+mLZCFXAfMxVLq5+LNi5DTZsDrWqJNDIymns3jYhgyBiaz/JnR E+A4T4pPfEfMMdHhdVwE+CFa2qImRWgcFph5edUFTbrXXCqe9cs0venmLfMwj6DFdKgsV5jxuLV2 9clCbshg+Qg6ikxmIc9Ix1mhEjlsMcbJGF0V9D+pG795qEZhYC4HgsKX6VKYHWmTCUCP4JpSRy6p iLNxCi85ORUOCItKNdpA3ozSJamAFQjP4ztzpeXkS/HbgzPJXZOdrRTF/SaYLMGMxWk3nvtFTNY6 Rz6FeW3WUqiqENRhns5tuVfE5ZqTmCC8v42uCJX45XVrdE0np5ezyhlE9gGA5OVt3rQAjDiZRehY 3+bzXCmStfN8MuvCjdMyAdSAkOusYmaym+1DSbRrWhFEI5L5tcrdgNUiLk7hgYDouoTb0IUj8ohj gWLXfspQH3Pw2dZC4UTTrIiTGqBNweGrijxh9dxdFjcRnbx/OwMoTVf+lRa1BN6pARd80kvecXtm VfgISLx1+ucyq4SplDZwWSviSfvIVMPqUBN2giXWp1y+6zJLn93llM6voE+2uCI/6uSC0EFDu6hv IoM313iIw0Su0DkOjj7u8zp7ulQeTt3NWIzp3N9NC31WFFE14vR1qNeS/ZAyajRTvWwpyGc/Kr+E TL/S/Z3PsshvJg/510duoojvZePFuli1aBVjbML8Z6k9ViVvUo/8nAJEs+LvxS1Tz5ZnoWCvVRsY t7j2SsLRBrGeqnlVmFshvb4OHTBd2vTTxsqkJz61CeGFOuIFPhtN+YEpPX1nh99Wlz6En+6IlJoi P0F9GHMoxIwNnUTGG+iVtf3D0+R6jgPSsJn7uZ+yt7HJROn7xvQWxeraJ8IyCPnA8HioadN9v9mA qqtzYX1q5AoIrgkHIJJ5/kJOnvTDmPaMpKqg6R0B+er58sBsbALYe9ddAreZ3H7ZyFkNRI1qrR0P fegvV5gC9/dadwEwZR7EpYYcMSfgL+wdOAi7mXaKpfN2BoF3ibT3U2vwBWahgyBz1izImojgTR3M 4eqenu7cVOkp4zqgC2JXKYsbpcwtvf55vVZTxK0+L1iLGmg+MNY9P2R2ICjuOYeVC2tv7BUG+LVe //mawzKl/qhWU6o1K7USVZqweHqY7Hkmn3GjrAAnAIIGSuQlcA5k14TksyrwiUyAiPpTXofH4Wf+ zWtxdA5tlm/afPQQsCCbnxempdhzaxPwkTdTfnWSP93sJmS6fWhnM91Iet1m2IXA+M6e71gBtnJv Lx8t9hgJzcCq7897TNF5fJDNDAieXAAr3gktRW/tyvLNXG9Qh+dTM6DPWw+/PSiS28QzBeNwxdxw gi/TDoHI91FM0hejpZ/B15/tHxbZLKkm60tswgrvbLUz6w0///wvFEz7/qBu0Zl9gc/sJblmigdD eYmjvkt3QgaLv7+oCd9HvW6LvHRok3eqCFE45mTNhPXBkzwfp2V247S2nKWF9NSXxkGB2IQ0Kx9P tMTIQUGvSjey5kyYEnpdVutzc3NPl8K2h0BAt9kh5YlRpKteNuo96KLnj66SOTptLJX+szxoLw+m +w2Ad8a/D7hw66/XiMBxbFXuHg/pIbeXjFnx/a9tUlg8RbRZlbCNSrfZYH6bUIFZv6V8SbRlO1Ki Zsrpz5ggVo6Jqp1DldBdywwUN0LMKYMeWRyJTofClEZTy/g2OuMvfa+0kP6kjfboNXPFWAKFK8eg 5WwWjsEvN++GM0G537sYvfek1LRwUk91P/vfxcVCU4J0e8oZejhnRXshlsMnfj8Y34rsJqll/7Hf tEPrqz/YIAb8n61z9N9tngsiVbaocCemgkMwQSHCM6CmEUPCwCnSHwaRgYYNhSIhcbcdhLtAavw0 DmIv/kgCokP3RzcXt1SmE7VOPyAXhbZbxGK3Z6gOOhwcaX9zSzPoWkf4fQkz5kO8e972dMxwYgZz oNUQGgPn3FLWFHMkAxNj+UcZhTKHv75CMYVNACQ2ZNNCMOKZaNnu8yKU7gkell08woYcTaxZNv6Y /Fyyi9KTqvJBZYkeu4rheEyBfB4oHeOLzsabTS4sfMuWIyhtj1L7TJQcneiYVphhR+h8EGv7sBBi oc7YCz+ehrQ310PuhcmNdEedZ0juNBQrgmGjx8z+T1OHyfe4k7bdrTwj9r1xQbM2X7UYh/kygy9p L+fTmSdHaUHY4r25WU7Dk46msT/n9mVBNttItZcHwLCVx/SCS1qWQljZZSHIZXBxS80K/Jyljo5n EA0ksnp/OnGPfeGrEJ0lkcPG+6ngGBnX/IFKX438Sp6l05G5i8TmGkUlh0o/IcMLMCjWfQUO+0Ma kYhKZekcnhBF4jNXKmWzkAvYRzsuKdOW4GKdy9HOB3onmv9l2vJvEewtcAl4D31h90am9txblGvl SUad+Zan1JNjC7+rhjtzegEeH+Pe5cDkt7JbgHphhj8WtYTOGnJmqQP6iFSsSgW8UjpAMBddbjQV eAoqZTbqpKkOYfEZXSiv1HGC+KGPQIJ4dckZdplWfEVGbv6qPRDHSVO6Isn/AFrFh2qlA1KtGYPw kZspglEfkj7DMvnyaEEvLJFmn+vVAo4IIhwzeebePFSJwuW0UC4SwfXW+mIFeIsXtxUojtciYmRi egnof4UzZTOPjIUWQr087WtiVpHezLAvSpIjBuaypgY1Y1vKTvvxcAIlJkbfP+LoKzFmlsb9ER9x YEh94CdQmfNGjNNpqgAz2gcCumRrtiKpzEU+90ZstCBc/llS9h9JiqlK8ogOX7tC4Aby4RZOQu15 8gpmsY+fRMaY1A7wyG6abHg+zfQnRRbBZAyU0xcThM/HZ2DF2CRTs0bDc23gn4Wd4vckx0TSm5ws 1FnV5Oj11WnkRcX4Sbula0fLSrGbNBhr+GHvJNHOHfgiY+82OG0Lzzy3r6AV0JIlmiev2dO6W4jb /C07NuBTp5BuEhlPZDOaAAsGISUQzc34woF9AimrGhtRuZDvLTuN41YJZP5Hosky9QM9IvIHy3JI MUSke8oozYN1iU2K/JfohI70QTsq5ngiGnpoE6nkPQrfiWzn4JWbmTpkZ5WzaUFr6ICe2n4KWIik BvlVO8bcAmNINw5AaXr7UTEmlkVNc6zXTK8+IsOq2fNRP7ZgMKzSTyq0VYeWDyZblOegGsOypAlt gRfJ+lS3RIUFqDKiUvV2b/x3KsxRjl2Rpz+7rKZ8Sw8D3FIlO1nrmTJsecAfTg0DE+LjdKzt/pNi ukreYSGYYCIVLCUWpvgblXpPCYA5dChUtQxXBz+lGLjoWnMvxJS7t+cVbDnYn33W6inDDtdLr+zi MY/+1QNQpAcWbTkUA7k3n+7flncsDlm/wySDzxhlDpmf+j3XC6U3eG4e8GYBFKfb1ysRQHnrmV9t zUyYcm8ZT7ynk1mc5rErcKqxD4DxlswdN1aUGH6nk8DyYaLEq1FwB+mMaCq9CNSew27VvVYsbaxs n0F/ofnjW3w3G6M/ev4lUlGVUpo6eJSbFni4g2wacjxd8SGrtAeSXPzcxbcj4T4fvnbHBhQZ4UF7 nKa75DzZS1921JBkXjedGGcQrPkFe/7IjcmPE3A14jbbVD6cl8iZh4KPnwcOGMMnx5YZpdwQAdzR IlA1J07zQ8LI8HBDblntxNJPMcDtYVxmrIlGJBc8+SnhbWqKajV/qYaUFifutuyWDwYaVAyljr9n vLnSOKXnHwyqMpUStahG7EzybVxzqIxNAQ2Px7lQXKTbA5nEfWG33LV65TBCryqj/EIRDFZbelVg bmUpdF/aIiQGmjFsPEO6bHVI7iL7CCxdBLuphQsYbsko6AkGRMs+vU9/IyiAtpD4+ONQPRUnlXOx 2adv0FFmnmeXTaE9PP3ivqguiP9vMO9F6azv9t7BvnreNkTAKGFolgGqhKDs+JpsVCil74EkeAIn VlhkEzBYZI1zWu+jSBxeSF66cDsDSqKwsrRaTMmOf7qfGwggxRILoNBY66InrVEZ6KZAD9siStiv g4d8NQU9DVAUQgC143MrVD4DJ6Cd+HJczUl8zOhUQdFi852ezZwjAHvdJF5TyNA4aShVfSgUCMYf iv5XyH137L0kuMdwijJExWXWG48SrAOnjjf8uoI2DapqBJTCcvlZFyoKQyvREbcONKhQqSYWXBN5 5bI+O3hgOouF8Xd7xV+pvnedIkkwLta5wvYjU0463ZnMRUiiNKaylcre1RwJlk1nwOfprG1vIAUf 94yL4YUWoBcfMbzCrx5yJD5J7r4u0uqgd660K58kOoFQyOoirpWY2x+nPFE2gcJrDTfLbpsOowgN W1x1m4UL2cNWyrhCaKqIzFPloc3RBs3vfCHHufJNDwV0vO2aZrkziA4x/Eb/6jYTQo6IaXKIimpZ LKhaaixxOshkRs7CoubWLo3ffhL1CWYPFA7GtKfRg7m0+uTJFuLA6NXOl5vYhEXkwJMH0Dff58ac Beu8iquKvK/lWvoNT/sZAzWDACKOnuIt831xpBki3puiStsrq9iP6Ow28AZbzNC5bOvWzR/1QtwI c1meafqX4A77UVV7SkmKGw6hzAgSxPdapcwgsvHIuY6yPhSiP8QzROAaZ5+VAOfUExDhfC5Fssms NVXtMg6ZplIOqjyiNzgdg6OkzP4k25tl9IzSuxl6FabA4STD21vDKDLymzuq0RK6kk/6C2tTa8C7 qlW+CTvPqkS/RsOOcdaoT7OzD2oZD8TmB8csZqKF/+8z3LaJvOR12wSd8URdAiQ95EXw6GzEhezK lFrTMgM8XpPSrQ+XpYdjcje9eLuIXEMzs2gccl+i6nO9wk2rpxabAKlqpHWtgAd6RpzhRzBFuujt ywPD1o08EpWtQK8bPLiN/TLP+9Cv9/o4uWE5PZDKpDXZKUXDe+5dLbZkmCJss/IN9fv/D5dRqMhj 7hWir6Ez/W47JTn+w9D0WnD4MUb217ZOnMUYLh8qOgqMXCsRF9L8SRCgsA5ZxuaG7E5O4+Bczlek +8hXP4IzRSrcHK7p1gvQySqwFrprSTUBlzZRkJXCBM2JUXlIO3S6IriRnbgZTDqJlzmX3hPykug3 oJOAhwmtNSS+vCVC4/09hKxcMjTsfs2/Sjlm1erFAPjV72A8BjpEIQvNV1+XeIZrc1/0MHr8pXTH lsUfD7tsBdG9zHsRi7onaGviXo5YNIsQiJfnLNVEoe6DeEw7YwVPS60pifgaqPfTwWOPGhErlyIc UrUpvW9Vq0Sc3Bsl9FEkkigD/sfPXT/iMD2ofM5Nja47sonJk1zsv0O/pypGdrwxl9zQOIEEB8E6 NaUqGMWz/awf76yN/Yv2dBEb1ezbKRQ25f9r0e6d+DhoXqRjCD0dUSYXHLdKl2vQQxDs0bAbWppx nQjXQyAH94evYKnaiuOwhloFPJrgD4lqK9IyFV4CW5ezzvnB4EGRXVSsEQm02NueR9b0s8glpYR0 2aqAzlqhjWR9etiElGNwRh6ptlFfD6z4QfBd0xxw7EAvQk7V0ZhaSks2DtpUT3HZGyiMNSh7grmL lqomAGWqn9XNzqD8uj8H05Q8wjz42yMZlWM/lkjKC7csQNgip1bFhrTqOjclg8i9VVJIbA6dLGG4 m4qbKfgOVJrAmwhZvHM1yUbM4q5SZoBiNU71G6wCyVApGqV9hh2Q0OvkWQynr2IITl8K/J2z4+ZX z4CXSmmx6P2lihEr7hAgYg1PRxJFt8MgKfDjvSS09OqAvOL5iVVIq9bRp+0l4FDLN2plf6fgizTT hKGl+YQWNaC5GKNtIgV2J2GY3BegqlVrviWTTfbsrySw+1/96Y8qAfpWvaIUvuGUE0LCXputvM2B 0wa2+0hBVzapn0wYyozcUWLeUuAHNcaUjcDFGjWogoU97kSx3CHtDmkKiIh77B6TvbMY1c3n2eZ8 hFJ+wgyyNNGOV2UNRcJHky3dqWpQs29GrLRa85lpfJOjJghxKx/vc+W4cxYfzUp4OSpYbUjgdiPz KrY7oqG4K1YPtM7PbdcvMYzZU3NgElBnZSqEQsjg30f1COee2kborzNRMTAzOmqIAA7n//4dxGnX 61L72/8Tq/MVj7eF8F0Hpban6uT9Sga3rmHU6zoxuTUwzMPKTnw9JABcGEGEBXE3OFvhCWow4wI0 00oBEosPs/0E6RA0JFBcATAs8Q/hVQ2AfDYIGQ16L5NIlihdukzSWTmeXnpWs4uC7qSUdtm18e+b 06IpO8j86lCTWYRnc0a/U5B+ofJPfRJ+zgyLbD+zhW38627y9VyS25UwmTmkyMaAJS7gMRj4VBD5 Xiugzohu03CN87trO24SYjjPmw2M4lpes217jzNup7Qkh/eykItLy7jrTkmo2iAMmniKsEM+0ORG DUaCtsy4q46Qfa1o5aP/VCWr0k+Tru5GSnv7g6rWGrKJuXpiOlO5fxfNsiYd/9/QTIgr/0yjonfe HapWGPIjmbY2Snm1mW0wZkk66ANGRsgc+YTUQaNt7k5YEGP78uWkwIzq8aicj4ZNxqtgGdZUMHPH JWF7Vrv5xHkF2Bw99qQv6AB6/D3HjwK7jCdXck3rlt99Wb8Qqn16aFeXisg+uPxIEdcH57vRKjK/ lQW6oaHFS9IrVc65VOCW3HsDQIUkMJO7UXeyeG+4iGdUFyBkWX9d9oxeMrEgejWgCarF6o9Eiko0 CLFncP4+oyPZM6NvYSPfjlLIVIR2kp+24fTK+htBSnv9B5qJDrS5n0Xh6SQUHuAsNIyWFAQ/frCA 5UFBoXCtEH0y8OYLokKmbEcVF4YtqYa0YxClNcAZR2mIOjGa0ydQ7aRmCj9lmFGGQDX4dX1NK4km jes6MKcgYaJsHJiAwZKgpL3T31ASKR8jmDYQg/iB1vRmZ13JtKnZEoAyIqoCGNm8Bkic8OD1BuWT +o2JXMFIkkhPAsSWN2MIs6FfZE7a1sCqKtWxWuemGEGX0xoiplZgEH7mhxKUrZDa NV4= `protect end_protected
gpl-3.0
julioamerico/prj_crc_ip
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/acb_96_bit/_primary.vhd
3
2560
library verilog; use verilog.vl_types.all; entity acb_96_bit is generic( ANALOG_QUAD_NUM : integer := 6; ACB_BYTES_NUM_PER_QUAD: integer := 12; WARNING_MSGS_ON : integer := 1 ); port( ACB_RST : in vl_logic; ACB_WEN : in vl_logic; ACB_ADDR : in vl_logic_vector(7 downto 0); ACB_WDATA : in vl_logic_vector(7 downto 0); ACB_RDATA : out vl_logic_vector(7 downto 0); AQO_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQO_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQO_AC_CONFIG : out vl_logic_vector(7 downto 0); AQO_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ0_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ1_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ1_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ1_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ1_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ1_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ2_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ2_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ2_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ2_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ2_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ3_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ3_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ3_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ3_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ3_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ4_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ4_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ4_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ4_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ4_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); AQ5_AV1_CONFIG : out vl_logic_vector(3 downto 0); AQ5_AV2_CONFIG : out vl_logic_vector(3 downto 0); AQ5_AC_CONFIG : out vl_logic_vector(7 downto 0); AQ5_AT_CONFIG : out vl_logic_vector(7 downto 0); AQ5_DAC_MUX_SEL : out vl_logic_vector(1 downto 0); DAC0_CONFIG : out vl_logic_vector(1 downto 0); DAC1_CONFIG : out vl_logic_vector(1 downto 0); DAC2_CONFIG : out vl_logic_vector(1 downto 0) ); end acb_96_bit;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_regs_fwd.vhd
9
9351
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/uart.vhd
6
7018
--------------------------------------------------------------------- -- TITLE: UART -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 5/29/02 -- FILENAME: uart.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the UART. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_textio.all; use ieee.std_logic_unsigned.all; use std.textio.all; use work.mlite_pack.all; entity uart is generic(log_file : string := "UNUSED"); port(clk : in std_logic; reset : in std_logic; enable_read : in std_logic; enable_write : in std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); uart_read : in std_logic; uart_write : out std_logic; busy_write : out std_logic; data_avail : out std_logic); end; --entity uart architecture logic of uart is signal delay_write_reg : std_logic_vector(9 downto 0); signal bits_write_reg : std_logic_vector(3 downto 0); signal data_write_reg : std_logic_vector(8 downto 0); signal delay_read_reg : std_logic_vector(9 downto 0); signal bits_read_reg : std_logic_vector(3 downto 0); signal data_read_reg : std_logic_vector(7 downto 0); signal data_save_reg : std_logic_vector(17 downto 0); signal busy_write_sig : std_logic; signal read_value_reg : std_logic_vector(6 downto 0); signal uart_read2 : std_logic; begin uart_proc: process(clk, reset, enable_read, enable_write, data_in, data_write_reg, bits_write_reg, delay_write_reg, data_read_reg, bits_read_reg, delay_read_reg, data_save_reg, read_value_reg, uart_read2, busy_write_sig, uart_read) constant COUNT_VALUE : std_logic_vector(9 downto 0) := -- "0100011110"; --33MHz/2/57600Hz = 0x11e -- "1101100100"; --50MHz/57600Hz = 0x364 "0110110010"; --25MHz/57600Hz = 0x1b2 -- Plasma IF uses div2 -- "0011011001"; --12.5MHz/57600Hz = 0xd9 -- "0000000100"; --for debug (shorten read_value_reg) begin uart_read2 <= read_value_reg(read_value_reg'length - 1); if reset = '1' then data_write_reg <= ZERO(8 downto 1) & '1'; bits_write_reg <= "0000"; delay_write_reg <= ZERO(9 downto 0); read_value_reg <= ONES(read_value_reg'length-1 downto 0); data_read_reg <= ZERO(7 downto 0); bits_read_reg <= "0000"; delay_read_reg <= ZERO(9 downto 0); data_save_reg <= ZERO(17 downto 0); elsif rising_edge(clk) then --Write UART if bits_write_reg = "0000" then --nothing left to write? if enable_write = '1' then delay_write_reg <= ZERO(9 downto 0); --delay before next bit bits_write_reg <= "1010"; --number of bits to write data_write_reg <= data_in & '0'; --remember data & start bit end if; else if delay_write_reg /= COUNT_VALUE then delay_write_reg <= delay_write_reg + 1; --delay before next bit else delay_write_reg <= ZERO(9 downto 0); --reset delay bits_write_reg <= bits_write_reg - 1; --bits left to write data_write_reg <= '1' & data_write_reg(8 downto 1); end if; end if; --Average uart_read signal if uart_read = '1' then if read_value_reg /= ONES(read_value_reg'length - 1 downto 0) then read_value_reg <= read_value_reg + 1; end if; else if read_value_reg /= ZERO(read_value_reg'length - 1 downto 0) then read_value_reg <= read_value_reg - 1; end if; end if; --Read UART if delay_read_reg = ZERO(9 downto 0) then --done delay for read? if bits_read_reg = "0000" then --nothing left to read? if uart_read2 = '0' then --wait for start bit delay_read_reg <= '0' & COUNT_VALUE(9 downto 1); --half period bits_read_reg <= "1001"; --bits left to read end if; else delay_read_reg <= COUNT_VALUE; --initialize delay bits_read_reg <= bits_read_reg - 1; --bits left to read data_read_reg <= uart_read2 & data_read_reg(7 downto 1); end if; else delay_read_reg <= delay_read_reg - 1; --delay end if; --Control character buffer if bits_read_reg = "0000" and delay_read_reg = COUNT_VALUE then if data_save_reg(8) = '0' or (enable_read = '1' and data_save_reg(17) = '0') then --Empty buffer data_save_reg(8 downto 0) <= '1' & data_read_reg; else --Second character in buffer data_save_reg(17 downto 9) <= '1' & data_read_reg; if enable_read = '1' then data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); end if; end if; elsif enable_read = '1' then data_save_reg(17) <= '0'; --data_available data_save_reg(8 downto 0) <= data_save_reg(17 downto 9); end if; end if; --rising_edge(clk) uart_write <= data_write_reg(0); if bits_write_reg /= "0000" -- Comment out the following line for full UART simulation (much slower) and log_file = "UNUSED" then busy_write_sig <= '1'; else busy_write_sig <= '0'; end if; busy_write <= busy_write_sig; data_avail <= data_save_reg(8); data_out <= data_save_reg(7 downto 0); end process; --uart_proc -- synthesis_off uart_logger: if log_file /= "UNUSED" generate uart_proc: process(clk, enable_write, data_in) file store_file : text open write_mode is log_file; variable hex_file_line : line; variable c : character; variable index : natural; variable line_length : natural := 0; begin if rising_edge(clk) and busy_write_sig = '0' then if enable_write = '1' then index := conv_integer(data_in(6 downto 0)); if index /= 10 then c := character'val(index); write(hex_file_line, c); line_length := line_length + 1; end if; if index = 10 or line_length >= 72 then --The following line may have to be commented out for synthesis writeline(store_file, hex_file_line); line_length := 0; end if; end if; --uart_sel end if; --rising_edge(clk) end process; --uart_proc end generate; --uart_logger -- synthesis_on end; --architecture logic
gpl-3.0
freecores/usb_fpga_1_11
examples/usb-fpga-1.15y/ucecho/fpga/ucecho.vhd
6
737
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ucecho is port( pc : in unsigned(7 downto 0); pb : out std_logic_vector(7 downto 0); CS : in std_logic; CLK : in std_logic -- SCL : in std_logic; -- SDA : in std_logic ); end ucecho; architecture RTL of ucecho is --signal declaration signal pb_buf : unsigned(7 downto 0); begin pb <= std_logic_vector( pb_buf ) when CS = '1' else (others => 'Z'); dpUCECHO: process(CLK) begin if CLK' event and CLK = '1' then if ( pc >= 97 ) and ( pc <= 122) then pb_buf <= pc - 32; else pb_buf <= pc; end if; end if; end process dpUCECHO; end RTL;
gpl-3.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/syn/vhdl/image_filter_PaintMask_32_0_1080_1920_s.vhd
2
24811
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity image_filter_PaintMask_32_0_1080_1920_s is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_src_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_src_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_0_V_empty_n : IN STD_LOGIC; p_src_data_stream_0_V_read : OUT STD_LOGIC; p_src_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_1_V_empty_n : IN STD_LOGIC; p_src_data_stream_1_V_read : OUT STD_LOGIC; p_src_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_src_data_stream_2_V_empty_n : IN STD_LOGIC; p_src_data_stream_2_V_read : OUT STD_LOGIC; p_mask_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_mask_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_mask_data_stream_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); p_mask_data_stream_V_empty_n : IN STD_LOGIC; p_mask_data_stream_V_read : OUT STD_LOGIC; p_dst_rows_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_dst_cols_V_read : IN STD_LOGIC_VECTOR (11 downto 0); p_dst_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_0_V_full_n : IN STD_LOGIC; p_dst_data_stream_0_V_write : OUT STD_LOGIC; p_dst_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_1_V_full_n : IN STD_LOGIC; p_dst_data_stream_1_V_write : OUT STD_LOGIC; p_dst_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); p_dst_data_stream_2_V_full_n : IN STD_LOGIC; p_dst_data_stream_2_V_write : OUT STD_LOGIC ); end; architecture behav of image_filter_PaintMask_32_0_1080_1920_s is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (3 downto 0) := "0010"; constant ap_ST_pp0_stg0_fsm_2 : STD_LOGIC_VECTOR (3 downto 0) := "0100"; constant ap_ST_st6_fsm_3 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv11_0 : STD_LOGIC_VECTOR (10 downto 0) := "00000000000"; constant ap_const_lv11_1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111"; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_23 : BOOLEAN; signal p_4_reg_194 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_bdd_71 : BOOLEAN; signal exitcond4_fu_210_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC; signal ap_sig_bdd_83 : BOOLEAN; signal i_V_fu_215_p2 : STD_LOGIC_VECTOR (10 downto 0); signal i_V_reg_280 : STD_LOGIC_VECTOR (10 downto 0); signal exitcond_fu_225_p2 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond_reg_285 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_2 : STD_LOGIC; signal ap_sig_bdd_94 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_sig_bdd_111 : BOOLEAN; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppstg_exitcond_reg_285_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_bdd_125 : BOOLEAN; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal j_V_fu_230_p2 : STD_LOGIC_VECTOR (10 downto 0); signal tmp_39_fu_242_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_39_reg_294 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_40_fu_250_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_40_reg_299 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_41_fu_258_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_41_reg_304 : STD_LOGIC_VECTOR (7 downto 0); signal p_s_reg_183 : STD_LOGIC_VECTOR (10 downto 0); signal ap_sig_cseq_ST_st6_fsm_3 : STD_LOGIC; signal ap_sig_bdd_158 : BOOLEAN; signal p_cast_fu_206_p1 : STD_LOGIC_VECTOR (11 downto 0); signal p_4_cast_fu_221_p1 : STD_LOGIC_VECTOR (11 downto 0); signal tmp_37_fu_236_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0); begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_done_reg assign process. -- ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond4_fu_210_p2 = ap_const_lv1_0)))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((exitcond_fu_225_p2 = ap_const_lv1_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond4_fu_210_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and (exitcond_fu_225_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond4_fu_210_p2 = ap_const_lv1_0)) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((exitcond_fu_225_p2 = ap_const_lv1_0))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond4_fu_210_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; end if; end if; end if; end process; -- p_4_reg_194 assign process. -- p_4_reg_194_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and (exitcond_fu_225_p2 = ap_const_lv1_0))) then p_4_reg_194 <= j_V_fu_230_p2; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (exitcond4_fu_210_p2 = ap_const_lv1_0))) then p_4_reg_194 <= ap_const_lv11_0; end if; end if; end process; -- p_s_reg_183 assign process. -- p_s_reg_183_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_71))) then p_s_reg_183 <= ap_const_lv11_0; elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_3)) then p_s_reg_183 <= i_V_reg_280; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then ap_reg_ppstg_exitcond_reg_285_pp0_it1 <= exitcond_reg_285; exitcond_reg_285 <= exitcond_fu_225_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then i_V_reg_280 <= i_V_fu_215_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_285 = ap_const_lv1_0) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then tmp_39_reg_294 <= tmp_39_fu_242_p3; tmp_40_reg_299 <= tmp_40_fu_250_p3; tmp_41_reg_304 <= tmp_41_fu_258_p3; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_71, exitcond4_fu_210_p2, exitcond_fu_225_p2, ap_reg_ppiten_pp0_it0, ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not(ap_sig_bdd_71)) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => if (not((exitcond4_fu_210_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st1_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_pp0_stg0_fsm_2 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((exitcond_fu_225_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; elsif ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) and not((exitcond_fu_225_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1))))) then ap_NS_fsm <= ap_ST_st6_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_2; end if; when ap_ST_st6_fsm_3 => ap_NS_fsm <= ap_ST_st2_fsm_1; when others => ap_NS_fsm <= "XXXX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_done_reg, exitcond4_fu_210_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((ap_const_logic_1 = ap_done_reg) or ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond4_fu_210_p2 = ap_const_lv1_0))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(exitcond4_fu_210_p2, ap_sig_cseq_ST_st2_fsm_1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((exitcond4_fu_210_p2 = ap_const_lv1_0)))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_sig_bdd_111 assign process. -- ap_sig_bdd_111_assign_proc : process(p_src_data_stream_0_V_empty_n, p_src_data_stream_1_V_empty_n, p_src_data_stream_2_V_empty_n, p_mask_data_stream_V_empty_n, exitcond_reg_285) begin ap_sig_bdd_111 <= (((p_src_data_stream_0_V_empty_n = ap_const_logic_0) and (exitcond_reg_285 = ap_const_lv1_0)) or ((exitcond_reg_285 = ap_const_lv1_0) and (p_src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_reg_285 = ap_const_lv1_0) and (p_src_data_stream_2_V_empty_n = ap_const_logic_0)) or ((exitcond_reg_285 = ap_const_lv1_0) and (p_mask_data_stream_V_empty_n = ap_const_logic_0))); end process; -- ap_sig_bdd_125 assign process. -- ap_sig_bdd_125_assign_proc : process(p_dst_data_stream_0_V_full_n, p_dst_data_stream_1_V_full_n, p_dst_data_stream_2_V_full_n, ap_reg_ppstg_exitcond_reg_285_pp0_it1) begin ap_sig_bdd_125 <= (((p_dst_data_stream_0_V_full_n = ap_const_logic_0) and (ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1)) or ((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1) and (p_dst_data_stream_1_V_full_n = ap_const_logic_0)) or ((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1) and (p_dst_data_stream_2_V_full_n = ap_const_logic_0))); end process; -- ap_sig_bdd_158 assign process. -- ap_sig_bdd_158_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_158 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3)); end process; -- ap_sig_bdd_23 assign process. -- ap_sig_bdd_23_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_23 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_71 assign process. -- ap_sig_bdd_71_assign_proc : process(ap_start, ap_done_reg) begin ap_sig_bdd_71 <= ((ap_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; -- ap_sig_bdd_83 assign process. -- ap_sig_bdd_83_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_83 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_94 assign process. -- ap_sig_bdd_94_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_94 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_2 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_2_assign_proc : process(ap_sig_bdd_94) begin if (ap_sig_bdd_94) then ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_2 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_23) begin if (ap_sig_bdd_23) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st2_fsm_1 assign process. -- ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_83) begin if (ap_sig_bdd_83) then ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st6_fsm_3 assign process. -- ap_sig_cseq_ST_st6_fsm_3_assign_proc : process(ap_sig_bdd_158) begin if (ap_sig_bdd_158) then ap_sig_cseq_ST_st6_fsm_3 <= ap_const_logic_1; else ap_sig_cseq_ST_st6_fsm_3 <= ap_const_logic_0; end if; end process; exitcond4_fu_210_p2 <= "1" when (p_cast_fu_206_p1 = p_dst_rows_V_read) else "0"; exitcond_fu_225_p2 <= "1" when (p_4_cast_fu_221_p1 = p_dst_cols_V_read) else "0"; i_V_fu_215_p2 <= std_logic_vector(unsigned(p_s_reg_183) + unsigned(ap_const_lv11_1)); j_V_fu_230_p2 <= std_logic_vector(unsigned(p_4_reg_194) + unsigned(ap_const_lv11_1)); p_4_cast_fu_221_p1 <= std_logic_vector(resize(unsigned(p_4_reg_194),12)); p_cast_fu_206_p1 <= std_logic_vector(resize(unsigned(p_s_reg_183),12)); p_dst_data_stream_0_V_din <= tmp_39_reg_294; -- p_dst_data_stream_0_V_write assign process. -- p_dst_data_stream_0_V_write_assign_proc : process(ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_reg_ppstg_exitcond_reg_285_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_dst_data_stream_0_V_write <= ap_const_logic_1; else p_dst_data_stream_0_V_write <= ap_const_logic_0; end if; end process; p_dst_data_stream_1_V_din <= tmp_40_reg_299; -- p_dst_data_stream_1_V_write assign process. -- p_dst_data_stream_1_V_write_assign_proc : process(ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_reg_ppstg_exitcond_reg_285_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_dst_data_stream_1_V_write <= ap_const_logic_1; else p_dst_data_stream_1_V_write <= ap_const_logic_0; end if; end process; p_dst_data_stream_2_V_din <= tmp_41_reg_304; -- p_dst_data_stream_2_V_write assign process. -- p_dst_data_stream_2_V_write_assign_proc : process(ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_reg_ppstg_exitcond_reg_285_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_lv1_0 = ap_reg_ppstg_exitcond_reg_285_pp0_it1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_dst_data_stream_2_V_write <= ap_const_logic_1; else p_dst_data_stream_2_V_write <= ap_const_logic_0; end if; end process; -- p_mask_data_stream_V_read assign process. -- p_mask_data_stream_V_read_assign_proc : process(exitcond_reg_285, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_285 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_mask_data_stream_V_read <= ap_const_logic_1; else p_mask_data_stream_V_read <= ap_const_logic_0; end if; end process; -- p_src_data_stream_0_V_read assign process. -- p_src_data_stream_0_V_read_assign_proc : process(exitcond_reg_285, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_285 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_src_data_stream_0_V_read <= ap_const_logic_1; else p_src_data_stream_0_V_read <= ap_const_logic_0; end if; end process; -- p_src_data_stream_1_V_read assign process. -- p_src_data_stream_1_V_read_assign_proc : process(exitcond_reg_285, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_285 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_src_data_stream_1_V_read <= ap_const_logic_1; else p_src_data_stream_1_V_read <= ap_const_logic_0; end if; end process; -- p_src_data_stream_2_V_read assign process. -- p_src_data_stream_2_V_read_assign_proc : process(exitcond_reg_285, ap_sig_cseq_ST_pp0_stg0_fsm_2, ap_sig_bdd_111, ap_reg_ppiten_pp0_it1, ap_sig_bdd_125, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_2) and (exitcond_reg_285 = ap_const_lv1_0) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and not(((ap_sig_bdd_111 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1)) or (ap_sig_bdd_125 and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))))) then p_src_data_stream_2_V_read <= ap_const_logic_1; else p_src_data_stream_2_V_read <= ap_const_logic_0; end if; end process; tmp_37_fu_236_p2 <= "1" when (p_mask_data_stream_V_dout = ap_const_lv8_0) else "0"; tmp_39_fu_242_p3 <= p_src_data_stream_0_V_dout when (tmp_37_fu_236_p2(0) = '1') else ap_const_lv8_FF; tmp_40_fu_250_p3 <= p_src_data_stream_1_V_dout when (tmp_37_fu_236_p2(0) = '1') else ap_const_lv8_0; tmp_41_fu_258_p3 <= p_src_data_stream_2_V_dout when (tmp_37_fu_236_p2(0) = '1') else ap_const_lv8_0; end behav;
gpl-3.0
mistryalok/Zedboard
learning/training/MSD/s09/axi_dma_sg/vivado/project_1/project_1.srcs/sources_1/ipshared/xilinx.com/lib_fifo_v1_0/ca55fafe/hdl/src/vhdl/sync_fifo_fg.vhd
7
69796
-- sync_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: sync_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new -- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- sync_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/16/2008$ -- -- History: -- DET 1/16/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3 -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Replaced FIFO Generator version 5.1 with 5.2. -- ^^^^^^ -- -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; ------------------------------------------------------------------------------- entity sync_fifo_fg is generic ( C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DCOUNT_WIDTH : integer := 4 ; C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo C_HAS_DCOUNT : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_ERR : integer := 0 ; C_HAS_ALMOST_FULL : integer := 0 ; C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM C_PORTS_DIFFER : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; C_READ_DATA_WIDTH : integer := 16; C_READ_DEPTH : integer := 16; C_RD_ERR_LOW : integer := 0 ; C_WR_ACK_LOW : integer := 0 ; C_WR_ERR_LOW : integer := 0 ; C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through C_WRITE_DATA_WIDTH : integer := 16; C_WRITE_DEPTH : integer := 16; C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8 ); port ( Clk : in std_logic; Sinit : in std_logic; Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0); Wr_en : in std_logic; Rd_en : in std_logic; Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0); Almost_full : out std_logic; Full : out std_logic; Empty : out std_logic; Rd_ack : out std_logic; Wr_ack : out std_logic; Rd_err : out std_logic; Wr_err : out std_logic; Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0) ); end entity sync_fifo_fg; architecture implementation of sync_fifo_fg is -- Function delarations function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMaxDepth -- -- Function Description: -- Returns the largest value of either Write depth or Read depth -- requested by input parameters. -- ------------------------------------------------------------------- function GetMaxDepth (rd_depth : integer; wr_depth : integer) return integer is Variable max_value : integer := 0; begin If (rd_depth < wr_depth) Then max_value := wr_depth; else max_value := rd_depth; End if; return(max_value); end function GetMaxDepth; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- -- changing this to C_FAMILY Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd -- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); -- lib_fifo supports all families Constant FAMILY_IS_SUPPORTED : boolean := true; --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; -- Calculate associated FIFO characteristics Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH); Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1; Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 0; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4; -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals signal sig_full : std_logic; signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0); signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ALMOST_EMPTY : std_logic; signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ -- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate -- begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- -- DO_ASSERTION : process -- begin -- Wait until second rising clock edge to issue assertion -- Wait until Clk = '1'; -- wait until Clk = '0'; -- Wait until Clk = '1'; -- Report an error in simulation environment -- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" -- severity ERROR; -- Wait;-- halt this process -- end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required -- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); -- Almost_full <= '0' ; -- : out std_logic; -- Full <= '0' ; -- : out std_logic; -- Empty <= '1' ; -- : out std_logic; -- Rd_ack <= '0' ; -- : out std_logic; -- Wr_ack <= '0' ; -- : out std_logic; -- Rd_err <= '1' ; -- : out std_logic; -- Wr_err <= '1' ; -- : out std_logic -- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); -- end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IfGen implements the fifo using fifo_generator_v9_3 -- when the designated FPGA Family is Spartan-6, Virtex-6 or -- later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu") generate begin Full <= sig_full or WR_RST_BUSY; end generate UltraScale_device; Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu") generate begin Full <= sig_full; end generate Series7_device; -- Create legacy data count by concatonating the Full flag to the -- MS Bit position of the FIFO data count -- This is per the Fifo Generator Migration Guide sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt; Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto FGEN_CNT_WIDTH-C_DCOUNT_WIDTH); ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- BRAM implementations of a legacy Sync FIFO -- ------------------------------------------------------------------------------- I_SYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ??? C_DEFAULT_VALUE => "BlankString", -- what to do here ??? C_DIN_WIDTH => C_WRITE_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_READ_DATA_WIDTH, C_ENABLE_RLOCS => 0, -- not supported C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => C_HAS_DCOUNT, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_RD_RST => 0, -- not used for sync FIFO C_HAS_RST => 0, -- not used for sync FIFO C_HAS_SRST => 1, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_WR_RST => 0, -- not used for sync FIFO C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_RD_DEPTH => MAX_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => C_RD_ACK_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_DEPTH => MAX_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map( backup => '0', backup_marker => '0', clk => Clk, rst => '0', srst => Sinit, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => sig_full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => ALMOST_EMPTY, valid => Rd_ack, underflow => Rd_err, data_count => sig_prim_fg_datacnt, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate FAMILY_SUPPORTED; end implementation;
gpl-3.0
davidhorrocks/1541UltimateII
fpga/io/uart_lite/vhdl_source/uart_peripheral.vhd
5
4651
library ieee; use ieee.std_logic_1164.all; entity uart_peripheral is generic ( tx_fifo : boolean := true; divisor : natural := 417 ); port ( clock : in std_logic; reset : in std_logic; bus_select : in std_logic; bus_write : in std_logic; bus_addr : in std_logic_vector(1 downto 0); bus_wdata : in std_logic_vector(7 downto 0); bus_rdata : out std_logic_vector(7 downto 0); uart_irq : out std_logic; txd : out std_logic; rxd : in std_logic ); end uart_peripheral; architecture gideon of uart_peripheral is signal dotx : std_logic; signal done : std_logic; signal rxchar : std_logic_vector(7 downto 0); signal rx_ack : std_logic; signal rxfifo_get : std_logic; signal rxfifo_dout : std_logic_vector(7 downto 0); signal rxfifo_full : std_logic; signal rxfifo_dav : std_logic; signal overflow : std_logic; signal flags : std_logic_vector(7 downto 0); signal imask : std_logic_vector(7 downto 6); signal txfifo_get : std_logic; signal txfifo_put : std_logic; signal txfifo_dout : std_logic_vector(7 downto 0); signal txfifo_full : std_logic := '1'; signal txfifo_dav : std_logic; signal dotx_d : std_logic; signal txchar : std_logic_vector(7 downto 0); begin my_tx: entity work.tx generic map (divisor) port map ( clk => clock, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); my_rx: entity work.rx generic map (divisor) port map ( clk => clock, reset => reset, rxd => rxd, rxchar => rxchar, rx_ack => rx_ack ); my_rxfifo: entity work.srl_fifo generic map ( Width => 8, Threshold => 12 ) port map ( clock => clock, reset => reset, GetElement => rxfifo_get, PutElement => rx_ack, FlushFifo => '0', DataIn => rxchar, DataOut => rxfifo_dout, SpaceInFifo => open, AlmostFull => rxfifo_full, DataInFifo => rxfifo_dav ); gentx: if tx_fifo generate my_txfifo: entity work.srl_fifo generic map ( Width => 8, Threshold => 12 ) port map ( clock => clock, reset => reset, GetElement => txfifo_get, PutElement => txfifo_put, FlushFifo => '0', DataIn => bus_wdata, DataOut => txfifo_dout, SpaceInFifo => open, AlmostFull => txfifo_full, DataInFifo => txfifo_dav ); end generate; process(bus_select, bus_write, bus_addr, txfifo_dav, bus_wdata, txfifo_dout, done) begin if not tx_fifo then txfifo_put <= '0'; txchar <= bus_wdata; if bus_select='1' and bus_write='1' and bus_addr="00" then dotx <= '1'; else dotx <= '0'; end if; else -- there is a fifo dotx <= txfifo_dav and done; txchar <= txfifo_dout; if bus_select='1' and bus_write='1' and bus_addr="00" then txfifo_put <= '1'; else txfifo_put <= '0'; end if; end if; end process; process(clock) begin if rising_edge(clock) then rxfifo_get <= '0'; dotx_d <= dotx; txfifo_get <= dotx_d; if rxfifo_full='1' and rx_ack='1' then overflow <= '1'; end if; if bus_select='1' and bus_write='1' then case bus_addr is when "00" => -- dout null; -- covered by combi statement when "01" => -- din rxfifo_get <= '1'; when "10" => -- clear flags overflow <= overflow and not bus_wdata(0); when "11" => -- interrupt control imask <= bus_wdata(7 downto 6); when others => null; end case; end if; if reset='1' then overflow <= '0'; imask <= (others => '0'); end if; end if; end process; flags(0) <= overflow; flags(1) <= '0'; flags(2) <= '0'; flags(3) <= '0'; flags(4) <= txfifo_full; flags(5) <= rxfifo_full; flags(6) <= done; flags(7) <= rxfifo_dav; with bus_addr select bus_rdata <= rxfifo_dout when "00", flags when "10", imask & "000000" when "11", X"00" when others; uart_irq <= '1' when (flags(7 downto 6) and imask) /= "00" else '0'; end gideon;
gpl-3.0
davidhorrocks/1541UltimateII
target/simulation/packages/vhdl_bfm/wave_pkg.vhd
5
5471
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 - Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Wave package ------------------------------------------------------------------------------- -- File : wave_pkg.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This package provides ways to write (and maybe in future read) -- .wav files. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.tl_flat_memory_model_pkg.all; use work.tl_file_io_pkg.all; package wave_pkg is type t_wave_channel is record number_of_samples : integer; memory : h_mem_object; end record; type t_wave_channel_array is array(natural range <>) of t_wave_channel; procedure open_channel(chan : out t_wave_channel); procedure push_sample(chan : inout t_wave_channel; sample : integer); procedure write_wave(name: string; rate : integer; channels : t_wave_channel_array); end package; package body wave_pkg is procedure open_channel(chan : out t_wave_channel) is variable ch : t_wave_channel; begin register_mem_model("path", "channel", ch.memory); ch.number_of_samples := 0; chan := ch; end procedure; procedure push_sample(chan : inout t_wave_channel; sample : integer) is variable s : integer; begin s := sample; if s > 32767 then s := 32767; end if; if s < -32768 then s := -32768; end if; write_memory_int(chan.memory, chan.number_of_samples, s); chan.number_of_samples := chan.number_of_samples + 1; end procedure; procedure write_vector_le(x : std_logic_vector; file f : t_binary_file; r : inout t_binary_file_rec) is variable bytes : integer := (x'length + 7) / 8; variable xa : std_logic_vector(7+bytes*8 downto 0); begin xa := (others => '0'); xa(x'length-1 downto 0) := x; for i in 0 to bytes-1 loop write_byte(f, xa(i*8+7 downto i*8), r); end loop; end procedure; procedure write_int_le(x : integer; file f : t_binary_file; r : inout t_binary_file_rec) is variable x_slv : std_logic_vector(31 downto 0); begin x_slv := std_logic_vector(to_signed(x, 32)); write_vector_le(x_slv, f, r); end procedure; procedure write_short_le(x : integer; file f : t_binary_file; r : inout t_binary_file_rec) is variable x_slv : std_logic_vector(15 downto 0); begin x_slv := std_logic_vector(to_signed(x, 16)); write_vector_le(x_slv, f, r); end procedure; procedure write_wave(name: string; rate : integer; channels : t_wave_channel_array) is file myfile : t_binary_file; variable myrec : t_binary_file_rec; variable stat : file_open_status; variable file_size : integer; variable data_size : integer; variable max_length : integer; begin -- open file file_open(stat, myfile, name, write_mode); assert (stat = open_ok) report "Could not open file " & name & " for writing." severity failure; init_record(myrec); max_length := 0; for i in channels'range loop if channels(i).number_of_samples > max_length then max_length := channels(i).number_of_samples; end if; end loop; data_size := (max_length * channels'length * 2); file_size := 12 + 16 + 8 + data_size; -- header write_vector_le(X"46464952", myfile, myrec); -- "RIFF" write_int_le (file_size-8, myfile, myrec); write_vector_le(X"45564157", myfile, myrec); -- "WAVE" -- chunk header write_vector_le(X"20746D66", myfile, myrec); -- "fmt " write_int_le (16, myfile, myrec); write_short_le (1, myfile, myrec); -- compression code = uncompressed write_short_le (channels'length, myfile, myrec); write_int_le (rate, myfile, myrec); -- sample rate write_int_le (rate * channels'length * 2, myfile, myrec); -- Bps write_short_le (channels'length * 2, myfile, myrec); -- alignment write_short_le (16, myfile, myrec); -- bits per sample write_vector_le(X"61746164", myfile, myrec); -- "data" write_int_le (data_size, myfile, myrec); -- now write out all data! for i in 0 to max_length-1 loop for j in channels'range loop write_short_le(read_memory_int(channels(j).memory, i), myfile, myrec); end loop; end loop; purge(myfile, myrec); file_close(myfile); end procedure; end;
gpl-3.0
freecores/light8080
vhdl/light8080.vhdl
1
52124
--############################################################################## -- light8080 : Intel 8080 binary compatible core --############################################################################## -- v1.1 (20 sep 2008) Microcode bug in INR fixed. -- v1.0 (05 nov 2007) First release. Jose A. Ruiz. -- -- This file and all the light8080 project files are freeware (See COPYING.TXT) --############################################################################## -- (See timing diagrams at bottom of file. More comprehensive explainations can -- be found in the design notes) --############################################################################## library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --############################################################################## -- vma : enable a memory or io r/w access. -- io : access in progress is io (and not memory) -- rd : read memory or io -- wr : write memory or io -- data_out : data output -- addr_out : memory and io address -- data_in : data input -- halt : halt status (1 when in halt state) -- inte : interrupt status (1 when enabled) -- intr : interrupt request -- inta : interrupt acknowledge -- reset : synchronous reset -- clk : clock -- -- (see timing diagrams at bottom of file) --############################################################################## entity light8080 is Port ( addr_out : out std_logic_vector(15 downto 0); inta : out std_logic; inte : out std_logic; halt : out std_logic; intr : in std_logic; vma : out std_logic; io : out std_logic; rd : out std_logic; wr : out std_logic; fetch : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); clk : in std_logic; reset : in std_logic ); end light8080; --############################################################################## -- All memory and io accesses are synchronous (rising clock edge). Signal vma -- works as the master memory and io synchronous enable. More specifically: -- -- * All memory/io control signals (io,rd,wr) are valid only when vma is -- high. They never activate when vms is inactive. -- * Signals data_out and address are only valid when vma='1'. The high -- address byte is 0x00 for all io accesses. -- * Signal data_in should be valid by the end of the cycle after vma='1', -- data is clocked in by the rising clock edge. -- -- All signals are assumed to be synchronous to the master clock. Prevention of -- metastability, if necessary, is up to you. -- -- Signal reset needs to be active for just 1 clock cycle (it is sampled on a -- positive clock edge and is subject to setup and hold times). -- Once reset is deasserted, the first fetch at address 0x0000 will happen 4 -- cycles later. -- -- Signal intr is sampled on all positive clock edges. If asserted when inte is -- high, interrupts will be disabled, inta will be asserted high and a fetch -- cycle will occur. The fetched instruction will be executed normally, except -- PC will not be valid in any subsequent fetch cycles of the same instruction, -- and will not be incremented (In practice, the same as the original 8080). -- inta will remain high for the duration of the fetched instruction (in the -- original 8080 it was high only for the opcode fetch cycle). -- PC will not be autoincremented while inta is high, but it can be explicitly -- modified (e.g. RTS, CALL, etc.). Again, the same as the original. -- Interrupts will be disabled upon assertion of inta, and remain disabled -- until explicitly enabled by the program (as in the original). -- -- The above means that any instruction can be supplied in an inta cycle, -- either single byte or multibyte. See the design notes. --############################################################################## architecture microcoded of light8080 is -- addr_low: low byte of address signal addr_low : std_logic_vector(7 downto 0); -- IR: instruction register. some bits left unused. signal IR : std_logic_vector(7 downto 0); -- s_field: IR field, sss source reg code signal s_field : std_logic_vector(2 downto 0); -- d_field: IR field, ddd destination reg code signal d_field : std_logic_vector(2 downto 0); -- p_field: IR field, pp 16-bit reg pair code signal p_field : std_logic_vector(1 downto 0); -- rbh: 1 when p_field=11, used in reg bank addressing for 'special' regs signal rbh : std_logic; -- 1 when P=11 (special case) -- alu_op: uinst field, ALU operation code signal alu_op : std_logic_vector(3 downto 0); -- DI: data input to ALU block from data_in, unregistered signal DI : std_logic_vector(7 downto 0); -- uc_addr: microcode (ucode) address signal uc_addr : std_logic_vector(7 downto 0); -- next_uc_addr: computed next microcode address (uaddr++/jump/ret/fetch) signal next_uc_addr : std_logic_vector(8 downto 0); -- uc_jmp_addr: uinst field, absolute ucode jump address signal uc_jmp_addr : std_logic_vector(7 downto 0); -- uc_ret_address: ucode return address saved in previous jump signal uc_ret_addr : std_logic_vector(7 downto 0); -- addr_plus_1: uaddr + 1 signal addr_plus_1 : std_logic_vector(7 downto 0); -- do_reset: reset, delayed 1 cycle -- used to reset the microcode sequencer signal do_reset : std_logic; -- uc_flags1: uinst field, encoded flag of group 1 (see ucode file) signal uc_flags1 : std_logic_vector(2 downto 0); -- uc_flags2: uinst field, encoded flag of group 2 (see ucode file) signal uc_flags2 : std_logic_vector(2 downto 0); -- uc_addr_sel: selection of next uc_addr, composition of 4 flags signal uc_addr_sel : std_logic_vector(3 downto 0); -- NOTE: see microcode file for information on flags signal uc_jsr : std_logic; -- uinst field, decoded 'jsr' flag signal uc_tjsr : std_logic; -- uinst field, decoded 'tjsr' flag signal uc_decode : std_logic; -- uinst field, decoded 'decode' flag signal uc_end : std_logic; -- uinst field, decoded 'end' flag signal condition_reg :std_logic; -- registered tjst condition -- condition: tjsr condition (computed ccc condition from '80 instructions) signal condition : std_logic; -- condition_sel: IR field, ccc condition code signal condition_sel :std_logic_vector(2 downto 0); signal uc_do_jmp : std_logic; -- uinst jump (jsr/tjsr) flag, pipelined signal uc_do_ret : std_logic; -- ret flag, pipelined signal uc_halt_flag : std_logic; -- uinst field, decoded 'halt' flag signal uc_halt : std_logic; -- halt command signal halt_reg : std_logic; -- halt status reg, output as 'halt' signal signal uc_ei : std_logic; -- uinst field, decoded 'ei' flag signal uc_di : std_logic; -- uinst field, decoded 'ei' flag signal inte_reg : std_logic; -- inte status reg, output as 'inte' signal signal int_pending : std_logic; -- intr requested, inta not active yet signal inta_reg : std_logic; -- inta status reg, output as 'inta' signal clr_t1 : std_logic; -- uinst field, explicitly erase T1 signal do_clr_t1 : std_logic; -- clr_t1 pipelined signal clr_t2 : std_logic; -- uinst field, explicitly erase T2 signal do_clr_t2 : std_logic; -- clr_t2 pipelined signal ucode : std_logic_vector(31 downto 0); -- microcode word signal ucode_field2 : std_logic_vector(24 downto 0); -- pipelined microcode -- microcode ROM : see design notes and microcode source file type t_rom is array (0 to 511) of std_logic_vector(31 downto 0); signal rom : t_rom := ( "00000000000000000000000000000000", -- 000 "00000000000001001000000001000100", -- 001 "00000000000001000000000001000100", -- 002 "10111101101001001000000001001101", -- 003 "10110110101001000000000001001101", -- 004 "00100000000000000000000000000000", -- 005 "00000000000000000000000000000000", -- 006 "11100100000000000000000000000000", -- 007 "00000000101010000000000000000000", -- 008 "00000100000100000000000001010111", -- 009 "00001000000000000000110000011001", -- 00a "00000100000100000000000001010111", -- 00b "00000000101010000000000010010111", -- 00c "00001000000000000000110000011100", -- 00d "00001000000000000000110000011111", -- 00e "00000100000100000000000001010111", -- 00f "00001000000000000000110000011111", -- 010 "00001000000000000000110000011100", -- 011 "00001000000000000000110000011111", -- 012 "00000000000110001000000001010111", -- 013 "00001000000000000000110000011111", -- 014 "00000100000110000000000001010111", -- 015 "00001000000000000000110000101110", -- 016 "00001000000000000000110000100010", -- 017 "00000100000000111000000001010111", -- 018 "00001000000000000000110000101110", -- 019 "00000000101000111000000010010111", -- 01a "00001000000000000000110000100101", -- 01b "00001000000000000000110000101110", -- 01c "10111101101001100000000001001101", -- 01d "10110110101001101000000001001101", -- 01e "00000000100000101000000001010111", -- 01f "00001000000000000000110000100010", -- 020 "00000100000000100000000001010111", -- 021 "00001000000000000000110000101110", -- 022 "00000000101000101000000010010111", -- 023 "10111101101001100000000001001101", -- 024 "10111010101001101000000001001101", -- 025 "00000000101000100000000010010111", -- 026 "00001000000000000000110000100101", -- 027 "00001000000000000000110000101000", -- 028 "00000100000000111000000001010111", -- 029 "00000000101000111000000010010111", -- 02a "00001000000000000000110000101011", -- 02b "00000000101000010000000000000000", -- 02c "00000000000001010000000001010111", -- 02d "00000000101000011000000000000000", -- 02e "00000000000001011000000001010111", -- 02f "00000000101000100000000000000000", -- 030 "00000000000000010000000001010111", -- 031 "00000000101000101000000000000000", -- 032 "00000000000000011000000001010111", -- 033 "00000000101001010000000000000000", -- 034 "00000000000000100000000001010111", -- 035 "00000000101001011000000000000000", -- 036 "00000100000000101000000001010111", -- 037 "00001000000000000000110000011111", -- 038 "00000100011000111000001101001100", -- 039 "00001000000000000000110000011111", -- 03a "00000100011000111000001101001101", -- 03b "00001000000000000000110000011111", -- 03c "00000100011000111000001101001110", -- 03d "00001000000000000000110000011111", -- 03e "00000100011000111000001101001111", -- 03f "00001000000000000000110000011111", -- 040 "00000100011000111000001101000100", -- 041 "00001000000000000000110000011111", -- 042 "00000100011000111000001101000101", -- 043 "00001000000000000000110000011111", -- 044 "00000100011000111000001101000110", -- 045 "00001000000000000000110000011111", -- 046 "00000100011000111000001110001110", -- 047 "00000000101010000000000000000000", -- 048 "00000100011000111000001101001100", -- 049 "00000000101010000000000000000000", -- 04a "00000100011000111000001101001101", -- 04b "00000000101010000000000000000000", -- 04c "00000100011000111000001101001110", -- 04d "00000000101010000000000000000000", -- 04e "00000100011000111000001101001111", -- 04f "00000000101010000000000000000000", -- 050 "00000100011000111000001101000100", -- 051 "00000000101010000000000000000000", -- 052 "00000100011000111000001101000101", -- 053 "00000000101010000000000000000000", -- 054 "00000100011000111000001101000110", -- 055 "00000000101010000000000000000000", -- 056 "00000100011000111000001110001110", -- 057 "00001000000000000000110000011001", -- 058 "00000100011000111000001101001100", -- 059 "00001000000000000000110000011001", -- 05a "00000100011000111000001101001101", -- 05b "00001000000000000000110000011001", -- 05c "00000100011000111000001101001110", -- 05d "00001000000000000000110000011001", -- 05e "00000100011000111000001101001111", -- 05f "00001000000000000000110000011001", -- 060 "00000100011000111000001101000100", -- 061 "00001000000000000000110000011001", -- 062 "00000100011000111000001101000101", -- 063 "00001000000000000000110000011001", -- 064 "00000100011000111000001101000110", -- 065 "00001000000000000000110000011001", -- 066 "00000100011000111000001110001110", -- 067 "10111100101100000000001001001101", -- 068 "00000100000000000000000000000000", -- 069 "00001000000000000000110000011001", -- 06a "10111100000000000000001010001101", -- 06b "00001000000000000000110000011100", -- 06c "10111100011100000000001001001111", -- 06d "00000100000000000000000000000000", -- 06e "00001000000000000000110000011001", -- 06f "11000000000000000000000000000000", -- 070 "10111100011001010000001010001111", -- 071 "00001000000000000000110000011100", -- 072 "10111100101110001000000001001101", -- 073 "10100100101110000000000001001101", -- 074 "10111100011110001000000001001111", -- 075 "10100100011110000000000001001111", -- 076 "00000000011110001000000000000000", -- 077 "00000000101000101000000101001100", -- 078 "00000000011110000000000000000000", -- 079 "00000100101000100000000101001101", -- 07a "00000000101000111000000010101000", -- 07b "00000100101000111000001101101000", -- 07c "00000100101000111000000101000000", -- 07d "00000100101000111000000101000001", -- 07e "00000100101000111000000101000010", -- 07f "00000100101000111000000101000011", -- 080 "00000100101000111000000001000111", -- 081 "00000100000000000000000100101100", -- 082 "00000100000000000000000100101101", -- 083 "00001000000000000000110000101110", -- 084 "00000000101001100000000000000000", -- 085 "00000000000001001000000001010111", -- 086 "00000000101001101000000000000000", -- 087 "00000100000001000000000001010111", -- 088 "00000100000000000000000000000000", -- 089 "00001000000000000000110000101110", -- 08a "00010000000000000000100000000101", -- 08b "00001000000000000000110000101110", -- 08c "11000000101001000000000010010111", -- 08d "00001000000000000000110000110100", -- 08e "11000000101001001000000010010111", -- 08f "00001000000000000000110000110100", -- 090 "00000000101001100000000000000000", -- 091 "00000000000001001000000001010111", -- 092 "00000000101001101000000000000000", -- 093 "00000100000001000000000001010111", -- 094 "00001000000000000000110000101110", -- 095 "00010000000000000000100000001101", -- 096 "00001000000000000000110000111001", -- 097 "00000000000001001000000001010111", -- 098 "00001000000000000000110000111001", -- 099 "00000100000001000000000001010111", -- 09a "00010000000000000000100000010111", -- 09b "11000000101001000000000010010111", -- 09c "00001000000000000000110000110100", -- 09d "11000000101001001000000010010111", -- 09e "00001000000000000000110000110100", -- 09f "11000000000001001000000001011111", -- 0a0 "00000100000001000000000001000100", -- 0a1 "00000000101000101000000000000000", -- 0a2 "00000000000001001000000001010111", -- 0a3 "00000000101000100000000000000000", -- 0a4 "00000100000001000000000001010111", -- 0a5 "11000000101110000000000010010111", -- 0a6 "00001000000000000000110000110100", -- 0a7 "11000000101110001000000010010111", -- 0a8 "00001000000000000000110000110100", -- 0a9 "00000100000000000000000000000000", -- 0aa "11000000101000111000000010010111", -- 0ab "00001000000000000000110000110100", -- 0ac "11000000000000000000000010110000", -- 0ad "00001000000000000000110000110100", -- 0ae "00000100000000000000000000000000", -- 0af "00001000000000000000110000111001", -- 0b0 "00000000000110001000000001010111", -- 0b1 "00001000000000000000110000111001", -- 0b2 "00000100000110000000000001010111", -- 0b3 "00001000000000000000110000111001", -- 0b4 "00000000000000110000001101010111", -- 0b5 "00001000000000000000110000111001", -- 0b6 "00000100000000111000000001010111", -- 0b7 "00001000000000000000110000111001", -- 0b8 "00000000000001100000000001010111", -- 0b9 "00001000000000000000110000111001", -- 0ba "00000000000001101000000001010111", -- 0bb "11000000101000100000000010010111", -- 0bc "00001000000000000000110000110100", -- 0bd "11000000101000101000000010010111", -- 0be "00001000000000000000110000110100", -- 0bf "00000000101001100000000000000000", -- 0c0 "00000000000000101000000001010111", -- 0c1 "00000000101001101000000000000000", -- 0c2 "00000100000000100000000001010111", -- 0c3 "00000000101000101000000000000000", -- 0c4 "00000000000001111000000001010111", -- 0c5 "00000000101000100000000000000000", -- 0c6 "00000100000001110000000001010111", -- 0c7 "01100100000000000000000000000000", -- 0c8 "01000100000000000000000000000000", -- 0c9 "00000000000001101000000001010111", -- 0ca "00001000000000000000110000011111", -- 0cb "00000000000001100000000001010111", -- 0cc "00000000000000000000000000000000", -- 0cd "00000001101001100000000000000000", -- 0ce "10010110101001101000000000000000", -- 0cf "00000100100000111000000001010111", -- 0d0 "00000000000001101000000001010111", -- 0d1 "00001000000000000000110000011111", -- 0d2 "00000000000001100000000001010111", -- 0d3 "00000000101000111000000010010111", -- 0d4 "00000001101001100000000000000000", -- 0d5 "10011010101001101000000000000000", -- 0d6 "00000100000000000000000000000000", -- 0d7 "11100100000000000000000000000000", -- 0d8 "00000001101000101000000000000000", -- 0d9 "00010110101000100000000000000000", -- 0da "00001100100001010000000001010111", -- 0db "00000001101000101000000000000000", -- 0dc "00011010101000100000000000000000", -- 0dd "00000100000000000000000000000000", -- 0de "10111101101001001000000001001101", -- 0df "10110110101001000000000001001101", -- 0e0 "00001100100000000000000010010111", -- 0e1 "00000001101001100000000000000000", -- 0e2 "00010110101001101000000000000000", -- 0e3 "00001100100000000000000000000000", -- 0e4 "00000001101001100000000000000000", -- 0e5 "00011010101001101000000000000000", -- 0e6 "00000100000000000000000000000000", -- 0e7 "00000001101110001000000000000000", -- 0e8 "00010110101110000000000000000000", -- 0e9 "00001100100000000000000000000000", -- 0ea "00000001101110001000000000000000", -- 0eb "00011010101110000000000000000000", -- 0ec "00000100000000000000000000000000", -- 0ed "10111101101001001000000001001101", -- 0ee "10110110101001000000000001001101", -- 0ef "00000000100001100000000001010111", -- 0f0 "10111101101001001000000001001101", -- 0f1 "10110110101001000000000001001101", -- 0f2 "00001100100001101000000001010111", -- 0f3 "10111100011001111000000001001111", -- 0f4 "10100000011001110000000001001111", -- 0f5 "00000001101001111000000000000000", -- 0f6 "00011010101001110000000000000000", -- 0f7 "00001100000000000000000000000000", -- 0f8 "10111101101001111000000001001101", -- 0f9 "10110110101001110000000001001101", -- 0fa "00001100100000000000000000000000", -- 0fb "00000100000000000000000000000000", -- 0fc "00000100000000000000000000000000", -- 0fd "00000100000000000000000000000000", -- 0fe "00000100000000000000000000000000", -- 0ff "00001000000000000000100000001001", -- 100 "00001000000000000000000000010010", -- 101 "00001000000000000000000000101010", -- 102 "00001000000000000000010000110011", -- 103 "00001000000000000000010000101000", -- 104 "00001000000000000000010000101101", -- 105 "00001000000000000000000000001110", -- 106 "00001000000000000000010000111101", -- 107 "00001000000000000000000000000000", -- 108 "00001000000000000000010000110111", -- 109 "00001000000000000000000000101000", -- 10a "00001000000000000000010000110101", -- 10b "00001000000000000000010000101000", -- 10c "00001000000000000000010000101101", -- 10d "00001000000000000000000000001110", -- 10e "00001000000000000000010000111110", -- 10f "00001000000000000000000000000000", -- 110 "00001000000000000000000000010010", -- 111 "00001000000000000000000000101010", -- 112 "00001000000000000000010000110011", -- 113 "00001000000000000000010000101000", -- 114 "00001000000000000000010000101101", -- 115 "00001000000000000000000000001110", -- 116 "00001000000000000000010000111111", -- 117 "00001000000000000000000000000000", -- 118 "00001000000000000000010000110111", -- 119 "00001000000000000000000000101000", -- 11a "00001000000000000000010000110101", -- 11b "00001000000000000000010000101000", -- 11c "00001000000000000000010000101101", -- 11d "00001000000000000000000000001110", -- 11e "00001000000000000000100000000000", -- 11f "00001000000000000000000000000000", -- 120 "00001000000000000000000000010010", -- 121 "00001000000000000000000000100010", -- 122 "00001000000000000000010000110011", -- 123 "00001000000000000000010000101000", -- 124 "00001000000000000000010000101101", -- 125 "00001000000000000000000000001110", -- 126 "00001000000000000000010000111011", -- 127 "00001000000000000000000000000000", -- 128 "00001000000000000000010000110111", -- 129 "00001000000000000000000000011100", -- 12a "00001000000000000000010000110101", -- 12b "00001000000000000000010000101000", -- 12c "00001000000000000000010000101101", -- 12d "00001000000000000000000000001110", -- 12e "00001000000000000000100000000001", -- 12f "00001000000000000000000000000000", -- 130 "00001000000000000000000000010010", -- 131 "00001000000000000000000000011001", -- 132 "00001000000000000000010000110011", -- 133 "00001000000000000000010000101010", -- 134 "00001000000000000000010000101111", -- 135 "00001000000000000000000000010000", -- 136 "00001000000000000000100000000011", -- 137 "00001000000000000000000000000000", -- 138 "00001000000000000000010000110111", -- 139 "00001000000000000000000000010110", -- 13a "00001000000000000000010000110101", -- 13b "00001000000000000000010000101000", -- 13c "00001000000000000000010000101101", -- 13d "00001000000000000000000000001110", -- 13e "00001000000000000000100000000010", -- 13f "00001000000000000000000000001000", -- 140 "00001000000000000000000000001000", -- 141 "00001000000000000000000000001000", -- 142 "00001000000000000000000000001000", -- 143 "00001000000000000000000000001000", -- 144 "00001000000000000000000000001000", -- 145 "00001000000000000000000000001010", -- 146 "00001000000000000000000000001000", -- 147 "00001000000000000000000000001000", -- 148 "00001000000000000000000000001000", -- 149 "00001000000000000000000000001000", -- 14a "00001000000000000000000000001000", -- 14b "00001000000000000000000000001000", -- 14c "00001000000000000000000000001000", -- 14d "00001000000000000000000000001010", -- 14e "00001000000000000000000000001000", -- 14f "00001000000000000000000000001000", -- 150 "00001000000000000000000000001000", -- 151 "00001000000000000000000000001000", -- 152 "00001000000000000000000000001000", -- 153 "00001000000000000000000000001000", -- 154 "00001000000000000000000000001000", -- 155 "00001000000000000000000000001010", -- 156 "00001000000000000000000000001000", -- 157 "00001000000000000000000000001000", -- 158 "00001000000000000000000000001000", -- 159 "00001000000000000000000000001000", -- 15a "00001000000000000000000000001000", -- 15b "00001000000000000000000000001000", -- 15c "00001000000000000000000000001000", -- 15d "00001000000000000000000000001010", -- 15e "00001000000000000000000000001000", -- 15f "00001000000000000000000000001000", -- 160 "00001000000000000000000000001000", -- 161 "00001000000000000000000000001000", -- 162 "00001000000000000000000000001000", -- 163 "00001000000000000000000000001000", -- 164 "00001000000000000000000000001000", -- 165 "00001000000000000000000000001010", -- 166 "00001000000000000000000000001000", -- 167 "00001000000000000000000000001000", -- 168 "00001000000000000000000000001000", -- 169 "00001000000000000000000000001000", -- 16a "00001000000000000000000000001000", -- 16b "00001000000000000000000000001000", -- 16c "00001000000000000000000000001000", -- 16d "00001000000000000000000000001010", -- 16e "00001000000000000000000000001000", -- 16f "00001000000000000000000000001100", -- 170 "00001000000000000000000000001100", -- 171 "00001000000000000000000000001100", -- 172 "00001000000000000000000000001100", -- 173 "00001000000000000000000000001100", -- 174 "00001000000000000000000000001100", -- 175 "00001000000000000000110000011000", -- 176 "00001000000000000000000000001100", -- 177 "00001000000000000000000000001000", -- 178 "00001000000000000000000000001000", -- 179 "00001000000000000000000000001000", -- 17a "00001000000000000000000000001000", -- 17b "00001000000000000000000000001000", -- 17c "00001000000000000000000000001000", -- 17d "00001000000000000000000000001010", -- 17e "00001000000000000000000000001000", -- 17f "00001000000000000000010000001000", -- 180 "00001000000000000000010000001000", -- 181 "00001000000000000000010000001000", -- 182 "00001000000000000000010000001000", -- 183 "00001000000000000000010000001000", -- 184 "00001000000000000000010000001000", -- 185 "00001000000000000000010000011000", -- 186 "00001000000000000000010000001000", -- 187 "00001000000000000000010000001010", -- 188 "00001000000000000000010000001010", -- 189 "00001000000000000000010000001010", -- 18a "00001000000000000000010000001010", -- 18b "00001000000000000000010000001010", -- 18c "00001000000000000000010000001010", -- 18d "00001000000000000000010000011010", -- 18e "00001000000000000000010000001010", -- 18f "00001000000000000000010000001100", -- 190 "00001000000000000000010000001100", -- 191 "00001000000000000000010000001100", -- 192 "00001000000000000000010000001100", -- 193 "00001000000000000000010000001100", -- 194 "00001000000000000000010000001100", -- 195 "00001000000000000000010000011100", -- 196 "00001000000000000000010000001100", -- 197 "00001000000000000000010000001110", -- 198 "00001000000000000000010000001110", -- 199 "00001000000000000000010000001110", -- 19a "00001000000000000000010000001110", -- 19b "00001000000000000000010000001110", -- 19c "00001000000000000000010000001110", -- 19d "00001000000000000000010000011110", -- 19e "00001000000000000000010000001110", -- 19f "00001000000000000000010000010000", -- 1a0 "00001000000000000000010000010000", -- 1a1 "00001000000000000000010000010000", -- 1a2 "00001000000000000000010000010000", -- 1a3 "00001000000000000000010000010000", -- 1a4 "00001000000000000000010000010000", -- 1a5 "00001000000000000000010000100000", -- 1a6 "00001000000000000000010000010000", -- 1a7 "00001000000000000000010000010010", -- 1a8 "00001000000000000000010000010010", -- 1a9 "00001000000000000000010000010010", -- 1aa "00001000000000000000010000010010", -- 1ab "00001000000000000000010000010010", -- 1ac "00001000000000000000010000010010", -- 1ad "00001000000000000000010000100010", -- 1ae "00001000000000000000010000010010", -- 1af "00001000000000000000010000010100", -- 1b0 "00001000000000000000010000010100", -- 1b1 "00001000000000000000010000010100", -- 1b2 "00001000000000000000010000010100", -- 1b3 "00001000000000000000010000010100", -- 1b4 "00001000000000000000010000010100", -- 1b5 "00001000000000000000010000100100", -- 1b6 "00001000000000000000010000010100", -- 1b7 "00001000000000000000010000010110", -- 1b8 "00001000000000000000010000010110", -- 1b9 "00001000000000000000010000010110", -- 1ba "00001000000000000000010000010110", -- 1bb "00001000000000000000010000010110", -- 1bc "00001000000000000000010000010110", -- 1bd "00001000000000000000010000100110", -- 1be "00001000000000000000010000010110", -- 1bf "00001000000000000000100000011011", -- 1c0 "00001000000000000000100000110000", -- 1c1 "00001000000000000000100000001010", -- 1c2 "00001000000000000000100000000100", -- 1c3 "00001000000000000000100000010101", -- 1c4 "00001000000000000000100000100110", -- 1c5 "00001000000000000000000000111000", -- 1c6 "00001000000000000000100000011100", -- 1c7 "00001000000000000000100000011011", -- 1c8 "00001000000000000000100000010111", -- 1c9 "00001000000000000000100000001010", -- 1ca "00001000000000000000000000000000", -- 1cb "00001000000000000000100000010101", -- 1cc "00001000000000000000100000001100", -- 1cd "00001000000000000000000000111010", -- 1ce "00001000000000000000100000011100", -- 1cf "00001000000000000000100000011011", -- 1d0 "00001000000000000000100000110000", -- 1d1 "00001000000000000000100000001010", -- 1d2 "00001000000000000000110000010001", -- 1d3 "00001000000000000000100000010101", -- 1d4 "00001000000000000000100000100110", -- 1d5 "00001000000000000000000000111100", -- 1d6 "00001000000000000000100000011100", -- 1d7 "00001000000000000000100000011011", -- 1d8 "00001000000000000000000000000000", -- 1d9 "00001000000000000000100000001010", -- 1da "00001000000000000000110000001010", -- 1db "00001000000000000000100000010101", -- 1dc "00001000000000000000000000000000", -- 1dd "00001000000000000000000000111110", -- 1de "00001000000000000000100000011100", -- 1df "00001000000000000000100000011011", -- 1e0 "00001000000000000000100000110000", -- 1e1 "00001000000000000000100000001010", -- 1e2 "00001000000000000000100000111000", -- 1e3 "00001000000000000000100000010101", -- 1e4 "00001000000000000000100000100110", -- 1e5 "00001000000000000000010000000000", -- 1e6 "00001000000000000000100000011100", -- 1e7 "00001000000000000000100000011011", -- 1e8 "00001000000000000000100000100010", -- 1e9 "00001000000000000000100000001010", -- 1ea "00001000000000000000000000101100", -- 1eb "00001000000000000000100000010101", -- 1ec "00001000000000000000000000000000", -- 1ed "00001000000000000000010000000010", -- 1ee "00001000000000000000100000011100", -- 1ef "00001000000000000000100000011011", -- 1f0 "00001000000000000000100000110100", -- 1f1 "00001000000000000000100000001010", -- 1f2 "00001000000000000000110000001001", -- 1f3 "00001000000000000000100000010101", -- 1f4 "00001000000000000000100000101011", -- 1f5 "00001000000000000000010000000100", -- 1f6 "00001000000000000000100000011100", -- 1f7 "00001000000000000000100000011011", -- 1f8 "00001000000000000000110000000100", -- 1f9 "00001000000000000000100000001010", -- 1fa "00001000000000000000110000001000", -- 1fb "00001000000000000000100000010101", -- 1fc "00001000000000000000000000000000", -- 1fd "00001000000000000000010000000110", -- 1fe "00001000000000000000100000011100" -- 1ff ); -- end of microcode ROM signal load_al : std_logic; -- uinst field, load AL reg from rbank signal load_addr : std_logic; -- uinst field, enable external addr reg load signal load_t1 : std_logic; -- uinst field, load reg T1 signal load_t2 : std_logic; -- uinst field, load reg T2 signal mux_in : std_logic; -- uinst field, T1/T2 input data selection signal load_do : std_logic; -- uinst field, pipelined, load DO reg -- rb_addr_sel: uinst field, rbank address selection: (sss,ddd,pp,ra_field) signal rb_addr_sel : std_logic_vector(1 downto 0); -- ra_field: uinst field, explicit reg bank address signal ra_field : std_logic_vector(3 downto 0); signal rbank_data : std_logic_vector(7 downto 0); -- rbank output signal alu_output : std_logic_vector(7 downto 0); -- ALU output -- data_output: datapath output: ALU output vs. F reg signal data_output : std_logic_vector(7 downto 0); signal T1 : std_logic_vector(7 downto 0); -- T1 reg (ALU operand) signal T2 : std_logic_vector(7 downto 0); -- T2 reg (ALU operand) -- alu_input: data loaded into T1, T2: rbank data vs. DI signal alu_input : std_logic_vector(7 downto 0); signal we_rb : std_logic; -- uinst field, commands a write to the rbank signal inhibit_pc_increment : std_logic; -- avoid PC changes (during INTA) signal rbank_rd_addr: std_logic_vector(3 downto 0); -- rbank rd addr signal rbank_wr_addr: std_logic_vector(3 downto 0); -- rbank wr addr signal DO : std_logic_vector(7 downto 0); -- data output reg -- Register bank as an array of 16 bytes (asynch. LUT ram) type t_reg_bank is array(0 to 15) of std_logic_vector(7 downto 0); -- Register bank : BC, DE, HL, AF, [PC, XY, ZW, SP] signal rbank : t_reg_bank; signal flag_reg : std_logic_vector(7 downto 0); -- F register -- flag_pattern: uinst field, F update pattern: which flags are updated signal flag_pattern : std_logic_vector(1 downto 0); signal flag_s : std_logic; -- new computed S flag signal flag_z : std_logic; -- new computed Z flag signal flag_p : std_logic; -- new computed P flag signal flag_cy : std_logic; -- new computed C flag signal flag_cy_1 : std_logic; -- C flag computed from arith/logic operation signal flag_cy_2 : std_logic; -- C flag computed from CPC circuit signal do_cy_op : std_logic; -- ALU explicit CY operation (CPC, etc.) signal do_cy_op_d : std_logic; -- do_cy_op, pipelined signal do_cpc : std_logic; -- ALU operation is CPC signal do_cpc_d : std_logic; -- do_cpc, pipelined signal do_daa : std_logic; -- ALU operation is DAA signal flag_ac : std_logic; -- new computed half carry flag -- flag_aux_cy: new computed half carry flag (used in 16-bit ops) signal flag_aux_cy : std_logic; signal load_psw : std_logic; -- load F register -- aux carry computation and control signals signal use_aux : std_logic; -- decoded from flags in 1st phase signal use_aux_cy : std_logic; -- 2nd phase signal signal reg_aux_cy : std_logic; signal aux_cy_in : std_logic; signal set_aux_cy : std_logic; signal set_aux : std_logic; -- ALU control signals -- together they select ALU operation signal alu_fn : std_logic_vector(1 downto 0); signal use_logic : std_logic; -- logic/arith mux control signal mux_fn : std_logic_vector(1 downto 0); signal use_psw : std_logic; -- ALU/F mux control -- ALU arithmetic operands and result signal arith_op1 : std_logic_vector(8 downto 0); signal arith_op2 : std_logic_vector(8 downto 0); signal arith_op2_sgn: std_logic_vector(8 downto 0); signal arith_res : std_logic_vector(8 downto 0); signal arith_res8 : std_logic_vector(7 downto 0); -- ALU DAA intermediate signals (DAA has fully dedicated logic) signal daa_res : std_logic_vector(8 downto 0); signal daa_res8 : std_logic_vector(7 downto 0); signal daa_res9 : std_logic_vector(8 downto 0); signal daa_test1 : std_logic; signal daa_test1a : std_logic; signal daa_test2 : std_logic; signal daa_test2a : std_logic; signal arith_daa_res :std_logic_vector(7 downto 0); signal cy_daa : std_logic; -- ALU CY flag intermediate signals signal cy_in_sgn : std_logic; signal cy_in : std_logic; signal cy_in_gated : std_logic; signal cy_adder : std_logic; signal cy_arith : std_logic; signal cy_shifter : std_logic; -- ALU intermediate results signal logic_res : std_logic_vector(7 downto 0); signal shift_res : std_logic_vector(7 downto 0); signal alu_mux1 : std_logic_vector(7 downto 0); begin DI <= data_in; process(clk) -- IR register, load when uc_decode flag activates begin if clk'event and clk='1' then if uc_decode = '1' then IR <= DI; end if; end if; end process; s_field <= IR(2 downto 0); -- IR field extraction : sss reg code d_field <= IR(5 downto 3); -- ddd reg code p_field <= IR(5 downto 4); -- pp 16-bit reg pair code --############################################################################## -- Microcode sequencer process(clk) -- do_reset is reset delayed 1 cycle begin if clk'event and clk='1' then do_reset <= reset; end if; end process; uc_flags1 <= ucode(31 downto 29); uc_flags2 <= ucode(28 downto 26); -- microcode address control flags are gated by do_reset (reset has priority) uc_do_ret <= '1' when uc_flags2 = "011" and do_reset = '0' else '0'; uc_jsr <= '1' when uc_flags2 = "010" and do_reset = '0' else '0'; uc_tjsr <= '1' when uc_flags2 = "100" and do_reset = '0' else '0'; uc_decode <= '1' when uc_flags1 = "001" and do_reset = '0' else '0'; uc_end <= '1' when (uc_flags2 = "001" or (uc_tjsr='1' and condition_reg='0')) and do_reset = '0' else '0'; -- other microinstruction flags are decoded uc_halt_flag <= '1' when uc_flags1 = "111" else '0'; uc_halt <= '1' when uc_halt_flag='1' and inta_reg='0' else '0'; uc_ei <= '1' when uc_flags1 = "011" else '0'; uc_di <= '1' when uc_flags1 = "010" or inta_reg='1' else '0'; -- clr_t1/2 clears T1/T2 when explicitly commanded; T2 and T1 clear implicitly -- at the end of each instruction (by uc_decode) clr_t2 <= '1' when uc_flags2 = "001" else '0'; clr_t1 <= '1' when uc_flags1 = "110" else '0'; use_aux <= '1' when uc_flags1 = "101" else '0'; set_aux <= '1' when uc_flags2 = "111" else '0'; load_al <= ucode(24); load_addr <= ucode(25); do_cy_op_d <= '1' when ucode(5 downto 2)="1011" else '0'; -- decode CY ALU op do_cpc_d <= ucode(0); -- decode CPC ALU op -- uinst jump command, either unconditional or on a given condition uc_do_jmp <= uc_jsr or (uc_tjsr and condition_reg); vma <= load_addr; -- addr is valid, either for memmory or io -- assume the only uinst that does memory access in the range 0..f is 'fetch' fetch <= '1' when uc_addr(7 downto 4)=X"0" and load_addr='1' else '0'; -- external bus interface control signals io <= '1' when uc_flags1="100" else '0'; -- IO access (vs. memory) rd <= '1' when uc_flags2="101" else '0'; -- RD access wr <= '1' when uc_flags2="110" else '0'; -- WR access uc_jmp_addr <= ucode(11 downto 10) & ucode(5 downto 0); uc_addr_sel <= uc_do_ret & uc_do_jmp & uc_decode & uc_end; addr_plus_1 <= uc_addr + 1; -- TODO simplify this!! -- NOTE: when end='1' we jump either to the FETCH ucode ot to the HALT ucode -- depending on the value of the halt signal. -- We use the unregistered uc_halt instead of halt_reg because otherwise #end -- should be on the cycle following #halt, wasting a cycle. -- This means that the flag #halt has to be used with #end or will be ignored. with uc_addr_sel select next_uc_addr <= '0'&uc_ret_addr when "1000", -- ret '0'&uc_jmp_addr when "0100", -- jsr/tjsr '0'&addr_plus_1 when "0000", -- uaddr++ "000000"&uc_halt&"11" when "0001", -- end: go to fetch/halt uaddr '1'&DI when others; -- decode fetched address -- Note how we used DI (containing instruction opcode) as a microcode address -- read microcode rom process (clk) begin if clk'event and clk='1' then ucode <= rom(conv_integer(next_uc_addr)); end if; end process; -- microcode address register process (clk) begin if clk'event and clk='1' then if reset = '1' then uc_addr <= X"00"; else uc_addr <= next_uc_addr(7 downto 0); end if; end if; end process; -- ucode address 1-level 'return stack' process (clk) begin if clk'event and clk='1' then if reset = '1' then uc_ret_addr <= X"00"; elsif uc_do_jmp='1' then uc_ret_addr <= addr_plus_1; end if; end if; end process; alu_op <= ucode(3 downto 0); -- pipeline uinst field2 for 1-cycle delayed execution. -- note the same rbank addr field is used in cycles 1 and 2; this enforces -- some constraints on uinst programming but simplifies the system. process(clk) begin if clk'event and clk='1' then ucode_field2 <= do_cy_op_d & do_cpc_d & clr_t2 & clr_t1 & set_aux & use_aux & rbank_rd_addr & ucode(14 downto 4) & alu_op; end if; end process; --#### HALT logic process(clk) begin if clk'event and clk='1' then if reset = '1' or int_pending = '1' then --inta_reg halt_reg <= '0'; else if uc_halt = '1' then halt_reg <= '1'; end if; end if; end if; end process; halt <= halt_reg; --#### INTE logic -- inte_reg = '1' means interrupts ENABLED process(clk) begin if clk'event and clk='1' then if reset = '1' then inte_reg <= '0'; else if uc_di='1' or uc_ei='1' then inte_reg <= uc_ei; end if; end if; end if; end process; inte <= inte_reg; -- interrupts are ignored when inte='0' process(clk) begin if clk'event and clk='1' then if reset = '1' then int_pending <= '0'; else if intr = '1' and inte_reg = '1' and int_pending = '0' then int_pending <= '1'; else if inte_reg = '1' and uc_end='1' then int_pending <= '0'; end if; end if; end if; end if; end process; --#### INTA logic -- INTA goes high from END to END, that is for the entire time the instruction -- takes to fetch and execute; in the original 8080 it was asserted only for -- the M1 cycle. -- All instructions can be used in an inta cycle, including XTHL which was -- forbidden in the original 8080. -- It's up to you figuring out which cycle is which in multibyte instructions. process(clk) begin if clk'event and clk='1' then if reset = '1' then inta_reg <= '0'; else if int_pending = '1' and uc_end='1' then -- enter INTA state inta_reg <= '1'; else -- exit INTA state -- NOTE: don't reset inta when exiting halt state (uc_halt_flag='1'). -- If we omit this condition, when intr happens on halt state, inta -- will only last for 1 cycle, because in halt state uc_end is -- always asserted. if uc_end = '1' and uc_halt_flag='0' then inta_reg <= '0'; end if; end if; end if; end if; end process; inta <= inta_reg; --############################################################################## -- Datapath -- extract pipelined microcode fields ra_field <= ucode(18 downto 15); load_t1 <= ucode(23); load_t2 <= ucode(22); mux_in <= ucode(21); rb_addr_sel <= ucode(20 downto 19); load_do <= ucode_field2(7); set_aux_cy <= ucode_field2(20); do_clr_t1 <= ucode_field2(21); do_clr_t2 <= ucode_field2(22); -- T1 register process (clk) begin if clk'event and clk='1' then if reset = '1' or uc_decode = '1' or do_clr_t1='1' then T1 <= X"00"; else if load_t1 = '1' then T1 <= alu_input; end if; end if; end if; end process; -- T2 register process (clk) begin if clk'event and clk='1' then if reset = '1' or uc_decode = '1' or do_clr_t2='1' then T2 <= X"00"; else if load_t2 = '1' then T2 <= alu_input; end if; end if; end if; end process; -- T1/T2 input data mux alu_input <= rbank_data when mux_in = '1' else DI; -- register bank address mux logic rbh <= '1' when p_field = "11" else '0'; with rb_addr_sel select rbank_rd_addr <= ra_field when "00", "0"&s_field when "01", "0"&d_field when "10", rbh&p_field&ra_field(0) when others; -- RBank writes are inhibited in INTA state, but only for PC increments. inhibit_pc_increment <= '1' when inta_reg='1' and use_aux_cy='1' and rbank_wr_addr(3 downto 1) = "100" else '0'; we_rb <= ucode_field2(6) and not inhibit_pc_increment; -- Register bank logic -- NOTE: read is asynchronous, while write is synchronous; but note also -- that write phase for a given uinst happens the cycle after the read phase. -- This way we give the ALU time to do its job. rbank_wr_addr <= ucode_field2(18 downto 15); process(clk) begin if clk'event and clk='1' then if we_rb = '1' then rbank(conv_integer(rbank_wr_addr)) <= alu_output; end if; end if; end process; rbank_data <= rbank(conv_integer(rbank_rd_addr)); -- should we read F register or ALU output? use_psw <= '1' when ucode_field2(5 downto 4)="11" else '0'; data_output <= flag_reg when use_psw = '1' else alu_output; process (clk) begin if clk'event and clk='1' then if load_do = '1' then DO <= data_output; end if; end if; end process; --############################################################################## -- ALU alu_fn <= ucode_field2(1 downto 0); use_logic <= ucode_field2(2); mux_fn <= ucode_field2(4 downto 3); --#### make sure this is "00" in the microcode when no F updates should happen! flag_pattern <= ucode_field2(9 downto 8); use_aux_cy <= ucode_field2(19); do_cpc <= ucode_field2(23); do_cy_op <= ucode_field2(24); do_daa <= '1' when ucode_field2(5 downto 2) = "1010" else '0'; aux_cy_in <= reg_aux_cy when set_aux_cy = '0' else '1'; -- carry input selection: normal or aux (for 16 bit increments)? cy_in <= flag_reg(0) when use_aux_cy = '0' else aux_cy_in; -- carry is not used (0) in add/sub operations cy_in_gated <= cy_in and alu_fn(0); --##### Adder/substractor -- zero extend adder operands to 9 bits to ease CY output synthesis -- use zero extension because we're only interested in cy from 7 to 8 arith_op1 <= '0' & T2; arith_op2 <= '0' & T1; -- The adder/substractor is done in 2 stages to help XSL synth it properly -- Other codings result in 1 adder + a substractor + 1 mux -- do 2nd op 2's complement if substracting... arith_op2_sgn <= arith_op2 when alu_fn(1) = '0' else not arith_op2; -- ...and complement cy input too cy_in_sgn <= cy_in_gated when alu_fn(1) = '0' else not cy_in_gated; -- once 2nd operand has been negated (or not) add operands normally arith_res <= arith_op1 + arith_op2_sgn + cy_in_sgn; -- take only 8 bits; 9th bit of adder is cy output arith_res8 <= arith_res(7 downto 0); cy_adder <= arith_res(8); --##### DAA dedicated logic -- Note a DAA takes 2 cycles to complete! --daa_test1a='1' when daa_res9(7 downto 4) > 0x06 daa_test1a <= arith_op2(3) and (arith_op2(2) or arith_op2(1) or arith_op2(0)); daa_test1 <= '1' when flag_reg(4)='1' or daa_test1a='1' else '0'; process(clk) begin if clk'event and clk='1' then if reset='1' then daa_res9 <= "000000000"; else if daa_test1='1' then daa_res9 <= arith_op2 + "000000110"; else daa_res9 <= arith_op2; end if; end if; end if; end process; --daa_test2a='1' when daa_res9(7 downto 4) > 0x06 FIXME unused? daa_test2a <= daa_res9(7) and (daa_res9(6) or daa_res9(5) or daa_res9(4)); daa_test2 <= '1' when flag_reg(0)='1' or daa_test1a='1' else '0'; daa_res <= '0'&daa_res9(7 downto 0) + "01100000" when daa_test2='1' else daa_res9; cy_daa <= daa_res(8); -- DAA vs. adder mux arith_daa_res <= daa_res(7 downto 0) when do_daa='1' else arith_res8; -- DAA vs. adder CY mux cy_arith <= cy_daa when do_daa='1' else cy_adder; --##### Logic operations block logic_res <= T1 and T2 when alu_fn = "00" else T1 xor T2 when alu_fn = "01" else T1 or T2 when alu_fn = "10" else not T1; --##### Shifter shifter: for i in 1 to 6 generate begin shift_res(i) <= T1(i-1) when alu_fn(0) = '0' else T1(i+1); end generate; shift_res(0) <= T1(7) when alu_fn = "00" else -- rot left cy_in when alu_fn = "10" else -- rot left through carry T1(1); -- rot right shift_res(7) <= T1(0) when alu_fn = "01" else -- rot right cy_in when alu_fn = "11" else -- rot right through carry T1(6); -- rot left cy_shifter <= T1(7) when alu_fn(0) = '0' else -- left T1(0); -- right alu_mux1 <= logic_res when use_logic = '1' else shift_res; with mux_fn select alu_output <= alu_mux1 when "00", arith_daa_res when "01", not alu_mux1 when "10", "00"&d_field&"000" when others; -- RST --###### flag computation flag_s <= alu_output(7); flag_p <= not(alu_output(7) xor alu_output(6) xor alu_output(5) xor alu_output(4) xor alu_output(3) xor alu_output(2) xor alu_output(1) xor alu_output(0)); flag_z <= '1' when alu_output=X"00" else '0'; flag_ac <= (arith_op1(4) xor arith_op2_sgn(4) xor alu_output(4)); flag_cy_1 <= cy_arith when use_logic = '1' else cy_shifter; flag_cy_2 <= not flag_reg(0) when do_cpc='0' else '1'; -- cmc, stc flag_cy <= flag_cy_1 when do_cy_op='0' else flag_cy_2; flag_aux_cy <= cy_adder; -- auxiliary carry reg process(clk) begin if clk'event and clk='1' then if reset='1' or uc_decode = '1' then reg_aux_cy <= '1'; -- inits to 0 every instruction else reg_aux_cy <= flag_aux_cy; end if; end if; end process; -- load PSW from ALU (i.e. POP AF) or from flag signals load_psw <= '1' when we_rb='1' and rbank_wr_addr="0110" else '0'; -- The F register has been split in two separate groupt that always update -- together (C and all others). -- F register, flags S,Z,AC,P process(clk) begin if clk'event and clk='1' then if reset='1' then flag_reg(7) <= '0'; flag_reg(6) <= '0'; flag_reg(4) <= '0'; flag_reg(2) <= '0'; elsif flag_pattern(1) = '1' then if load_psw = '1' then flag_reg(7) <= alu_output(7); flag_reg(6) <= alu_output(6); flag_reg(4) <= alu_output(4); flag_reg(2) <= alu_output(2); else flag_reg(7) <= flag_s; flag_reg(6) <= flag_z; flag_reg(4) <= flag_ac; flag_reg(2) <= flag_p; end if; end if; end if; end procesS; -- F register, flag C process(clk) begin if clk'event and clk='1' then if reset = '1' then flag_reg(0) <= '0'; elsif flag_pattern(0) = '1' then if load_psw = '1' then flag_reg(0) <= alu_output(0); else flag_reg(0) <= flag_cy; end if; end if; end if; end procesS; flag_reg(5) <= '0'; -- constant flag flag_reg(3) <= '0'; -- constant flag flag_reg(1) <= '1'; -- constant flag --##### Condition computation condition_sel <= d_field(2 downto 0); with condition_sel select condition <= not flag_reg(6) when "000", -- NZ flag_reg(6) when "001", -- Z not flag_reg(0) when "010", -- NC flag_reg(0) when "011", -- C not flag_reg(2) when "100", -- PO flag_reg(2) when "101", -- PE not flag_reg(7) when "110", -- P flag_reg(7) when others;-- M -- condition is registered to shorten the delay path; the extra 1-cycle -- delay is not relevant because conditions are tested in the next instruction -- at the earliest, and there's at least the fetch uinsts intervening. process(clk) begin if clk'event and clk='1' then if reset = '1' then condition_reg <= '0'; else condition_reg <= condition; end if; end if; end process; -- low byte address register process(clk) begin if clk'event and clk='1' then if reset = '1' then addr_low <= X"00"; elsif load_al = '1' then addr_low <= rbank_data; end if; end if; end process; -- note external address registers (high byte) are loaded directly from rbank addr_out <= rbank_data & addr_low; data_out <= DO; end microcoded; -------------------------------------------------------------------------------- -- Timing diagram 1: RD and WR cycles -------------------------------------------------------------------------------- -- 1 2 3 4 5 6 7 8 -- __ __ __ __ __ __ __ __ -- clk __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__ -- -- addr_o xxxxxxxxxxxxxx< ADR >xxxxxxxxxxx< ADR >xxxxxxxxxxx -- -- data_i xxxxxxxxxxxxxxxxxxxx< Din >xxxxxxxxxxxxxxxxxxxxxxx -- -- data_o xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx< Dout>xxxxxxxxxxx -- _____ _____ -- vma_o ______________/ \___________/ \___________ -- _____ -- rd_o ______________/ \_____________________________ -- _____ -- wr_o ________________________________/ \___________ -- -- (functional diagram, actual time delays not shown) -------------------------------------------------------------------------------- -- This diagram shows a read cycle and a write cycle back to back. -- In clock edges (4) and (7), the address is loaded into the external -- synchronous RAM address register. -- In clock edge (5), read data is loaded into the CPU. -- In clock edge (7), write data is loaded into the external synchronous RAM. -- In actual operation, the CPU does about 1 rd/wr cycle for each 5 clock -- cycles, which is a waste of RAM bandwidth. --
gpl-3.0
dhmeves/ece-485
ece-485-project-2/sign_extend.vhd
1
3179
library IEEE; use ieee.std_logic_1164.all; entity sign_extend is port( instr15_0 : in std_logic_vector(15 downto 0); clk, rst, pre, ce : in std_logic; output : out std_logic_vector(31 downto 0) ); end sign_extend; architecture behav of sign_extend is signal output_buf, output_buf0 : std_logic; begin DFF1 : entity work.d_flip_flop(behav) port map(clk, instr15_0(0), rst, pre, ce, output(0)); DFF2 : entity work.d_flip_flop(behav) port map(clk, instr15_0(1), rst, pre, ce, output(1)); DFF3 : entity work.d_flip_flop(behav) port map(clk, instr15_0(2), rst, pre, ce, output(2)); DFF4 : entity work.d_flip_flop(behav) port map(clk, instr15_0(3), rst, pre, ce, output(3)); DFF5 : entity work.d_flip_flop(behav) port map(clk, instr15_0(4), rst, pre, ce, output(4)); DFF6 : entity work.d_flip_flop(behav) port map(clk, instr15_0(5), rst, pre, ce, output(5)); DFF7 : entity work.d_flip_flop(behav) port map(clk, instr15_0(6), rst, pre, ce, output(6)); DFF8 : entity work.d_flip_flop(behav) port map(clk, instr15_0(7), rst, pre, ce, output(7)); DFF9 : entity work.d_flip_flop(behav) port map(clk, instr15_0(8), rst, pre, ce, output(8)); DFF10 : entity work.d_flip_flop(behav) port map(clk, instr15_0(9), rst, pre, ce, output(9)); DFF11 : entity work.d_flip_flop(behav) port map(clk, instr15_0(10), rst, pre, ce, output(10)); DFF12 : entity work.d_flip_flop(behav) port map(clk, instr15_0(11), rst, pre, ce, output(11)); DFF13 : entity work.d_flip_flop(behav) port map(clk, instr15_0(12), rst, pre, ce, output(12)); DFF14 : entity work.d_flip_flop(behav) port map(clk, instr15_0(13), rst, pre, ce, output(13)); DFF15 : entity work.d_flip_flop(behav) port map(clk, instr15_0(14), rst, pre, ce, output(14)); DFF16 : entity work.d_flip_flop(behav) port map(clk, instr15_0(15), rst, pre, ce, output(15)); output_buf0 <= instr15_0(15) when rst = '0' else '0' when rst = '1'; output_buf <= output_buf0 when pre = '0' else '1' when pre = '1'; output(16) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(17) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(18) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(19) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(20) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(21) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(22) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(23) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(24) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(25) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(26) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(27) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(28) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(29) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(30) <= '0' when output_buf = '0' else '1' when output_buf = '1'; output(31) <= '0' when output_buf = '0' else '1' when output_buf = '1'; end behav;
gpl-3.0
freecores/gpib_controller
vhdl/test/gpib_DT_Test.vhd
1
13686
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Author: Andrzej Paluch -- -- Create Date: 23:21:05 10/21/2011 -- Design Name: -- Module Name: /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd -- Project Name: usbToHpib -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: gpibInterface -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; use work.gpibComponents.all; use work.helperComponents.all; ENTITY gpib_DT_Test IS END gpib_DT_Test; ARCHITECTURE behavior OF gpib_DT_Test IS -- Component Declaration for the Unit Under Test (UUT) component gpibCableEmulator is port ( -- interface signals DIO_1 : in std_logic_vector (7 downto 0); output_valid_1 : in std_logic; DIO_2 : in std_logic_vector (7 downto 0); output_valid_2 : in std_logic; DIO : out std_logic_vector (7 downto 0); -- attention ATN_1 : in std_logic; ATN_2 : in std_logic; ATN : out std_logic; -- data valid DAV_1 : in std_logic; DAV_2 : in std_logic; DAV : out std_logic; -- not ready for data NRFD_1 : in std_logic; NRFD_2 : in std_logic; NRFD : out std_logic; -- no data accepted NDAC_1 : in std_logic; NDAC_2 : in std_logic; NDAC : out std_logic; -- end or identify EOI_1 : in std_logic; EOI_2 : in std_logic; EOI : out std_logic; -- service request SRQ_1 : in std_logic; SRQ_2 : in std_logic; SRQ : out std_logic; -- interface clear IFC_1 : in std_logic; IFC_2 : in std_logic; IFC : out std_logic; -- remote enable REN_1 : in std_logic; REN_2 : in std_logic; REN : out std_logic ); end component; -- inputs common signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal T1 : std_logic_vector(7 downto 0) := "00000100"; -- inputs 1 signal data_1 : std_logic_vector(7 downto 0) := (others => '0'); signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0'); signal rdy_1 : std_logic := '0'; signal nba_1 : std_logic := '0'; signal ltn_1 : std_logic := '0'; signal lun_1 : std_logic := '0'; signal lon_1 : std_logic := '0'; signal ton_1 : std_logic := '0'; signal endOf_1 : std_logic := '0'; signal gts_1 : std_logic := '0'; signal rpp_1 : std_logic := '0'; signal tcs_1 : std_logic := '0'; signal tca_1 : std_logic := '0'; signal sic_1 : std_logic := '0'; signal rsc_1 : std_logic := '0'; signal sre_1 : std_logic := '0'; signal rtl_1 : std_logic := '0'; signal rsv_1 : std_logic := '0'; signal ist_1 : std_logic := '0'; signal lpe_1 : std_logic := '0'; -- inputs 2 signal data_2 : std_logic_vector(7 downto 0) := (others => '0'); signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0'); signal rdy_2 : std_logic := '0'; signal nba_2 : std_logic := '0'; signal ltn_2 : std_logic := '0'; signal lun_2 : std_logic := '0'; signal lon_2 : std_logic := '0'; signal ton_2 : std_logic := '0'; signal endOf_2 : std_logic := '0'; signal gts_2 : std_logic := '0'; signal rpp_2 : std_logic := '0'; signal tcs_2 : std_logic := '0'; signal tca_2 : std_logic := '0'; signal sic_2 : std_logic := '0'; signal rsc_2 : std_logic := '0'; signal sre_2 : std_logic := '0'; signal rtl_2 : std_logic := '0'; signal rsv_2 : std_logic := '0'; signal ist_2 : std_logic := '0'; signal lpe_2 : std_logic := '0'; -- outputs 1 signal dvd_1 : std_logic; signal wnc_1 : std_logic; signal tac_1 : std_logic; signal cwrc_1 : std_logic; signal cwrd_1 : std_logic; signal clr_1 : std_logic; signal trg_1 : std_logic; signal atl_1 : std_logic; signal att_1 : std_logic; signal mla_1 : std_logic; signal lsb_1 : std_logic; signal spa_1 : std_logic; signal ppr_1 : std_logic; signal sreq_1 : std_logic; signal isLocal_1 : std_logic; signal currentSecAddr_1 : std_logic_vector (4 downto 0); -- outputs 2 signal dvd_2 : std_logic; signal wnc_2 : std_logic; signal tac_2 : std_logic; signal cwrc_2 : std_logic; signal cwrd_2 : std_logic; signal clr_2 : std_logic; signal trg_2 : std_logic; signal atl_2 : std_logic; signal att_2 : std_logic; signal mla_2 : std_logic; signal lsb_2 : std_logic; signal spa_2 : std_logic; signal ppr_2 : std_logic; signal sreq_2 : std_logic; signal isLocal_2 : std_logic; signal currentSecAddr_2 : std_logic_vector (4 downto 0); -- common signal DO : std_logic_vector (7 downto 0); signal DI_1 : std_logic_vector (7 downto 0); signal output_valid_1 : std_logic; signal DI_2 : std_logic_vector (7 downto 0); signal output_valid_2 : std_logic; signal ATN_1, ATN_2, ATN : std_logic; signal DAV_1, DAV_2, DAV : std_logic; signal NRFD_1, NRFD_2, NRFD : std_logic; signal NDAC_1, NDAC_2, NDAC : std_logic; signal EOI_1, EOI_2, EOI : std_logic; signal SRQ_1, SRQ_2, SRQ : std_logic; signal IFC_1, IFC_2, IFC : std_logic; signal REN_1, REN_2, REN : std_logic; -- gpib reader signal buf_interrupt : std_logic; signal data_available : std_logic; signal last_byte_addr : std_logic_vector (3 downto 0); signal end_of_stream : std_logic; signal byte_addr : std_logic_vector (3 downto 0); signal data_out : std_logic_vector (7 downto 0); signal reset_buffer : std_logic := '0'; signal dataSecAddr : std_logic_vector (4 downto 0); -- gpib writer signal w_last_byte_addr : std_logic_vector (3 downto 0) := (others => '0'); signal w_end_of_stream : std_logic := '0'; signal w_data_available : std_logic := '0'; signal w_buf_interrupt : std_logic; signal w_data_in : std_logic_vector (7 downto 0); signal w_byte_addr : std_logic_vector (3 downto 0); signal w_reset_buffer : std_logic := '0'; type WR_BUF_TYPE is array (0 to 15) of std_logic_vector (7 downto 0); signal w_write_buffer : WR_BUF_TYPE; -- Clock period definitions constant clk_period : time := 2ps; BEGIN -- Instantiate the Unit Under Test (UUT) gpib1: gpibInterface PORT MAP ( clk => clk, reset => reset, isLE => '0', isTE => '0', lpeUsed => '0', fixedPpLine => "000", eosUsed => '0', eosMark => "00000000", myListAddr => "00001", myTalkAddr => "00001", secAddrMask => (others => '0'), data => data_1, status_byte => status_byte_1, T1 => T1, rdy => rdy_1, nba => nba_1, ltn => ltn_1, lun => lun_1, lon => lon_1, ton => ton_1, endOf => endOf_1, gts => gts_1, rpp => rpp_1, tcs => tcs_1, tca => tca_1, sic => sic_1, rsc => rsc_1, sre => sre_1, rtl => rtl_1, rsv => rsv_1, ist => ist_1, lpe => lpe_1, dvd => dvd_1, wnc => wnc_1, tac => tac_1, cwrc => cwrc_1, cwrd => cwrd_1, clr => clr_1, trg => trg_1, atl => atl_1, att => att_1, mla => mla_1, lsb => lsb_1, spa => spa_1, ppr => ppr_1, sreq => sreq_1, isLocal => isLocal_1, currentSecAddr => currentSecAddr_1, DI => DO, DO => DI_1, output_valid => output_valid_1, ATN_in => ATN, ATN_out => ATN_1, DAV_in => DAV, DAV_out => DAV_1, NRFD_in => NRFD, NRFD_out => NRFD_1, NDAC_in => NDAC, NDAC_out => NDAC_1, EOI_in => EOI, EOI_out => EOI_1, SRQ_in => SRQ, SRQ_out => SRQ_1, IFC_in => IFC, IFC_out => IFC_1, REN_in => REN, REN_out => REN_1 ); -- Instantiate the Unit Under Test (UUT) gpib2: gpibInterface PORT MAP ( clk => clk, reset => reset, isLE => '0', isTE => '0', lpeUsed => '0', fixedPpLine => "000", eosUsed => '0', eosMark => "00000000", myListAddr => "00010", myTalkAddr => "00010", secAddrMask => (others => '0'), data => data_2, status_byte => status_byte_2, T1 => T1, rdy => rdy_2, nba => nba_2, ltn => ltn_2, lun => lun_2, lon => lon_2, ton => ton_2, endOf => endOf_2, gts => gts_2, rpp => rpp_2, tcs => tcs_2, tca => tca_2, sic => sic_2, rsc => rsc_2, sre => sre_2, rtl => rtl_2, rsv => rsv_2, ist => ist_2, lpe => lpe_2, dvd => dvd_2, wnc => wnc_2, tac => tac_2, cwrc => cwrc_2, cwrd => cwrd_2, clr => clr_2, trg => trg_2, atl => atl_2, att => att_2, mla => mla_2, lsb => lsb_2, spa => spa_2, ppr => ppr_2, sreq => sreq_2, isLocal => isLocal_2, currentSecAddr => currentSecAddr_2, DI => DO, DO => DI_2, output_valid => output_valid_2, ATN_in => ATN, ATN_out => ATN_2, DAV_in => DAV, DAV_out => DAV_2, NRFD_in => NRFD, NRFD_out => NRFD_2, NDAC_in => NDAC, NDAC_out => NDAC_2, EOI_in => EOI, EOI_out => EOI_2, SRQ_in => SRQ, SRQ_out => SRQ_2, IFC_in => IFC, IFC_out => IFC_2, REN_in => REN, REN_out => REN_2 ); ce: gpibCableEmulator port map ( -- interface signals DIO_1 => DI_1, output_valid_1 => output_valid_1, DIO_2 => DI_2, output_valid_2 => output_valid_2, DIO => DO, -- attention ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN, DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV, NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD, NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC, EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI, SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ, IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC, REN_1 => REN_1, REN_2 => REN_2, REN => REN ); gr: gpibReader generic map (ADDR_WIDTH => 4) port map ( clk => clk, reset => reset, ------------------------------------------------------------------------ ------ GPIB interface -------------------------------------------------- ------------------------------------------------------------------------ data_in => DO, dvd => dvd_2, atl => atl_2, lsb => lsb_2, rdy => rdy_2, ------------------------------------------------------------------------ ------ external interface ---------------------------------------------- ------------------------------------------------------------------------ isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr, buf_interrupt => buf_interrupt, data_available => data_available, last_byte_addr => last_byte_addr, end_of_stream => end_of_stream, byte_addr => byte_addr, data_out => data_out, reset_buffer => reset_buffer ); w_data_in <= w_write_buffer(conv_integer(w_byte_addr)); gw: gpibWriter generic map (ADDR_WIDTH => 4) port map ( clk => clk, reset => reset, ------------------------------------------------------------------------ ------ GPIB interface -------------------------------------------------- ------------------------------------------------------------------------ data_out => data_1, wnc => wnc_1, spa => spa_1, nba => nba_1, endOf => endOf_1, att => att_1, cwrc => cwrc_1, ------------------------------------------------------------------------ ------ external interface ---------------------------------------------- ------------------------------------------------------------------------ isTE => '0', secAddr => (others => '0'), dataSecAddr => (others => '0'), last_byte_addr => w_last_byte_addr, end_of_stream => w_end_of_stream, data_available => w_data_available, buf_interrupt => w_buf_interrupt, data_in => w_data_in, byte_addr => w_byte_addr, reset_buffer => w_reset_buffer ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 10 clock periods. reset <= '1'; wait for clk_period*10; reset <= '0'; wait for clk_period*10; -- requests system control rsc_1 <= '1'; -- interface clear sic_1 <= '1'; wait until IFC_1 = '1'; sic_1 <= '0'; wait until IFC_1 = '0'; assert trg_1 = '0'; assert trg_2 = '0'; -- send GET (device clear) w_write_buffer(0) <= "00001000"; w_last_byte_addr <= "0000"; w_data_available <= '1'; wait until w_buf_interrupt='1'; assert trg_1 = '0'; assert trg_2 = '0'; w_reset_buffer <= '1'; wait for clk_period*2; w_reset_buffer <= '0'; -- gpib2 to listen w_write_buffer(0) <= "00100010"; w_last_byte_addr <= "0000"; w_data_available <= '1'; wait until w_buf_interrupt='1'; assert trg_1 = '0'; assert trg_2 = '0'; w_reset_buffer <= '1'; wait for clk_period*2; w_reset_buffer <= '0'; -- send GET w_write_buffer(0) <= "00001000"; w_last_byte_addr <= "0000"; w_data_available <= '1'; wait until w_buf_interrupt='1'; assert trg_1 = '0'; assert trg_2 = '1'; report "$$$ END OF TEST - DT (device trigger) $$$"; wait; end process; END;
gpl-3.0
freecores/gpib_controller
vhdl/src/gpib_helper/MemoryBlock_by_logic.vhd
1
2416
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Entity: MemoryBlock -- Date:2011-11-14 -- Author: Andrzej Paluch -- -- Description ${cursor} -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library UNISIM; use UNISIM.vcomponents.all; use work.utilPkg.all; use work.helperComponents.all; entity MemoryBlock is port ( reset : in std_logic; clk : in std_logic; ------------------------------------------------- p1_addr : in std_logic_vector(10 downto 0); p1_data_in : in std_logic_vector(7 downto 0); p1_strobe : in std_logic; p1_data_out : out std_logic_vector(7 downto 0); ------------------------------------------------- p2_addr : in std_logic_vector(10 downto 0); p2_data_in : in std_logic_vector(7 downto 0); p2_strobe : in std_logic; p2_data_out : out std_logic_vector(7 downto 0) ); end MemoryBlock; architecture arch of MemoryBlock is type mem is array(0 to 31) of std_logic_vector(7 downto 0); signal memory : mem; signal addrP1, addrP2 : integer range 0 to 31; begin addrP1 <= conv_integer(UNSIGNED(p1_addr)); addrP2 <= conv_integer(UNSIGNED(p2_addr)); process(reset, clk) begin if reset = '1' then elsif rising_edge(clk) then p1_data_out <= memory(addrP1); p2_data_out <= memory(addrP2); if p1_strobe = '1' then memory(addrP1) <= p1_data_in; end if; if p2_strobe = '1' then memory(addrP2) <= p2_data_in; end if; end if; end process; end arch;
gpl-3.0
freecores/gpib_controller
vhdl/src/gpib/if_func_PP.vhd
1
4923
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- -- Author: Andrzej Paluch -- -- Create Date: 01:04:57 10/03/2011 -- Design Name: -- Module Name: if_func_PP - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.utilPkg.all; entity if_func_PP is port( -- device inputs clk : in std_logic; -- clock -- settings lpeUsed : std_logic; fixedPpLine : in std_logic_vector (2 downto 0); -- local commands pon : in std_logic; -- power on lpe : in std_logic; -- local poll enable ist : in std_logic; -- individual status -- state inputs ACDS : in std_logic; -- accept data state LADS : in std_logic; -- listener address state (L or LE) -- data input dio_data : in std_logic_vector(3 downto 0); -- byte from data lines -- remote command inputs IDY : in std_logic; -- identify PPE : in std_logic; -- parallel poll enable PPD : in std_logic; -- parallel poll disable PPC : in std_logic; -- parallel poll configure PPU : in std_logic; -- parallel poll unconfigure PCG : in std_logic; -- primary command group -- remote command outputs PPR : out std_logic; -- paralel poll response -- PPR command data ppBitValue : out std_logic; -- bit value ppLineNumber : out std_logic_vector (2 downto 0); -- reported states PPAS : out std_logic -- parallel poll active state ); end if_func_PP; architecture Behavioral of if_func_PP is -- states type PP_STATE_1 is ( -- parallel poll idle state ST_PPIS, -- parallel poll standby state ST_PPSS, -- parallel poll active state ST_PPAS ); -- states type PP_STATE_2 is ( -- parallel poll unaddressed to configure state ST_PUCS, -- parallel poll addressed to configure state ST_PACS ); -- current state signal current_state_1 : PP_STATE_1; signal current_state_2 : PP_STATE_2; -- predicates signal pred1, pred2, pred3, pred4, pred5 : boolean; -- memorized PP metadata signal S : std_logic; signal lineAddr : std_logic_vector (2 downto 0); begin -- state machine process - PP_STATE_1 process(pon, clk) begin if pon = '1' then current_state_1 <= ST_PPIS; elsif rising_edge(clk) then case current_state_1 is ------------------ when ST_PPIS => if pred1 then S <= dio_data(3); lineAddr <= dio_data(2 downto 0); current_state_1 <= ST_PPSS; end if; ------------------ when ST_PPSS => if pred3 then current_state_1 <= ST_PPAS; elsif pred2 then current_state_1 <= ST_PPIS; end if; ------------------ when ST_PPAS => if not pred3 then current_state_1 <= ST_PPSS; end if; ------------------ when others => current_state_1 <= ST_PPIS; end case; end if; end process; -- state machine process - PP_STATE_2 process(pon, clk) begin if pon = '1' then current_state_2 <= ST_PUCS; elsif rising_edge(clk) then case current_state_2 is ------------------ when ST_PUCS => if pred4 then current_state_2 <= ST_PACS; end if; ------------------ when ST_PACS => if pred5 then current_state_2 <= ST_PUCS; end if; ------------------ when others => current_state_2 <= ST_PUCS; end case; end if; end process; ppBitValue <= (not S xor ist) when lpeUsed='0' else ist; ppLineNumber <= lineAddr when lpeUsed='0' else fixedPpLine; PPR <= to_stdl(current_state_1 = ST_PPAS); PPAS <= to_stdl(current_state_1 = ST_PPAS); -- predicates with lpeUsed select pred1 <= is_1(lpe) when '1', PPE='1' and current_state_2=ST_PACS and ACDS='1' when others; with lpeUsed select pred2 <= is_1(not lpe) when '1', ((PPD='1' and current_state_2=ST_PACS) or PPU='1') and ACDS='1' when others; pred3 <= IDY='1'; pred4 <= PPC='1' and LADS='1' and ACDS='1'; pred5 <= PCG='1' and PPC='0' and ACDS='1'; end Behavioral;
gpl-3.0
MonsieurOenologue/Paprotto
subNbits.vhd
1
983
--Libraries imports library ieee; use ieee.std_logic_1164.all; --Entity declaration ENTITY subNbits IS GENERIC( N : IN NATURAL := 16 ); PORT( A, B : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); Cin : IN STD_LOGIC; S : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0); Cout : OUT STD_LOGIC ); END; --Architecture behavior ARCHITECTURE behavior OF subNbits IS COMPONENT minNbits IS GENERIC( N : IN NATURAL := 16 ); PORT( A : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ); END COMPONENT; COMPONENT faG IS GENERIC( N : IN NATURAL := 16 ); PORT( A, B : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); Cin : IN STD_LOGIC; S : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0); Cout : OUT STD_LOGIC ); END COMPONENT; SIGNAL tempB : STD_LOGIC_VECTOR(N-1 DOWNTO 0); SIGNAL tempCo : STD_LOGIC_VECTOR(N-1 DOWNTO 0); BEGIN min : minNbits GENERIC MAP (N) PORT MAP (B, tempB); add : faG GENERIC MAP (N) PORT MAP (A, tempB, Cin, S, Cout); END;
gpl-3.0
MonsieurOenologue/Paprotto
topLevel.vhd
1
817
--Libraries imports library ieee; use ieee.std_logic_1164.all; --Entity declaration ENTITY topLevel IS PORT( BT : IN STD_LOGIC_VECTOR(2 DOWNTO 0); SW : IN STD_LOGIC_VECTOR(15 DOWNTO 0); LEDG : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); LEDR : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END; --Architecture behavior ARCHITECTURE behavior OF topLevel IS COMPONENT Paprotto IS GENERIC( N : IN NATURAL := 16 ); PORT( clk : IN STD_LOGIC; reset : IN std_logic; run : IN std_logic; code : IN std_logic_vector(15 DOWNTO 0); done : OUT std_logic; overflow : OUT std_logic ); END COMPONENT; SIGNAL databus : STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN databus <= (OTHERS => '0'); LEDG(0) <= BT(0); CPU : Paprotto GENERIC MAP (16) PORT MAP(BT(2), BT(1), BT(0), SW, databus(1), LEDG(1)); END;
gpl-3.0
iamllama/EE2020
ee2020.ip_user_files/ipstatic/hdl/c_addsub_v12_0_vh_rfs.vhd
1
402790
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fgcHMpDB8YeTXYpoTsmWZ+EpGtmp4ttE4rhLnGC39GZlQ/zJoTz5jsPoaLlJ4UWaOu8wsqCjidiH ybd7UHh7Iw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ce9yJwkNvACWlmAFVwVRYhOdCIZZzBisSiZXBvx+NUYf+lxZv1vyZmKRIRYhYQFaRqRT7XkpC1Ec t+dZjN7MGpN15tip1+lCNk6nbQTIhD1RPmDELP6pl456iOpiQ/ZN6oyRQX4m6uADI4VCap54SPA7 xDAZ2Ihv8VRQzQqKu2s= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yzmtZb9/BZ4O/Gzgyl5TFGS/WtQ6cUQXqy/uOVfW7wlrbPwEqT6tWciKofSv+CyJbJIVPzK8DKFI WQJqQsaQvwHoiKvvvszZkqgvpH3DwEqS4ynnWHOJwHB19GcoOlPWiy5xKdCVGPEiN2a3E1iFT033 EH5wjBAeJPtvdeyOkLI= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CczA2H+PI5anFc9KY7UKsIXFVNBdxrwuLyvm1R1kZm0IbddJ4LwKIbI7KyLLLLbAYBBP7wxcLmqe F9t3HL4MVpwq4k/EpCH4FZDSvMDxPR4bzVAo6o1yJxQXLW3+NZAmPCzXZqtSSPYLsiDU+W9GQY7+ 1HWJeXGRV4YcEBFMrw/6x47O9rznZwcpvN7ClnZOjFMV6qkda3BftQcnNwgtp2N+BsKgboXbAHKV zExUhY74xU/ifF/nfy2HapVTvKKx6cjozDkWbYKX6BcnoM4cdYRte+0OO03Cf6J1WNNEdLgK4TLC xfJwAliutWUOQcBc87pWvMgPIGLJ8vlUAFdzRw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XUGyGZ3f6ddj79v8VJz6tFeEU1K7Q7eXpxBZjIxiM9EM6yeIzUyNcfTtjPmDnlZ+RUHPbdJfKXo/ vqrH8/IP17g81z0rmYCYrrLWAiXXZVnF33Sby5XqXm99P3kbjtJRUqQHubpP9lxi/BwNEM2b+PmB MKEcRy3QhkDyijUSVDevumkng5ROIwALCPIoFsnDOvu87+q48wG4+JVioc576FSFqZ3zKH+xln5a 0tGbwFbo8dlg6OZgf95UN2jhgacGA/kl7qJB8Iq/75yAi5qhWYQjCPA9zQ2g0VZuIoCqrY5Pz1/d 5FX25JIJkfhGP0833RpKbY6Iszo7lIM1Sha3sw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sY1OhSYlEri9JAkBv9M84SAJcAs2KDpaHeB2dfqS3s74ysd3frt6CyyFk6hNda0+culDUsAjRUVs x11BMQ4Oo7lonjFlIt6y+8rL2UDn4GB+aEZFgEeAaV6hVtqs+l5mrUc4tqz0ovbu6bKxjVkhrpr9 3LZPe0AWv46dj3Mj7RdMEOaFBpgrDS7uox/mmIiEy3WXfqMlwahcqdRCOkGRbxZDtQPSXfHTdMia rbflvAE/8GSkcXysduuffU6QTMADbxxBxy1skDfog0aCf/7pXE/cMgAqJn0d/nI9LN6/w/OjZCaV itzFnyqX9yNrnz11rwDQtSNe9wLD5gUpgOeQrQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032) `protect data_block 9A40ATusYWFjYizG/N7KJoMXxBwsAFDRQEFRGzpyNeUknDol+LVvoU/GjM63eSlfNUZIzke2mxke 0vnKhUau/ZvPVNAA03GmEjiSwffpGRbWdIgj0vSPfSFbmsrsp9zMNqoHIQS44mZTvtMK4/M6CJxO SFSX3Esu3Q/brpuR3Ry2G4Z6h6kOqKV33xphO0Z05Xo1jSXKs1dt+YOUXJfqQbpLqPPnFdelrMa1 L+7go2Lxxkd2WiBPck3x7n8mGLxCdxs3hGP4nMkRPbwNGVIbqBQp4HMr5yNwK1lequ5Boa/4n8uf trczVSL2byea/KrnL+ZCupVW8RpEPbYrtajmbeVchOUNEnIZAfa4DQT6Zx6DvS8xolpCMR78AMij b+C9Vdbu3/7t97qb4bmepDClt8AKnM3y9QfACquTcY+kcWAJ94ymBFVHSdZoCYzAikSUQO1BJdwA rTIS9Gy+Jn4kwQIB2DIeN1BHE9dPKd243y8XxbhFBDc3tCYztk09pXn0htLLikupbvOopmKTZadn w9Y71EdcZFe/qPZY0aawJH3Uci6B98SBSonLwQf4fbsfm9OrP23i5udqE87MAqXceIwvqCNXhRX/ NPb0S0fIgp7UGDcKY1TcD7WZ8dPEVIcQkIR8EvruLZZ2wmr7UomsT4KK3zzTX6lLqf6djrbbCCMR yad4LCXNM+KQy8VHHhnuz9MUb56qubhsfEY94M6HcOla3RctPUcC+aWOiMA4z4cbg3YDTalTNSRa I9hH09tphUaOdWn7OHmMMrDZ+UMfFFRUxC6h3doI0M1SKqwUAZe7c7xBTky1rSODxNm+rFCD7AjB KcFmhgzZyUktu1J8opAadLochO76CAFlfy5zbB1XW76WBXA80Pnblqzb6ukejsnfVnxufMIh9e5r eck5VsE55KQgaiajEDlEssX7BSyjPlEwwQqQWkTjVN6jhWiyzAo7MHjdkN74vrC0W8SVPEBMXjwI D2OK+RL/G6xcmPNi4lqKz+MiLYotfd4H4G/QeptBO0Cv/2B6eW6zT0QuuN+B8VtNL340U+y1xG5d j1oqkdCFVTrkkZqBZSGj5hXV0Iw7foeJPPDPQfMQg7mAouEORbz8wZ1wLM9AKZyp4l+PCbtlZRwi Uz1loFK4zi+I6Qii8IQWDVdNmWrrruJQem7gYI137Prs0wTAgkR4ujbP/sssx0WeF64yeX4aJ2oD igusfi8T79e2qSA98jgN9j9fZjoaN7zXXLCFmd84paVk/JbdIOPMJfCUYJr3cq5iBPVAp7nSBFbe bIX7T49Dnr8MGo5ctAtVcCloHBq0aUj+g3YWKr09mqv/KKU7wvw1Dgo/8rMYkKLMx91Z6rJNmqlU LOOagjUIHj5meK5Z/It/sZTXmMmmiS3zbJBy1ubGA5XmHGHh2pwItcy8U9rEYnyhFWBXbuVlpq04 3Tgdd5wDtku+u8dXegMm7DWL7pPybFmfmG44XUz91uC4DEdk+bU4cUdn6g20Ig8OGSHCXowUYRbm VcsVmqb+iYBIRPnr7QVlL3wWELvO1ugaG4mc7x53GQ5k+o5HVtJhAnsEN3sp8TLfCKWatrpFVddu mLwTkKPq4/SyPVLzCRQRZpwireaAAynjXVXOuNDXCq8TDlhKE9HBTgUr9N/BrVjgi4WSqZlR4/yY q4f0WvYZhf2ozMUFRvz5qL2lnOj2iM0ySZ2AE7ToNvQ9l+BRuBVnCiFBkfLphYvcvAd1o84logNt 17XIbjnxLLKtIzR7C20t/kohlo7qQBBU3pSkhCl+cqJiyia6qrV/LI+N0kjcPVg5wvNixOqX60St kzeB5t/4QO/JF7fZ9tVlp0ycsWpA+fEs8JbtCdDIsv4+b5GGmmRSGVhhk1yNPafsXLtyIGoiJSGu FhSXK304g3ZiO5ORQK0Mb5b2Ubhxd3Z9W+5DuMD3LDEd+VDHCUc9zF5id4NQkORP5+RQ50dou1Yc xEdc2ZNnM8+xcuJIwWbnhEbWbQtrtoxjcKDHjBOI8fi7ifGUMj8d8+9wA4kosAGa8XTkC9qYKLW7 2WbmsjRTNwDlkPBTYQQ9PI1aOZaoqjaiWvYBQD05la3MzXM15tGq8zSVweTM5umwQHIRZlktK4Ok 8TtkCLRTvjjhnkwre8c1QFOoCiOU5vS13L/b9O+J169vt8V45gx4ObjPnDWsSh9XDnUmoYhFdJ9o BP10rvOp3zOc/QkwW56iLSGRDF/UhMEEpOt+ug39lx/YDUasIRamNRCy9m5FMJUSBYEM1tyEsbRV 9QTJITo79hKlo18ci2YMbXTdVC8XKlnLDK4lDhY++oGC2CZbzPm0LggFYqwjv+WIM4APUMqoKpGh eDaNYUx50ztLqvGs9FJ6ZUaNer38wDGHZquGzYzGQkYB8mRzIT+wL4arHYqLmzyXY81NFOrneLXo AI/XvyD/XUzlFBZrV7D2y1baDiONGNVs/xIylx01/WA0Hc4RXdn0omml7hXF1gxziyG1k7cghoum ScdBzt2VK20WU+tg+dGegrjHi1iiJ4JWCIMNFwbMdv2kYTpeN/GblvlLPwqeuhzmIKYyro2o199w sdOj3L0GxO6pR35BszSrU04u0gSJmiSG43Bgie4/WEklLEyrvENlLfJ7bsI44+loJwNP8tbH1t9l qMgIdUTQUOegOsHct2t9mVBwiqcmsDyDiuX+RL0PXm2jvZdJAqu8aiJLb+RbHogtolILSeLYBMV1 PGhxdhyXSQWySsEPYETv8he9rq4V2+ZmtP3pq8TjUKBgRzqqQeiHNmnw3/8hPrqFBQiYSlUV23/a ckETB9nboNC5fikk575AjVBnqSfe0jTrvsECIbp0a92HqI0qCaP1yut7kMK3FFoOj3tNNaD6Toby gxaY7Q8usvJmLBBUOC8ns3oyqCqU7YMkCOXBotoy+UmwQOPlLYrEwpiT4enHjZPJb3RvYzKIDBqi WS77kF+aJi+L7DRTfGR3V5ksGEXNIxcdDefGOC5xFSKTXfOi01QyGGFXqv0G7HO4wJQigVUy+8w7 tMItgB1vcjZ2wJWhwKRC9ABdhzj2AJfINqRveF1YFC4gdMmIUhPk8agpr96oJQvtIoJi76GYRlHx 3SCqUtJaKL8odI4wrkZkx6BPI0Ko8bgDyFUaWV9Z580qBXVUK+qejVkBEzxoETfj+MAVR+mwn+k4 0h8hjTtELYjBAvm4Ya5Tw5UfJqbyCRnEfy4yjQczoT2cSZ8a1hEqpBbQiM8IPpdrXUj4CZGNLUcd ihKg+JHK/LisOCW/d7hfVPsEVzzlxvv6OCOV0GaPTLxJyA8MKmuKZJBXbmez4SH6qfNa9bx+w8c6 xMIkt1yr6pqC/FTRT2IAJrLrhm5SxstAx3/7hDXuFwplRRNOH/bNN8WvBxOMSlb5NEzxU/Bb7KIn gg8TAU9bPT/BLPCtGQy/ralYL3irVV6hc4ckoEqyU99cZN92a1SMhF4u9AIZwRdAASBej4ZdkImw 00XoSw3YkW/2LESeWdDOb4ewS7FzsVU8YHZZ5+cqyvcJPU5s3f+m9oL3+kliFrmgo1/bFgK/Ln/R nUrNcyelvZf6P1KuT/bniJqjfTSS6XESFbrrpDI3PUTtUPdE83yF5jGs3LO8FVG7u1AlOBgow8Ye DuJ9inbMMyIXtiGtEKVrOaj/DMw5A60/XOSQ03ytosx/BHVuWj97LkGiXew+gpyz3GCQvbqwlsMi XWLK0RyzJUh1+pav3sbrq2HJ9XJfJN7W7lstDrJEGAudACkmrSpbkYLG/D39HaPhd5H2xCfYMAhk ujg91iyLQbNgSZ9a0Af5ZDmUFys8vvbb9tEzFtKPHUI4QERSry8GaTrHu7mvSQPluboNCo14Btlc kJvcLdLNMLQ7osSSguh7YqcbvUfiRxblS9HqZIbfifjzBFnZfgysyTvih8McQSVkrv/kkxrK2Kxb FtR65ta7xLrdSlirUuV27efESilEY2IbmbtcXx/w972JgueLeQAqf4pfl9yPEEBO+2FE0sFYMGLJ auru59dDx4RExRtNQSyfOPDzn0+FJqOp1C48OWMdL3EfWhLWyzGHqILMZ+ZF3x4NY6VAb53bUxjp lLCYnypPxaQGLZoOjJOAdBYBEbgJ5qV14ARIlpjhqW3o6TJ0HC7qkoy5fnJRBy/ifqAOaoXb2IQQ 5eTJBCnV14Rl9T3oNrpH6TsHZJh9nhHmU4LVyC7UyphIMHj0A6m+XqGB1iAeIaCZykwVXlXyUJ6t 4wW6cYKdn/SOMF0QLEyC77GgO6MR8MzGmM2BpK+3gSFJ4dryyc8sWSo2+EpIVC22LkOM/OpEoCe8 vXt0rqq1vw5HlhEY+Cp3v0TsotCAzpPAhQLc4x6VqEabI7Ddn/A2AZVfcYl/NlzC13cRaTa3eC1o waZaxvEBzRYG2zj6k9JPY5K+yH8+jtvjJvRejcQNf9mAvlLG9HGKNtZhhgIQ6HIHogz8ITCRDA6K cnaYVPOhj0GhTF0Qwg99TdJOVknuKSYJRn6o4mK5J4p4ODiU2zmvwfSAvJa6q0yRJkDkFYWZbQ3m /Wfm1+wNMCYOHRy3Hl42gbNRTjP1WDz1E6sim4JtaEnpY4C9lbSw3tgdleEoo/A2XNbVIVh6Xarg n9Dpnwu6z+bcmpVNkcOPneXmlDT9JHTA66Tq+0Ikh40dhMiiRgWEPek0oX3CDd4EY+bkTFtcILG2 SscPzIFW+xSt11TXa/iXn+4TcqlWtgQ7P6/woROo4ctVpoRsP59JavYF9ihTOVIg766XivzbxNro YuH7/VyyaywaB3CLVrQHsBcMq0Ery4v1gaannDwa/VuIlXFuCVQwtQO5rnNMbzXuGUGKWl8syo1T mpMHMIxAGBhUPuhKnUsCCDAbqkbzDPoHQSaXtA4sLtgapjRrbEBjOyE2bzwUGfwAgEJroE2nY/qq Lqku0n59h6yPfbZrptscNWQbz9/iPg5jK1Vu3r87uvhwuF/Iry/+XpenwOoyM6D1WAFCQ8lfJi5H IM8tfQzRdwTedtQLcZ1wBopvEulRr3YwVEI9DMZa6NKEyfkdi1IznXoiFHiyLIaiieTnWveBlqbz fg0bWPez+dwmA2uLCoPpOnY2k1Pv8DKBEjNwzLjn+j3C1MvGkvVCGUrt787XCQH+dhaInKPpD1FM Gnq8sOGNLT4/POOfG1ESD+VzUSjRUwXVwK7gce3gm9krrWrSrQUjvnsngnPEAVLgoxT879i60Kvx ouaz1sjTU5wInYMX4yrMNvinFFyZu3c/pGqbtpd8Svn0JAbWhxjh8lK9ygsFbbfoz+o1Esa5ezx3 3yxc7jyM1Wo23qPXumST1WpNI7umCl5W8JUKiugwjsDduTzgfk2BXrvUuIZHN7nJBS9Y/Ey+FUEs oTQcZoZetDVp2+8cSqA/VLU9M6UcoDJdS7dhuHmtwBCg3pvmHlhTV0+tRXdGGmYB4s8Y9KJKCSZq ROQukwiQqKeCxO7UgT6gVIwQ7oDqd+C31IUzORyuR85tRBmDx0uRC810xrBFd3vfTR7+Sf+2ouSt 7Pp/O5R/vw8yO+p7dA9GHaLRRRWDJ7u5uj19Lygp4Pzb4W/4ISmYWI/jm2voUmul5nl2oGFGMBbh e6Ca0O6Xg0ENbSbU/08UV/nPKDcEDpH8yZ/3m3WPlT59HKOru/A6DOxQxuw1wdbNd/vd7Un6kWNs xSnIZRGDWUp5q3fF5qiWNHqDmvN+xZ/Bimr2oRD/efEL9ZBFVs92wnEFMF04XDZ8nZr41BMbhWpG SVobIRBK6LtOfU6T//aiDbGsKTm3uGYRO2qLAmDOWaOtSqb+TH1KCWEhMK0YigQNjX5vKvzJnB2z 4172AEBo6+m9QH5HaFJWlXM/s7payt81yfO2fmP8QlnAtoHCsmWAyaTiCer/8aXP1VR7bBpin87i tBr5k897qxHicredKz4gLqHlvzUzRHdVah3OAe/o0TzJVyXHrfQ/qX253qCPZv4EJfkg+sAqAPSY 1vhK+H2iKs6A+MX3XK8IG/aruh3KAM7RsKd5pvfiPwjTre6ldC8skyOplQz9yvr2GW/KJTVxER0D QaZm9bBJFc3mX4VHE4J7q4zg4I4clpOcKX/HbQFyO/bd6WE4koMxZW3UR1BWzxVd3ivIUAE0zD6I UK2yBBHUI/n6UIStZq6YTI5wp52ksr5UaTySmzlgQAC+4eLwigJkmcz1F3zlj+zOS7gKs2nGiKI7 tYdzDWfN567FKkuM3p7rm6w4pof0hFhtayVG/fqwxFOQo77YTXDd/oIaiLHiCv/ERcPUjXKgdFfk dQrYLpwk1RfKo9/XZWjL50dvDbIuHQPF/BV88F4IsJ/NEuhiqltZfIZ+c/fq1SFbW/FdakXbFzja hC9clOZyMrV2Aef6pNgsLDSa758lrTVieV1y7mTVmxxBxI+IsQCdLKKFnQdmvUYYZbcYeFaHEL5g g3j8q1H8qqjOhcuk0l3qInDCh4ffYPIT7O82D8Dk1wNI/D489cBVsI2nKDpnwhyCC6XyWxApjCpN O3NauWMm4/dJDzC/4m//gosX5RFqckqNUxjpqDVRI+rFoAhU8uVW9sSXkcL3z2oO1Sucs7ASo6QY dv3n860ert+Z+EcDpcfr4gc65r/hULHha9tpx5qPm8I5ITiZ/XWh5P925UPlcDN21jmKsqnCwwmP bgKmt0YAZ/OpbreLhy8C+11JkEIUM4e3lCEtTP22FukeNXTDvdoSEbMLtybUO600yfYgYEBpxlI2 WM4fMDqm7XIVriZ1sIi1tqFCAaTGTlIxT5r2oq8TinJ6PTA2wY0PEH5BBp37vyjl1l7/dDiEOnuy g7K1TLQLz7m70NEdujPc6/InRLg0mevMfZY5AyPT/2dAI7E3hsZVLIBHUn56KqqST6QPiWIE5DbP IcRdZAXwnk28Tm71dfmA5+JE6z/hymM+uGPj1gJpatt720/1fmnBus5Lzy2RbqLgAdIfFwzZ+gzH jKCoH1QebSJS19fYnUSUTLZc1xwIwFHBvivDUxkDrzaW5T6dkVZzmhCw06+1kaJjYU0eNdQ+8M2K UsabJ4pjlfaG2O4Km7JJf9dJMIKXES7VYGA+PZbh+OkfgT307iRiUxJ1rc7TdGBMkiGgxP/84B3r 1po3CzbmJ8tb9yx5lu4tNwZDDzybIgvvOWnW57LI4sT9M8ESRqZV+SL/Qm7/a5JHuIYgI57P8PWi yL8mqzRsjUlBXBUH+eFGqKek6OLxTbPkrycTHg+uKkht6ouq01Z8B974zZjolA6GQp8vbGcIDem7 Fp71nA381mQRw4/zZsnwYcCKDjp0QTKuvDHqwa5O4lwW8e79UTpLqHFurMBSECd23SHXx+5Bjsgq KqkRnVCZzTNRAIkfzobEQ6BAcOedg5bYfwvb+sEF8K+POqHZiottGsnxsnRORXpjcrsKw5IvwrXz Fl3MBJehF+OfTKr+IM72XmDWeqmIpDhqYzcZbwk61bZNAp7Z69xW9DA2JDeSmf6hf8Dc5n6+f/Xe igQmB3LHVlMg9V9F/SOTD56/zfWO/8a72ajzWgNCggs4lOwVh4oAB+s5vrqjxmA4lqKKJeNSVMde MlGKFb8zzU7enBadaqfMKJg7C0/BDRIP4Fzrj/dMHgjZaO7bHC6rQM8HSBKPBipJmIGUlaz/JJ1e lGiKbl8V65xymtz+nC4AhtO6Dk2py/aiLrJTgo3pGPLlFk+OtzHrc3l3+2ugktaqEJEVcAnRCrg5 CtO6mDiHrXdiPyBIAf7E3gM0w0+y9NSDqWEctNvltL3JkSmy1u2TjqxgzumEqI8Qt6ernnsi3oEd 7wkDIRRVaMCe7BkQsojNUfp2VI/nE+6XzmdChvtXoq1VpgvTRGXW3jhxpsSw1Ok9gO2ez+6KQRFB bvKofbqcOZKMPWC6thL0qgisaA0SOi1RUW3DsRuSC2imSnvwiKw4gzgpxLaqobBy1g2F/VweQO3C KJMjJ3A0AhhTUsE0re9i8sqvkDOxJCN+KCXyspUGlujziE//iq7qdNoxt0uH7+Uhrfd4O5qtIhxU taH5NxyLYKIUyrfHwCVC5NjYuyplsDfefpJcqR3TjbOk6i3GMa8bULIlsbImhqHZVlKPqrJlxTAa 8sPwHZJwBs4OwqZvrPrvQKzbpaydUiv2FUGH2/UU/auE5lq6bcSQlzHwY1TJGOvuTK5636CGMpAG iTI+zuRVZfBoXsoWUL82B1hY2v12im9APEQ5XO6EItQFtX31yTQtUQnysaOrECQQe+S0/vkY8lzy MeyxIPCoKsoUxKLWmAuxLn+mpM7+B6jKv0p2XXXwgtImWDS7CkeDagxoslpCVwKhK5UttaAx70L7 4e32qwNu1DExh/VW8/dqRmkIh3wacgKFx2r3csqyfUM0mzrz8yXjEq8FIZuZ19/VptjGm+1kn9qi N9zYiKfG8uXZ2fSwNL7qIS/schIw6rgsxLTdF8sRzAck6MpIDCAVUM2xXAZyvtCqBACCkAHXRw7s KCh3XN5yb/JI2KVKhfbhj3Yh18jTNKmeXoWBvqu0CNtSxn/8M4fUpMDhH3mniYGlxYdVCYpVKGm2 IG6NIqprP9AwIWRe5UBovNkXj8VlurnPrLEX1qUrsBCLGYFoVsYRuUaNmm8PBqLPLNodsAwBVyVQ 4qPvtm9iVi540Nv9wYEJntIej3c8z9c+HAcFbzW5zQHaOBEkKveQGUaBchqmASyeiSSPLGYnT4SZ Hgc4kQ3WGnndCEfgfn/8XF7bOx1500ed/Q0dPf5ZbZZEaSENyEmLqZzPrhjrRR54bOSY8VdKOSlA 8aD88ZYQgzzuJJRSUmGl0F63KAgOmxeNDslzvNz6/MJW2KVPqf3m2ijg96fbwbyZFg9fk2M5LeBb 03wzBLAMqT5y7tBEjLvscFrq8jUKy89FRE5MsdjITHGiEJ0cq6RKPZWbT9iEqH+1LcLAhfDmDUOp 4uscwFMM5lsG/YPUaIQuUOXNEdERtLfB0okQjpt0N28Z3PkvE+1y4G3jIyXbWnecm9bIMlf1STya fKu7UB4kXbq2fdEokyivUgfu8JIMl91026tsX51tnEwpXUEjpr94p+e42+i/9VwG4tDfHYewwq4z qCw1Y48MF2lUaAhyxaC7ynlkJeR/j7GTZsWdRK6Yfq7n6HiSLP4qNdOk7Zmvnostoq4zEiFxYLmd +2VhfIMujWnxUcfBtRtgcTskywiM3HrxT2FL5Ldo2pEyGYDLUVj5NOrJ1EoV5QJJZkOVOwlzQE7V H4zy07QDf5qd7OtOXxnuKkFnxMFUum+lT4+pUx6GcRYq9wQCOgTGBlsgCP1D6gVluP8e+1HH40nO EBgTv8suIJs0WMLqrC/6OCRchpWsyYAER98R3TIgHfIG3RapEXqR2wZJFYqCr7Fyg0WzHHMowHZt UOgSDWzlTegTJKGpO4cUZhu3N/3nXWU2nKSM7CkjPL1FonTNGw8C2hal5ig8x7GnOi+e75iVUi+D XgEEKn6nokI4ZCIsCXsi7q9Fzuvt6hmlHm+UsHzM3ZREcPwURgMMfHB4qwPdQoICtqFW9cvdyeU3 mSCfWvIIRk7rdDXZtUDCTu6X+na7deEDJqg2W2X3KGPZSwkC7XaW6A4li9j8LiB7kxXq+xL0iaA/ dtc+757Pyv8CvwTN+qfNJuWFjy0AtKFygOKeKkbyIOHYQFt202XFl+C2KLwwgh8wDrklxpbDxZVD t6QZ7CTULqGEl39VdQBPdfRSSki1MNiySksrVXr+JUfa64yOc8/C3v+ndAoFpDvzoSWXomqMWhzc x+02stJg38bPMYZxYM3UCyeWeXZflQK2isBzKU/HAYNsQKs6hXH5qwBe/pVTarUjYCMJR/beUcjn PsV7xH59ftcn1T/H8oPrtbucXa0b+uG0tyyrzdb0vWMwi/Lk28nIDa1H54ZO4xF7qgrlQNUMQt6J O/VUUoXuG5joGj20r4/BO5MNoXB3j4vY91qY1DUPEGj8eEQXbj7DYattDfrrB6jDXtghQryk+ON2 fNWrJpT36ucVw8Fx0l4+sgwrhaZcNGJ7vcx5NRIUO6ch7qAtqJj/JyhBNRW7O8D5UE9MlvKT+uab lH6RvXQ+Gnlzkhw9lvbgH6yAb3PNMbDmbOitRxoDuvOTU8GCq6rSWU9OsIbwCIEEJ4gmCJ+XhZfy k3ZxscXk8Po3iiNPLo6CY4uX1qwzQnpJDiR6hc0KF7AVhsXf5/lPKpSsDbwgJ2thM1aYybViDZex kaxF42JJQhVsE+FBDHk1GkAiOxFNIRVzDGwq29vIl0xkAyJsLpfPOwcVpGGoj1YkDs1xSYQChYAi EOgqxEY97+eXB5bpY0m0KVFZnGMUr5HIAr75KOKHDk+Q0AcSfeNUxI7qT/YPgJdej/Ss0tUOhHfK RF3yUcB8p3PJMBahC+hzv2oqOuBzb8UjvPe5s6JDOzlWG1N4qmgfzrtWuIorHLqy1cjhugAAwG1/ 7/F7pypUkP3m91BEGaDXaeFeFV31ou+Sp8Db0WBx5O06nfdklzee4MzcjUSKhhSYZS4cEO6UiWt0 tHVJMX5gsE3964SKJ9gWf14mLc0u1klyB0xNkH8NNdFNja3d4IEGG/1Vi+FT2omqZpHvkMjYzv1O Rl/nKL4ptgmbsZPACA//25R2h3eLr6gqKVCWMoIcqqiumG+AYQwdMMkmh6rypfXEEpcI0GJjKh4m QJa6AzV+8u6Yukf+nDc8Yn9XBEY7NnsuBvvyVc9X+cNTeXaQXKwedL+Q61nWD4GN1vUxeEWx3LSi WoJglauO5IW+eHk68r4JQKnouAhHhWwFyscg86G2Jt71LkNc9CGP5g5s5Nd+7KuQTE93vKww7Uaw 7KDQmWnqhXkQP8Pik525c/0QORsmeW8eq+AwPaVpzTUvDy0/w3OQbkZzyUHrnEDXqvaK3yKvwPEp pNk6BtrfHbrkUAX2UJeItnmU7tBeW6JkpAuMVF1dgn2DqvjgN3l5Qpyr5YLVcoGT05BhoDwCAV+o pFbe/0Nuhm4521Q8FJhIJx3fdg34NhMU8MuORpVNzD6i9B2Anop9PuOiyNquOqubveOfvrYKtzcZ zhwwapgDKoOxrEqJuHuCb42Sd+qPPska5gMSHveeR9tVPHyBM+aMqsecYfqa7RHirwiQTB3stJTK jx429G/K1WKC40ixgWWeVJ8JgDHCU7wGzmXNSqFuBnmop4KIkbrc2GzOEdExYdVQU/wzT2KFxS7h g9Vr5+WPgakhpx9x9cpzSTS7QTyY9i/p3db42J86BJ1MCuYqXvIUht7l7uVdDiMOrttq2wME+DKZ 31JuewVNmb+sBDYhvMjI7rMhApV4bcAsNfxdIzkb9kkdSfNcANoyyqVlR2+A/jSwQV1i5gUpu0rD DJXolf2CkIRw3vp1ezo8MT2nHDYTDbMalIsv9Bo/oEg3OghJi0T+uxzyy+99MJ28bkzNvmng/OGg VlCEiabxPo6fWkE2oWHkSa6Lw8pagyrw/ffHk/mNf9jtfZyPFZTga+veG6Acirw02SYESzDg0VsG SXn9xDUcYfMq8ucF0Bg4+54MmQQn9NVKLi/ppiJG7D9cdgluCNNbOGmbCdZiO6K3aNGTtRBVGucr 9Mk54m/Z/kGP/PPPpFTPbbK2ZveCp2ozfUKDXIHA0qGAkxtCuzEIGcpV+IhxR1T2YE4DJCT+YpXE DMMBslmOTMJYXin1Z1HTLWjq9wRLqbovzzd3/YJLXXxJFTwu8FzTYHdYGI2mwrSe5oCiy1KL3WMb dpzYTBhKSjpc+9ODsGHdzMre8Ld9Sm7biq1oVtDtBtkxYb1Zz1r4jo/0nQyx0ks0Lg9tPtoixrDc afybnbu7r9u3U7DJEWfL8mV4irE5cya0hfdhTMDC7VYWQmmVVe4BCqZP1JNpZgfkTu3NxLUgoD9K fsrAj7PgwwYgLDImLste2M4bsWw+Js4HPLtkqKpSCm4/hvthX7zawwVzMf0ozKwepl4A7TzNo5yQ YG5EoqehL8IS2wPW7MCrikThs6eo9MfFFfG6MX989pnKRkeIkr55yWtq4g2hzoBWXOMN9TSw7KKS MUqGqv00D0qW0TJuyvrOjm4jzV5JFZuVn8q96kgKjN2HtI26p4P+aTJMGyvu+SIPb0ldI8kbRCqh eMeyukJfjTxjWjGyfuMK3vGKmwwygEZxd8Uf4cTvV/VyP+WmIIGE/PJu6+N4nvDJsTG9zd0oE7mT 4JP9VvtxZpOHX90dgIpfMEhkXTsXoHhtPJRWQi1FKhRWvwWKeThcLmY8/os/DJg3L5GR90KH21Bt MTYYLyh/zFaNjsyF/kJlFHyZ6u4vj/2YpIGGaHDYgXMTMLmR9bCMm2Fbiy/ldydYCLiTbjp4Kn3f ANUeBR+ktwq39pfT7CL1Q1DdcoNgvuos26/rk6YQ78olZTPFvszTMSam3G6PS3EpfeQ1sqsfEzF7 +ZH4+rIBDXcov8fWE2rZAqThgOyecxB1R+YQqCkktgW6rcVxBLvwK8kIRodptD0+UXQhAox17VYC t4bcyZwedxEoutac0UQrum+Gmw82DJZaeMf5oBLwCBl/UXHzcd4c6fRqOR7Inf7VC2380Qoaghl3 215sdRdP8M/NrnpOJfkbOeU2opSih1Ay3zSYE7xYWSIKj63v/70qQFyzEMgWQBTSOlE6bFQJgK0F JAkumIDYHv4WeeO6SEW6zT+PA8urVfS1N/miEIsdVR4GR1A78KfZX11kpooevkpyo7yjVPFriFlB YxLQlJ8DnVFowmST/7W4gqGHhlYTe5+Ls9W7jRnEb3XurccWI4NGtgOID69EP313qXCFuT37+OpF NpfW5fsOp2bG4LuASJ6yARvqWIGw4dXIeRRONP2zBObfQK9ydgr+DP23eKZHdkD8zcaE7U4R7fci kxgCtiT61TzbtLQHLNRuwFiSI0BYndTkcoHjILzHdsePt5LOTwPYXrKkJmhI37u/XzXiYDHzi70P pBxJ7bAuEo4UDQ+qqyY7Ginw0kmDoWXq0QEbuIHYGQftv3e8nDpprBi24F2uWpv+4yl6F33vOxI9 GKc/ld5r06GR+8IO3TowxgtsXjedifFWayindaG+x255fqFKBn+/BvjY4gBsi+OlMvHGMHIjlwZo Bn6a1HDs1iWitAdHhUO49nrCUMEg4P1DTLyegw+umew9T4ZDSa+gxtB51MC2drT5u3bSv+q89xcy L9Qh/EMch7DRUoapKcn1j8y44PMzrNqQSpoo8LK6XRFiFpSw6Dxo8+ivZeOK7MkZUpLyA3EDw5ac dn7oX5907pApU0Pdg+VFfp01tTWp+pluOI1P0J4EYBqw28Kc6VDKerxwm3UlBShjd+VJT97mfxVz ibE2kDALcdTYmZw5PZe3wwb7nbqHQzDzadJJTD4xeOlsdXm2D/zZUF1sw49i44RK6Xn82upcqgSH JtgN2+2AJf7c2YHckWRa6Yq99RllaWhsKpZ4o6N2bMDTvZoMSZPqOdq3MzWgzWTSj9jV2AeYwWia BK+4d46WPBtm3gj4H7Gfaud9uusiY8Q5SdQXT5x58hecc3xH7qtSa41uoy6JKHX7ioQk1y+NGtSW 7hqGylzGMyB33gfO/JpPA8z3XtwZk9F7U/YdC16j73uHuyom5PbcebX0fikejVypO2YzA8zX1LSJ C64ZW6X4z4rTOhP7lhTB3yrWouPYh6qT3N9W/Ke6ils0Bh/UpJ/ZUyA2rElj+uZHKLNzeHRrYSF9 uniXufnntR90+2vByTqhMJXNSC69jW2Vww/czalNh1a5H8m3QX7UPrfs9vn1Gle+8pNqw4tDr030 3go1reU78KvbPlXLTrqOg2nFV8ZvSXX79AJHWclTonzvpXKisHymCU9DDcuanfJs0MGAOnQNUNo+ JZPhekv9ziakCjN/P0XRLVBi0Ie8qq09zbUO3LZlqu9H6PecZ/QbQ8o6KZ2As9tdJ95RiC4oP5oK za1auOOg/IM+/9tB4jTpy/rERjUIK+yB0gzVW6nqyuDfp+nPfj5yB7PAv/fkVzYNm85JGSLjyeHt 2uAgZHmkRynWF5PI8dzerNBRd4NPbOY0gY/QdknFnVU5ytdLKEndAsZhqJAhsbKxNG4Gt6MvEgQG vVV+hlYceGr4boqxXAB3lHdkTVCnvXiWwgQubcbtuTwC+w4gR8rKBpe5iXfW0OkxnqPuxI7Cn2bs UdhaxKySteHq6RPW7Fq74yoWtXTQOhv7/MbjXcyK2GDaZ6wuDpv17j0oi4sSufdYVzo4SoZOZIIv 2gfDXyy+lXBXWExzPbLSAfPG8cEkj6ZygNPe3CRG/fC8TUeop+B38EJb1wYgyCMfLfP3oHe4X5/D Jt1IspT+RtjtnznlCaAZL93pJCchNlohN/ZZZb9PgV3fjfcOPHZgKl14Z/p6sUJgtQu1soO9hEs+ 9mS8sPVabcTgcJpSUDdG9XRLjBhlicLKBCBiXarllK2cgY+DX1Xn5Ku/+jdEMrmMif4RObgJuuC5 CyIiSPQTqIyTp9r8rpMbs36vZxbMPuWPZZnXaeqYVB8pdRtOeC0xD2Ox5NBCmUq2WJZvAboq45om qrKE26ZawA4dsqcYNrhZ919H4f24tRFIntMicwYPgtCeB9zoYUi2v1kfQNKWulDpyLhFucPeiwuw OV5OMgxFDuJIYQo0V8sf7HZfkCSchhxp7Y3wBPM+j1KSeAl+VKC7gZYM6Zr7N9CT/lmxN518Ekfm U13Dy14IbwENtlztP3kSl8ZHYOSfhMLN6Ccp8aJjVfBnJo95ElknB1eQLN5Vhza89/OsQWj6jXO1 EFOSixrhG+QAQWlw2knJwExEjdCyz+CPKat1xLUsg40VAjLh0yFcMaq1L3dU4wh33bdvMmcoDgGE 0WxBtTa6b+OKxIChLQR5WjHEaHo2PMmku3I3QqGL9c54pZqVWV/NyFJP+vS6H7jQ7erTW5PijE9A y3/jOwE8BqLAJOA6ZI2M1BP+nokVf3S5KHOKznNVBM/DmcbLjxuLYz0VTt63cmgWYlF7gBml0wKl 1wGH+CtVZ4vdvtW93aOx+Dbk6cGVMOef2ehQq+stIZawagX5j2DsIERSYw35azrkgJfcphMAVnb/ tdOtOaQ+WHmNaGlJ3VfS8IMf2umnVR7FUojGC8rx0B9nMgk/M3sXnD1FrUe48xfPewcmlsqh82oU L9ZRVlS6q+69PKgtWPIPL3Y+S8IxOv3QVhdpAL95mNLyj6pfVLl0QUQsABRhvwFcRxwb1FJgdy77 +m5RcyJ7miHbj/YJ/9kqzFbY/j1TR/LJXPA9DDTtle0d1z+t7JUT7tUp6owm8KvmfpE3MQTt2tqs VP+p7JA9j3DdNH+XUhUo/FrkkQ81v2r3AXYJCa9GtPVes/eoyT2/MbmiIUEjvtLJ67HMm7b7rzzX mHxFQOoW4Dw0bRxyGqMab2MxQp+l9JF6SlU3PP1ucwUZZ5sTqyPCows07Pvv1UKh0KX8WJCmVQ6f mhg+rDP/mwBhW4lztXJtfR7b4FDZvg3fRZXB5xIBlm40qtaazrgQGHamBFe0U8kWNte81cXKy6HY 4Dt35ErSj6w/3HGvMesuLJ9nBeSrKnRE4WQFf44IwTX3dCfEoTzj1+//8Ia0fKYk0hnJQQbO3IQi /eOvxYtED+m7sv9gDW5s/h8CEigEY5GhacSUYUKz0Jfc5Hhyn3OR/8ywwNX5FlTvrRVdfXgrb7gt vEBEYgnpWRHSUKZnWktArgcFa1e7Og5d9424DaKsggvV41XeAD+1AnMILCrZGI50wFuHcymxbi+x X15TDwZkJsR3WMkCY9lk1sDKzPwJlszlMlDQ+0mUedlXnaP8NtPGma6evbr6/Kt41E2s0kSObl+Y eGjvJa3MuBvRUVHZXKaRLrK/fRtMQpH+xLEEpIPrxSJOQxLPCXAHm0+kAEE4KTe6IO1MEmgEOuPL CFhBmncE+kRGbkiyFzV3WLqSg+6V91pvw+aqvDEAMs6WZBD1/xadc17MIb4GxZ1uQ15Ok1WUD4MK 1KLtmrxj/QJhj9t7KmLsybQ5Lhq7nj+qtWTSfnT2rAIwogEqDoVSnIWnWTpEIH7Ru+TIL8E/oJLB zQhztPb/X1Q1/7pBxUIk0oV62AnDsJr5Sbwmgg+McDG2OtCLFNVy8EqICZHKv/Rao4kTiA89NTxD /hZy/1zTh4kiu8fQT6V4u5m5Z7e62AjE9343oK237gGB4/No/xBkq1lFtuw2GXOufPwBgce0NhYX Z0ds3WNX1Gd+yVCNKCQSuMD1O85/aKl6/1Fz0c1yVE6tOYwyh6YyH7zJSjBZKTghNUiiLd0AkD1g FI/qGhQzBgLDT320Ge8e0sIKjUKmHGu3nWWicQ67XBph6E6pFy8pkcpLWc/j3N6iakKSv1YxobBK XA97OpUstv/cwfE2Jbw8yhuEy6Ja3407RKX2VkFHVJLVr7evcRrU0buaEp80SaiG1MTZq3EGFPwc ePV7Kn80a8D8ahrUW4iQBCDXMZH2ZOHMRBSSaiRBMScr3zxRtmZIqQBgEJIkQ2XaFdhf6iehRxp3 x5nuw27RSMbj/aqswvuHGucHwTAqh6IqC/2q7fz7dllw7TQeMVg0VmHWFW9aWwPdGqQGAAkYH0qz obnhzfESsTIz88tDfYnwcd2b+m9ZTRw3bZxLM8ZaT7lBLfUMNMuQjTYs/o49GnZ4/4HNxvcI+aMg D5s/nat1T0RVZnFwN8Y72rd5JVvc9qDesh/fa3hBfrmh5LIRbetIvxK8i12RIvrdb1oAOHCILYyt nftvSu5RONx/+2tpK9FPFlwva4Rg/O8nj3k1WyL85C0iuWZ02YexKYslRuVXHrhPMgkOkp3QcMps EL9OQ2DbBAAJ6hjHEq29fWQPORdRi8GCAhoFk0eAeIeyj6nAUHYfSbbzK7D9bG3cT7868SWV+g8i tctrmjZHfdUzQiwUi/jJm2r7I2KAXFEltTBFcRlUkAfRQoWSGgbQ0TelEmbbOJFE8KIbeojUq/Xq Ga7JWQzd4zixyAau2dpOFkwUHdIQUQf22qhDxdScxNPQFhijAeTq/+xoKI35lixensY2sVGWyKxj rsO5Vxx9Yil4aDW97hqR7CCMtvsy60b5p+lH4GaEgI5REhZpnF62TMWkqyMtXkBUaOLn7Hqw/KE+ eiJuza3q+qXvAOUM3aUH5Edg991HK/VEVJ5qlVJnQjaLi75fSQDhPIMQRbK/fn6fWdQtncWsEa9k anmZvsKIKttRtaIZApFD0xe3n0go7En4TO/WrnsBHlqVB9oXSnbQIDxhymSP89YuzCQ/RVdMMXY9 vNNVsbdkkeD8TaYCGH6IMTdeE5FG6QI1J3dbea6vjfoYcPzooaboc0O+JymEIRfU4ERoVQoKPJ1J Vl+ZIJlJ0e/0xBfZauK2FELirg8PVHco+6YCqzzfSlg4JV95IqLRr37Prjyj8c1rCwPvaGX+JJfw G6gZ+DzEiEiu8hTj5nEUTRR8ycAr9Z5nzfyFJyC95vJlBRuN+4CpKnvcwtdvd6oiM2rnXlGC7rKV QDFXMhLHFHqvZZkXb3mAw/lGRCPhcLUT8UUVp1Qh8rO9M7atRFo1sC8GdfcBPhPQWC0pGzAyRqga aLQtgScOazJpIeRH0DRTrRZfgU17K/QGxDm8HgYnGv+KD7I0GikWS+GgJb/MjXsiwEf5RIyVlnpq I7PhUMTSMriL9A5Djqz9eHOybfxe64YjyKg2A/C77O1JML/TMpg2ZwbG4I3CGAYBFalxdvxhWLop sF7Zc82ej3QaqcQebyN7oLHsUL7zoigwLpjEr6OcSgUIaPnqPDLVTkeYou50WQSH+ybvbECiDbHI EdIWRMdaJiot3/EarM87/3mtt6RX1/5ynPQCDQgjws5thw3O05IxBAvuaItGfGQYY1mQKXTTqD2V yljvz5fdf593cOf1Nm2pKBTlHKSfanWoYLBq/l8PXpsgPoOUGgDSplbacn3L4ez+k46n0sR4Rmsy B/oyEaEairrYMroNPVuwszXMIo7sKPR7iOIkzFL4cwpm0sLFW0FeVxwb/xlzR+39SPju5hm1R4mm 3oNIYT8//vc5V/MQvv82sd6wHKkYMXZOcZ6TIiROyyX0u1Tt6DWRrdnMsogaOtiL1ukPLXH3GYfU o8zei78WEdvJxAhufG4mznDFAK6SxphjJQ+GZKgDvqo5xu6e+xZSyA1c90Ktf9VgAS/qaojwSsbW APgQqB0sa3nKzXJmNcgbGRqjXbxLgII5byYo+fTm0zQDop4TefXlu5x3YRzUvGo6p2D72odpqQ+p SmX8gB4PkLZvZpvHvuSHfVrw+DmICwucZUID5hN98pMYDh+9YScOFhQ10OboMxSMOg9F82LTm/tB 7pQWM/2pZRl6b5EZXY5bnO4Hyvweo8Exqul4j/DF9EGwCvUuMbb0vzwJGcifId3xyYh795rmb1BV uqDaQa9kHwPcKwstt5DJ7ItBuIgrlfJFRbXOJ4Y8ZCvqfESs562w7jIeYe9m3t4UmI/sUZopjfNe lmE8PjT/jZ5bnSc6CpvWUvwzIqZzyHt78hTJR/5W4tel5sta/BORkaKi1vCgjeOdgc2R9hxyTMHz BszQV2F+RB8TMbrkahT0Lr0mVhugQ/Z3hbuV0f1UEIzFVdnGUBP7ZGl9auAa6PJ45IAxLZ2OcYGm Ygx7v5Cz2iSlT0MwNikl+bpIEpKpAmk3//OWbfRlPwRDo7zR4/duaAT9p0nL7mWLL1h/c3uKiW11 iR0/SGA92qBDdhzkJxtwnVDX59DxVTJRARnGJAVZ3kXuVnWFYQGXBPL6cRtzrFnO64SGX53cLE6e 4jt1BWpo8L3U32CI3lpIZHJpoCQn55AI+Comr0Ezkp1+x75oSiwPbwByhPvWZMHclYox0OnHMM43 ZvT5BTY5YHlzBxOPgejvZ525rkb1MPSosK/+TLcM51SDrKK0pfSQGMOpRHk58R/FxLNW5LBCTE+n rQQg3jRf6WJVdinmiuRHg2++JZkf7m9U9WcVqCkqAe3KsHbeDSJAfk7wtazR1YXk6uSZey/tqgmG iqn0NIN7jQIttxp4VyBPa1rQhmifb6mYslOnXrQS/GXWqGHR5MOf0sE8idTYZ1WrNONWqHSeYOkh BTK0qA2Lhul6s7alEZhJH5/cSYXwdA5RND+aEGGhKjL8JnwUz3/KZEGYcx91kqtABS8YDhludBCF EWbZyceMpjNV80fUvHkeCgcyGyyZ46U2uEZR/G63rg2ir1QAX/MiKJq6uLWQnJNCuL9/ztO/heYx RXwCBOF8aqRCbknOUItZWjvnlobSPQtvJnskApDMcJXCRBidVoGlq6MgvF/uODgGg/Wqf2TVl9J1 swag1nXKeX3HZNZq7nbOcQZff1awpL4nVtGy9DAvDG8uU22jUKK3Unjwqhx74zgbmwJTrbI9+1ux UD6CPFP9WCihUO151EjW05zGQOFlk89SqSG47GEHkLz3woxJ/rRbOmx/cnIsOkROME2wR2WQsnan ikP/tT0m0Ipf48GTZxj/fp4Jj+ywe3VX/3R9YdTLpkDbV+ccUAGrjvdu7NezzDraOk5UbF7FEnlu ypo5v9wtxDEmEPpc+Vf6Y/F3SbqUx5rTMeFq54PiHyeSl3oJJDcant5soqgc9NEIRIFYu1krjGU5 CaH/5BfJOlXLXZ5/kvwcFPKNqcJVMZARegfBLZrj9odxbfKcS1AWKmQWmgkWmO78mDCEhhemn5vI PgNxJERTEwFTRYJlI1m9Mlr2p15TjsbLMEc+YmehhoSK8v6ADcyySzcRED3F++rnD27JdaOsVb+H oMvU0oUz+9qNEXP62ZwK5qH63tZmH210t77GY6pLHLlqtBWDZJrCwZduTMCk82ih+WxsEB165li+ o15CMWGAqtFjIsWxGilIOyhe4qwggeryu4mQNoD11g5b+GuvdBcV+OoQi6p2OosjuzYmeisHDLWq OXmaQ89cvWU/+EpGYycEC3K/InI9mQ8VbdgrvhFMsHYaGZbacow3Pm9ovKIKBouE1Q/ATkhCsf7r ic4fNxkzUj3Q9p+/xbGRc2du30x6LvaUR5qOQr7OGdDn2vrKrCkxmCpkJRoonAJiw2/vPVWsecoA jqZRnuBEFpXkbQMfistkH1rEpKKk/8luqNMRkgKBg6mvGa6nYy+r+QmeUOoQiaUHF4g91gN5O/Is VAFx3Rkxv1iBfxb63MHd4h44k8FKRST0ypy+OgA0IdHEr9ZvswUlL52lThbS1LajMEYi0USOXw0c DY9M9Ldb7re/fTe5AoYfewAiobmfKPUVT9+OrOGGyvIqFFCzRjMqMM6cv3vG9Fq+y9ipiPYMb9jM mSExAIsyXFCx6Ah1y/1ncqXiGXN4id1pjOEj1PzapvkwMH+LTKEygwrK1SowroqWO9CTqeTYW2sU MIzD5rTRtghKJJb9hh7bQ+Gw7q3tNWvpIFZVAkdgYUmr6mDaUvVymMH++BTtCGpbP9TOohPnYnPM M0ISkfgYzlvUDsnMy2bI7Z0RTIuTNT5tosVnBAh51TuoaHU/x0w1+mYBcHYDRkh5n6EG7HOF0J9P 3aMYkV/ha1vSJzOqxR/DvNR5rL1VdYtLuvVSZokjff3yh1Bcv/2sD3DTXkxGDS4OTgQFIyaS6m1L PP+kiwVymoGKK7I98+WhQUtzRNzx2nxG+mZYkK2/0ne/VJ73i2+xnJevgr0U4szx/P46IR/OUW7g BmywqVvDJnX0XRz1+oJ5ye93Xczw3PJSX8fdVg5B0bVjuynbfXHJOM2kmy8nbUMa+2lVOSOd5CyT D+TA7MsXMj2TLLf6ciRmVD9+Vrv3l/hgy2+dosO8M/dgvo7vt+iIXaPEWp83vlXgsl20bEBsK6ra UVC2U9/IK953uEI42KuwmVQYuG7WBzi+3NnxiZNGrhe+qNIpr473oDYdG2iALAG0/UONVvdnAjvb GNy9Mi1ggadaYOVrTLYKGCBEice8lmHn+bLTeOD8vegCdAYC/D8dgOaf2BgQ4+l+Jt1w2K9SisaS 065H7uArbusWm0n9hjdvOb5osdzLzzcbBssVSOR1pOjHxyw+S6h7L/w/YpJ9SkIe/SeHVNlnuU2X 7xeJ4wLKWzcnyYfQ8/i67B1cZfijojiH0I+bqUXB8c9ZXDZYO5BCyFLqJ/ik5LM4skBPE6RZdLYH lhJ2XFyzKxuIVpkXwkMTB2O/BEZoBJJekoS1ZmtLTadK8WVKnVp3mE0Y8FwgTLqQWW3pILBLsJb7 MOBxr7KT+yHINuseliwBK8f+iHcgcz3Z23iqTSSuZFWIDKOlniwhdW1yOaMcq7Z6yZ2kPrmUctdn 3dEavOeZ7viNuqi4Gojg5KVoomyGuzbaL1cM+a3hgoVXogPW68WDJjO3JLKzOJVigP8ZOCoRRM5m 66XGGjcDjHL1Iwh8Osxo+j1J/qnmBqEBPOnSMFweIZ55HR1E2yqJL/7C9UAlV8vo3IURj78+KLMh JirDTT9GYxHGd3/Flb4gAAsUHo0+UjI75rMEXUIx25dyAeXPeEUjgaPwWebRKPeWTcmVr3WfOFbx YgIRVohBB+5LJMq0ygDjsiKQNQBrJ46sDgdR3TlRWRfl6edJnKaLhLjx1+EElvYlx2K0/ILUY5em YdNHfA3DQCYYlSiYQzGz7eRavElVpsqh2M5HKIRHPFmvhotAsbec7lkeRNmoj+siRX3PWevXFVG5 3YeUKd8qIfR7yblLNRrCNVqjd1gPRFBBGXmxjyZ1DIucTUawD8mjv+SHVG9EEQtVuGfhU6v3ULey xO8NbNJXbU1TrbI1dmCngINq9g4dPYqdk0CgBBGzlKvZ7AUnMA+0wsOSIC0qXBLDHHLDzTebyzCn y7+oa9Ka19xFga4fJFHndzXUrbBqEPcetxjnMSq5hlMSV0p8kwT1ARjxg6mTZKtnVbOJe0YoV6RO aD0s2rUQIQ2b90EVmwENm7lHQxjobfpSWcoJdCg3J4UQVfOIyqZavp7l+V4G9xhbUpUj/kggLdCu vPpbCyN5Io2ya8mMUQ/tk9peehJ3qj9XWRU/dFswPZB9vr3S7DJ1wgwG9DSbmyCpgUPSwDK+5d02 ojumpII3h7rLOdxvfZKmEmWax8b8X4X0UrUtKZFRKl4os0YFdlvf4CgSaD5Rxzl/44JvWJI5kbIn filIIpuR3kGQxKcMBtvia+xJyoTkv5A8LDwzkHXlS2vbUi4e1sqtqm0fKg+vX6429kGIPHaG1N2f llsGban7PZxKAMKl0zXZ84JWiBWBuwVYVj+5QVWH/X+ZbpQL/0NCiBFPsKhztIFH5HBUpXUHR2Xu PSGlp8vLhwOYx6J1jbyvVxcK4tsoX155NKKJlY1leIHGej2NZgstMV2iPGekRRnWex/wCszPonbX yuBFM7Sy2tb3Lovw75ht6ALOvFuDtAkC8KrnDZYQ3WKCupFtWC9UctUFF81T1nP1zcY42fCwhPiK DjtRs9MQhON50nK85fTFdXFpRkyan10RZOSjzu8s5Igos3ewINnOVTrGDq/isBCJ6wItywtOTj8E wa3cjsLhwfA7M8+IkbnhsV/TAyOq3aIsSxBB9O+sBXz5KZT899hnRDtZHRwcWjvKepPFcSLv99F0 XI6xkIKaXVbkdanfzlWloOXPkTjl+iamB+IzSo01DbYB9lVRhuPVQBz9GnS7hmUWrgPipcWRQKwG VjMVYp3N04scp+3s7X8Lt1O7lEfT98Sg4BNrR7fSxi30HtkYLzFd57+q3kwOVCo03V8pubTxhsDj OcYnzz5Cs5Sy+KFw8n8q4AseNpCgYWYwRZFZAuTQ6uz5cUECoXi1VzTcsEeVqv3oCeejz69ALibw N67tatpUjLwiwRXa26Z/R9OonUAsGuDk/ZD79vf3iqmypyozZBvWYqf1YHXt+7IKpGF8wHsnGR45 PUQAGs0cXfyf/Gz6Jsm/4L9E4WEga1tE2Pg6NPWlW7mRma4AH+hPSwnQsV7FbelmGp/Gw+/uObXD SmXk2qRQ1bXF1wQiKuZN9aWjZmHD8fdRQORlE0s6tAC0xZ4rcnnuTRlAQ7gFGwCDYNWml1aJAR/e Xgp3yQby4HcfDxDWnE6D5rAj58hhdgfauDE/cuH5YRcYkprgCbTBXgX3ULv4rl+++HGlNg98CVt2 JH8VS4cE+Ps0KLq8TB+D6ViA9/q9M2ch17f1rv4hV/H5xIX+sb+oD3So8ZBcNyhxFeeAAVicFA60 swgT94/d7B9rRv0lgh4ai4CcMl6ae+6hoIdJoKTlpLYfdS1Y8nlXObGkJszcDuYIgORAweH95CAY 52ldijlrsnVnG9BRW2ggubKOI47jIpp8H99+5+yJmQbMmvV+CVItoOZG37vFgq/0xl1G4ZLAIuzt 1qcQI1hWJG/Zc3RNa70bC0qUJTk/u5oMjpvP7NP8Edv6O/NSiBrGim3bEJZwb+atIPJFmvvJbDwp J+RKeuJsRQG9JCq7scx4xbzoMoY6I3s3Sp60+2YRoz/qx/mtmtyyveuYY1BQwZVZluFxvBhGh40Z Aom4pfkbC+nbxQwoZOFImbFkhwNAPuVvHJAkZr/vPMANQfAfYoOFV0lSkwufEuJ4MXTO33lqlMnL +zvLZSPWzn8budcivBW6CtqJpZ1MVKk+b7cuBqU2XtQkQwth0QRqgaGG695Mewz9iTbTmQ7tmolG WwTDR5dnNYN4xKOv53rfUZHhQ4sHRqcO86Dhy6ZRAsqJqhOveAMFekRBb6UyBfwzjQboTe7dhs/n 6yCy/G326Ns3Erj8B0jtFH6nOhhQ5ql4C25mxeBnLhqBaVSyN5d618mXpwKbnLDNxewfMpTF4cS+ J35KWalbQzWQRp3nOiESil+aTw0P8wKD95JE72Uz1K9G8d0IdBGrNuDy37HHN6Q/4tsuBHETexXo yzv+ZWW2ifT24og4Zigi0Iq7yooH0OfR/E+qWe2+QQDRGHWl5If7E6cr/dkaeEvQw65TWmQFzXfX gQSzwHuUD15JoVdQ1VdAcO08jamHqc1NVgEAFjOW5CW/7ndlHINA5/BrpgjtkFMcWc5gJlUKAAh1 tca6B+pR2kt6w3IH0tn+f+bE0N2UOyXPLnRA+61K+3ja76k0T28gk+sDFR3W3wIoDhOrzWD+QRhp mY4rk7n+F0leD34woD0lzgZ7phHqYr1wR0X4vbzEALHyij9/fTn+iUA3n6p526ViPfvFRikc8f7J 1DAzDP53CQtxIUf47JLSP2ZD73zU3iTY7++zRuwYNiHByDHrS65co7OTQscZ3bYOjnpyew8ueOSt 08fDiJRJYYr6cavKJu2zSioyBKOzJvlmOrcVGyeWMmtLfndJLwN1pRwbE9VmNZtwLz7S53sqSnWb KqaIMd1W7ZkzMPHnOZdWAUwyO1MVgY/014ibOqvgOLwzEzaXVSD8e9JLhjPYnTT7RrqQAgJOEycv rO4tPmade61uK106Jm/RaNKdv5PJ5N3okL6DKzRAlTc3iy46ykKpnT0HVr1qn3mSYtnP21v7Iv3t /QD2zap7dOFmJB5kSEdArSftYkQo24MNm7+IGHgFCX/Qy5Vg1qzP9AlSmS808r8+GyTVPykAqPoP mhnT1GVMVVorasqKi9DigDb9j4T6RFdCxQyOCXY2YS+uVKEtpYXQUhvHvoZvvinHnte+JeLUoPi2 68zlCPMEDj0xKYq8jGLsnnB24Kz+zBKfb7AdK7YXfIssCWIYzmhszHn2d3xKebANTqFsMdCM//cW KarH5cpMcM50HF7oH3C+9uSH8goRmCIxV0U/mjYNLdgXUGymtgpqCn6E4YmGQblyOSauQals/oWi XryWWgcDlIkmRrKVE5Miz5fKYLumwPEkKBbyOQmMu494Akmu3wLzFzk4wyKMs2Zp9sqLxiCHaheO e5neZ8NPQlCKFppqnJD85oYaP1Q+qo450rEjGFkdyz0xKxLLhSFhMWKYq+oBZcdncxDi1Nl8VB7D yV3mzUEiAEBRZXKctB0/vDLGMp4UF/CoMQ1VoP2NJ0zM5EJWG7rpFZaJOTHIusTiyC8knUUzS2fr D1+BtLEPoHZleEa5nOhcVYHCQJHpeJlMnZZz3Q8IA6OxZNKWqYG/DEsjtWvK82iZpvGi4KhZUupd d0z9r/2iExGowcXzpqHBZjh7ALTtKY9s1u9ZM52IVH54hDsZUMSaguEj+TPXhTt0CHPrTVWrIozO C9iEXPU1yR810aFivemBFGjL2NSQFAVi8gF8orH8GUs09uXBjRgRYhKE5/jX/htxjaFPTIMjZFFI cBUrPWVkmUObSpVWJbpVGHQOKhIQEG7LIcmZkJy54qXZaS5aQGDFkCbmqbq1Z+uOfo4HK5psCVdX tJojiqI26KWZnDzqZiwBUhS/gBw9/0bqAGWQYSgZpyLSa6ACIJu+R4ChBXqLdzr3beP97rE4Ythl yjgCAJQgNWp6F4ghzM7QKecyS8oMbh76CJ2n+w93O73my8izuDlrIEkCwUuj0pW0lzs3GxeCNioP XwKHhG65pQ8JmAm9S1YVfkp/yQwXbK2BrbVUnHAimTwKIWcQA3g3Aom2YqAelzaw45+l4FSGMJuE l4m6uXmN7k2IgSkHR6E7Mfpc9LZmDv4Mpd4ysLFL/wU5tuglQNCAcISKjLE/U1J2DTjqOqZ/uRAu EB/vcpfi0OgUkgbm7OjlXqDXf5oIDzRHygGMhxN1PGzRciZR7vvPkNm5pkorR4I+2N6KDkhasjTH Habo60tQzoHXURJEoQhNgQ4KzzCIGvVhoHJjS3GLDhyq0MR7+IadJmVU4EChUVrjUaAfBQzgSp/+ BcmWOxIG7BnJ29bGmlpY4vVP2ZgUnOGyR8tMf+H8YdcN4txazkhlEgb50dppbAbDz4aFXlx0L8N6 0i/RPWVBWQor1sies0CgWrgdfyzfoZ96NB3/vU7P2F3xaH08mvMOsCeKnhMtArYBZ171H3HpwbO1 gKYLkKukaPDY2HTGTWU/0zo63pEeeYrbv3NmOdNuPYAW723J/gdrk4wnXCsZUorKVqsBBnYOFAxm 3Yrp2pJFSk9KfQ5K+I4FD4gZ/vljt48a8uTHJk22IXqBG/7PsCQ3S4tbeQDZFVz8VVnOfoAw0Ogi mZPeNJXUtlm38MUs8wq7NcaFZ/mPv9ABW9vjSgE3H7JIaO0l++iEbeU6ilQi/zSx56UXfLLzE9+x UjBzIGqg5TvgHqys5ptQAoyUl3rv20BYXck7ucJQpfmOznlvdmQWE7Q89Z2dHKmTJUrHmJLCwEbj O6z4syqvGugp4IZQ/LjDVnVnJnmSqd6PKRvg/LBcXcXypzBYd5Zu3djp3qvKEiTI0DkzDaYw5xv2 ylfU7FX93NBzv9+BcBjWlh25RKHx5XcJQskuDM2RALmx88zduW6N3Gbml4OrmQtmpcDyYgwJuv+M 2wFGPQmVU7NtjdLaKG1PenCIrtug17fEkRLjecX6jXHiEEACIB2ViEqmIMMqK5RcMd1nB7b8xXHy ceVxGbllAgOiMTq1iA7tz8GtYnheoDRcgbOjp3zA/ZI4dxYPIl0Zyi4jibjUQFJhaUJBPRRNYgWz QqY57kS6xKiUlwFIcj83DX4mvNgwHjDwIKa0Unvt2r22GbiFY+EomfIcNSU+R5hlgEdQaXCChZuP x+mK7Yk5gmSDt6JK0tyVhI1zus28FzeqnkWHjt22ZQVIhHKn+mdf+Mx8+DBK1CQwXxnkqWXr80AW G2S6O4j2utxZwPrOHCx34lbxnGToBV7FyejZzTB4fPGn4T7sqqTc64Za8O0yUTszJC7cZ5A6KiEi vm9FtBzJj/jzrHk6bFMiQBdyhE16IFm8v2VkndKF0eWWowCOMIs8NEz7WDGyiu+S+Apux+XaF2H9 IC1i3CZtqdOjfmqdmziEXaboV9i9dqRi1N400IYKc4DiAnOcI6DC2QEpoZuHcH5Jun+UX+UdFl90 +Ij2ORwU4RzlmgptJGTiOPH77Doqxx/hJmUkCU6NV+DCYEBvnzCjWDSUrorLqygWA2NTGudWCFdn h5DRwTmdZfaytY+DjRCzxH8Cn9OWDEckeNOFF76xl+7ZnM8gk79BEdqHG0mvrb+eNDkeQzE9Wcf7 19qx0rxjm7fSdDdXer6qKpmD2z/eY1YsNJuuE1Jrdklg0roU0i7EQ0TkAVfEp4pv2yFepWqtA461 0AvjFSdE0sYgd7q8ndFW99xd2kBlrN8oyWp9YB+hywU/FyD6ZDG0k/9A9xXf9XHS9r0Q6EF/3ZQM fUpZUOVaP4TnYxzO8gYiCJN0q5PAV5b3hZb/5igVgdr0HffG/nWO4oMJLM1svH47C95dRcS2jbdX 8IpAx8A956HSPDwJ9qStfFsDOLdicFDsJPHuGqidzkzb6qh/q5hoK58rCyIMn85+JfFmkC7V7yy0 b3KK8JhPf3oAzywIOKhctxJUghm+PeTmehWkmKJWkMzpFKjY85q+/XKpVvz0w95KkeWIhO+o6FBS Zsg+NTNBjIAwqRc1cvs43kB8R+xgTJbpXT+UHsD/uc2WOgL7QtvjoLabG3tS/vh3g6p/KjwaiKIZ akq0rxbUSlE1G/gD1SQ1+/rnuYEo59L8d63QBL1utRwh1jlE6JFLs83pVgT6rTA5PCb6sCW7xINs jLrKBNvkxvcXdWTQk+tPX6KyozUlogmq4D2hKg9g2xtoCa0IFr6eDqtInEiPWI0WXUw7l23AzqPF s4MjGCWLH8dP6/Dxybqo/7EKfCKOaNKyQiQKR2BcWfXgokHf2kOpWxD8I3cRLdVwPEaPik7YLgus qxoNkIb/wou7idCevLYvWgbt0om0zQQNhKtVZsCmqxQY19gto8+swTUcPQBM0ZFKuKtvwzDsAKgb amJpELa/XTt/cpb7RPAILBy1THKWe6+p3Mpoh6cuEuCa5QTZ0blNEm368QIoCqBkJFRVNYISqbCi 5RbJgsEXTG3EvGhJiACpHJ8t4rsG1GOBl/olSDN8+gk3MkCdHsVdphz/WufdpORhAYgYKrBzeWAX ShBwE9IkgMmp3fa8MX2Nlkl71tQlJ8kmMf6C1if+JUzhKqbsGFs4+6lAfOPysmIZFrFOGwdkyTFG kBMjYjlknyAJyysyUPU092+2eE+GyqfPsVFlEZFQ+wljZh9vZzu1rk5q2L9gMvKnn3gNzfhXSb42 wmhjffmTmuNW4v0xRPhQOKZ1T3cskCRFl4Pem8immeIkuR+vfpd3K/1LjRK3ZxnPnicdjF3XRKBf pxpUbeO7ANBfM0dAGJa5v3/KGjbWP8h+Qhz7PoJQcjm+JseiN9ogk4bwMObxRJP9ZOVXoJFKYTVq KcRH7ieymz6n6celd8VAV3eMDHOfFG6AhP2MIhOWL3xVcd71ltLIXCVZbMeWXhUJC/N5tOvdkn1e i18GfOoW7BY0gIkzQyxdurrtjDgpl7Q/YqgpIsL+84FKyHbUifJwopgw+P89oACBH7IAkNl++ufM kwMfDrwp/TWnrMSYQakUMhEPdYr8nWWf//7XdNlO3oQjnRwWq5RfPXsclOEsJ3S2zE/NQUlgNN5f hcPYlzjJ3Vpuc5AaDTO83GHgWdCSxx+bQ0w06x4ZkPYzEpZabv78W1p4VhqzPiDqOpuhO5JAdey7 awErsBD8OI+NvgI2bJs1y6J1jDN7RnHT9JfztNblrHT7eQfguRg5ihAxJXVoqRf8/DKydvZYkaet mYfFAaGzlfRDerABHa2060FIZLZIJcB/+Ck+GYny0MzVDIsHxKgVP+2pdyYmsoKHjZG5qjbPwd4X I3s6J+1XfGWEUuFz7gI7QNjfsRCkRYz96z8/v5ubS6196ZhnX0exhGpDiDGDrTSRmq9K5+SDUg9P JF4H0gIUaCwkknqZctuMjd4d72DeI9Kf/BLAQ2LbdlFbe03cfN78hK5kXF2SAaS3az6FRxXeg/aN RFEf5KqSYo1bSqxVaKgW4T52oVTXqMOZk9O7h1UIv9ZHFzqJ8ogQvcsnA4FQCsbKIqUQFMVyZ/8i sZoTfV4kTYjtIpM1LnquEm6oWz6/Mfz3yuVcWiZ5FPCQMQCBmQIEX9XuDrcf8OKw1jjyjuO0EwJ6 jtxxk7q+DYFh5qTLKmA2Cxnfa9arj8sJAbbuIsxmm6h7Or2CCaScgYhxStsvuQkrSHymKhSWMIou LQlmDBohdBKc9U55z4CZ42h4oKU6Qmvqzvj9koQbeqVE1t1mO4PVQqKtJK4tCHn+nhhdYwkATVs+ 9hUOrc6XFC6xkkvHRzOKgdfoAh4lx0fzfujFHv966o4aF+NeH9G6CpZPt1zy94aCWpGFMyXeqCxt 4xnW7f0NE5K30Q0iepv0p6/b+bKvyOXuMBiNdqx1KhbhWd0LjjybNQ+yP+umb11RccTqdLrSKLbY bHM7JHv1jFE0yG0i6CpQAkEu96GoaVp1pN+lJGvp9QuGDRAyxdQjg6HJNp2vPD+0C766kfZoUOcM uJgp/foCacYiXSYJkf7X29EEIT5G6ErOp748/7zaBg2HB2f49sKaWK52H3lGD8bKVhkX+T4BRMy8 TiQY5q6XkbUo4/S7cC6HGCECnahL0QHCzmjfob3rcIqjzWI6xAZJWgqWfO6//MIkAClr9BhBmd/W YhM7zP4P+KrfAuDKnWgBsgO3lsgH7Ao4BVL2AjunY5Vl2o77hHdIkMAe69ZZCGMN5y9siCJb4/+n RovjFhHuNEmhBpQZTST80pHusTCMx2sXFK7ztfoCb6LmAxXU1pSpLK+dPzE/yaKW/FNp/ug2bqem 9DlvSH59fHqAvAe60E8oOKxSIwyF6qJwJ7/cja2QzfbcePo+JxO+eyJgVWP5bgWyAtA5pBIc9zbK +idwn1GH0wY/e8LIaTy96hvkn3X/wUW2wm2qtBPv+jLdoW1/pCcn68TnG462GqLBxt/cl6t9OYZN A08BzoWpwKsegsEQqkQgESrqfgH/ERdu1WLyfm4FT9wJdHMnYaxdw1rSMz5Es3ajZhI+pfHxRtDZ WY0LkyyEghF27lNbwFFJegOsYoQ3a9tMWIhRB/kxGXSxBh9shBQAOYc+J/s6yYCBXQM4yOAN7Pf0 BP5hBs8+lj37B7fAXkr0rgiYascw/HpbN5LSWfMZwBpZ2lZtWhvGSJOaaPKkuLPlvVLgvUTPtg5C hYDwBuO13EFko6uRWBCsg3z7x5UqUQxBRiNLzp0HA/UWsXRuKvRJE8XP19p1w8nncF63jygpsCjj w3vGVnTEovXoSuPDaiGJimFEjF45Si6PFNINkM00lrbquKUnZHBrEmIu9ijdyvuMi5QCLScLPp01 f85Kyp90JE10PbQpr+mBIDfWILOsA7JB0vbMTnoozI/ZJliHXBjTISb/Rq+tIeR2FPZFZXps4uxT HlA6OOwDVuwZ9CSf7jPXD+3OV+v8IEgOVy66bhBc08L/I1dznsBoblkIy9fPZBR0xNdgqTavsAgM syFgeiFzEw4ZdJkaCiesl/lH4ugBMfyIKGfNmO2DZeND/spLQMv8gSeohsj71YfkTHgB2/eUDyte TrXNQPr4B7dsHJgGFK78SjPuzNaQSc4N5e/9rOkQDiNaJt2zPWioNNS3fS+Eb1OCIoZ9bOov5Zkm Q96R/N7efVuqWMTHFJ+W+EsXi1ZjMcsBABZayRKSIwvcUFqs08oMEmPSizlFS7iTfMW25BW65U5F L49fBaWoKhiklj2q5gzgdE4gKNFHW6vfbhGcilwy6hjrtQt0nIwNygM4+USiNkUqzaZ5cqADI+y4 VF86Y3ra/N8Pv+0njuKUjwDV+bZ8jk9jPtD87VOlBQt5vCZ2kVXI0R6hjMoRQV1Y7QQRxT8S0gvD vIucBjcYJHzRcJt725syrMBCiVTXAOQKbBc0B4Gi175mINNWG5GQKZoiqzH8tfgQbUgWtRMpNO1N nU0z47etGpuP7KbU8jZGLYPsWVMEY8awkx/Ya10qDJNuyrLLEEz4QMaFHlu+x20HMM4hLQY+tcBk gLmuxzAUn9hkv/nplnpGoF37DOc5ocNMKyNrxs002WkWtdSA25zdoevS8tHa1NBYDGbABhaUG7Nx bsTuYUkZgm4sYBGubcPj/0Njqx3J/CikZE456A2960RcVgfRWNDlibrJsWGQxl5hAwbBCzMySRc5 8bNNGmWWlYxRkk7Ra4gitvXvvAHZPI/3B4h6vE7Gv0Ay10hjucnYk9upqWIHHLwlj6TM+ReSIe3j Yy3RNSs12NSDCtzqDEwEVAE+Pbj5NjuLrouXz8Wyxt6Vlqv2E0VsqOWNXPoLQudXvwBCqHWM0XyZ VlgohrQuTwjR0Du2tMMLIPj4JlXgyOZhf/Ha9W5kNoIbgmRHASTIseJPLzNFe5Ssfbhvg1izEmLi sfLcjpd6OxiRH/fRBoT7l0wWpqBZYGbTWi1BHqGrRsX+3Ry3fAVF8Qh9y8CXlZ4u0+i5w99btgIf DTLu0zPWr8970nPXC855jbJ8+Dy9UO89SQ/5mjpB6QSYQzn75KS7VUAfbruWlGr0zKWoxM738IeR sXYuI4o+U7inlQbdRKX1rWE5ynfHCd1WJ/9amLsANCXjiqyaSa1BikttG2vh0RISd+jHNZrxpTwj yzNCtHWwx3xCa4LMd7+ACPohrt1oG96Qtr0l2Xee0FkPRVabpsXxNim3KQ7hOxl72XW6o4RES38t L+XO5qu/7RgOYd2Zys1pq0uqQXpd0z5FpqZzGZZeXV7wceFGvEOWmvTS6SNEewwLT6uU7xVULTYN cAaHjA1XGflM6p6VrmAJMwSq0pbvzY9xUhuljEbiHl40NXoGd4Gzphrknf3wQk/Y2+Z2LM3OpAr+ TJlqBDj5sBKyD/cWQrmWnyKe0lRlh8aSvKydo4Se+BHXD9oHQ/9pBrydDsyZbIE+eR8HpFWY90FA qRKc/RHODk9z5cphDlPVFnQJgsHbXjnp/OTqCazmvSlwx+kWzviEJJFPQ6xtptdcw9n3b1H+K8W5 1K8MXDs2NPDrJi6CGUglcoAt5W1JQimDV+fiWbsbH3FGuTCXEzAcHG8Dk+RtLyuAUg+HYOWUSmmO Lt/ONF8i9JqD+mwDEDBugDmdotBQKts7RGSrpeEV8Nb54tdbZXLAZMUPMqgxyRT0Y4MypooU3wiU JdxVYQxLGZdjVSPUeuP7awJtipLMSK27RtPwesQ8rAV9zzFnZkUTNkAnpCQr29nvDPV9Sixi+15M mbLcb6V7X+B82kghDXxgj1hzag3oAaiO+KH5Xi+K8UExr86VsI/a3iFAIisYsGjslu3NkVg781uM msOU94jOsE2KDAhJ0pSISBa3n4p5jTkDzidxmqYya17EBvB7ppaUe4mREAg/pbBAOx6kmVKOiZkI +gwmF+2yUi569fEYfBJVTl56zCf9ueemYQQNrdwyabuKJAcRGGZn4BkOQg8yR8t7mwa4A6P7c8oz a08FyugdcTvcJTIVrZH2mmiQS/obr6QstuoFoKl+5WOa4jeWYecRHxSDEt+gfDfUw57dySsI/g2u N/7HwcR9Lm8AwYmP1qWmnc3Ey1gs0TYXmYWLYJO7oblJCbUPe/gU3PW6RY8UYxZPZiic3Em0vUhX 1qNY4HHMSBV7P+630Jh1Jj6Gy9T/Ge5e5t/zG1Iy/L6dzYmidnSKJnu7zty1Ei3j5anQBXyqQEJy 0NDff2GBbRr7MLC0fYTLgWVLECXf42QYtAiEq62FrO2rcy1jVHwLx4QRiV43/jnatcCz27AENZ9+ N+XzW3U/zWaCa+QL5rsOoPQIlcqpwNBAS6qFHUBHb4xijstUSwg1/7mi1aGlCuKcfNWOm3OaaT0w +Lh76kk8MwShbsCUMd6QcDz2HIeE4joUPaeoYTt2HQQwa7xD32+N/djnC4qWS97Dgg4E7eFR/r9E H+laUrLsFlgAfDtm+141YIzrP2UY6gVj8Cor/fBGRcVmNTPmEDusF5b14Ik/9HuTyBNWSxS9F18B YR5WqbqG8d7s+64/0AVVkiNLTIQqDWtfrIZFCOh7R5babDuwpEiTtR5G9RZ1+JKbE9Xsd2fs4guG K4S9rQ76NGwRikhzJOGrzkeHExFdRHi0Kbu9aNoDDYvFgWOft8j8CY8np639F+jNRBTVJo8CVVII ycl8IE1kTM6wsDpG0In1HZo1GzgOYW2z+SoO9XK4E+gf0iPjWVK5wc4SppX0vSXLvS42YYud/WQf /zINVsRvGK/3M+/L2W/NGB52sbM9zq/y3E67HG/IBPAhvNxS5ETWgwQWSQMmyBhmbk4JCPmygS4+ JR2SmoWk7errKXkF5ahUccVmI4iC8EbAAOZKl8vSPFuRDh823a2q/5DFQ2SkGgAJrauz/iBQpDFd n+Y0LHWvQjafGWXQxQvNJhmuuo1ykAPRzJXj9aL/UUYgJayof1Ld2OyeOyECipPGNdPqQ9AH3J19 SQFo85YMbBiyrFVhLtRnCH7g3mDSUlMJMJnHemJFCgj8be3qxQJGRc8M79z1sgmdC9KfAY2YBKw0 gvXZdZhkp34isvLkR+F3utGcVMcF0HaitO6MpqfeSmpCO7cDTTnGpLSgsEGM0gSonIzn/2dgViq6 YExFRdxaf5VK312uv1MAiakoj/sQptFP5luIBkptAhZ8GwgbA3LLZjfyEU839Ifxyvzoei78+y6Z uY/sG1RXlsP6v9hwaiKjHpEJXohWo1JureG1gowU/bACoUoSt/2rLEh8B/JnsAa2VJXx6rZyH4nG dIgwub91pur09Jij/b8QYw858BVP2oYXpXmRXIMb0NYPhyNEzY0lHGS9j5QzlCtS99FH8qTvs9Ft Dmviu/PkF64IVfaCn6Ny5XPCspaG2586oi+FxRT8+BhYIgQc/rp6lBzKkpI6KYJd8MR6uHEu8cTB rUd/yu43oqEiOoLK40331/UZi8BLI34MwGaMWIkX+wW3XN0q4QEfO2BTSx+RkbOETI8Pf0YgxSvY /vcMuhjX6dGZ8L1tN8zv+YDjKRQBkjL5L6WfROw0pj5JN8OTdChNKxi0bhkZrwi9NiFNSjaZn0dp nDAAza2w+AWL9dAM24fkem9MZsgCMUz5yE+5egTgyO8ww4E2PhYxKeifJzISCjA8ShzSvVhfADlg GjYlpnnZKavw3XR9XOijHyTP/tmF9ClpW1RIbpGiBpGqOaGv+JxKsM6iFj3YHJDkejaowwslu2lZ aLnLLSIpXX0tfE45IHI4r+Vb9FCUKyzmBzYUHDB/g0RHm9uYnwNPIw3ckKpotYjFCi6g+reHMGgp twtp9YFpDXrhM4tyn4VODuekxd1aV2RbSeJX6WGQROHQUP8kYJet2AE4cXJtuFqzzYp/oV3geEdI nGJgFKqq7FiEGBoU33+YZfLVu2rjyhpqwq/HLVUrCXNq6lwxQm3lglT7wZ7D2YHqSumr5W2xK6J0 jnlo1L/dTzD+z0T8StOazDttYzO9KmHvptQcKclhfTygUcbikPV+HGn1Salks08e5+lQ1De0zh3g RZAqgZVOIqvXHCYpzt/EotnSe580LEf4FQfXK6yDDBAnx9Ao/ccMcembzlgJ2D3TgUiKPudFMUOl 8ytFQF+LDTY5ZOOchN0hK0WnVgzPJiZ0NprL70ZMb4Iblq5NhFbFpCmkJcdkxLIhhlBhcXpf8Jwd UJwzVQoEMq9VgWXkBzOhPJhses7SNka+cyJgb/eafFKs5BgrKALKsd9ktK0E6us8dQqHH3AD4Bi7 uTe0p2qRFwVLEdlcsPQMnRvwCZI1KN0RrDBDXN5m06UQgO3GBswk2QWWSPmPNWBKZ8Pr9EtYk6s8 cBZg2vNPOPeIeHIniov49YGYasKLo3nBgOKTD1S8nTKPEvQChevEsDbrt5HqFfhrK4goaoxzyC99 /4MMSzWeC1ts52IUyweA5ZQX1AvyDprJxsGsVB9IEm4ZNWISI71wzez3K5SNMHSjT+Npe2m/YnDg JGBDpXdsmQVtfqNM+4gySRuXL3lx8T+gXV8mO1irClXEDAH4BePUYmMt28VvfpYznS/coucDKqLw Qr6IJ6jcW+0h4Xw5cXVndXTJ9xkARGZ7qH71dBcXS1xn5IZgfE/OSe7mP7AqMAR0ilnSQ+m8YbUj YZFPxAnWEzSEo2Sg0+v3CdCMC7uJ2Qn4brezTAmvVXMWFy4b7tjD5eeD/NZ7WDQe6cpu8zhRbai+ MgC1YRZjjzvqd0pAFAOxUl8uVvAfOnU4KF8Bwr8qFuuAf4mXw82I/HxQvateYzxUR0oQMPHYl7PH qRneTtJR7Nkp33EA78P5nF0bWaWD4YBnu35qFv6J7uJVq2rStnidTVIfRRmNpwkrkZ8zDGCl9y8x TuWQLs2/YkQf0LT/QW/n2sgKPIgZwlh4Ruc9zPtjOBTyUMss58ewSJ/vCU9ec0MFEq06UsAeGSSM xHXdZJtzCPjTTsHOn/keMsneJe9K/VKfOiQDDg/MULjD4/dkF+3t+a80LZP/a4VZCFmcDHIsVQzN /4SSmX+00ArlfQiGgI3WG2xjCBt92oDgQT9KVEt5SgfYrCBKaq6+VQl+Ih1vKDuR5nnZUPTdc+/N P5DIsekVvSi4MoVWjIe0cwJztrGEP/cmF8cPNbVGDs1sZrmrn3RtaVNCHFXBRfObwndSD6GHDGmr yNhwYJvtTiiOfSSM9jiLmBFmn+xrnydIc++b6/qrgSznVYsRy6qsMjIDjjsEzidgJe0VfJdRV0E1 0tKHEYGHIVYJMi3ruX8VsiJSZzKXNLFpfG8T428qls7ke55MmMhhgn0QjgbeJXULYR6ajVJzvBS/ 73buLDXbGTJ4oudtNRVGugEmsiY1taZ00eUGF5KTQE5vz1s24V1iINaNB/No1+Sj8Z2/EH7lGtya ow/RVtxyM/2MnEU4LcL4upzc5iiyGvYbzNwzIBhmDvv9GdCI/qthoituS6sWaPy86r8Dtn/M77/+ +XCkMzd7N29wMqqz9WQT/3bEH3x3CC6M3mq86MD6VSAmYKeMEA4CS16BLb9mT29ZUn5KL/tvFfft Gx6AqXO8o9MZ5O0QHiai8UG3LqBqfkrCxE7L4rNQ4E1xFeR4gdhAeuDMlieEmBxMk3yHRrVbCaXV wzYVJQl4Xi8Qf9SZfTYXiwtNXLt+8RQFsuu1ax5v3R5AQVj4tASj0dDb9JI5z23xQOn5KAAFXG8L LtRJV/CVJYTmPcY+CupkniecjzT51fRkceGjmYtj17Q6TCMRmOPPSl3B/grV2heB3w8oU7iJD7zb UsWN9SxVjupn+7LP4VcsPLPqEqRk40AYC75VfT6k2yEddRjSq4uroE217DoJlBt9N2zIyqqxinVg 7Ei//HXcOQhf098r0Ru8N8/GnKk0wDiyRCKTYUiRfaNFettImxgrMDkLadGVARVjH03I5QZ5Ly75 zmP1f2R7ugb/v5HJqfNh+VHBPaBoAAQ/0B5cfXVEAYBo73seKPfPKYaRuZEiBJfLsrkcec1vWNBS wI7AfNRMWJnfZ4S15+Q3Lj8k7W52lwHA/jL3hOgg3saULXVit6obgM++hEsAjK7qUgm2gm+zWH4E 3Zizx23240s8pBRj3N1tjkDgFkelD7VukO8+y7FE8+R+hFNhRVcnVGffEpU64Yc9VLq74vCtf3mW ZdpKMRWTElBWmX3Wk1L++wIg30b4DojDC8o5kg372DgpCJhQmxlSAS+2ivJIzWZW8NH6wfewEqyi pvfvbRDPOU3IcBpxWND1kfmvDXQOY6R/oqvDFxTgAS2tZNENnLcICDN872z2nXG1JI7Gp+Cn7bMc d+znauZMaAw4vKQ/wRl5YHihPb1xzcOG9yliGj8FkO5rLZBnbO2NSMw3k79IYAreJCbWGINJkNkj tWJJXMlkPlelLxeRrs1YoRRPzLyQ24fC20lvYpyS0BcG1SdHNZJ59yYSlHuhGGXN5fUfXLYc5CAI xdE1U8d3nlQ8W50b2tAGl7ZSsV+JEe5yyfQprCL9X3M5wMDd5BFfYzPGVKjYh64jCLh+YMxuWDro 7GeBNWy+ojtqQJb3YaLxmFbK3EQHj8PxW/dGKOoyNud5/RNDF383k3AYZXZnQyD1QXqOYN8Lo90e 8uhdeV6lVdaGbRhJ+4RkbHD7sngO8/dzrCrEqj+1pM3MhY1ab7cQJKTAa6NclylQa94taEirRrds VNbvD8tvpfsPVFtF4LZS0s6fNb0E21H5gRre/5yB+aU6Vkdhk5HctXuGFSBl8/E+8pMQe/Zk0Zx/ RvL+ZhIR1JB9IP6giYjJJpg3/0ovw0UDkucKHEDnnzMwBKE6MiDExWyqp008p+/syOjuwE3DXms1 rXZGDnZn5/jKx/ExltYURWUmR7KXrZvbhT/X0wHwsFFV74jM1JkqL2JCKdYbHDcWiFn/xqzylSY2 Zhso2SMcg3j4ksFJlVsA+RC2k6j+/lpI60MR4TilwO2Wv8rn/3OJqsW/eP/L7BvTJo2TOA/qaP2y tMQRiAiyA5A87vnf+F7O5zc8/eEmVPYmlR8ZXEyPLB69FyneKwUPPb9rkDSuF3RauzCL5NEbEYSD nKXhP/aJ5BCVatLPOTgw84wF5gFo/5GRk5tZr/0SzlvExNV77/Fvk9+XsM+I/JgGE6Kvu4Mk8bUd kuaGOjq6+FrCpNK6YSteZ9fWLGrTf0A4JgtMihoOWvt+Ucrvk2q0voAaCF6mZ95/Uxhb7gitJWLd Lu8Ag01jG3z7qlxWXxBeSE07/vOoRP5j1C9OvwggotqeX0hbHAaDxnirgPfgQjLXCkftCNqEC2SO 65lc+XKA0SPEgMEQP8Uyf9LrJxx6i70wLyt9IyBPJ1v0dL7WEpTM7iRDYSRksF/mIbGmRiSv/XrW ayEhFM7ggwryVBF3Ap5Nuj8qfYQfoY4IcrUh0zmUjU0es7OGZpKI2NaZODd5RBnlwnnrSorD64Wf 2H8CB0F6DqSFhYYnSBED9A1L5+9rERuKRp/uw4NSh1umYmCrQUw5zQfq+Yer62rov1ORc3YvQ30B KyU9j6p3BKw6asv2i7Pi/Td/go8IFw60MGxr/SZ0fpWMsYmyLaoYWQuApGojGuWZPhiI9iS56TWr +YoC1VA3iO1xSuGXnVXaxkAZ/2aoIz1u495BXFgL2CS+53JsJ+DmyXMcX2Z4Ek3fIKAur5VkMRsP CKHJ7ck5b89ZhhJ+amyMgKnzzKCQUbV0mry3GZkVkoHWR1xzBva+LfmRb5FPw10qPmuLge4z8miU GPphLVl9CsJBHQuAXitCo9AsM9SooXiHzY0NCPKQLqtewFFMkh39LIIiuHuRc61m6FoFTxgPwdYa hzR49VlUHj1s2vUivehKQG1LSYkEDxBVq7XBSmquvp6RSTK4Vi4RWi4jAdRzBiHRNRaL2v2CqJYS slfHnMrhIW/wy+ecxh0QxoY08ogp63rqrVYduc7fdw/m8oiksSeGgy9FgUejMO5bRjoEN4IQXh31 rZAIeZhIEw+tm2fcZ/laYhvBI8uH6gCdn7QZnlhFeGbsIa8WRjYAmDyzA2/1ehJgMTaHoVfCFKGz OOeEfOtXsPh4Z2gOGc4UtODKKjpW1CHtP4Ig9IC2M0huVSM/xE76KwV8LtF8z5RNlrdMZG9kkeEk XUOccyO9+72FZDWNwEWflZRuL/EstuEOBzs4xW5c/gyqnPQ8jVHxHgPW5IfFOHPgnHJPutBCnvll Nm7hGm+W+WeRUwB07tPgedyh8K106q5ErFb5N4I46L/OfX0r2OxTOIukWTmsPCOhelemBmnG/Uhu kneLX9pd3Fy51357WKczL2TlMXNcqP6xmTa8kgbaVTyqEMzWR+pbZHrET5SvLddAV2qTH3wKzFil lEsGFizu8KhhHEFXNSwFYJ+TiwMpnQSjTg3RA1z6oDEYUWE/P43KlEGfVoBro8l+zarroWmWIVNY UvHX7p26jkksE9MUCbPUJOqGIUW2tWkLRegT6tZ221uF2+wwPmda+crvs8EoDeUSt5RUgSA//ZTc vwBdgz+JqjGU0soSY437kIMRSw3nkvPwURwwnXeMepdGw4xMIjrDl1OKPZQ8u31dmyFbE1Apv9ht 6Z2pcv0EhalYdo66zrFAaNvNb37XqgqSz5B1I5P8g1aG86zJVBiJJzQdP3Z8m7UNeJ9hp+DN/hKL T/FqwzIZoXy5F6YEs2o56fPRe6n5dgCpRawnfgc+gTPwfay/I+zEBGuvvql13D6a18FNK0ceesAe 03ypXurAfhasHJGrLi7Ct6NOlEZ6Ndeb7eLMt8CXOMQYdUd9nkK6gBq84WImqYWWBIEu+wpAPBlr V1p/8nPWll2EZDHc8v6IbZSBR+kwpaQc+HLIarJl/+A6AJRK9LAkxBeHcpzRn7PwRf9FXOyZ9f83 qnmULDWK1+uFAkKeOcK4Vy02a5PzsBzgBzkQXS8gRp2SXu0aXY8gnY/f/mqE4hfqNYHk8xilRxuM 7qlb9cUJkXzu38jsH959avTR9V/hPw4QY8Uy4PtNt236xNxF/u3oSBLPExdwQxW/1pJ0CyupzA2b 3bOCiidCMLKHgqfCa39m4TVI81JdCJntyNhWi3t2wmmJjb5rWL0J/7atBuqVSP3qruDkuOiA+VER DxEvUJ1kF7qIQEAS7ETXAiF0BRTIhclHkA3S+3LhpxVY+dmWlllGuQVN1r3SdcTtRg78Iq9MwN0o qfQEQubmvzawF/pSaj74b7puI3Jhs9YfWSbA6YghYAfCQ7E56dppOCYTmMDs08ZOj4odqeEXVHIP /JqqjxCFCMmgZ3RChANarGNONR/wUp0AFnwg5v7La/+UTixJXHYVxRMR1ylQDBNplJlTeiNgQh8u dpPOw8YSU5HEB9qBEzfoqPTp6x9p6P74S4i2UU084xjQrR/BgnbGpUFvvHYD+tvbV6Ci/t2MyU8D y+M1e1bUAzzlZbpx9c1OtB8fyk/kdqxjgIF3Tt/CnPxA9RsWkRgqkkS7hSOo1uzXY501moNLv1pk D4P+TQmxqjFcU+8MLLnuG2sZ8YmheF3Nu+/VydZ2nK71vOrzyMDMsJDbNmhqHAy4PbiV6YB2nyJo gpmzJmaB29R5/nMRITciIdzAnjO1VpSR9xi8StesbCXr8IRrOYNvgRtiOxesMkhmNkJLhEgZTBKq HdjnOtxoVSnhvTUX5v09rso35vjpG7y98TwnkQNw07FuXVA+IsVx8pHD3xmrjjYife/jXK7OQx10 u38JM6mYhrO6Hs5k67Dvtw2x+9/5hWg3c1IKAyq56ElfU6fG/4SDyW1xO5Gh2fCQfCkBKap3P0rh g7JIvu+Oa4SInVGZcp6mx7oGurtcDVEAeUQjDYtRsdpIx4SKsPldtItcxVeo6xQCme4VuThqt0GP xRw9P7P9JR8/uJ5rmwSDhAyfbItJgP86lT9m0EF0Ylkz9NzEb3UjGSINu0ZYULMlka8zRjB3TsCo YSqxRv1HZT8a08PPQQu9XaN/+yieth/9Dr5x5GWmcHagVO5Ks8oem0eIloiAAEtNPiSfq8MKksjj txNfNoJ2xQLVBE9Fo1+Z407UnMUmCQRJAHXKo6NbDsBzAN5Sqk6/DvztLafHh5La0FWm8nf41Wjc vMBtAPqTgQYT5vNOKhvpG8i6N4XMkv9XxFP7xDnsi+v9ZLFE41OXBCo9q3tU7HY/Tm4fWEhDzFcI NJkcbK6KxFgJsVi9v2l+rWvJnkR/5UOr+kwwH3OItbrFBmfq4D5f8SUPNV/Dmt4l+Ly9jiYfKzak tl2+j4t9SH5Yn3MUcmtBzGYJIKM54XO0mCGsfPQO0P0mRRg+UhqceZHqulHIFkk2TbInLqcjdFpj llyEPM3GfWU48V2b/csY6bxfhZ64kzCzOz+2RVB6lLmhzZOvC/hjBOwXWUV8bwS2/5mcoi+KqTSH p2cf2Dqb7NL8Ks/ROlziiAeQOww2bQgmNfX4gYXfBKLSKRhXy7On4G30jWeB8z4VW4wmVH0DgbdE lLQTyQ00EsKTQkCEevorP+fHaqM1MW69EBVtoRPvySCFdJxMShk87vbpDkLidMAj6aypQi5JQMee tooPkzAuSUkSU4LqGpyaRY7nMGaBuCG9p994KMh+say3VqlsbrtLDCSWjc7nW30+2CUPcrtALPch xd2hvI44G7gLjM5hF2VfeuY1a/E6SAhlQCS9FO8XlPEHWMdU+yWdpPPRWQY8bQta5xnU1oxljiVn NIpfApTVVf1Va/ihLkOX6uTjahqWZcVbaQ/oVLqPHJkHwIXt4K+pTTpN1owwpDsFT6RBApb7JsoB GJjJ0K6NMGXxNhVR+Q5JeDZr6OI5yGumGLowA4l+JpyW3Ow2ky54oH4vPYBdKyuYbQAYmw5l9qQE yPYH2HO2U5U/RDxM9Il8vghRPSsvc45h7lkExMoSQtEYltQYOuuN7QqRiqdYnq5rLPKXRD4Fa8Y1 VYE6LZBMWZyI59afsiZPV6eNJ8HD2jya5hVp/JSAlf8CNQZFvtKTrKWvMNAMwsMDHOsYLuW+yHQa NEB4YtvRWJp241a9y8AJqz8v3Ro2YQ8D9BERybXEHuJMHEdhBRlPc+SrKAte1iAvwhotOfPoQ9tj OdXeuU0GzTKHbCj/yU+YGrWDTkvs1n7SUUd6hIR2d+FhqluzqNSQJMk+Jl5Y5wjBUGikUML1rqLc TyW02lLQjWC5YGqi/2bebbWmokggxTMT/y6x5VH+TwkKL7vmfySSGYCDMMlb5SSM/5iCsJbUuMbB Ge6gNdintVRDZ4iLFtMV0Y1T7Nev/P5LHh7guaAO4pHQOUwEclWj/MaDfdlWYkdtIgKgcNiU3uHx 5Er0Ii+UreU5SGEvj6PT4zCQPyxtdSv1zp4U0Hw9LtpkeAN9qs62Csd2H5HbT0GIFGz/l/x4yg46 l5GcZjBI0QdMtTlhuDG0ZMVObbwYXeuUgdt4TlPfMjo18VtsZ7LXceXXg2AZ1GLwc1Ga4uyY6XgW MQwk7BGET1N7Wni1TGgAhgDpibbxkyAJHPv2mbVOvqSZX+OVeY/zEsLW6/QQ3tmObMlK9uftVNxz SVwxu38sJCIZM+jMNlEgPgN1gSOQBBtO2wGOc78LbsotBrI1Dz9cef0JheUSRiYHv+607Hgbnbwl 9QCzGRj0fUCzYfzA0JjONvinXasficLCfd6XqHcsQhfXi92z7aIcSFxApclkmUvKxrltkhn22Bud TREYmr9Vmo1CMWZmD/NTnrVXLmgAuGDZ04mNhSoDQ6EpQR91jOV3Id3J5MATaClcIkqJIqDLcNfP h0/JS7W1kUjCrgZQROP67RivhD3hh+yMSkF1fiCiHXKgVHu0UfYt/LbeO3CjM//kd1gD9OTkPxRu 241cEtlC75zG15m1Kz9P5M0VTFrT7ytCW+6XSfDdyqd6CwUA6gafnsLWPelmmMdS1uP+E0m1/HTV HnH+KWiUu6lvSPkBp1oKnKqafETjgOs46PmsA6F+W1uHVVcYh3lxlPdI6LhlJcChYdGFNfO1KvyU IBNk9deGookOu+kSw2XMwIC/MSgt5QpLyjsPTY/yNEsCCoXENfdOzYbqWhan5gE02P+BtGngOhdT A59wftKHX9es+yVWJsUqxfiJXiygVjwGDd/KxsvTaQCDusiDEWQJk11fEkfAEaX7bw71+Xfe1gP2 PnJqIMv8IKzXC9Q6mjM57r0W0EkAl/pK7FVIdU2+RanRr8PJ8ezspf/bfT6ndqPvAh/aZgPt2ZwE dWuv/dSLFPVrjeIRxnbQrW43JOwdlq+wcW6asAb05TeHglgmATimxb7yPiNRaHqtAxgpJbNkwOqO IhsOvNK4udvkfpeE20jdJQeuzvCfbPT6yzg6bA60XOGYfvkmjYuOg1qxhJbGGat/Ww6Oq2Uf/6qf OcwVNE5ZOyyxYXxcLE9F99dVeUwPp/SlTNTuj1YaovBIhgHG/Vm4mbzh8jNQZeEL1/6u6NZi0Knh mSYEpkBQmXAjc6sXy0edQqd39116X0LLJVKJqiP5+rnyNnZIR+OGusEs73mg8cpnQ6se2V1wBYK1 YOIBOYW2q8OQ4LVtefKu67sieDg9/X1Lh7sWNzL3MxJ+ptfWan9YKUDOU/peviIP6VIorCuKfXvT 3VNrSrXiC9wBmzjjtNQuU+Wbrr96qMCztmnDgi/ld8hJsHNEfV1h/rLh6vtOh/io85pQEUjD1DAy u3aFn74umvlNJZWVwAfqHiUoS1dzwKVkDNjIg3NG5hgLzPqMIqa67j6JNqD1EaXHT8d/EWxy43MU qhuNTg61XCQFwnpsSJEcCdTzfNm4ttPZYSTT1kGUA7uRwV/EkEktFevaJxfES0r/b5TmhLJtSGal e8pTiucnqknJRLzpONYtSIcbn7QxX+RmQcP7pPIHFF5T4+A/C6OB6qvibDhCYgBqV3bRN1MY+7zQ ASknDz0tJN8SgxywjUZk6HLL6N5CvF3ee1/Pb3NbkFoJ5pYce23dll4YHCAXSB46/O913MyPdRB8 AqbY8DB8x6HAhEuzFmR/5gAdPUdvXYUks5FHnu7vz2NrJ0rFtB4AFNNKU94dEx4L14HPK73/RFsV D3aJj89jzy5/WSpD8CDbg3TUzxPjgX8Jc6SHPTATIzahqqTDqOBwz+G1jIY74MjG6IYFmLqMAW5q M3a6gnH45FxvLp8oTbv6SeF4bL5rg/uT54W2B6iRaoJGsVw0xk9AHNsq5bo07qeLRdsx1c+lQLvO OKngKejpNysurwMgmwYWjUnvcuzooxkaGCMGYF/vYgsuoK3FW0z7qgzolRFIgFzL4CAZ55gNH9JP jKtzbfhJNO5ax+0YiBuYlU8YvrzCLyIOjayWDNIQCU1V0KqZVmppvkO7DeXozVdFm6S242Nyk8OJ TOmu4SL6rYgNo6CidG2BD/XSB0n/gfsOhnKqog7AyZfqbuCL6sJZXi1wAbB3c+HZI9fqIf2S311P bCdVFq7hvzZUlzJHqv1uDvFMOVN0K3rWXTuJKhYzNlOgI5kApR99N+wjtmflxENDgjiHNi1L/TuG 11QExA1hutB+o4KaTQ3YopAxWVKrMzatdLRND9SQbiu06sk4p+TIv92ck4YT6wtD0iszB8QK7s8b 0X3krAwqAGDSkJKRgSsSFjHeNERVP5KwQEgP9uIA4zvi11NJ37qvbFwx26Bv4/i5HlMRxiO3jloZ NtyDnQ2QR05XhfZOZYdd88aGhhsqLSMx454cZhpqgxerMY4pqjTYUn8UugzOgHHb+0YdHmrWErIy BgBWqNzbICVgY0hV/gfg23FaIaMrg4hn2T1TMQtyCZRlImviQ0oaqPs3vBkWZAg+w4xyulXA3pds nUbXxMFo+Xi9pASD38f3vlMS4JKt6TyB+92pG0biNrDDSAKqbZX4DHSfZhsBFqhBaZRrhmE+i1Qs GLr5CZoTvNymqIURHp7jEkTxuzqTQS5szvLdGjDex14+nUeQi4/b8dNPU7Pnk0Og8Zi3qZq2IuK0 gWlykvX3btBv6jUcKfw6NRbOypLd58/cLH5ED9Iw+QbLQYaQYReeYMi01Xqd3pzJgvKDSFOHpQOH 4SBHw3v41anMXzXT4SVWi3MtJCkDdUw+uoP6gsLvRy3CgrLCV+yM/ICJ2RHt4eJ71q3hW3goxEGB eFr5Yk5iOr0CF08qpSdYPFyPpwIJzw2b/1YjwHV/ACgSmTONEdWkMcfspvV7IQczX7HsRGp5Oo6F +zE7Lob0WwlXeGYkYV0XTX36q9C3UMHraziO5e2MDm96fb6/tlkT8mpEXj1Cghr8KwHAXQmawPOf xJLFboqlX4ILB77OLFEPF517ph2/CM9eYu2aiKlbQx+h+r+MXjAAaQpgDD9RxX3xxxgXFmVUDRaU VdNLwvwXf1baHT5B/0KVr+ZtYJX86Ov6Lz6E+I2Hb0iJjDr5grJvRAu76X2odcQbVAk+b8f+PYQC IR3YDL2bmCVFAaB8DWQoSpIMqoZxSWgmj/iJTuYFQpN55jaRAClr09LkHGXXbygQ0do+Ia07uWnC FrDknMhyV0ZpgXUDsMp9O4UxI5fzMzAvyDzfhPHijo4xQqaWY7fqV7MilRo2F8dJVFSq17RTmlpn j/j5bESEgVS9VfZUR53ib5NFxlE6rBT9iWxSHfaQRkER9bviusfU7SmYSfH7T8cL/TcIFxCcj+gN nNo7zqse4jVxRglC6TnQpS+mJgLszJOisrMP/xPr+68mJcc7RzrHijomvzZ6DzQ51cnf9Ho1+2cs Z5pCrGb/9jGXY0GNBox/4gLRqECbQQ6bB7S0S1NT5A+6B9GCgc2UdqCLv9k4dwKDjGsdHq8Q/jVD mXEguHwrylEiveEzp+n2Bj/2PsxezpDDLuW99Or55cVDOnBCT6jusKeq0rr2Gma2k3FVLmEzVlU6 o17aos8LlcqFI8rD2VDTl2X3BwXvB7iP2GSNxSajW2YsisIHwHdDuIBPEkU0TlVhMzCLhorMaDZM X1DRf5SCunzyjrEObmHIsi6nOApbATGmW8W4UV1WDkCXPUGGA6ZJWRAEPldgNYXR3oBA5SdC89Ww c9UOgd7Yl1zHTqoousxZw9675UMvpPsyeTBfDb4RNn3Z3BC9zr7d6Ra7SD0MJN7p38GLAMjfJ4mn F8rd3yCvOqKhleItu7AFFifRbWSewVATpQsmyIHYOKGCeJ3d9LbLF2zxcjzkfngkAB4RGePk9f/E fKDUQ+uNXFvawBBKj2OKiBZ9qZ/N7bCPKTZhD4i12QbZ21Mz9CYfMOfPAnOznVVqUODQP8sGLR+v TxivXpcfjcoBEjC0nu/Wlmx9MGfnY/7RlwZQZfXWhwMr0yHwEIL5N6JHkBJvxbRUU6E46byAyhJ+ JxUvOB2FebihWigkS023i9GoKKKdHjUSUIDu94/2FFlo9ByEBpOorQPGk/FbFl+8UY65NE+dF5qW J9TViAn0FZpkTaPhuEAFaZ1n5owZe4B0NQngU3TgBsSmvmUooOw5D7rJuBBGHY8k6nTNGfRcOrog ydnjBRd7o/jPyd8FXtbUaQuwHxMM6NtqH64es3h4/YA2gX81U5XrChNRQPnO1krlWItq8hv3mtB0 AnaLHpzOHhcJM0V/u5NzaHKD+aCXFUtBbQ/Gr2ofgzplXEB9YVRkFrWfScj0gXjo8466WN4txRa8 81x53WGJU708tlFCm/Yc9N2XZqkuguh4iviK0oqMp8hldlvoeCcm93LeK/v7OXTQMXdnTmoERT9U r31yhqQUGw1+Ay2JUY+//oe7OgXj2uFQYwszSIGtLBREjGR9FuBDd2LygIVEIZQY3oWdvIujQyxu 3VgIrmttTurvTv9MUwBrowro0HBS043ok8Oo/yM9plrKIa2KkFqWalqhQPHwuvTJXDawIdgGxzxV DW1vWTBGHRMPpQfMpB5ZyS8JDW0rJYh4uKEiymxotaqrA1qr5DlXhIff0K9E2G+nYmP0+jtl1LIE 3S64wW/VIFZdZNQH7zs5RxAZoacBgdIZIqp2ZQKjOSV7LcKizdWBGL05KYQvN4NsmwaIFZvqj/KU FayPJI9K08TMbOwJtZPTfyi72h9Q4i8BPGuo8lzBda6K+JpKHlLLGldhyPKtlSqTjzeAvU/Ip6As +1ahUdTkLRBQXeWLsLvMTPF1b29b6oLBUyWAgZLs/Ne3Xvq1kZcX6Y7fCuLoi75N5zGaNF8a8dqI Wf7WLGYZPSV9jsifsrBhGBAMlKH6aSnEcgEcXSgPrYMWuopWwOjyGEJ7CZgnimlIVUEKz/cixPSG 5lEaFEn7n6lhSpE8EgdR52BX2R5XOp3dw815F0zAjGQKFMDMAuJ3zfb4HpJLLBF2q9LXYSIQMj7g 5gCmmbyYemH9rJU/jzFZwZwCeVs3PgT/qIDmuF9sZ9bA8Q5httoTTLbqXaEx/CL+UF6zfT76u1bB RlXIwHeefrqUX+n6vgOR+IbPj2mEPaguD2NPZz4fbaDF1V83eYdOI6jarzcAl7/ICNWGDyDlz/MJ 6c2eILVIpvCQJpYGdrqkEAIwtovayIXaTxznI2HxhJTyQ4diLOjeVku5M9e6PAO651xKXE8TaoUv rYgSv1ODiqUVDKhM1Qq4OhvFIVv6VEyQxhYQgIm34l56YDoT6koPvsx4Igo/KmEf43bixy+2m7Uz O1fpv548iHQaDcW3TdcMj+ge3agNb7TSJbXsTBDDvJqoEnF2rEppChMS7AaCOml0+gBzpmmuQ1FX ioAzbGauXNaLTbATyyGROYdT9MB5w/BmLsgRPT/DTRlZR6zg6v8Bf1oo/HGbzkb1b3wT5DC5U7rb QN+gJI/Ozfzmqkjn4g4C/Wpb8mKc62f5y47nJZ36IQtRdFRyVE7HzH7ZQYPMLvJvj98YdUA0T8tn nBk09u9KN/lRm8OMf9kycYOf801M/qPJPiKcToyNfuY5gxOO3btKnIoYx7pDMMvEBepqspjwDN1X pU5BdTvwnehWIYmueCiC/2mPrUju+zGNJifKfuEqjoJywKdDpNgfJxaFt+qzOoySs/M304EcUOXo 5E6cihHX7Ov7uRkYK/2BVU/sTor5rNdOLt9b7oPC7LI4mKXEFF8CMSmj9JGTtBjNKmNIT5CQwtWA a8FanlQwFlW9Y66vvPsj4ER3x8QqB7pEubm4FAAHdtyjprFbsL0/rhUlzTawMFMD5Lhk6HNtTD02 ZeL6sAxkNA78d7t+yv0Q8AJUyJrYiiQJTN+nqEOrGr1lGTK/UC7Pj6vpCZ45gpHvDQkt/g0o3P5V OlpM7GaTPFx5XAdu1XbSP69+HBAFH9o54zYoqfYZ0I1GmovNdMm4XVc+HJwjUazjkkrJA4te8dpK 8QaKEZGV1LFrj+wg73huL/fqxtSqWLqmsMe4/s4z/HnwQXzYkYPtsm9wH0T+oFMn1UdbHmOnucC9 cMqyEn2hvKHXkKDhVcpFkqUb1+FaJZm51kKM2y3xj2M2Mm+nXUInwMlHKwcwxnr6j8gdTw9Q8jSo da73Yu+ThDnda6CNQuOvo0Xv3iY24MswgBur7Euy8KYqGtRcskWRlomj95BucIB4zSIrBsidNnD7 xMtkPxNR3EGngdL4iAAhbVmxKvXNuLY7VF9qIsYlFU17nSgtsMg+fH3hTkK5nDOZHCsMzFHPBCTa HPbjJEdZQ/eypcFfEhipm00UdPF3Mcq8ET83DjcbRfQB62GI+bFxnT3KOm74RCGIBsrRspH9TMJS LSNUElfoA8Z75rbbQ7qnE6mdrxPjutFZfYqNP/+7U89x5nEj4P/5DyhtJBzzQ+8IUKlQA590Q4Gx aYMdMHhThABSsclLtn089ysEHnr/PKT+efLwje98DpQDHdTO5PJClkPtbg/3R/aFkYuYUIgSz26V JErnKLugUNHZtieKKz8Q0PkbGAV8KcO3XnUIRLUZt1ztSkBQiMbKwohWDOjqRjRoEDWT77y/IDJt MbgFIgeQX8Vg5aU9wxe/1Q3Rx2jBAucz1g8vyP/RGH6rVCY7aZrY4PMLHJ+nFSSA+mD/IOnGtrDR 5EUAzbh8d5pUQikpqTP77sDxpkZ0zINFGbD5LVwh8AWr9w7P7O37srd0gjhgZcWwc2oESwbioswa YPvJRwifVbyksIBq4RAWlpUq8J2u1iDiC4w8ziwf7Mdrc0AwkFAFKHrzsrE+oS2fPGV1939gT8Ih OqYFwzMazKg+r53cz9YvMXVWZC2HkiOr5tujA/NKKFYg6F7ZAQIhKEJWCO++I6DhyskYoIp6rNMN WBJbU16LW4JCOt/PBFOwcS9NVoziBVBGOM72WeMi1+lKofeqZAcacclAg707bQJlifaw2xLbAkQ4 eSOx+HxCvrVyZMyP5zdiDt0KYDJbyd48g5k59jCWa3RKBhOhZjsjNSgSSjn8uFACgcbyLp8f3yyh ukZFrExbgup39Wz9wqY5jyqF5iZuCL+zqPOy3Tcsn4zw+EBo7JhYgdeA7RgH1+kHPyfI+Td0QMw3 jcfJU4uCzB2OgQGznA8U6ahjL0DGZvxG8KWndsKtipYhyGbOnfwI7YZf02VlDQFTjhx+pBCo1gxL Y8eR7lLSQJhiQyuybqMA3DcU5jIR2pHSfLP2+V3igkubSoa55f6pgIKpoFGz53btMZlrpIwROBKU kqLnrwEqwwTAdNe8ibzUSxAb+Cp9lB8zJNkWsTVnWfF2DUrGwhzSReNAdaxPyFX8juFxukihm0UU RPjIEP/P18sALfNxvc9WTb8u1CVlO6knTTpNc6DLc/sW4LSCcgvTuP1Jo9wut90Gb8a+yhfm1qtx P72rRwuKFLQkx3Ql/H8AWWLkN1uX08HR2Cojqy7eAsESV4cMlN6PwYR4mxy0ji+UelhE+OdUaiVD kYf/AoQHscrJoUskSRzHLvHkHY2E5FhVTSoeav3jJInXlh0sVXdQU1IShwsh7PNBUDrXqulvx++5 09bzOJK2Cd/IjSgJE+FmADNiPKuszqFAtCXCKeftcBQ5PSczWZuNdk7xv4XYbHSvsukmwbqmXmKz Ngw/I2ozWrHqNVTtjCBS6EA13xpRXMtLiYBJlb1HLjakNmbSXY+ngmRemmtKzbXWqNgK42hJ1ZjL tocsKV2tkoNgozJUIhIpMsEjDg4LJts5MIWRYm+viItyKW0sR4N3oTs0BSYBy4Vno9KxMB/3NH83 Fxe4RSgS3XVqSU4+e//LkCMgR33kdqNfqF+1WWfz31yCZybRTmss6xXQi8dt+EqgkIHm2r+tnMqw dw7R6QNPAjdsWdHVUsIShX2ga4YiamaPNszfsM6WX3qrtaFNDfWyQq7KjLc+nlg5Xi5+miEifW/E qcMEOz8Vr8TX4EsZp8Hu1sch0uDs6wmW4h7IYY3bV6BRugqf2H9A+7nbA2nLZxy7uz12H0Eyr9Vb 5OOVBQwxh6WDNWMspHgJar+sZBmzJJfg6cwvjA7Hl8hAkEdUFa8OMonVj7AGSH4nRiE2+GkOkXGb xg6Xna4HIzgP/EixZMR7NpKcsd7mqfGBS8OspPQGZEDqP28JwLERQEvqjDy9TFnt60jrelO+I6P+ P+xZrRDpuD3MsdNxSxmt3PJDlYo0bu0hAKfaDgZ+E+5eL8+GJ0EToI/FdlMAD7EZfX7UtksC1ZfB 102b1Mm1wL0c9RDxc9RqYheJNskIPqyjUNuCdXsCw9nYo9b15nP4gcLHAyYB6Nfpd9bn435T1nZE K0UmdHQhgOUjm6mNnqsOfmwcI6UjCOU7aTbLASBxpsX0qvGbjGA5Fok7Wg5r+9hLh/7zVpBdX8Ir 9i9iVBzbe7lP/3Zc7qDkJDDI18ilpkt1o+0KW7Li8sakKIGmtanbCKzUYRN6Nmj9Skus+RqnpowH DYBS0BgMCJ0q4aTTiVbUWE4Ewi6uA3OUzk1bY0b9bXCCPc/YcCqBVKohu1zPtmSaTDH7aNQ3eTcu 2urMsbNEbpiFAz3jvU+tdQwKdLj9a2sRd7GRSYyuHxWBZVfGurtd/ty6DWva7EzXDrb85aJwLknM A6IGU4/w9oYYCSePmZ6T8cQWF/sMyYJbRPNBVMHi54fiNFvBJNvqCvLMAlScFB8/HIrw1jBmtTiD d6S7Z8s1YQtchas6yESwDC8aN1+HPkOm0ElYndSAahUurHfscu/EP4CepLskbUFMscXkzZJgdrHK 8fwKcF2X3wDfakKcQdQBGgQYiCAgk0fAHzDSkGOQQKIhFT0gRaqfh+VHBS9o5hUp0XL+5Ku4g0py PKyohQ99DDkeOI4h4S4gqVvPgpOiaF0Yq091ky1GLLJDNn6v86ca2y794bTDnHU7/60eIObGgybF WIGSPRWLDa+9raf7qCrRWfddbkSkL5rdYijHtj4DiLr56EjqPwLpAD19EhtYl8BoolRBh+M3jomU 7pdISeFLpdOzT636XjBABfYGgg9UzLs3/fsV7WltEpw9r4ZUD2uaTiEINrOMssUQeXZuuoP7/sG1 dfyQ5Cpzg0QcxzT/sF8Y/cVSO+k2f5DtSYt3kwmBqrb08wPbCEgOg7Xj7JKn/981zSdxhOy+W4q4 f+QOw4NGdU5RuIBtAcDWgBw3uAogEsUz1w1N673oXg65pxW5ZgBtZzKl9do7t5upvBd3YPS8KFPS BOVtGCzaEnh3DN7sKbmz3ORGwDCRH5eSAPsYsRH+qT1GCpuRUAMNdIhpDHCMeFJr0XlhnppDsX5G /iRVfCQvlP9/8o4WEYCCbY6JFDZtHt4SgVlOgebeocd3PF55J6dySPJBBZSj29oSd4ccEAb90+mF TS9LYw+YQNka12fSG8TLjoWmO2yDv8sLQSXfq6PViqbc3T9aAov5lvxJpqghHfMRLfAiUMRbPNr5 inu4czwSXwp/Wiiw5u5RcLZ9VWlnCf6UOfbX/gLOEw6SvB2G3qSUdSEcguVpYA/340uoBZ/grOol XpqlcDHjusuI70V10m8uvfl4/GWxEH/pk/E5+lZVhT23cw2iQUYORl1VPUiS6nEzqk/v8V43714u /bbxuHK5SzAaCSW4uzUFn1lYdoXt17y+8e8uuASBVQeng9j/RNBk+85HYRBg8GuF1zbEh7iQy4Kz Bq7/2Z4jICDG+M91BRQfGEnxV2uA+QnIWMWZfU63zpyfwEjO4QlprNRKyZO2+KV8WOjLKkuVMscm 5YjgJf3RmEkzXR1kUHty1XrX78LI8tKhc5YQnt1dYfqTqQqDQNjnK/e9ALZxorrEl54aU4wdOAHA 9n5TNq6+TkvAx1E7JV2lzASG9NcS8yDF6lTZRIcTmtQKm3DiCfdJWfkGA5KLzavw2WwN007tbKRK 3SJHR9O7MPYVkn/fXyvLhTFbAbqY+vQ2mrYcWf6TjNIKPtAOb+2BeWcddIUeb8yytyC+nPtz3R2s UK9h5dOu9982cuz6G9tKB4k+HxcOmaFxjkBBHo8+LdiYiZ9AMUxYzzoKKwreVYVLHgfTagL0pPde mB7LDf2kdPyInoVzNh2Csuo/QuHYT1P5eMBzlc0UTX2XmL8gHrzstAHK4Zjs9uJ5UmIXnkEHtnUs SZmApnWDj35rCun2IT/eaQ8AkcFRgkDTo8OPhwHt7W8//uL/lsQyTgdSF5uyzhqvbaPynhlenwtj 7tNLB7tDBG99cId2sWTcLb6ST5xApAnT9pNWy2IhWs0M76KMwQWomORCXJaBzgfhS8dE+wbbOtqd FRAwSK7xLiqkKiB4qXC9GvAkRnRqjMry6AqbD6BEN+/qaMslswpVMbrVyiDCh4nQV1hvo7FgTe0D E5Ur4OL+aTqyyHKJVEyccOq5QfqpGHgV188KFnbynevO4+iN9BEvkqOysKuVA9fdZpwahpbP/rMH /zkyx9JmQlhLLqR57PVXqbMEKIG28vxWX3EyxVYis/Aqe0PZRQzIFE7WOvzb5JD8e8in8IGQoRkC FakkKaGqhM95MOxwbLqTd1ElR60uwIJ5xk1hdYNmHiZZHgWiFoJ8G/d6GrB+qghzlUSd1lJ63QaT xDp1X+Modo+PhUzQMS1LeZjwGzg2YrWEsDpapS9F/6sFdWbgimg/CEp+BTvdzKTDSqeEYPbrD5Vy WmQYl4a6USudd5WlWr+Z0Uvy9KYGUhBdxgHtI39EnrYcV+cbxcIei6+OcOdjwv6O3Yp2hDABfUHd tWdrMf7VMqgmYSGuST+ate+LkmhAwGqIjrLSWo7FxLv2qGrqPXcgrDCNe8r9OT1dNnrwNtDAi9gl xRu1iN7PQXxgY9mV30Bm/ViEf9tYl0G/aLOLbLAn+4cVULpMwmfRMSRsRRBGKyqzEKRXWyPBmKD6 pN8VN6x2kSDybHZzLjoaeaJN9kUD7/RWtX81K0YxOIk646yKyJhMFnvfLP24cWEWUgTP7WptkxMs vymmH+zHnlopygJFgvmshtfios7e2wLxDy3yc0qgy4jAYeD7kBoiZKSDwVn9Ng1q3vK1na1Eq+O/ E28Whi4kg0DagQKPPbiRADZMGH+AxuZ0MweQ5JWfsZJ8n9KopzVJYPXyzfegr6kSnSiDwlCzqjqQ PZoda5N3KnqwCrKqjRs2XRAHlPKhToMKGhDzrXSA16xZCHbuhrStSbXwX7Y5AUouasTpN/UUmGKi CchJdgeZC1/1tj+hX+6BbFJsIRZnFFo11BfmRyuNlGhwvHkQZaDzMUxsU31vCwd1bPJkSi08spZ2 qP/Yo3W61UtXJvXlj3nDLOirg1ZwMVKsziOUeLavOdX0DWb55MyZrYemNbDR+FkBKgNqqyw6Z5IF vcM29AH8LhR17a8qIgz/UUtTORVP2ymNJ6+797ZYMH64NC6im5rD/RWwFS9i+J1pBuiillGnBVc6 VFNQcyi2ew7FbPoGU9LnM5nMg9IjBQjyXXFBTSyHmGVGVk42fEZW0jJVHSi64eT+V1mmaeFXzEiB lRZr+46R9JrDAHcYP34Wrqakrl6D+S/HlAnmrR9Em+YAdifSEPR8NbK/7Le0lVLnjXB8XOZR9Hjr AtfsU/4aTjXZPr413sXKdN7OvlLQybb3G9VtuxBsC9hk2tna/73jga5YiYPH5y8BMXZG8yLqQOiM OoDiJU/l+yWIEEKsiDsjULUvs/eXcrkTVu7H0ZUBPAel6mIK1xKM55dFGU67IAYNDhsyv6iFZiRO 1nMCF+qs/shPNv8rttYQPN06t2pBwgvUHYOZakrQ5BOFAjPFnEa8m73VRUQz8o/Ha9ZhVJAx6Vul JafSYfYQcqzGXolirHVmCROmQr2Ect1Vmw4GV9YaFcZsklFymRT3GHwdgYvJkZUfACbdeEHx5nY7 MqBguGkppKBdhJgb0TH9P3zBkYIqVfZ8wO3wSyyvMhQpkRuTpxz560zLTgysHDHzBmpNBS7kJZxq 5FJPg0Y9IazBUZPd/GseGKKb0qeUQgEm5VzpfurrUl0qO7eJXh1hgbXimRmDpoX7LPt58peJZnlX Z6vZryYMxoN5AQc5vPME1gMhq2KNVGOr8bUpsHTG0bl+6a9f6Ug/cZgleoEhImIiFpvh/QksNgxS 4nk7pQUBWQ84HwYpPIxK809c3PExwL0ny+R2CdeLv27QIr0HsbpxlOLe3J9dIPatgw6Q0Rxn2Zl3 E0IXEAuYNUmNktdyFO5NM2OflMeVO2sG74Pjq8jCr5+G+h8vnuvjBai/lI0GGmdHdO0xIebOOtpP qDRmNZQYKNzJARhiawcUoeHU4cCtP21+vm9LrdVkMdPTinfZiYbNn6rKoD3lfYN7CTlZGHTWWo6k HQq+9Ia3Gf4bGbL1EX7g835kUE03L0jSDgJTplyqTxDAvVnU2ye880hyfz6SamrDe1hr/oLOJW65 i21lQqo0a38hEg4XdWmFfUny5cWjStQ5dYpnV1+YsDET/1XqNbtyuuWAz86Ivlb8f/rQml6UxUTa QwwGvdBrJMubm5F9qkZEWiWSTDEM+K50b+Iy5nM/ucRpCmSYjf0VUt1H7zAvTGh3duVx0mUd6edQ jC4nD4y1sj8s7m8gF2pZ0xgm0IIeaufdztUg+ZTvFwLQsjVHuAZ6TUbpJ1MccfJxabGbPlQU1IRE 7VMnbIOyh4Nd5+jw4HlMx4u4Sn+UElSjrAJgX0XauLTmfPGWEYoK6hMm6QTMcxzu9Im+87i+4bhX CElEPuO48kKZ1xNBi4OKNhjOI8MRhuoWY261CMwKtco5qIwqBE/SsiWjwMxSeojiLHxXv4MCnA+j h+bZXIzMp5OY9MHW3GsjbpyYTKz9BCn6L8IKN2rBwj5vRx26jcEyINPSqG2wIjx3QAOciaer7hqh 5kfWcnDGmtd45umgyHLLepMzn5TAZJdCGDL85BYNlFB/qrhyUHbZdv1ZkVfEH91zF7pq7ObVAI2M eotEgP2EAPQxvovPW7onVlGd3NxlMfL6YDCSKKFWnaMeZznvAGOXjWXwfa3d0yUQHpQs5AhJFu1m ocFUJhyCL+0e5mQwmrhQyBvnOk45RXfR4ByF81PiY8K76QVV2xoxoQoazyeKPbgguf4STtP2ZGUk mX/5lQsMjn7hTjd7Knbxrt/TLa6KLy35nHnKSz3Rr/EDMjPS4sDJJaLilApUYbCeOBY1dS30JMNc h+sXuyd0J6/Q6YNMldhw+qmExj4PGPCvtPoook8hjUOwGJ+tUd1b1v6QpPqSI/ED8tbS6xLN6nFY JKsQMmInoUdw4ZQGwe87+NjNEGXa1rcGpD2GpOQ2nxbCth3hV6B+6XV13eWRvzOOUVxkz7w91YEc tR+Ezn4Umbky9rERMBhqJHtPBqKhh1c6mbXKLERk/tOiFZr6232IPRE3PeiOwgXYIsFwZS3gw5cn aOye8IgH1W5R+g2D1yzNriBURT62KamQT9+Vy/tBeSsrahDFTkIIGxn/sPgmCs2pM8BPro3sS6US g9zOZqb3FPS+DZDRivFpSzmxz+LEQfBUbDQ0g6qiRwSs6CAuasyZH0CuTR9KZiQCrFQM0L+aJ4SL +kHPuVb/JMIDH9YNzDn4IjW2U+SqHii9WHx6l1DHx1LiSi3f3+1xVFe33AOhyAZ3iOn+ahZNogT9 y9j2PI6tpwqxsCJcJURcxtLZELOXGhzkcde5JRptoC1oKBnt2yeJjQcIeQ7Ko9tVEb7XHCDx4tPh HOLfbgA6KJ9ElQtlIRJc4RlBWUmk+c69DzOTkaQIp7FAgLd5y5OQ7ZKy4iQVrtCyRuQXhcys+PyH /uSLL5kOAXewQ7WbI/BMQRisj8rSQ/gcxN44nOtuSmyI7ynaLg8jms4OwRAIoyNHQyaHypvXokOw u6XjSP+tVELXp/8pLSRlusSVuFcGDIDEwkAscRje/hQx/6NH3Kw7N8KIywaCK673alQhYq2ATHkv 9t0PTKu64J/gWgBN2FpASXKBK7WzDmY3pXTy6do8DQu2rnOGeLZQRdJoZBDT1LT9bAxoehy7Pif4 KgGZn5Tr4At7NvGyVvKTsPxnHoTiDGxKMsOAwmi8q+2Fb9JeCHVYQ0eR6dx64BeRtCEqwWEg5tO3 tr0bdsvBKmcujDkK2FG+4HghPk9qN3teTAT5Bv2Zcvmju2B9QKp77ETjyqwwotNAwvA0alr19Q6T QE1pqa5htxy+l9/WVQXol1sBkH7rMUhIMdSzQ8q/7dNKNrzS3m3W5s4jww+sbVkqenFehZvPylh0 7RBr3A2GQ1EHVCatSSarVrMF5k6L5xHfrs0jTozs0Eiyqv4J7eFtKidMXYVBkIuQYY9HQy3TWKmA Jw7LfPyTKk603Q0hQIzRbxVG8DkDYd2l2yUQgBCvS7OvnL5br85u3SQwRaDhlrtaTS+wMTeYjuvI KyuOhH0DngUbKtllkmJzOJuu6NJLWnLh0HMRgO4MZ6vlza88fM+q5GfcJy5QUiyreCW6iK5vIdsR /rkS/4UqZGCTlNneqYkmbPcrmV0Gy0pebpR2QNI7rs6rQ4Wq9EN6Ow3QjQgzOtKcXJZIrIMrOHI9 hoIsBn+Lyq9YZdp0Xg8F3OeGsSvn4bTXp5zPyqY/rnecLel8qGbCvz7L97uDRBfknK7MSZ9eOfk3 0C7YIcWXDXiIEt7NHq73yVcIHPTFnXrR8ZMw7nby3ikaT8UiUsktg8lVGN1nwcdhyr8kLCZYwUa/ Q/aDQbgQmSDnabDibPaSsVnhU91lGOPvJ0zrylbQpPqPxPdEnpLdAlVQNzkpZ1WVRpGOtgjmrnzN gDZBV4UI10laA9+3jEkPK8nMDABDTWulFxmJsm1qA4DJnhdVAelcflOQTFtTj8Sow3/DvbZtDsX8 ueD7NcVdk0Q+2qAJZxbdSbytOrfJAyIDcecie5mVOYxoPv92pPooUlk/4nqmJ/l7xOSJaU9XwXWY ZDXO5ieCZxvYzOnsoQTgo+FogLnAy9HfXFgPx307X9RBoFvlnRxaAS4FZI0h4x77TNawoEPvB0Hr J+KPrcYoJ0S1efH6sotfue4BnZIZ9WqcY2Zg0wFuLZz3x6XVsIH3EKs2++trb/bPCpdrTtTaDemD KTxWLyuXOTEYnQWtSkNo6sBIXkZTF7q7VHuQipqZDK87Hkp3137Zcbr40C6Rc6nzJkMcniDPBxK9 w6R7CRLyGipC+NibC71huyaryxR+FFj32Zu1R9uePl6h0q7CLWpzge3BvaTm7EdwZHJqt//ch5d7 pgv0cSTmjwiTgc2hOdQaPTfJR5QL2mglqLI3+2SBEhuKlF5/6RHkSIxSF/8XIEXcqEOkK3LkDC9d E3LvkooWzOFiVDrq4+F/SWnKjv64kfYeHBgfIv1vbRnT/p5Rad6w6efaTNbhbWIXMfofF/bJ7uZ1 nzPwV7DGY7sMbDm2ISbJYpeEZXJ/FpTGEqyhogSJFMOcJ7/kUDoK+AcXog4JPrO+z4JP0A0d1K4U qEipHuFy8CkpY0Ox54am1wohT9Wq6I6b6QBmPWD19nMCtqE5cmtdSD87wIJesciO5xFw09ZmI6Y3 c0Bxrn25pQ6w5BBpWaX22NvYM9YB+tnjOzzVt2YBJ9xM2SoXxoVZer5oaZkAO7nLRTxx+z67MDuU NqUsHw+7Vo06HX96c6ay/hPpPMiZFTkSoBo2O6v+npQbfhMlAQJ0X3PXE38RnqaTlK2ex5zC3iR+ i/DWoAhDxjbd1sYveoPjLY0LzXTO388H4Z6ZyTDIInxBAFCROVJ1eidMa5WgwVHYjG75wU/JPwlo WGUji7+1F52ppkz23qbG1OOvB27rv6kaemYX/Lswf7PBLy75SzXvy9yJtA6eFMVgX7OlMRVQS2Ie hLUxu3p3DxQ+SsYxtrv2uDpHH1Nhou+T9mty53ObvJ7XSzKxlXzguk4PaGqwftCiLaktCiZbgN/W 4y5ufRJOXq2H7n+bE+1MwyicD3fKCYUBX+RmorjMqDrng6xUCnHVxMmt2nWYP3/ZHCzpulynCEZK qA3vC6gIEH9IVDfUquwJ0Awirx8HmRHSMEWPe/noFdWX5BUIIneW6fIMa8+fmoM5nZLCAEZRYKcq lqz8SX/WHSjylpck8d+xq2b4QUXbEnEZspBL5VQt9Ulo/h9eIBciLPiUYqlrNvNnzc9BZsBRr+bB dUh5wG1folMMNUngkqJEzOe9KTFvjI8aILMgEqlLKFBIuDMH3yf21vz4rUSE5axxPA7OrK/ca34Z 4yhLMQOIt7Nf4rr6E7LpRtKFkbY7ZZpibbPp4dViIScrdg2xorO9OzFQl5wIcegOwtvbfgXckivA 1N1OW5OaS5vsR6v5ZAL2x63v3lj7NxJM789USbpCsbyorW9BhgWigh85GR/7asK/FpsbIqJAbLu2 7p4FxQ7K2ubiUf6DzoPgReAUxsVMW22WIu5bR4JHFGLxUUGZWjUMJGqhegxFFCX+YWNhgU5FHGts Fesg1oKkyC0G2MpAVOpm0dAwaVXCckTGZz6BFQlElHH1I0UBOMQ4jul4agxg28Uh3usFJixZC3Qz mJoTdHnnslmBq1uR/I+3/RZzpZszcVRfofAxgcnXzaFJuiYOCDpDbvNkRpXbytPukG5rCinVgd8p H7P0Ol+x1Pk9fHA5msD2v7X5Nb7NA1sPpD4Gy7+kSdojWQ9E7yHeg3l7b18aUsh0BLuTMEmirRSP 3EVyhbvzCHgl10Ta/mKebZXEGQYVGFuM+Va9O65P9+a+l6T2aM7OzgI7ArDMSOKVk/P2bDlqHeTA LiYpNG/IoIBNWhE9TMCjleFVC2YgD1nK8phXCxhHM3zrmw7d3mQg6cUSUj6JuX8nKeix/VXnC5cc NdLHXcTRvLv+zmBY3ZeDXa7WhZ5CZcvytNdDdsAVCBv3xh+IsqSlCv48OnY0Vaeb1mUtXdUmMa/4 5NA9For0qaYdvn9Ek6k9ciRskc0ArfYzYq5UOkphsSA3lZ8sV3JjywOJcix5seqHeb0x5wI2Dmcx JUqFoYgK34scRVDWqsHSbpN8CCg8DVOppJRj3XJO3zFgCTWJdpcm/m9K+3U+4WjhkiVdeCpkiTiR 6ytN3jMsQkdGYHYNv7Xaj5fDpaUm7Yx5rsXW9/JUaPh6DJlGNwD04bw4VnFcRQXnxryUkmzVvz/S L4KF6D156abmrxzoegEUSNIzcpVGY7zxme3SHUt8c57WcaT6CTYz6z6qPwXPW+KtH6mEJ1vrkLZh asdVM6SLfv9srJLMoV2m0aofoBoMLprdr72qXOmBz4RvuZiXlExBBPWZugznJ9er+4cPBJIKZDuj gViM87rOGjegp7AqnWqX8fWnxogJ5VNTr470pwz5S7JdWVqDQraXZloJciSvdSIVRqwf87D8PTpz MOfILzRvnpQ9+GGjYlq32DgDRi3zwN6Lf4ZCO6+m0taHbMjhYQp8DCf7VIQTpzKemOjXkpvgiwyr GNjHI4HiOKykcK8ghf7kIIg33ewcxbh8lEwLLE5Shv99vB8MF2NMnkO7CHpu/XBjTSJiMyn9xS8K pBRSIU5cCSbVYR0S9yuRFqNQ5RXsXuEFIX4AIH/8+IE5U5ovWGCfrpovpdVt7hGYo7u4ipmC9wjZ Itp9pO/QYs/XBk4m4f0UtxWUOLzUcG/MahBWQHIgbkDWgGVFZByH/heSwf9SbGbGvBSXKapIH0oW tQwWj40uxf7cxkaVmRHwWkhOn1qWv9wEQwodQzzDQ+kcuTGRxI+11SgOjFUdQcohIu9T1yVsUMFQ lurSI/I+0iA6rV7TevzPffMIigu/eUykNZHq+Vom3rD0ZT8Ru6pm8yci4Hn+RwbHohf69iG6tkAf UmW5buSRB47k/JXhd+/zKQjILt9IW/7lIoKGE8ZHPTve4hqBSHpS5v8EbRfFmAPN7tq7KfU66IFg FknByH5RlJ72wnoQUKH5JYFPwUn8+7nuUa1GsL7p6VLaYL8GXiC1xX2T0V+pnk2iuJ47YKSbaSgx r8KI/OHh1mZXA5oizVmypHyr5WdIqD35mEL6PNedsmfQ8XEjgtGm0gIEhPDnu17LYOsjpPQDbofq vgBhXL4TVwCU3RxyE+u2ETFb0gHQJpEikYi7dgUMzUHCr1QALoquqh82nwLifShSsTLGQ8y+fS60 YSE0Vyn75OTdOL2BHjKMrimEDlLThg3aeXaB9GTUvG6nD4MnfZyUCfx2aVXiATzNjDzNiCJfdewX WCFbmEEY6rZx5s1ky+RZobPl9O4uU28yzK3J1QUhsFzO8DXqAsQMAlxKD/M5tvJtSdzcwXmeL4D3 DG1+0V99EuF1I+QADEqPHJfkgxJrH6Wn4++ggzww71YK5FNM2R4a1ykmPMJ+EHqu2+ZSA7NVaORF ou9d3I3t6yC2wmCvq3wybWykw4FDqcmUkoglTkGb7tB47u4Y4YJfyV5/C9JTycaohtwtb4tDuM54 Td1UeY987CaaJkoc669JJdQAch4jOYeNJrsg8YCf/n+TRSW/rlt7wOXj4V/XBgvzmpMbmd3AbPpk D3s82Tt80ab0aNntcuCjEzQHsWnFelSGMoZq11unqS1ltlqkfvDtmEVn6Hux6+4UykhXvMhdEakE aVI79uoBBuMBgKu0J0i18I48k9/Ffu80D+XGh/j0iXA4vRz2b5FGsSW/fM2YmzkU703pg4aeAYqe aBiMaBRmNzREq+rDE3rb3LIWZrKlvoRKlqUexNcj19L5+rXu54YXE9hXihHkeCQ+SpjkpCNx8eyH /CyOWPguInsgaiggca082WsC6xEtexYaQ4cXpWsPjcPIxvKLC5K8xttk3Sh+MB2mYc94DKSOVjYN YEj4IYmyp8GyUeiOeAjaBvLpzyCQGcG1AZKlzc7W82bzyYhQq3G8pHmnZiCfFrTYF+fpY5bphddc KNd4tY4uGSMctOsa3mxsGsqmhvinGIqLQ9kubjkk7yuXz7KooMi5Y7T0JuDAB5N2r0v2lSPpxvH+ 6OtX/OSM1xkw4wYBoqOiKupzpppkGMyvKeKYWKZFeV5V+Ik1qudTBc4YTvOAUjv7FbObu2F4LAOq Ff/Bk3b8hNCYYUyp9yVYYegDMpc2PUhp6SUoCBxckmILmW94EEbit/29nlLL3wEoKeIlR3nGOPEx DOduXcy8DXBi3+MYGKFq8KY4QUoszOT1YxfvnyZLnd0VxoPoT9a1EO7Z0M0XuCihL/iPoG1V5+bs 77twL/NhykSW+1W6crk0f6HZHZ8KCP5eiwghsAp4Hz4ReDgEbNyIWFATyuYCmm5z/I2pyndXp7mX xnaylXHqLL86YOGSQNf5BNNsUHtrthZgsOG36USQ6/NA/7TPfjWdk4yEAFAVZYq4Uo1Rqr9FPH7T A/FM0vVL/QsmBcd9qBgaNRvP/rImx82zLTEEO+UjZDGW6YtDF0G79uhLCm1DGMbTN2X9VBiodh1J NWo4NI5JG52TKtSCbi6fZ5h8st2r+RPUBJLVkF4howMIOopLmlMBhtTWQSb54eqHkg8XBLb9JEwM jtyqI3Aqzf+Jj1syZf3cJ3srRgVPnZ1XZ4T9nqz6NjeED3/594LcPuVtrY5zW0WNoLtdWQz9D6cF zcozenK9lNDof7Uue0biQtMiXHeJkIjuXyUsYVHIo5HCYCH+xS+VtMl2jrc533vgv1LmterCDa8J XrvxYKxk2Sc+TvOZmIJrvbLkI3Ss+MPednns3rSAhOahfPX1GxdMOCx9iEIiR3Lzw4JvXD2J8sEv 3O0d7S3bz+7Vdd/rmykSkVCZUIEurbiFGD0vZxOwVaWmdrnIltRK6lvnkkmhsGYoWZzFjUA8yUfW 3xvnvmcSe8AzhfjO/+k2vs2zUgWsMut+sfo/Fl74D/691y0rvyAaWGVt/xGkLpjfKSny8CtMJ+HU 0r8qGYTQW6uArhtNSGHH/lhFuGuYkdOKGTLEyn3Wq8EpwIS33zD+ocjokt32cIIGa6GNMg05dGT1 dyChC+oEaHdG0VCq29v9OtxQhlu3I6u0RzIj+EAmveXvHQWDPDNCmt0Un1ltDg9oH0rT4GKTTXvQ sugh+QZO153UuliUWaS3rAJ6ApM9tglhwmVgjQTawqdIV8JOhm8/JTDP5YKwLj1SqJSXpV0S9Vc4 ob00YG1V1ybrXgUOySyn3SsC2Muzwijd50nyNJvuzBn6yRxM4sA9boxFh33+Y8pgak3Z/jmFKN1B 8X1NsRGKLPJnPBfBBORiMifP4MyjpKbWxdSE9Wj05au6D9ixs3pqZePsS69UWxjD/PqHM2YgwPBR COMCAfnVpDQqEaNhm733LxD+hXuwxBCLyX4T1SbHcGbJf8uJXhAXK283U5M9fx59ouOq5ef6v/ez fasSZeusv1qhrCOnhUzfAWFiUxuTRUbGMre7Xq+/tH2xmF5jccUNVi2U0B7MnBi0xO84WI6x4RG/ Zg894+yLnvBwDTc8FHjdsoXgQksvN/r9bGzgbZ8qdhHCdc+bbxe1xHoNi5WVyyc9yvbuqrFr6jL+ 7dJv+FImOiHHGoxetMq82ODGIppDy1z+U88KvqHkATzEn7ji4am+gGi0YsVIOOXJKtlrmWsedFgJ 8aFMqF383cnno3YggfQAwIGJdlyjA38mlyssoD1G9ZVy6B9fj9UpRWY8ll7UTCBG/UaLSgf3beps WlEk+OXiwNi6TfH8HTaHTp8Dns9e8omCQiHrP0VH0Qrp+u26I+0c1UxSytgFTK3TTSmc557RCW6M lXfguA7Cyb27lq8ZWeMSUxozZ3qkK8MZ2cMuWdVnregM1rbCkXzOVToZiU9E9H4zbR9uJPsEer59 wINXxfuw+G384KfNAFqkcwf5qn02PjlKJRYYAhWNzV1Ky4MoEcP55WT7LMZOI/NBqUxP8zUGlL+L /2zyS77b+TXI6GpTUXQ9A1oUORGoOC/hZw7xOQd7hNnHz2zAE2gRa5mJY1YvfLV7zEDOielgA/2K teKmHOpNJ+5QDDHQ1/msDVAFjHBvzlNZB+fz89LFcIYPbrvalgZ3vwi3Y3+lvYil187s6isV1Vpw DSYq2Z/cUtMmOdLXarX8icI3RlpZ8LuO1B9cqkWpxJo0W5kNOO+mfi8tr2BYbyxd1h/9m8qSlcmG ov/Z6skA/z2AYzUvBXfK18exQ+OS2oAsP5tyckNu84atS2BSuD4fOas3zRoIqP6utCiSUEMHJHfa 7A8twkgwfi0KQPOzWJ6Umsv1i8muxvmeL262aUtGgeAUf9aBbihFhFT2gPNnACydZUujYnKVqEGa 5+uDIm51PbkMEm38oOTEABf5F5SPwt5Y4oLdMYnRzs57+KTjcfQCmTxG4xB8c2QCb/JwU8/SOtBa FbBKvGPW2CrRCDwg2cSY9rtr7rP6gTF6c1h11cOdhWuXbzBQoiqQwoq4ZOdRwa7tejbfNNLgevWo 5wAU/ik5TgkIupZA/VGGXMdBXaLp3NrGluVxUUOULrWZ4ebai8XhK0Xh/usKdbaCwn9mLjF0pKC3 iejL2L0YN8+zK7/vWd63HwBYFGfmNA4Di+Obi05G7p0DF65yFQcFfpPbQjLUg7Ab4tYqA9I1ayDc 0/l6pAZgF5Lhx+dlY90U7nritc7cWrEPBKBm+kGAyu1jcZ1IO7Z6d1pwTJWXiw1RyFxyc4bYQ/KC Sf72rs86e40wfkdtHmbjGg/85W4ANRFdoOdnGDbBH37/a8B0Wn5tH11do174ODIk+noETZA3HSb2 9gJmVrJBs1xr8gQyaVJnii7YvL+0+nop2Y1kC9RxIDld818SXR2NzHWRhacR52dCpyXZ/HDpIWgW eT7Gn/cueTkGbUp8AMtXe4E3I9zrvXLvaaCInm/ElhqzuZNR8fvBtQG5mg++2ygrMzVHv8Wc2OPZ IIODKii7LscYVKZnwOeM/6ziiZIV3N3fbKXIQBRDLF6l2UhHcXVol/VDALyoKHG+HuzBeYZbB2W6 zk7IMEizL+v8HLSUS8hL6f/ImZQKqz93Mk5dQMvBQOsS0onuuc0xG2A/kr5+OSitbUTP5q8X9U88 UCGO5Nfg21nuKfWPmhROwOBamJ68jPHGqCirNV73QC29/3RJkTX/Pkc4a/w6N6bj7IKTNUB1yar/ hkNYcmCPEadRJ57+tbEI4XjyYEi80IHMZzeM4m3ytP88csy6k4jIDexkoWmyINVhEZD828CzL/Cj ijR38Igqzyor8DL0udiKEkL/3Uxk4z3WfbkHNF/ga3L45zqexYAngnbNB6AMsx8Z2FW8aCq23B3e PV5t8I+vnGPmuvM6x3W7P28j0ZyTQfrpqes0WbucKmHXz87T0bmHiBDmMdELfzUI2r5j/uSeibpL poyeZDMEBBuG1m2lkLMvt2gmijekikWk9zzgl8+FDsM2FTew5ruPiDqqYA3Vd4uq0lvObL7zmIIx xKRbIkmfhJRCp4Rff4TMLyYn6GTLJbgyzlUWnonk7gjZ/yBOeL/JuOrv7/u1qoeHN6HYqSzqVEEz eD+3iCH0j3OHPuYs0JNRaTmkghJySU5KOj+3Kr9T9NU3lewOzlJjXERDBPLZBMl9v3cVjFWhE5bD VrRffcDwXEHAPPYXKljD79VdEkADMuPHGMkhM/iud1U3ychFUjIvLGXBAy7Ox63oORYPjKOkjKFp ypUD6ztZWhpckDZNLndr2jpiFRpdY1lN69v3umoUgpYaJNYunHyXK7pqLWIgsSDLmgtW3oxtL6g0 GlodF54DJGx6UIry41iZcQIFga6kWoHBaPJwBuR4Dm/VE/a66hNORzKIavgH5azs4+pWDjsj4oHp F4xqI1MWUge/tHwoguA2BTIOnYXjaxPDu0RdgCWMEMhbSft3CRq3nqa/Oto9fBhuaa+gbmTtbsiy fIDKRkvAUespZMFZ+Bp9UPSX5DBRJBIgRnTbhSs+JjBZysSa4H4gl2HiNzOS/w5DV4euJEKuS7yt lDfuoV5xahzQtD444NKohTLpy9kjkfkouHBlwrfDDtATfCQOwXi2z+r5gSY07LS/rRLyEMRQED0G xewkkFFkpFPKEoNzxsL/7lPoRpsNVaSenadt90wEESD5Oa8Tw168dMg/laALHNO/+KZVBxUPaIss 2nJfm5E6krQuIx3uApiwHXr0EDe1Y6WNPVGA8aD93wo6awh1wLNszHhfvRmkrO9KE10V5f6Vdqj9 Ct5ahcU92XHKDMrhlxZebJxHuvtfK2KzIe2xq6aFlIvBFET5DoKcB0/ux9dOmf4Ep+AzqXRFdvbt Lw+na2Cha1R5950pRLq7E7qzAM0jMpQH9m7EYHM3/XB4MYCdRRP5Wc76wDxVA/0Ax1oXVliAlM6i UrVv7uBUUjwqltTd4Tk+q0RLMWr6aXBHFrnIBXsvbP+tFZ8dxSBWmgno6bD/jsEucVNXe3HFmfDI FN238IK2p6r1bPn78Vf1hPlY027+BcrZBkgvLLc9G5KLaeCz4GAIrtHEbEkxM1pEEtEuG0HyeY8y oXgkB5b5Q2s6Y+rFsEWRy4GdAqb981CJR3kNGRy5Vv2xl2WC2WtAXNNx1rVEZlw7EwnIMF+tZnLP 0KgxImjb7xEHE5ZDxlAvbM0aQMDjgBn5PTUj4aJy5rWkePpZbaOWipbmm2GvTkCd7gp6ZxjJ21QI PSSKtv4L67KGA5XsMj+ROm5j8hFnjcZ2j2am35sh4UGfg9nYvD6LCrLIHU/l046PVcpAtt/CTxY4 LSx0GJd+dKZ5rWUxClwxZkXMdEVAFr3otQ8gGDU9bOQYESikiLG0ZPkFzKxohNzkUeeWnLYAm3bL RckIuXb/F/Lub6SpNvGt1jaSarQFHx4Pt2F4VI1lunlpv3Q3rntuuVzh4dY7qs5QL0Cl3wQCbZSK kjKakiYo4WozIMDXtwc/C92vcUy5Dhp7Z+S+LqFWSglXqKONAvrJWhx9KpsccDyXTswPyg4Jk8KH ReWDuW/8VRa+vNAIZ4/5JnQyHnoGyeqlWOM8pkDaqMOSoYx1uyY7ENztoOuBDyMHHP0mvVuXFi1v /lxV2uNqUYSpC1YyIm0ulbsiJjQ2g5CdbuqhnEk73cot/BK4WCVgRlg9xcHblT+VZU0MSs0LEfy2 jef3Cy71uVJKXt4qF/xDzPyxQgrS4cVzWoN2ESNSF4O1vFxDkyNrs7tvGCNr4rbodjZIQqFZ62Ue Ej//k1YQTevR8mVU6acxDjjLD6EXOC2LnRcWAO2dsfMquGE0/ALLlSKK73TxaQ4lkQeyazSCqYZ+ bL90yYartVBvR3MNrOE1IxhFCIDZD9McUMJJ8ar1ozxFw8f/anCBAU5NugXjjiNhFD13WHely6g9 MI5bNDeG0DdQIhDm8lI5OwzWHxukedqplJJNkXHT2jzaBrdXn/Z6rGApPcBCOGzlew1WGg4CFPsK zFoW56FlTAQklKV3nKinHlk7qmYVzE7eyeoO5YpZfxnRoAZfqpGGduqcC51lYYCrIaCZZpWaw7is 3KJhkRgwLnjEe37Fbur4Pc5SDUfG5VPb7pxDvpgNBuJwl9neOpKy+936HrV+kgwQx1sbk37/4GTp J13n75fqDehGs1lMlruMDrTrZQU70ibuhdUWCaJy1HGyBYch02G+whbGuKORycA857Bxc5FREY+Z blS2oXcVMCp9x76Lu5HYEFwZ1JzUS4QI2feNjW5YzTVb0GynQOi2talvNct+WZixbY5PTXDtudbu SxZl0zmPFOVH8KCo2KJmQTiXf/Ip62sxFBdQbsRoCPFuiYFb8nyE7RhqIMNfkYza4GHSg9ieQfp1 u+1AIzXjO0xmAp/A//gnBBx7elh44SM9J9GprGdr2eWMmWoTuGgSo4aih0ZoifkHjP3JnMH2gxZY Y4wdGNPD+b4CcM6UcAxrpcShNF657VJbyaMQUTZF/EpKmpdL56z4WjMRiLhPzSDmYXxBL4l3Fxlp 95n8oDYr379rGfkydnossGjQAvWaDm+SQYYPSsRSttnI6/ASWbYgdJ1kFVa32W/MOngRQK4pROEC 5FMDVSC88YiN0XNNwljUwT20wXZXcPumfRnIHnDhQpZhw+A0+4JdoSpsvcvp5QUQ/u9dMHr0I+jQ cJjwmjCWC1zDAQLlu58UTNWH2/WuqHI8q5UOEcB7naMX1Ajm3wTllmibvzLycb5Uro7VHrUDSnO0 uYipz0WrQKjUpNGsRdqxHTsLsuw8j1XW9io4CSRYGUdeFOoyzZ2+k/MtL/DSBep/HQ2OxyPtC+Ez jFJ1dwmelljChbd90EqFBNDL0CK3+1F9cp8ostK+mhzwjptxJgnRcdfyvGci+4T4L3+y3oOyQrcd pcnFWcbOvTtBgJ0YitntO0n3a/dYagYjGFc/k/NVeOhRjTknuturymPPZp/wT7kgaqF0cUim2w4k FDaXc0nOvQtNuuCLqe7njVU+XtBYs9iDBVw1Aka3/A0QMZDikwVIrTkqDXsMH9vwBVGOlx25qilR JgKDLdBuChF9kKVO4eHsh4j9ryIPF/Ncxxia9PYxZgQt8GlYGaOtiCpDy4Bl2Gg07wmEAaZzeOs7 l2LAe/KlRjL6m3rEsxRhkqQdYmlOyEAfhP3/Vu7YrrZYQG8gSs8cmP808hzxoqbs/Rfm8qYl9hyd nlrZcswgX0Un+bmGnwvp6VqozJb9uRwrhLLXqohVTlZAH3jQIN6QZG2nF7oXqueuv0pO/8S45JIq 8GAl2WG06Zhj7F+xzEPA4nO8fdhNfeLSBeiT+zpLnAdODmkfebx+qZFVzC6q05lnY9fvHYThY/D3 3zEK/aITLkaLJP8u7pap9/oC8/nKmHKoGdNhdFCFkTP2C5gryT8y865p+rlo7zWaWsJo/z4Vg53X NFProNrgFMOVBZtHLI+LupSxWRMmjU9BqxVpREz8iUu8jX1ZNMz+hk7jLRqXJP1gSHCaLa/NCIcV P73MY/Ag0g15ENiSn4izZ8GTzy7iKWbMRAiOVnFzzxNZmxekX7/kukNcx0bhjjV5xoeeBBEfWZKf LDG+HUOPNZcj+DpKrQC16oGqM38umnmi8Gw09ItaRXA9sEZZp/vXmfAnIZFQQAlaAJAE/dDDNE35 28ffoMbaCUmop25HsvytfM4iZYlS1tsu2BtWnTY89KX0qst9djvvh6xXKM2g1tPlyxJ5ilvH3Zef wLfmvJ1ps83TzcXpBq8CuPMcOV8Q4wytOA01kIk8N0JPxTgiRG/g1HG+xLC0pQPN3lmW4b+cGyI8 +P8kAO/uouXKgt2Ov3nuIJnyfMYZH7htwxq+R9uWEw5nxzypO3DcalAisLwHUBlSH9L1PFpAITVm dnlJet2jTrz27kaYbekEf8G8fesaKDfli+OK+Y+u1B5qGYJCVKNh3csvsLlz/pUnWkBnlvB/+vHy ko/eIg1/JW9hCuw9GeN/8FzVjspuv0EqryouGC53nT9wOcJWuoSEwz7B0brgWPrajC8hB/dvYDId Vo002QZJavpxLMxJWOqORGZsJbjTFm+q6rOsu3igvOQUevIyeiVgyxyrVbAKo7Oku6Bdqd2SyuEj yxErZ1ImBS2PpM/sfdsRu70zhh9r0TVsLPNoJzagShu2HXTM5mYlL6HHedQ3FT2tW/BoovbVPuCp PmUrfMkW/4xbnJkXMSjbEs4y9wFG+b1fq79wunBdwWKRHmWtsQOvC+71+ldAMlX3Lq8kkG/1fPoE tcCKMrTs49pdJgLCKa1gjHCRtOtQP/Ec7OdXqg/yd43z0FZzgXDnJIbvAqR2Rbk1BD5UidZCnf7L OcnDwq3LA/bvWWFou/d85uecuhXtJGv/L035Lg66CwEPzY/soUZvyN4E0qIfl+fpjYxra8GEBN8o UWSEKTccbxrJeiVAr42OzVYMT1lidslxNWb9TdraSq0YbV2+PK3jsDTPpQ5t7YWV46qGUX3bqlkG UcRw65s2cswIVSpGAf/RKHe04PMy06GI00yR8KrPh7J5F+LA5VlH7vojU8jUAnkaifpjwCWEQA9d yHw1EzfjCF2gPyTqm11QOY1+8E53qZCdhggIZWYn9Xd7FEXOn30L51+qh+w1KAUXc89/q7Ro2Bpn wwDthjmI6NaIw6OVr9eZlxBSnGNgdkC+z2Ppej6dJIad8XmEPxU5WZD7VdLvXgqiYNbqbUCZJZx9 ntDTADCtUc2iQG2X9o+6qCXL4QApcKGUXlwIfCmTfaPvqF1jPI+JJgOhsLsL9moyIzZHji9kT91a LK+a/7a8DntUq+Inl+/E35uieC5Adq7+knb8b4YQ66wDrFTVq+mx1SHQzy1YzckIVws7d6f1L6nU N8ifOa3sy+7RFxPX84ZlUdy2AM4bJAKGc+SySHEzhSkNzkquIlC39ekYAHN6raMdHtVhsB1dud6m aYdrmAxc843RO/8qweESLqAFiGSRJRps33Gcxv74siJZHfnItgZn2XrZpU5A8RRm9uEclhrOotZY eq6u6kQIme4cvkLdgssxjXJkl96zR0eoyooiHjuQcGWMu6f6TtYfAOFq7u8W5kFAYA4tKCPudnY/ xLpp757Df7hr42NYmiTr8UZsAEZfYXwo2YQh7PKohl1cyeHtAPG8TEUTOZEPLFKgi2chwf/6CfF4 Kne/FdbKKyKm+VYBa+kHXNhmnloJbFSf1sGiHjq5uLPk21ypNy8+AKG9kM0FLfhAju663p9k08mU YgUuz0fF33GbjVk4m6ZpWc85esSBexc9UcN+myZCJ2eCemam8oOqUoTkEGlW6Mzz4415enC3h95B 9bkB5cttLNwN8YPkOFBGxZrxzmU30VOQUsPM9q3DKKFBF0b4rVk5WihJDVIXR0oVXlTqoatbJGvi x+0mY+0Oi9Hm2cMKHNNuXrB788nwnY77diFcOn5IyKEfXZe8SYQ6xsEvRJsaQf/1cFCjMGL1IPKk kGCYOMPZgqCtPuhwzsCJpdt/Hs9Smgu48sQVk+HaRzm6abYaDf9OnjhVzk8UX3qZ7a9ozj7iYGrH 7P+Ez2ZisHwwrCijvaffadeu0CNCtNZNw0xvUW40UtesMMjWq0duHv5LNQqDEQwNEOXCa8g1JYUA o7oben0eMruYHVbB9in5CTdETdK/iQlx2lU1xgZrkDOIOb/DpU/ZqWjDMuKlw6kXLBRo0++RcvUe k7LFacZUEkZdWlgKvkeFrWDu7bjQwoP9j31Vnq+2a2d8+3cho4R5l40qgtqq85bIu0tHFDtcWGky A4F0ud/OhEjg+h6SAVf5fGqyIX3Ojc0yQq3gwIHVUcGfyko9QJzM6KCDc+DE6YKSSUdByUufr5NI hn9BRApjr1ZozDQH2GsSFSzJM56Kl5b+HHLqV5cYisCVSiAjMd/4VZoAjp9zmFHqVD/xGPRXAnEY XD+3SmWFoXGZXh91MGuO4zpLOgv58EFImObMg6HyPur9a/cR9C78zCUqn3DiYpahWs6wNQd7r48t Vb/etIl3tP2aDmS+D0YMDpAsACXrzsI5+UZxNdg4+L5kgdpg3NwZq4pqKr+arh6spexwO7KGCZdr xrg123c7FDESrsGZ64yHYmorl9HvfNY2BoHlAfsjhUk9pdB+/hwfAWVKM4PzG7HYOhjDVdeVK3p9 PFvQ2aMz3bec5DfEDKm/PGvFOkqDKSLX5AwPzJKc5yZtHeUgYnKp7ec51nJOvXp0Nw1c7E9jXHfD KTXJZ70veCoBXaXzUJh8HY5KTbOCCId7//ZVk9Fh1zYEeNOklRA8+9tuvmh4WG8fYO6JRip9IH1W HlMTbASvDM34tylU7cSVq5k/b3hgnQYeyxo85/WDvmH4OV8hSINtY0IrsV9OMmWYNJzRonN1IfAm uXW8wOxRB/vcfCGfqvWybLxw6epN6oBPwlAMxEGCRgUrJqRTZvCPfM2Fwo8SNPSaYmeh3HgzTHAP FsG4IDzPtL2FnVGZo0B/9F9wzwtk8UzX70CfSik0ZvNe+VToNKeHjyLwqNjKKlNjrbOtkB/B9VEl EFeXs/4ROYmZwuNefQouYV29HoohQ5rZDp7oRt3rbjeLI4Yb+RQUJrLMZPRY6twwpVVJZ1WB55ch mHtXfJO0grq6A91mnQx47vLTF6fSluet9F4XbGQ7PW/pT1P/nkEIR47yzKdb3Jk6Pi4/5Hdc2qXO Qe+zF6goXslf6/eKGVUDbsHKTgXozLSpuPU90cDioaQk6/mSRqhgH1IV+MS5BYQgsdRiizoIM838 rCTRZEG/ct02tV3sRvzE44MVd2zNcDc1AbjfiVM7Vz19pVNomko0WoHu/6u6e/LM6a1mnRbM8S9t WZotA17LuaT/RlORFAwKghnTQan6e5bsNjCcEk/1/Xn8VEo1jztZjDCmttszEAyMoaE5Q3BsaXRR 5kDpbLTgB45+r7jTOgf37q5m9Ff0N43urPn81+MCdmukxkg48blu/RjDjGrjWtvFMgEMWwUoZQNP caosgDTT3MfpLkPlnuEXo6ZtrCA6qLhduQQVAOB05CqU7t+MzbK2xn4PGvU5ZrQmxJJBz0q2Dha7 n11uHR+7IbauUDEG2k4ZHdW5cqqaLgoLZEHcViUlpIiQeNay0urJxF2C2aNHeXQNCgdEO7e4P1j4 R7fyKZLtI+mTKeP4jvEO6NjJTTtqrTOihGyn62GgYFvkeN5B+3SPKS63thD7qeZurHQN6zgYtdWO nwggEMCMfLyx3GkxLt5MJ9QQeLPgLHAg70Mrvp092ob5LoDsLGZn0w3BndzRZaBaanWPwJ/0RxDW KCulWcLePk/fbqVYDv7amcBAqwle5RIrbYDqSmi9G1kpGlj40A9YlmARVUeGG1pbgQvkPizIgFgE /7Uev0pwTLNTGNdGZq7L8kD+Wg6SF1iMxoXrjtTPUZUUDyZZ/ST+HsFwNad8qSZ00GgceiFLLKAe 1DwYjs7qa4UK+V36tJzL+nt52eTAjNhF8QUI/clPHueDzV9Bvc+j2UExtjfaPkV9UdMf7IsWkYZK N9MB/2f1+2syFZuqWa5DgWYSRAoRe65VP6GHR/4nYz8NJ/SfaoSsAJOQHT9brS0Zi/gYPWCZdCCS Sa8ozgOj/o0WIrUzR9fEKTZVyoL7dKCzlX+/R110BJxfaUikGuRoVTqWAIku1hjoJ9+c1ND8xgub Ge3XEKguJzWn7CDcdh0F+Z9I3cPvBStL5+h9ZjVCNKZjaL0MN35QI2sZI5nUSw6M+yL4Ac/gy/tx lvEBmdmTLNvd9XdPIyaYQD+eTGKhEqe7BJqIEIY8bJBd4xCrpD83TZTOHE+2r5T8V0dcCy/Z06d+ ELB3+nDMkYUeEpAAORXJUJDFgNeZnaSopAcZPKIPE2xb+iEyrqsjEClG2Q6jkuVhZ5nsnTLk5eim qrT29JtEuyb92W7pwTZu7Sb1Jyti6OC5xwbpBh7YHeergoQn47v79g9M8cVtw+9odRTcKYFdqUWl 3Qhe4cnCdqZ8XbNvjsrQRy6xYetp0DlqGnsspctKZ+Jb9CTJ4t5TruDw95RPjUkeKEPTiZ9ea4lW gmYkFxllFA6itvSgxz8cchGirQS8GJaZq9us+kH4dR5oXXrJpCl7cosn0oauVa62KyKtrzh/IoRC vRxp6+wUMc1Qv5oJ3R59v+/R9anKYEr8vMzkob012zd1GW52neWC9w+GqeS5Q5swbs+CTsevTkOl Ao99bGMHiDyKGTN/pjO1d7EcaNoSkPuBUstyXr13XekMRqEzUrOFksoZGACKlmsK0Y2RLm7O20gR 5wQ06zXcluiAwhOUIf9LsD/x4IV5QGfzpfgA/YJiX5J5AdHxTLxAaHkH9JYzY5aT2OnkGULfjivH o0udTJdLvlvgTrXe4hoxtk+Q7PC5E4RluWgsuQ+kJL4eRGDPk2OPbrVhq4iBdjLg6g2bSDtcNBhW KtRTVgpDUu1RZFUb4/F5+BOUI0p/EayK9R2o0+lnhW1gm1am9H7xGzT6DYQPHFjmGvflQ2lpuLLz JmzM+s6bpYIdmmYRFfcVBUSbz/xViUVEDhUn3OiL06DkBAq4HvZVAMylfKh5JnKHM47zhgoHrCW7 T+2Mx8b+Px12Qvz+CQuGSODzCGhevEwcVoY+XhY3VGsj/zOUfLiFwpYtoz096zjNRpM/LuKuCOjn 4BMR0Ngja3hMroz4YMJzQRfyBL2GsZ7igY/2SWutcAr67L0g1APVfqAbocRKClvutoJrfVm972iu As7Jnm6n+HJMItPTHPr5djmT/Zkx6cnSmmLHlrU+5V/2qtfzuBLRhA6pBMRwM3TO7hYLJaEzFMjO Zf2qjiEkvMhDb7xThLr50Ldd474dbUz21dC1NFi1zQHi4vlXWmgKrb3V9yyashHvlcuRz/3+6n6i xm7ejyZUJk+MVvBn1Xl2A9wtTSaxpAFkZrHL7dEFkOgprZZJaQTK+cAzTtrdDrC6OQdbZBMHr609 p+dml3G2gHqnCy+I/ICfg7y7qX1RqSXSGepW7kx3rEI5HogKEKFEgY2XJOE6ehW6P0e2VGCqal6l cnVCME41gXbzyctxVac75nHn9UHzrd5qQz+dKyI3AChhC/72GT3jendI5bYJWOQ6Zo7AgipVuFUR utDBIJTGYV2RKqSS3mQYUBe9ezcUrbLUmd/t1FSIQ7gWhfxvAeABTllfpUduXMLv+9lsvMw4igra DoLsk2n4jjoaZeEorrA0mJNfz2Nd0IiZbkbYiYL+2cLGD8WhzsiNOLCcsa+XXDiLigNhbJV6q1t6 ILwohfhLilqdB/CAcWTR0PfCacQI6v9koTehShD4TjUEGLiT2d372bbW7eZwNkAfiCBb4k24pCF2 4xA4IgMgamG2bpOwmxxSHFTFd8N7yWbzrcFZo2tJ0hTT3BAziY81QHflDHq94UVpFnyn/4/Umekm cBVJGwvR54kWK1mzH5Pqb+vIWFtOJPjD2yADU9U4y8VbLKNnqbXvDoyTKKRHyOemrSaEAzKW3bY1 1l1d7fYYpDL86e9LUeHIP3kD90NJocMwrXtEqPH4a32VPh7mBP38sjnvDak2BEvKG2io8PCAZ/sr ZjdyCI4ZgwVBHxEVsEv1AklHTmJS9XxOMfn4NyHVvO5t048J0Tf+ifDb3y7Q5cXG4LF9lkmegY+K f8d2jO/VZx8o7paJFL3bRISXKwYVzeRiLyhJ3dx6ayk6Pevp8tJqrgJYkkleK++in8MNq+KlfjT8 HbRU2Z1HnX/MLGsOJzFYmMYXZHf2TI9m5KBaGge7XgXh+WEtP/cXBJRBLhPhdB4wq2RJoR7lteqH dqk0EPc/A4mqlq4i+iX80Z030RGooRWdO/0B8PDShJLN7thDkA8QRaqY22NvbpH5PmrNbH6qr7a7 UhqzhN9bShs7ss80Awl+jwT5SEW6GxS8EBvPQz7CsB6xu3qnETY83FSSHiCvCqXQJQ62BAlmOZpo hAittPYbLNX2kwhCd1z/lhMU7c3Q67SDZBpfBeESssi465jIbjPUfZ+PHYd3s0SgmMW2p80D1NSJ uBpeEaSrz2G53j1qjqqDGkONQ8hgg2rRFL/k+P6+gbVkdYk8OaQ7ybqzvkmnjJsdmi5F5sXtCwPO nWwEUnqTOC7wlCjRjeOs/eRSRFjfWWXL36e9ZNvI0YvPSVRj5iip/ZTiNnU1e0/6WXrADikyhEXQ HH9tLUkAv3SEu/UvC+cB5GRo7OMGn79fOPcKE5BVSH4X8YPczlHdpbvgTdbY3GO5jh9HwOp33+Uw FqsQZBFugX+9crZZTEW3Dncii9uEmoZ56oYou18dCdOCQtNgoYWXa6fc2u2di7b57+dmIySuEMVY PNCxTVGQzh1EUZ6p+kBL1X8wAO6Yz+FHuYLUTbuxnkSDp/WRScZzcjabFEpa9pszM+HT4+tbqWLL AxlcEXCVBMbcibfWK/xPUYmJw4sOQlXL8NPm0X+O6baOTQ0vViWAzncp8PJ2AHtXHkirv/g0uXj1 grBBJKrPiHqQFDBTPw4BXmWKkEnx1sdIJ9MQNxFEUrxQxy/V3B7I6NbF+Xzmq4oMaJp91R6KDX2H 7Zrof4j4V+TkvmZHwbHIVcOyuVFX1CB4uG83VrI1/tjvwZ+vbgYLUW5dfCRFu+rMyLgPxeE8gyee OofCuNpZSkTGLRxlMe5uPGDDJQ9vKMRTK4jSSlJDJN7AhiVSt6tWmkdGvQvTkwZIS6GLQAgjVKjf gXY6zNwSAvSQm5y6Iy5tR6uLKWVjazwU+/pS/yjrz7c3qPf6wzBelBLKGrdenurOObKbtxXbVnRI dNQa5sPBVORb2QP99dNjF+rFC3XVGqTxdlQI8vJUDKgQD50tFjO6E3ySFiL0UXONvUom5PgIA4QQ eP2C8ATM4IW9JicnAn4ZjBrKzcxi77Pbh3GEhIqRb9FE8ulgBi83Jjt5MT0/OCtJOFC4pCKVsd+M P6HFAc/glmHt3lGRP4EX8T9Oy7bLbTydNx7XOlaQHpnZqbvSDlERXkJK4lkMvMJLLymymIhvihQE +5AjSK8lJfWhSobtrxD4RPNR0N4sUg6nxyvB2bXGFDfjEcpPEGPJgJo3YUz9fn+WOpQ6LBKUrO4a SVSoBpbQncaa5yF0AZSQYVtbo46W/WhuINIWch+m5bvSGQkaLrsdC/5th2l0Z1iS9gy6DxSR8IQ2 eJqY/oC66GTN4OBncGBzJBSYiXa3PVLb/okhpc9ciKEC6AdU7VXxxV5lMeSEDSdSCnQL8vYgaB+Z khN3rpWmYxqFFKgbUBJl4AtS+J8ovNCMlSEF/aNyQxmtdPRBt4umh2XXJxwGeIY1jkBZ1yYqa4UP XmeSouP9GtWE9BgtnEH0L0gbsufpVwg9X3sRApjs8gmwGPvh5zP28DeDnv/FMpBqfosMnqU40Pge 3A0nkO0Z/nhGJffN8LDShNS1iQ+dstyXWqAglXyy6OL9Pjot8mQgrl+CfjU8vSFFKrCwk+miWmvw rsOuTcaB1nrFQxJvBcQih7L5EbkkILdkvEqGLSPG1SFKbDdH6Ptfvp1KML1vS/G1V8R/IQIPfAAY 2lFFJbrKz+fIm7F4IjZsRLgUQDU+DKEKSrkFdomlU3FZ99MbIL31guOWYCdQx7KhRtsv2qqs+vPN Skj4tuaeG95qw1ZnkQvx73sDRroNdGldLVkBQ+TeLE45bV+Zn9OqbwQXtBHuubo6bJ6M0SBS9wqy pyQrzL8i9f6Jp7m14BoJZhPUssqUKA+dYXb0fJoELLiREqzTvlT+useqJjtF609a6CY+KM3Ub5k8 KY5dvfz5FGJAIvGH0WED09cya9+T1wTJ8deqExNlo2vaPsGzHzcoP6lhvADU9pndYO0YdNt5FS2t 2IIjb+CDmwXwQQFbwtVCP89OzfnNf1BT+ae24sjhnpHPohqdkwzuAlfRVicIQXuyi0V8IpHONuBr 7lnHDFOFSwvlQaCJbpWJXOtl0yM5Qs3R8wtE04pMTwpLMjzQYds4DUpj9hnFQ/XcGxx2jTSONsaq xu1SxlyyrfHpWmDY2atIRXAGGiNZOuqh6zxoPHyUAji5kcBRLFNJhir7so1bRapx93eN4ZjK0qTB 38JbVF6Yq2CrRS7EhXx/dHOCoJdyE9OESS7w74SMU5hE82/psy3AzRXWWmOoUoaMi+Xq/R8Ysl0W WwMTy1Emg/uXotBN+WQ9ymQUtGV8Ugz53rhGOu+ysJXffzzQn201CFPUWPsBEjfXdpUleSeiLnp3 0Pb4Tg+xeL1N+0stnwoPD+XAYiG5lFFiP36AOfynLiJkC7+STH1G7PHtaKdwX2BiZvR+QdyXxJ9k G8t7rCC3oGett4MlhrNDRWibo/NndcyQr5WcurYnuU7/4npcggvunJPuFYTfFG8GlgjDYS2nt5+I a9XBEUX9tdDc12ACt6t0TiegsOZ2IzynkKprLFuEoKL2WT+vDihQnwJ95fMZYjfHzSaA21GYoSrn Tf1UsWuKqLDop7yRjd3SkrVr+kQy/gxArAImbPVzu9Hj0P8WZjri0+4sI1gWrEx6nAIANli2wOWh qPrvIBhJ4aCC681D2/xQkitwiAkiyTXUtkrayRrLIOf2X3FLquaqPT+02z+xxKq8r27pxtWO+i9N J1A3SYzyh6TgE63HMz5gsVVnNKSSVrEAbMGQ17e9/JUgO1RLFEgLE1/DGzCdBTZk1991EMEcyRg1 oS2E1j4GAW9xDou+h6GQEy26kGpWqvlF5AbL0KgrknmJsy9z0GK6L4FYUcCPKUw5fhv2+kJnQ4Vk Qm32SIMlpEjdFiR9GDygOk3ZL0MdpMJHcJO1SjTipMHSBLkAay+m+kkr3WWmG+J0B/r1zswuAw1M BRy6tJRHsMGbM/S9EcSEOB7YFx1OAg8hWMIBU1SGK+awx0pPXxI/SGg3Jb35i0OqtCRm0yLLxhxz IgjKCuvojdb6kevKelu+tc5bu9rbv39JNS6GOwlE7/iyn3S66HQk109geR8bQIyKqUjYDVznxn44 fXTwzFDCMnWMA0bpqqWNnk7EbvdwuG2ktcWQA1tfqRBQ/VLNyRJeiooeauxqFlkWbAw6MzancXDZ yBH2ir1d8eo6bRqDzbBnvBS9qX9SBHkboZSjUZvw0bi+CyqcUrAjj1HfqvN8ocrReDzRgaDQHP2y tDnivXFRAi/Z3huqlPPSLOUtBAWqSmmeO+2MAUY0v8hOwiZhArx7f9fzIg7Hrr7F1svj+rZqLXY/ N/N21g4GpxGfTa9OP96rQCt2lptHvTDAznav30Oo2b+FluiQRT6SU9egup4QSNfOeeBqGZAQrZQD rQ31PVDyrQTYe8ehI4fQgfG0jZLgi1LrT0br1+WAXaBCvDs1SGqRPcLQLMASG6RasC6qQkueo7OP rKGYSYkdddBj0tlVSaBdGqBOQk6ND3wH4FRvmRNVaCWRduNOEBU4rUBQd6sG65XznAXG/LSOb7Fi oWC2Uzayi52WZrt1YqsT17sZu5brSbq8vbOYKwlGZ92IylNl/9nslhMkzV5jryBnqeP9RK0hobuC Dl7gDMujrJPT/p6tJj7RvOrdJ4v/sJhO/tWLzUQNBKbqrZ5SS1edG33/NhCA7WvS+RiJw1jDrRgG aknqGjDs8LdUwFSaE7TAqPBBSLAvIba/CbLyDokKxzCo6UsUrCHYv27JtZbK8o+IU3Su4G6N5bm1 3RbnibfixwNUUUjphm1Gwb4mIn5BVr38/loYvuMqEMYfhWSKI5JTZqf3ucdhqEYCKH68OfXcC8Ot mvBy3/J2TEZxDnOgiZyGYXRrRuJ2xZcyRSYGk6GsS6snvzr5f6pjHZrny2sXzbk0I8oUzcjayUqF foF7nAxQRUbmYlLZvaWEom5nj6A0A8VcGkAu4zIPhp21ynn8RDIto4EDYudpgwZHlR82CW0MC8YY SQ1e/eo3PKFmcB2O2vPUPIwGdrIZw5oBVaS7H5GYdKEAZFc6mUxY8apZSPne1in1sCFUXZOeQKha +nvqpYR0fI3A/wxgQ51VmeVZt0E0yCgM4nsK72w2q8f4P4P6bDC+FOg5ej9wfRYS+hpQ7lE1wTir kylvOQF+q6jU2EdmngoQy2l8sE/OUmvRpYIFLe5qsGJbVYBoCdZotjBjpS6JzEmuaQUObhI/4RLt 7CW0LcF3EniOCnPfGpo7hUNE2DImcVvhtXyA3i2gs3L9R8LPtg7FoYkcWiFHSOpME7Hd2kwFVKpC vAHNPDmvAsbZGTQuAWahL0c/9aCYdOV91bMwb9A7ercGxnDt9rai1Lylbd69h9Tz1LYJvJmmQHV4 OCcdlFBGr5bj+p+SGYCYJzk8rPBr0+pk2SGHIleOdq7wBXZETEBVbHh3Fu0kAdLrjIzHpENqww1M IrPwIc/sw6rL16wErPL0WohtfOfamx/2O0/DiWbvxNSpAxPAgo/UbvMWMIF1IkiONKiDu9rasyy/ BZpVArjL2maQyabZZfk0LA3v9lySfukoY8dkQ71C+nkBJ9LavZO9B6CaesbxKMTT1nOYYscwFWPL Mp7+yErm2N0WmXYU/ClSNpM4dEq9Jtdf7Z/ezIM+PeDdH2ok8vu/xhdpCD4kxLbaGT4vtfFkEDeG 5cykpa7KTiBbanLU17Kr5eYe6TrGsleToPoueSq9tnqmIaSvYZW/QlHVj7VhSxd/CooYLfosCFFw Eq3roJObTiQiZpwGOcPkYGRBxbiT5vr89JQnEa9fEsS8cqvi7w++gAcGHii2VOWlZT/JtRAVCc8e 2Ty81sMaAnVgOHuuSWHkB+gjH3My1Os1iW7eIGlTlWsXjCjdqNHZ50nsxvqmrv90DikU1oOhmR8l OzzNMeVII3TSgTLNqb551w6Sq+oeQ8YIoVtcsnpEMqb48O7iO8XRy5UW/eg5f3kF6/+qFQA2Ln1S 3IA9XTXym1B3OdULR3IpqrdUAd89lV5btQ1ITsC7P2tyQT3Yw7BLQIL3Rt5Rvn5Vo+7hwNmF3FZW KSkfnsF63aAcSITcMnmYd5/zI0DgY75c1B5+hfGCyc5gGkmOlFrOp9PWoZQcjgnR7/OyVx7O7E4a JiRrd3psKbnbC0H9UlCVIqOS6th0+QSpu716dBMNim+73hsjNa0qMZwg+iad2ULTogYcLaYOxvmJ wnZ28DOfnjW8bD/T6R0PEjtF3DN3+LY5o/hP+Oc/PaGjqRN+szgyRmMZR5tm/lSNkZx7jpHuBWPc AeLkQXTvv1TUf0+1ajf/8c/phyOYmjc6ZVWBXPpvrNbegciufO44Q0477Lr6/zHvGItrv2keTWaS 0Eonk9OHYBd38OaupWs1hRqN8GMuL+ycx3mzzlhXmUsgfyh0X2hOz1ic0W9jbD39oSqJZ2TrOeBg yMSmrnZqs5A3XQGX5MID+G8IhITuVeDEPGoVPBKtdpayBv60ccdAqhLVeSUjuq9zCzrYAo+20XBY 3AqT3VG9Ih4RGnrLkmvaRiVfxR7Sjt6HG8KgPuJ6peeySo+yCnM+J+isz3ljNFRBYLUIpq66HHnV 3+yJUJ5is9JiDbctDnZVKrQX8iWEvenr2sPPOdskvT6y9zCcwqya8OMZauo2iPQOfmy7Ay/tD+Pg hfxGH3DP4GCFY0zsurCdl6I4odeKkASaZstFjgvBzv9vdr9F4J/pc1UEWQLfikZrLWdVXKPCQ/vC ZpEzShwWuiF24nHGp9KlicDWROqqR+nG4tkJMQGtCxBqlBKz8qI+oRhZqRJxbfNBsiv9eb83B/br wLto95+2MB8yGL8v6lVMfGiVaMuz6OzdxwXkioVMDLQZKuA4ZhgIiwj4nwvcN1zeEZHzbAU4NAhe AkuHRVX9QldEVhImb9J3CN/JxHaeSKbT4yvCV8QobqQbMtmB6v//2YTkWHuukJEEWT7oelOcqLhP kbGT69rU1a8ktMOy8qVTG8LNmFBOT9TE6PCHztUaDEEzI6phax9A1vW4HFCkgybgXtn+oxypppaL ZWlYXees3rxQY5XSnpOncSWDsxtVYBY9Uskfw9dGn7AEtUi5nXN3v43IUcOVEhI9Ri6YQ/2HpMfQ UVopS/LSekjAxjf8ijhevBd9SMWL6ELw70WuCx1gqsq15sT4GF0yToqLpVAdtLSOT1Mi+heHfSAD YHCksBX94Rozo4StGgsSSgkAsyYixHmzPbJbeNhvkgZdRtKnnmCG37jOuZBDS+8W6FfEvzt7l6Zc l5n4NEnknbSw3yxIz/fs6IPq7lJ4bFCAEU1FYvOaRng6LtcRGvph8EZLOxlH7S/1hWm8Fcxi4B3E wFMM97bR59OUr8X5jxyJq1B3dlVegl6JoDH0AfeOwTSKHgWLjWrvi3LjfXPMf97GXhXVzCIKJr3k +VkDwqtZT6q5b6V6ZhE9i9OJnh1W5Hj67MtGqLEsat+3XOuYv67SHwFaagkvVklFwrvED1Z0636e 2tdFqxSXQjR9oqcN6A8ZXQF2UdNIVTyl68pKv23I0s4ZuwgwdUqrxNDQLNjd7MR2NdXz2ffh0J3l yp832gKiyuK5d78yhDtpCpCL8PBSXBwuOl8fbHVR6yCTI6HiiDDp/EapNJVsMlFdGI6Kv74rMB5J p6QhWyJET5xeVDSbpEH5VwCo5uUr6hK9voH7wMyXlEQzbQffESxFjbChm4r+LTfB6civiroEdEMf HSvPGZD+YwLKUVb91r2uu/jFF35k2pKCRlkHXhF+sz94E3E8LE1dKsqm/lRWrsm/z4lBLbX8bnQM XV9jDNl8CUxyYkmYG5PEfn0HzSO4vXgzdICFPXdCGPAhfXTuOpUFS9ALmsSHoHBtKtt2ACIJACef idA+FJ9mZKXhssIVbk9bo9yRHXjrim9qr5PnzyuwSNuT1Sap/wml11JPNVZXIb7xGCzmv5IqRG26 m+t47Wme9XOgbwYo0whbzY3L4pkRzASs9Ryva+crvNPnQ8cTrGcWYocd54N3PBkuyIOy3F2YgLDZ LN2ISqhVq3D0mCQ4gKzMtKMvxsaNMjpoymPNtKljkY0ni4cywhDz3chwoKOoPnYhG0IVCMt1H9Zd ii9Mm6DvGsJaRPcqccKzXim96RDnRrLoignjYJlN5t711QcdZkzJBLzPiSwjbU+aEyvYQNOiWajL LiQin85UFKRCoTDXKHIF3r5l3+yD6M3bn5TdRwHLWsLf1HcbgH5eIAuT32c+P51bAwaFktTQ4hNf vRIE/QJD0TMsgnYCnwFRus/rHIYOzgqCGD+oW/Tr5hC81LCA5pu4DbOkrY41b2e0LfbR016rMDnS 1vWO9hOWZPiKd1VmovFzfD3FMoGv7c2qkhLm0QtAqH8FUCkJ7MukhQDwUTEwxIHSJwmPVuMtgoSN mpLR7PG74AoUxqVPyUxpUTdwfF5GCvHszH0znLgpdUI38GdgL4unzJD5TpZI2fCH5P8cAvMB5iop l3tRupn6K//3PrgkiOIVdeRynu+slVtfqaPHyk1IKJxcLXo5EcCLB7knvp4bhlzH4N2+MHPCzCmE 9DBf6yRCnN58QcDUxTudwilMbXPUxxVKgqsKvj1J4pIJaw5BbONnPj7gukhB0xZJ+Fht4w3Sx7xb Y3fdGvjOZ/CdIyeqymx9msuUMq/laNMUKeK/+RlHNOuG4OcoS3NrKiJxuVnB21CzxQ9kV3Aps9rm vbf9mU+M/5ldcnyJFeGNO6J/zjcTP6UdHvqO3d+1J2yFlX3jqopVnsXjzKwt/J+GoJXaZ45kj97P ePXjabCknk7moe4yFbekZQYaFdO+uFj2ZjoSKITRfPGkAjb+FJln8HnnksdKpL+QHZEK0wt5RFFo OLEqqjoLcX328Z7R0FeZIPgBP1RIAJ7XmamuUpuIBOtHupRgluMi3+80ui+EfKaz4lu0Ys3l0LND S0FE71MDaU8b0ez+T93jZIXhj4EVxKxDjOBfWQlhHCHTlCeopZOm1W/yOpZ+f1cOILvskn1vuwi8 +GgupfjYuPIRtZM6oSp8gQmHTkka/NIjas74bl0fVVc81vF+bRGfki12mvqaW95qCG8qBspqjVMK +EMu4ZGYjXw5pWXyEwaWCouY4Yd290iCP835s4fa4QCwJDNDBs9wXQwsu1gVKGY5mJUTwvrLlE7v XuRh1lNniomP2YbQSPBSzPAq1K7MYv3nD9LRTkKRCb4A4dpZbCmrv1Uf5XJZn3HLTMexWY/CXyYu xKrEfQBGyL5CrSiZ8EMzgXkFtFpNNtjQBwqhoezk+sc9SVuH61VHOKjMExwrmMtt54oCJO+nlW9z lF2WW9mEBIqQOI6ODh1lyUJYO+6Kn1J4cMlObElE6bumO5iB1CwdmmxXeKwDG7G4mOPpPRwbVtYx fvW6pGHFsB2lAP3dcYmFI8ea0RwKhsyeF2RW8uKOJQb67RLm/71j8hbLrPpijeHYwLW27hQJhtqs M0FHwQg1r/q9XE2xQO4++BAjbp+6bXM6W/XO0B1m+TULuMBgTxdJmmjLm3FslR7AbkU9bVbRBXiC tpwKoGy6CGKvYBwmxNAQVSd8ogL1arb5s2RPZ+2FIeZZXBSrj4mc1kPOmruea+66u6T/JI+MV2zq oy1iv3DU6H0z5WcfTQ++Yx41q4jpZF2lsRwulAcfbkD+6OvoTPoaC1WaeQ8kxAxMWTdNkcVSqYvG QhV5uHpE58Vsxd/Lj4kS5p0zHyRthwJcquFEYjNVMNLZBg/9jLOpbv8T13w1wwMi67fh2E59cRZt 4M8qZwYFSSnYZtoZldZxmBYOvZKr01lRm5nbYyX2D3DQXiH6u2oBqbo/u9AnJ2/xUibS1r3VCeP6 +a48SOc3uvZIzfENFB9XoFsqRVRm1HC1HDNHkky0UMjqkpr3cnT920FzvZ4vNA3GlZI0iF1Yasxv SyBQQkYhXz5ci3iZdr8SERflIuBWt0cpulvIsDzrk3RYtb7nDywZtslQjxXlAg+MQ5D+LhK1tqnU JPI7P5aBEh+PamCpvtxcQSif71EWAt4cWHTxIJBbqjalvMj6YCiKOnVg2ufnaUJ10B4GD2M10hN2 RxKRAqC9y68xN1rmJwyyLthrsnGjzorypZ1k6zhjX68VXaQkvtufUNH7IffHXwf7hqmKl3blU0mt HO3ttU0fcG+7UktluntaK4NYrh+ZsQQpqtBF3EEMpmLaqlCU9KdBmai9UEnqx4MkowKqGK5p1mTm C1wm5+Lzy16CWfUOaooi8lwCZG+5F9DhS2yPybehUseL8MFr9OLl+cEqEml4xSX7mASueoSjlGQJ u9Tmpku+us+1stXVJMt3gWnn/de8FOUqX0Vzw5TQAnYYVdvLOvEOFrF5SOwC6ssUxh5cGqb1s3D3 VFB+On/kEcpDJdd5RQ80Q7j3LgP2y6yo3SykRoX5oH+7IGG+lcUnzk1miM0pQsXcUBYZLKcL/C0z yndoXBmXHWwJLV8JP27rAYx0kkvsA8QoUY8DxZT+cDvhzT8HkKeZtKoUaQrSDZA7XpPIQXtrQGob N1zcpAXkJGM4gnGIuuoOXx8lfyJIu9iuT8wTL8RtA182bVf5KvXJNn0CxY7MrM7ea25gfCa4hJV1 BHLOEVjWl+NvhYDv0wWLd7gJgLqhiS+Untkfpm3RCWQwY1+4HiAtqS86tcymUdTWW5TgLxwMg0IF +jdOh09TOXcN/agKsqugpOlx7jgwk7k3XhVHlO8+tScCm3lnudw0zr6GeU9XeFS2tTU11PLlhgqU hvi75XvxD0+usP0pXSo9vRFRxfI8ugFDNg27JjgFwMbK/Pb8W7BcKPtwGwz7py+zkeErHNLMX9LE SnAyoCYAHqhRmuNGl+d/llKqQKLs7ElNC71THo+Uzw395f56EBcO3DT6XV4CfeqguqDbYOlN9BgU ke/1bsuLWMU2oEhndKSZANBWH2oo0UPfoPJS1fxse0adwbgBOIIrnsvOeng6kfeIF3og8KGf5W83 41aSkXR4oTJXRXS2SoHP1MYYZdrRx9Zkzz6kSXkuuckGIufCTil2iDs6IK2VTOfXHOQVpxnQwDWt ZxeNhxlVmBsD9yqSWYL4HG0CYTCqwz3s/YbM/ngDeeoL+owTH/GM5vOVRg+Ltne3sAn8dwA7XsVl Fv0BDVUJJLbEnsr2pytVwK6fkJMmdQwbWMC84VIzLeQ4gm15EYb23nxyjsXwfDFyjz4npQtC8d9z bN1LWpgx3LRid4W6/1TrfSL/oAO75CqDV+tUAmyqd6qBV7sX09MOMUw+lM/webBXdelmPX7xo+zr C5IQv++5/aK6CZOhAO33yitKwdJ7WeLpmM5PZbSCMSaxQOFw9LAS1jNgjvwPoXdTBuGxZu9bV8OT j9xj2xS0B2uaF09fuD8009YluqQW+uQ+Y7FGg2q0eDUAqSl77CmugqXZIEazNKOSKjb7GOzkgykq aV2mGJr9EjvHvCWGBsL1yIHvuuNZ4ToxiSmHMVxBEP9incJr+8iIVhqzFRsvhy+uS7GRFZEhAsd0 baQlvTGF8tjv0OO44pDzla8bxSAExG/lpb+dp8oBXs6syvKv6ouNPYGTYK9Ug/cd/2Ejc8Ll2RCa ADzSBCD7SAg9G1fgVQZJ9Q5bHy368OBMvP2VTK7ifWLhQGx852fDn5CR1reXTH0ZgRGayB6amqhN 9LwBj9Mw0q1CHm0eFunFUxOHUd+tEJlF6hoUMkz6XHrH7Zz96IYiz3efgVVajyL6he7+dpw2US2d dMBdWsho5R9megr4bseOSHBbT5Rf2C0DbIDd/N9qvZZJnsRwnlIttd8UoAq5kzvudwUlqga7XG8C aDLuPS29NT5qEXW6HTT6p5vYSg79fppVSBJg6YSEi5bOqxJqaBLpYx+/KtnD33yVtVgiBNA+S7Ig fYKWLC2FhNwt8mSdCJvZ2MHIuWLorvNtbT5Zge1L5usT5rqMokTMG5wncsLlKbeYBYJQWudRKMYL nKb1hcjXgK2lTAJmOrbNmK6Rjxf2n8I2iD4sNI/s1GqjVrju/SWvjWiBZ6WUeUhg+Nm+gJCQOWln A+YX8FKVa7mpbcExqkk4DMjVICBdW3E99KlB7u7PMNud034vqi1P7/tDnd/+W9e71i+vZDEfy8nX /SVDeSE8Xn3HvyxZ6UGb6ZvUFOGESuMwuFuE97bzUea/czmGh2wb5YEtR3dzs8lbbchouDhpXrmB nnJYCnOiM/dA7SYSvGdVKCpoWUn1+fUlvYV7ZolwfzBi/Q5ceAzAC7r91Zkn6OyTe2HphzVAMoMi BDO0gUUkgYzVJcEM2guJLZszX5GRuc2X0cU0/F1/DQxMoMJ7RV10INDaoONV4yh6RN4tACIiYUaX RqBRoEFIcBVYiUSA2tJRrNnRbaLB3Y6xj7O90vHRD7VPIXkQ4BLvt8MZ+Vu+RmNgjzUnEXDuzheF 5ZkyCdJJQsWWZsVMkeJ8At2xJ9/K5KijO4BiVopv589guVQRNddL50mrzNWGrIMfl/gLVx0/PTLa c2kvUYmo75nqmrHmXu2PjOwFHSpWhZl9HCRS2HCv+gdsgmq2SROYJIE7eOKESmpBEDrMZNT83sb8 SxrpCpGcD/hZgriZh0ObuS7ryOQHdgRTQXm3DuASxWcqTHrwhorBQhXcEj/+mhxcuuYf8rwDTwhO blYhsi3lKtYtzCMKDq2F7jaZ8aVixEMCje++o+Sm9TKJWp8vkXWU/1luxHqbEX8yhx2KemE138mH kbZtdYNtvB7cjma2LDailtcc5SVkyvpkKY880qiCizWYZ3/lxOmFo2x11vxQ7olwSLQ5deKENLxJ e5eypQbtkSGk3GVsfBOY5/kpG6wlA8EglwTpe/QD6s6N7PJUYbqtEliqqA9tONV2jGr8/GthR3ds 32q/pBiBRqsxANH4AdZx/Ij6QeIoyoqLWGKl40dFN2YG8idG2LXwK+XWAsr7gSCzKfF1RaPIdU1Y vOZozYeBzN6bu79I9jXa+0Fo4J4+oxBlcxxkm4oI/fbzKXDiuiupmTXcVjM6CJcgpepDZ/HF6+vb 1KPeKPgfq/z+SPLSljV9E0CCHj9xu8tYzBrTeVOdAOtbpH/FIkwNyaa8UueU9ZcOrWy3HdGqdc98 R4BY2rd4kPFfsUNtzHnSOmSEYY3puPXmk16ZLZuliPGUGCChwoMzAPaBINU4uAwYBIg2ZujPo1AX T+zVzEs6lz2G7sD41RRU0UcvYCY6UT2lEPKNkjy1pI8Xa9nx5fauUEL+rQvfWwdc62Nr3miUPL4S et5ppVDiFZYtqj3brJz2SUm1H41/R7LyptkK5w9U4L6N0Ecsu957OW9xB4zwd2t//uuVT28x4QBE cMhUxT7wUU3B5ZAbBDffmnECm4slOi7Nrwv2c34IS6IYaXntGT66qN4fVbSd/XJ5xdZqKmio7Uzy OCl7zuLRuz50yd9d5s7nxksJf7jwpu2byCIsYXYoRYjsmxSo2a4v0pfJe9+Y0Fa/bqKjRpnv8B2X dye1zmnh3wbgz6sj2/c6pwHrsYQpEUfmDGm8DuIUQ3+/lNloG/8/7O+0a/IU/H4mCkqWBJkq1vcC agHtY5BpYMJmD3N7qkMPxQuYYt1nYHNFf4s41Gr7KpmQNZzHwjgTwqCmyUnQMJ7nVqAxdSrzYK2G vxenioxUsDpWRJJgonNXwMg2MNjzFDUaaTXxk4RexLT3Z12kGfNEVfDeZdeQo1upcD6c3sxwFchW Q72lsw5nPuRaixA9+2Be/OKu9GnWHqvX+dnRcyuQO2VkkqRWptZwDTr1rbiyzNAuQDXMjIgrEx+M /EGFMr86tgJ4vxvxsmdFAWhsstMZ1uvaWKbTZ3hK0eTXTWkPvtlCuROEpaHQPRpPyEeFiRoqMvIV jO0TKlPPAxj9P8tTGxw4jfJTpgP4U9ujlTMSJnPzE8iglMKZ9E7kdhSre0KO6lBO6hFgh9BNpDk9 E5XNSlqblSX1fEt/VBfoX2gc4NN5LAHFOzFaUeez/a+3mvNa/68Lbp9Eg0/T04JUO/dwNcoyqsvl IAEatf8dDMSaQdUDy4rFGe7soPKvT3geomIzEJvAiAIize6YRrzPm0C5xoL1PQbDcvdjR6+N6HSs aA1cH3rMqdD5aAVWWjPzOWW9hu4h8pytr84cc2l+pE6YFQvmx3CtnijAsvzMr0ywywEla39mYcr4 G5jHsrRaYvxbioG8q/4gpJYJzJ84NIs9dDDe7RtzaZjHNMO96XnFt7YaJOAzvspJSI2d+bv1fqEr eot1xWL6YUdVjdCeWnJEmEW7kvYh15KbCnBCqLlYETDJZrokZOMqIYViP5Jj2hEJs+aPgmHfSz7l BZdI30jt45CgnPtYW7V0yt33aZ00qnz/9YzqVU0ViQgQgaIQ1gamsexHUqf9vvcR1L4KO9MXwmrx FziHswZsAVsH+cyf1IL77wFnvDacPulE1s4/hXSKLchAh575bsMyS3N+UqqlTvG8zbHWAQf07o04 coPkUGti+0AiOunhOMuts0Dh9619L8m4c/aDRaRa3seiqmgv32xKft74NG74sT91z2GGU3HOYOxT usezBd2G7Ur1+sDCCaeLBcNpoPqEc2Mh6pp6Ocptf2okGKD4cdD0XOB6L7N0XKuXnOrx2bR7B8Tf uxTcwAiHle02OpKoT5xHMOwVJZMKnRNEJlqnx0F6DbWKUqTeEdWS2H6Zj/dHpn0lpXEwce51uw8p zU/0szncfskcpmLqsOYWDRDBB6g0h9vbaS62JPAXDn+wUZtBF2WOcbeCulXWqBf59YtyW/2B6S4F TrH9Uh4dLa0fH7sW2DjQEYYBiz0Dn8yp/8gsha4FlqYnOOhFBEgh7bxsFj3Jbz8u4GuBi7Y8tLOB XJGSDKTs0Y/3H9bD9hSwmpRCg7zF8BuXjxjG+YJueeATGDXDHv//bleWzVSQlHiW9BY6y3k4rw65 X5ZPu0L+LnxAtwyA3MRieSh7+kTtfEM1FPCq77ltiXZxowGkQqvritb4xVr0tAj5RtZbZNBnvi5W ovCF08kVaAGmpY2ZASH40jg83mJ7138a11KGbcb/+up5b00QrxyN4Edgjau2xutPUe4A7cFNn+Qd um1VJz1/jtHaqDkKSlfIE7vXKYiecENSJTGPYE+9wxrP0QDswCW/TAoDTJmk07yxYWQ+L0MuCLh0 GFs0zN0nk/eJWPq+pQFlHjOhmg1G2WGwIbaMj5Wj/XEenXMLsXntG5867h4j1duE32RYSYUhAj1O wphz/d+Ioy/3dOvORMV2Z+sEkini5/g7qK9VsSxI2L590EuFz74O36jDMDkgCkDDaXuBKfQODJM1 1vKMouySHxPP0MgByPo30dQPbKZmTmqlOCC0lXATbOd83xWA33nQNtZpEh613RVuf0I71BpiveAq q/vA7GQZYjifMsHlCEU9xd7+Qa7KSaHuLzMJmEohTuX9aLFmff0kQ1/PWVmsxO65+BY9/w+Y2GjV 5BJyALM9jcU0uoHKjuq9njH/l0kVcS0ATLGlsJbd/5urpZKkd+du7/mHUq2bSAjo9ftN9QHtETj6 QaIV5cSbsDTLgNXdaLFkTBa7+3pi/xF/9HQLVGc7dRYSelhvXSLs270vhLrugb4mWOWu2ucV8hFy vN07JFGpjaABfrFK6OeyEtZJ5Ta5nMk46FLAHzYKjqQrWQ/GkjXDhj6m9GxV31B8m7z8EBgoSAYw 9qX1B6UN5R5S6BHmIptJ8afZz1vdiL7efYyhWyFKNKU1XOgUE7rEY3CYlWsx+ar24VA0vaE6BQZZ oQsJ5QKHCxFefLGdI+qN4F1NtBD6ZbDQWpFAWPKUtDakG0YLf39qs7LS/rZeZMH+d9UYd3soOnul BklL1zXdTrKMcMbtjEPAlq9EIkePHtKSCM8mdZV4vfnhgNw2KI065+ZD5fIat8melmmnHeTmnTm1 qP0E7vEOPZAFEgiIdRo6c4/djcWiqw2n0XU5QFu229xbt5v5WdxqRM2GrYzA/Vh5XixVkaNUmIYD hmGYsB8NTeJu8bjAze4thkWH2yhmM4GhwQIsEr8FMB2W0POqDVxE7I8qKEkY9cnVaWzm3WgbyuGK jywnecHCe/oc3rHBxEaDvgpXCjpTlVz1FLiOQqJf4GDP1k+JyWxFu+OAbdmQPGwm8z9duKNCYPLO d0XcnnOBcrzDFrmH9WFWLUrFk7hNDJlJuplGsjLaB18+kLowLJRLkoiyv4W35XpktoeMPPqoLZFP 2H1Ir59knrg4nLfRO6m0QoX0rgvWdg+VFFt41efF/PvTMFv91n4pG/OqwPAXDdZgv6DHt1J04JI/ mj9agac0oP4bZG/T3CuUx2CzFwvdQoQKLoEKyNAEQZi7myjERO55euxwtwCx5qFb8xgIeiqWTqjK JK+JiFCDhrN/64Hgp7FD5FXYD0WbJtGSm9JX55ea92tNSET42iydSrVYzUfnxpxikuQzJDY0/9HG FP7eTVzdz2VktT0H0PVfoeGCoXim0z2SdPkQELlPLgVOjLu57eYPg4yDNF3lC7gc8gARk33V45/Y bk/00qdXC2a/6QL6m1Y+gQ2xsdTp/mrDP7/Xh31EwSThMvQkGAUEYyoMGiUvR+mgqxeHRqESn5At NsLN1+gU65V466rVzIdZlbEXhkZcRYa6I2ZSQKaAiHsmIIYespT8Fz/JYkLo8PahcYssao3H20Xf LN+ldLxCg/r+EfbP48qYMsmfNcf4kUzlFIvchtUTmrcAQl35+zizzqEFfGj5NCTJirFYrDlnO/ba 0V9McIcWOLe2N6ymgPMRbt+u+WRifQIDggkZw91EYy1orTwl0iTtbQzwW8MIcxgPDUe7Ce9tEhN2 AJMDhJNWDmhCM2xToEb/GXkbCpqip9Dx3THgtb1BOaYtAFtL+N6lWKuldj3f4/t2vnnRst2/o86w b5Y4yqkfAPaIPgR/X2fCfHrJVqCO/0PSOHfLWZ9hYRI0QbYM8NHxDQCIH6mL04xxgfj2RYPtb9mg sIC7suZzm+su6X1eM1i4VS6Mdn3a6EOxrKKVI3qOMbuSYkx8x9IN5ItGRJDxWKcJmBkiZ7yttxkq LyYhy5wbcLbCfQ0N8LRcIDfNbaNVq4rRhyJSXZkB1y2OrYsURLuZBDX7PbsoJg0i1dak8RocWSPm yrCpaYSImbr+XSl/Fc3yQmqGxtE7n17G65zsUMcvornEH2VTh442xkjNHvc6qJ5qrmP80vbbqHNf +ZtHyiGS1KIopE3N79N6slEvCiPOAicRsAGLA2o2Lk3evHEv+AVOJVUcVRXGbHM2eTWVzAwO1AjE TGwH+sq9+4Ighjp3KAirGVYfePLiZ+dZUuGjIE2Kq4ptywpGdewWcf5//b5+ixzg9S6pSbQMCpDu uUZvmYTwwDttfoXPSl/MGidgTYCFO8VxanTaAanKuE4WRsB0qe2TTIr6b05d8twtODHlLluUucwP kWH6HPcedMx/fX2pjpOxKNmRxD6MMt214S3O7sqTx8QzrkfaEi6CoavD28EiUKeVonUkPqcf4Qhp II+LIAMx85B82j1wQXrCO2swF+zMvbt/wyQfAYMJ63EuVdOtk59+kWpJH3T710XEpSWmOzPsONZ8 BoaA0lDVFjjv7HpBXy+b+j7jiv0OmvZ5gQIHl3N/zYBCH7CbR5+WVWC5ekrgIOiQRw1jotJ+Gjgd IcUeBCFKOdtOES3EBL0lssdsdD7CLEDJbT8YqWsJsZg7Cik4ADBI2iCfFX7FbJbys7CrRKfpyeqO nj6l5jN32Ip9U12QJmlZXvEpwhODGycuTaWH98gm5XYvGtXVsv8LTO/IxiA4T7vbSQijcDKX/lx9 pviIVetgI5pHJ6pM/V70be2LvTfU1E5JReKclu3nQ1xbXEoXU/6MgAjbX69Dhg2ZVpsm6u9O7v2x rcnlCs3SrUs46sP3Nzr76Anh3eoSb/FbBFd1MLg1liGCSXKLMwfswmsY9RBxQWWmjUO+HX8nksXh vNzO+v2tLDeEs7APWG3Lxfx5fiuERWo8sNq4DFPqPCK12plZnCaszL73apYzjBciyzT/wZ9o6Yr2 a7OGzlyYMoEjZV7l302PxDNojghSp8YXH//qRsvtlAlBX+Wxe1GRyONbHeG8JFRGGno9ejXH43vl JdIKwiauwr0R7/ajSJO1+DmwIecgOsF0/+wEdbqBqwUlGOmi1j5c8DJDjcH1oxPSIy+2stQwCyxg A7TE2w1gLp7e2HGmWRADPyMbjZE7qFhpLGyhSz7FqIOh3OegnTPqDB+t1oY6ikOJ4vW6n6PAKeQx WpVMGk95VrGkSp4Nx1s8guhRPArxkN6myEygZbQl3qb3hpDFKG6KrK2GMnmeFMyVS7zxrtvPG+EL nv3M8tlD+irx5MbIMTEyXKG4+4565rcT5tYsX0lggRzAAnJ2eXdbfvQ0hoHZIM6Knzpo4ANxz/c/ 3ZaDlmg+L7PwKr7q63x74V4HY8qZqsoEU7K9gMK8arNQegTWaHDvaR0zoS4ahAM2VCaznGPaRmIG 8lpomLzgn3TWM/dkI81pBGT+2tXIA1eeQtsXoIkzoIlv5SQLbNpqAN3LcBD0//B0rGa9MVG2sSZK n6/lq3C8Wwb/046P5A1epWgd2jyrEeDvqiGM+UErKJudFTFRdepGcC939XQrP4E7MoDO+SEurSTA iDqfmpM/SkUItwJ4V7KGmcS4fcgX0yzqJcGBiPa0j4teHlPNf7DcED8YnBJrG8LG6yITgs3cb3+C SHr+DzXmxhFD6318KrVcW7JA++8dF6/eJvYEgkD0VZcoBQZBBOvfWxZmSodpEv7xjXh1Sz7+upKu PNtcG1GY5Y/76wwBiQC3NZyMQ1bAO7RLVGD4ESg1NQYmAiTU9MegpawSUDidHtNDDQZY8anXDdbH VjYOFHlerzoROV0b055LjJFqHNgvzRU3XPMO135t3vyQySFb56/fmfeacG9dHF/s5rXEtfcR7BYq TylqXHDRhqjfRw3JxaFwhSlNObKSmMfge7AwBXtYA/BmEE2q6+PHF/1tK7GyQMX9y/k9IMMWCk38 5ABRtIJj6n5i9m0P/JILEl3aQ8W53XJ0iHyED+OPpKA0Cn+GK7gFhmodzE4whZ3K+ZYjppQ5ukC4 bzxVJFmWN57oTkGkG1FoBjZ67SninqSv3JdWWqU0dblYOuWuQeRxC+19LWDnAkuJ3GKEUbCFcsCP Ugh0nGx14HZDlgvrAY4fz5HXU4ETdf7R/V4sbeG3Q2FbMmkn3N+RMr/u1mpgYZ2ZMrpaCy8WGDj1 bfeYukgIXBRC6gsQtg5aR8UCa4qPGnDe+hbPJarFsSI/LTwC5l5SuRQFdcWuAOcmlx+ELmJKto4v /netLCiHZOAgbu4+6/9SxLs6XUADTkDYswY1sjeQHTAuJfKUW2Pysm5s+qZ6sX8icy4wZOUZ8Shu 4CtzlYflqaiVo+SXIIK4Vdz5P9wsOmq5O/Nb4eGrK+MyczDbJaRy1A/gBJW7LryKC4Z26sTddDhE CckOcr7YyzP9reM7eHT/XbgKIp82mh9aZDNPmG7X8dFamgqbZZMOL64w3qI1+NB6xatbs40W2NLv rm5ovsjdkAplZ0aRK7UUSsVkY71eq1ZDPP9nc49497m072iLFLRy1EzOZ/WKzpcvW0L8IsnbYbhh +8j8waBS01azsQ0BfItw127tCt5hmC6wVAqUHIoRKuekbgtisjLT50XIxYwY38x6Y/OqwC75YyD9 3S/xfsUk2L8VLRfUYxo5JYRZWyWFs+yOJgnBd5h/VcXrbSzr2huRrp4LfkZig22D8zn1gb2MmTtk iYWy+RsAnhi6ouhx40dkwHyPdUKlCyBQF3EaE3k3vqsJoRGqJS5stgE8LWJIQqj5SfbfuVd6krja 46hW+bBKk/y+zmcSgYl3AJQ93uE9dnzOwOmgPl19UZoIpoIrqn0jA3EMa9Zzwk5gK9LEh+sHHk3U I6rEi9lf7PrBM8sxYI75F/RnGVcYDVyuB+k7iPpjFHH2eqt0PDQsEEagaFvAy1+hup0iogfWyHCE tcKfN8479jOwsZ6DIe8uNeQvM+yDv9CV5rvjT49NdLz7Q1rbbgLeIm0j4II36sMmi7ZK1mO16o85 fJAGT3H44etkX1auuvsj9UNzw0QfkEAmX/qol56xdU9I1YXR8+7QDiLtVrxgN598ynIMFW/KXpK9 ALQAoNAC1dc9VnzoKAFd7Y2NAaXm3/KiSKup+4+zqLqOoLVKLDImLGJrNWRPz46LiE658CMZbUfO djUZ+OwpZb48YM4KSp4ay9Z+UmSR8sIVcXMvIszx1ntPnd+JiigFdp9NjoaIla+O6DaHgaOqsk4X Z7wPJtv5M7v7ivHQH6Lmv22hEFG6DSRLDH6q387y4Olhwd4UpJwLqvalffQhyMLdqZLJFR2+35bu 3+FGVp8TPm0SuZCH04t2n/hHTCq5I/GXzqatI1msR53b92v5wUELsggrbafjqFILavxrJE9IOaA/ xQx1u/fnoWVxWcnCU0jk2VMU+qFpwmQaBNwsb9Z4osGFD7ZS9KpiYZBqG1MULOCZK2TNc0vBqKFd tlzkW/2qYF5mxH2PSaUeIXvgEGtervkYoimvoGd1Lu63UO+ooY7/dNtDOqcUsbNNc0ZgUzSBjcyj 6aro1L0yAmgaXtGH0IpEELXznzLTrGqPVgrY2m7aqAvisL4Je/wEIbnWq5dg56SRBJczpUqNIcB0 i5HM4VinW+jh2de5teWWjfk8429W6eU2c1YFw80u5Sh2sj4XvQGdboBjP3L4H5C6wmU2OgRpX+4j U0myxtPKG7utXO6tDpRE8PvRrQ1RaG3Tu0nFuMp2HZPWvMHS1yaIGz0iLCAnK8tcvv7y5lbiAkIJ Ri+eyo1H5Pw9K5bksNXNkuZMp3tvTHwPfYpQsZMIPxn4FfxjTmI+zT6EztZ+75qEI5S4c4WOGM0p GxmJKr9D6hEepyRNJsfBu0+jd2Y9LP8DUFnGvFFxQM+vlJ4PwNoRv4P4gp6FxzQK5isQDYVYhlxq YITxFMD/0q4vCZzaMzMpov885b1WQKt6Q9s1hSQ2tHH9LmdHlKwfdXYA2pPUALnZOe7/hLzdshsM wfwSB/3A8ZjVLuakfrVGBtMS3KrqrC1C6UWbvZSeiqp9OGiM19WoqqGSNcysRGT3+fWUJd/rruFn rXPPVjjkKYykh2/N3mrJWnM9SfRyrrz6+Ed5RA1fuGVM/XWIEia/W+6E7pjSzskJ8ODMIzd4/G3p rqAZeuZFL8JDvdQYk01aQ+ilWe2mBk6X6/9KIcaCre2tNd5+8EpnTMZjDvOkfIM0V4sFxpMKClp3 xALNQ4VGbNhc4QL0jWq2R/TrvgZkbs9rjJBes+dkMMt4jDxPqRFOKxKBLab2Jh2aviv+LJgP4ui8 PnFdYf+2EPW89OCIGch9nqugbh86dM4p929AIrMTCKXWa5W903z3SRFFiaL6YcaTtpJhtuOvc7Vh igqNhgL4XuEjUrUJaC/i+juQ6eYc+gYAtQAHGDdNJRsf7LgSkX23kK3eNawg4R8wlJF1CdKiUKak GDLgd7uY8NixEI920J8pNG7XKB0WXw3K3C7zWYY1uINwMr3VqO9WgivOBoEy84BLrV4fqFKF/RlH PQmMRl5ZTxp/2sltQ+FNpzot+JSG/YOwaez82ibmlx0g1vUhQBN/O6Sc70nM72BwpR+ory+M4pY3 YI0511A32CQjDDihpZ9tX6b2bla69cnJwtt1dVNO7jv7zdErejpWZ2zn/6YNOyBUVakHtDeonomy p5Soa+mcubT16AvDZI1R4lofmNH2QFXOC5gBp1G9ZNHzZ8i4FAKE16NHvJtWSTkbbWkkrNzuUPPy ewjtyRVnwy3eXhzvLTU9ga1C44pJVjIhGl+j2eKfaQsir9Hu+ISauvWNvsFGucWB9qPqwka/w8Oy yEFoe+aAq7xJ4QcI6HTGH0qN+k3tYhLZxkpE9yDiMnEapyIUiwi1CK9P5Gwb9/li2/+9p1Y7UtrZ jNOZmlPOrGXOBsE7FRY1/yhkLHujXDc+mDXTV1D9M5f3jCpyyp26ZiygM6hyO/uhevWRKUsTEd4Y mrmfB7ZtGDm+6HWdLzEzKBziMsbMe7+ktO5/vNtjILrmAur6vWm5iS16K20UwGKzEr2Tc6wau3sP arIe5nAELDFqWr0hXvCVvAmOTLGRfu4NWmOLc5UFcw1WpngLF9caElYslwcLLNocLmV0B7TZ3Ewo yIiuQ1jb334+RgHtegzWXldLkB/HXrZSIPmXHpfXl1ziISv2F1gIwL0rh/YGH/vf92J2fQ+ljjfc EkZZjtU9n6rnHaTzcKG4it9fFWgFY0bg7ILEb6avaRsZkVu+QSsC5Lw7//nOFAxUtPe4B8vBZP0T dIIh/QlgpPXru14s2VEwbsPmcrgEBfP6sDOmsjEC7oT6pbx3Ag1K+64ew0nNcuh9scTC8WvN44i9 TdpusR7YHNVa7S/LM4ecsb5czR/q7Su54eqebXa13i8WrfZwWeCQHtsqV/hheMhh3RKClJhJZaHS V0JpAEs5dvrlBLKvX2RIpomyeFjOLWwqGSbgTagia+loEFYL1qRprFyorsav6xPhvqUYaqZE7cl7 37U3E93FBo4lv6qzmpe9UMnJO8JgtigFt5onq2eaAtkETHFgZCkfspv6lNrkjsbqJQ6Sr+HLHOLV g3AQqqAdnkSBe+Qk4+FpA/qei0Z4KNSvBtHGTUqjKm3+bQyhGFTM+51QW1RhiVsDWS+NsWrL0akF 6N3tpoC4FifXWk4chao4IL2M6fTVu9yzt7Dz8VqWeAtwDPnBe4PkzK3vTw6FBhuOo8JWOO2G3lzX ZUaUcZ51AeIy9cBeKcX9/udC1HtkAwJdGCWkI/joKew1q01kp5zjvrLiKZS7h5yqa+/aovyNKXFB JpcAwH/WHh6uTUpQkwENp0x0UvScfkOV292KEO0+DVJVEfAsF+n4A9Zrbvuz5DvSued5TG3PT/vn 6IlTRqjQXzcRp0ZYOLpn0UXtD+kj7RWbaBNbfJQdoT046SR+1/lFZkIsYTupruD5otlKnjm52KCo yUfVjKbFMGTIT90+PCXebVqflCbuX5q+gmPdqI+p4iVHDaTrT5g4X3122x/GaK5n8sB7f1HNFd7u XkD1y4y/lQWiQQcAyreQDBgve5B83HVPqia2f0Sui3g/HWpGQ7/NpDx1tI6wDD4AWRzAHAKdlRvf qiPqudmDY+DhKFq17ZhUj+N6WboUx9xbe/gnsf89dMn5WV3et+6RYixeQqLEi7h1Gdz/a+iei1yY KEAk6uZe6y+nGnXGMhEZB8LG+U8wkdbuvyV86+VgiMoGEk1BSCKruwMdIdMdu9FrC5tIl18UfJex Q6xqX7sE/NUarFXs1ttPt42hUTqspnUrNMIeoWuiMBaW7D5VVyrXG5Ley9StU5iZcVtB8ctrLR9I ZQHy6T7qBzv1dEeADt+5Gba3pMTGwIn1uetmtO2IgTAlj/2CtrN1CWPaAtxBsH9ONvZhTAiaidvU ATepMF+6i9Sd9ZXONx3bw5DFSTJ07v5xE75XJ50GYtxw7GIdtUpaaqTytkXq0xBgIjXrxSy9Pxv0 Lfgq4LbwQgRneYQFVzTnw7qlLRITEwYnaSzw1sLKCfNm4rwHYHc5unhyOtL63quOHJDeHIZxu63/ uHCtuB63Azke/cwDwKhOMYaSOs1BB9+QH7jAi/iRiKyUQP+AOKhvt2LgrRUOmj+iPAXMwxWMKH/q T46leAPWgBMidKq0EDyABiM7RTvRhQIrj6yPIwZ66RvDAa2i7+F+cST6JvV25W+nP7HyudwBJdYN hLVLBdGxSVhqRmdnG/Z9HR3mdYAsii47jjSuUsX63iotRotPNdC7nJ6NAbe8/NlwFT0QxI0UmU0G cJri0r3rawsQMEWd7QakQmUGcf+mv5/9CbHiht78cqqCVnM9arEi0qSMosVpLCpV7EggJXOepfsp E4QkgUe0wZxRq2z50tpaMTuqo129ZG8m05qCnxoXnkffL192cP2brHVbapN/PCEnWuuJ7ptrbUOu wUOjCAaRxET1s2mE82u18smQOTXY66ycdPe/qFCAdfXru/OKytiP+ISC8PfnL5fSBO9Z4TXhyE4T lbrqaLlKAGot7lsQGnc2S4RsAoWX/a/apcvFWGQWfn9V1+gm0OsTccw2jUEAlwPzW63M96717DWX GuZ3aXebKoUb/uHYMn5yiZXLI3KVyQTgLjYM45ZIm8h6K+rpx0eMj7dLk1FY/rlaNtLapk3PAomd hC5K2qPbl4Dd+hLknzuvBRua4hbm+bJh4HH6JjEZEFf+ggAqx8Z1jIMJtoLLSrTkCJpao7AKw+8C 1ENXMFcIWkr9OBP+SosR3k8R8vieBtHgNyNYr9SyTvwwwLRNAFYqRTyQiF8eri+R5hH9PILpU+P7 KKqWOjC3BIpyYonCUeCHYsn/Mnr9y/390JIhDWw2bVJHWmjkDOo+8kC1IdfX3CzI1lMHxQtAErkq l39rjBDk27yevSwNOMJDX2+fkF+uIQPuAT28mpdNNkdj9nynwCwxjoDuI82IKPBV3wBlyFH+vA/D ISqFIq2g4xdRu1leTlOmuTil0PHf2SdTevojTaMg030ckZf9wEaVLK4kfjTvpEcQXQ2BVJgGj8GM xehnZf2AE/UEpaDbx5+EcbwCjjjVX99b5D3BFqt7yt/HI+FwZQxHNBiO0NdTSS4a16Pxogxvi/4i NZY0FjtiKTA0hI9lZGE/bwoU00y4zpHpZjAQ4WzNuVWyQR8+OY1jGhZEwgGvkyy2ywGirGgxoOPi vHd7rROkqWoKGoir8H/4QbIwW6DmTgeBYK3Y9aL4yCsMkccIVKbNsnPxcvHEm5uDcPtdDvVBJoNh 9S4IKWzAxoe/Afp6hcPhr1uUhtnx82ss7G08ZlHCk/3wqrOyFyMo/GVW9S5gyYaex+g56yBmY2y5 Tf5fMIRjx067M9UeJSkkVW+NCu/GoVfKBD9PZMG1f2X3GsxQa+PP0KGJgY1Ve43+yiqDk5DYW7lZ i4Yuu3Eu5QUvbL1rbmpdVgCcG+GmgKIjAcsmyRkFPMLewwW8Te8LTD4p0U1mBOqa0VIeK0ufTFH9 SaTY4CLNOX12NG1nuqQjFtoftJvu6Svj0dDuvO0pWRkKv3700PIeuO2WjynM79WZ+uk8qikZhLwS 77wtDmhuRmn9B3M9oHynFV3KxQVIf7I/YTwt2yBfXT+/8DiJlBTdckiWyeKfOdpxFkfhDI59nB65 xcfXSVUOQOEBTRSZhdybvF/6uRCw1UmL18yD+CTmuFXwytTEPbpu5O0gUdLvV95UF/tWF7UmX1hu EaI4r0Fxo0D9EX6Qeg9ucy48Aown9jxRPW+priDd00KTJdi0AYOFISoHeCbLagsluu1mEEbgGH36 6298ijG5PcNplMvhEGEyl4Edoh/4rBMuNS5tnXOFtQTy3XAnZrvHU7YSA71n3WvZMpGRIOULHqCT rM+sukgZEdumkq5sDLLlLAY9m8YyUm3LxcSTd+x2MvqOwA1MJurPQZjUJt1/G+/Po0VF6x+RYNvB JbpIepTaJJ2WdpwIpiqoymYhipcReQlT9iYvyCbeuYBpLBIp2d8x7Gck8KhXLXqB/VeR5qShnZ1o WUJdUUaHvwNvaKbZ3DE9GeZ6ehx/J09jeN9F/WYRujeRs+tl+v3VByg2UgMz1oBogMQQCYoOvv24 VUoookvbwvnowEaxEaRRB2YYCeAD+o8lffmR1dBxEFoOtNhTa3affTNjcHoFYQYF12Lo0+QQPXGS j11MN/zCOKIPabMDM41gZ8K8TXxIfteOPqTZIW7S9nq2D/WQLrICxlwf+rnJb4SGPXy4aKVokLKP 7ulMSdZDa9Sx55WwFfN38TzQmxyFx3C/uCxX6dOzqldtQXMz7+GccnxjmRztRYujHsxLABKNewXb Qt4kchm2u1LmsrMoLAt4fgb1wQM8fIM/EjVrsDgsgd+Ed0DrmmtB+OXIAO5YG5Klj9er3wFvf4Le eiL/4d/7YcpoirZXGMdVEbbfyihN9XT6vq1Rt42jQsDdLkxbn71X8h5eLiOk3Na9yJVitLXd0yuF RDZUYgtMy+W6m0mTfITVO6XF/r4xV+HeNaXCn/u9armBW8cgD1l+ua+DZ4FaHjfcU1+RzQQaC6mi dyxpRiR4WIWRff2Vlm7fLqeWosoFb+trWcoBNh3PydNR3U1qiWQFiSi//SGxuShJAXaaHacp3t9T GnTHiZVE9b1eNnUlhv28VbfPd7bHdc/bYrnLpMEAc+lURUSUasJduIMq8Sl/OyMb3M8kB/LSFURF vHT/TfVV/FKyhmwTWC50k6k/ZVuEfPvat9CsHy6nfnmj8u0/pFXxnkNCC4RopLXXzS2CQCJcO1kr PDAV4sWs3Br+EKprcrbfdwCYVUbQWviVKVyS06N61/1sUM88OadhACvN3LLtz40cTP7qBh5xVkDj vcPumWJ55mLfrsBkMq54aKsde1ath86xlRSCWqHvKpC4mJp9AkTe/DYX/SIL73F9i9iRUX0U2Iqq RmzWUivnAliV0MYG6eIaMtSXGAa+rSKdvDq9yTR/9j5xsvFh+jWPVCweeTx38s3YQul+Qo9rwHEm TbLf75BG3KwLmTZskas5cy/1WvdeBnv/1QA+AGBDiv0NKSM5zYFoFe4/1m15XUEoeGrTrOPCwRsg 54aIzBZ4FaYKjzFBPCas52B5zo3dSdv0whaFqnBHLtTKQqyiRlmEHLdfQrYy74ZqZSUx3eYKP8bA VOKjxs7Z+BBxgEzOzngFGtjTb6u1xDCO6XmjE/uVAGbkIm9ImAmP1Kk/nGV0exZ6IUzCer+rpoN/ S3i6i4891oKMdbd8JsS/wNhlsoiQGbzxU8Bg/5WoHSoDi9O37tN8MZZdIF3TK1yfp1dueSmcrQWy kPmnDkjLnKn9pFMqxapMSWvzuEz+AinBzdLecbx5YO8ysmbGuqQP2lmc4rNx9nTmttAjsKsTk88s cCLlvtjl/d3q0TVqHHYBE2fzuJL4p5VPjaSiY/lgfhnLFJQ9axjRBabrf5EN15s1HWqMSiFwNaFQ ZRhFMKtZzg9QYCakTdDXYmZXgFLhXvp8jmF3eQphxZotuiZ22w4ORn001fMdhFd6xhazEJ0un+MH +HZzXECd1yNVNnSo0UYe1H38c8snS41LLByYX3HjNMuEvXQ1nE9lY/pPIMJaiO4+3k0i1yDfcRLA V33Zn1XfzaTkNm15WRC9wsHNUlfOBTIkWIvbwhlMKrMPAM47i3kCrnlr3qqjHMYm6bxZqaRXuu52 vo7J0qRo+BXF7cN5dErScqPtoJVAGDw/z8xGwMrL7z5nHAHI9W2d9vdURzFT5j8nJ+BG0YM+LzpL n/SSkbxl/j+FTJXp33uWHtoiWOmU1eMoSXRk9djxla5iFW1+T9zieqGErRJ6ByLNR/YLW4hUtCYs VAU3lVVivkW3fcLNirQGW+4wwe41To7cdsxUv92cyS8fNzHaj2JZWxPesQxcfrLfeL/X+YMaP1QY EiCY0By0DCUoRlwVFoYfTlfAh84gdjorf0e6aTo+HcrhcmQj71y+VscW03NlE+SGPw76zYmnkBQ/ tdundfLnwapGkB6L6i6bdo7zh4mwNqsjVqdZPQ6AF3clcKcGWh6rXgymFpda5Gdy6/LZx3GHozi4 8NwaY6WFt5oCoigQ+Y7seqXioEJmvrEM/PBsw4MUIJWLoQrnbV+p4pAht5/EuIzfpqUn4pay2sKn l5qxRE/t45jfrhANeUk55CDC8Y/ThJA3BPWirTYGlFGd5oo0ftsBcg+d+lUD8iV40FbZIbx2zZSy N+HYTOVrwg159NAQ6TZZ21a2FtgQtpxOvkq5PzdgZ11SLGD5/eMYTwW2DYQ/kjX+IM647mHG7v9v dKWyWEO+Mt4ao3yAnNocZOYPhyX2zPpP24diXN8JIXqvqdaDZPjOKnSEGovSr4MqODyYOoiUqbii W6emcmPtVmghpo1QwAJdatE+wV01sUgGlh/YJB/WrQIfdWOTeH2TpmZvsSLKpMgqNQa5yEuF9CUj Wh4bSDPKqjI5kftQuLKUV2UGh8Mb9ZA15OUI88ML7BJX/+ulsY3XzSHpOvUq/xqALbXeknQCdtLz 45MFfkUFdF8cgb3LztLN9+jPP03O0FUe/4v654tyaxOVVMvzOEM6Y/rYGf4/gVwaUPB36MhVxnS3 s6aVLtI2xWW46vJjERFlrsbzegRBM5AIL4LwjkyMa00LUYKOk1XBksS5qnZM2rXs9YZcDYOZX5dW 0Y2NjjB0+r2RWzR9DBGgeDO3wmb0VrVqKBCooGUIUlnMOQpnOwRm1ZOUloZfIwm8gEJ5iF8M7dvo Eerq3tNmZzBsZ/wpq64C99mNXlYSh8HBIa7xoy6K+bVza8lYOZBSvXn4N52Voj1lEssfCk6NGUmq CKa+KjEvXVfl1QtvxXymbde+W86GLKUqR5mWcxUAFB4hwQdVOHwpARZJi7gbUrTKhXekocUa0L1d EZIWr7nKnK/AqQyq1HpcsbGKPwnLOtpMCUcC4KVZrML2kBibTGTzZK16m4fPqAkvOankdPYO7H66 7bTvUiuZPkBitL083oXWs2Dd4JwJMfBtETd1NyuYLqTJA97U6gdRJ7iwhtwzXMxpLtN1EmVJiFd1 WGRFidy6z3i21oGZkxdAmZBa/5I29jQHeoKPHQJcVc1660MB98ZDATRisK4DP2hW+8q0ZEokMWk7 BEmZ6cUG2OOk552j4DOBoKx4gOR9Pbs2PQbBoniV5E9oLdQjG3PYCPSr99gCyuCqzclz75nffCwr 2PYH8Gal41vS2raWPFtp5LKkf3c/e9GGMb8ro7yrcdLjz3Bs8jAxWGikWJAzNmE7WOQ2wXydGem7 69CK9TUPyQm521z8WWc5nAGRw2T1F5keVgDA8WKjG1ALnpbTsOaf35qV19utt5ikq/MHOVVEXaET KMyIzHDrR4Oy5+xtBjUeUTcSo2SQANiF3tWVg6ZfwBhdt60qCmkvoJCjD7o6psqdcxndjU7427fW ksR3os0WCrGXhPugKbE2hEeVq3veJmvZqmN0lbkga2vxBfqgffMURZeqRlhM9X5uQYpWOK8XMBXe /sgo8gwKCWMpsGMV6/eLaS7lMa0haeZStNrRMcDEjCL6oFjo/9akVmUpbiQk4Tp/oaFno6ZhBU+4 P919ZEUnXdYDoF69X68m08ViAMBeAyN/a7BVx9J3qu307vTDvUsDKm84Wl/Fe6sArrinQo1Av8+n /Kg+gqYQvVAdOTj5R5ZrjPX5H/2/reWGRcytkOuUEoTqA8i69o8buI4IGPzgLc354FAkesO1hHDZ 9nb/6v8UrkKpYzZq2jNk48k0Jc+IHgezBmyMTTjoohcbw8M4a9DauSYsq3NJyRB0VsaKOagjb3Ti TnR1lx+oivvdjTcZo0xPEiR+i1rOwBhsFCXqivmqO86mmKc4arMoz/ScxEbrCRa1vZgFVmJyxeyf eeOe+hLKB0PsUjena7ABDUOYoIuaDIUmrnZZVGwp97AtsXDBtm6NpSSlmXJA2XoXtYmeLVlhISBZ gy3t/TAyWviPHVVcfmVxJ9O9nigUFyo17dwN76g5yl0RPNIQyMoeg/jtI86UxnKQDE4hX2HzHgk+ NBD4Ercexae7NvbXgzx9rDKry4ycmAn2F492rtwMAJf4f7i0T34OK3GTAHTb5E8AzUmcHFhEt81X J40XcDA+hUfHkdO7UxXaeWCOpQKfDFAFioehigL3/e2GFSkgMAHqM3u7MQXGMcbE4znnF35ZGzFC glXJATnnmxwEqK8bvBblE099bMHmiR1RWi6tzWmRXgAS95Eikwv+8b2nUuUH2PXFhS5DxPtbrxX3 8+TE8uYoQKguXBWjDftG7KOYvfxH2PwW6Kiihat4JqbkDDk9eqjsJ1AV1ZryqKlThiNdj415EbWE BX16+ogKhU9e5yaFC0DhZ/PXe+LNhTYO8X8P7tyE+Z/4W+MFJaFMt7W6TGOv/SZgFyKtpUwOyOPo OvFXLeBJt03ymoSst/aZAXWqzJLaK7dmg2rvVyRZZ1btBPdDF61i6DRPIo6IfJnXT7rOqQwf7FvK kJ9NUhD2kysQMmAk6LoF2Ee5p/ot6WmBJL2wkM6mQWb0bs1UadFcrDduQPlR3KRQx/T3kS/lIYcH 9OVIb/ABAFoU2KYUnbt+AL0w5lAgcHq3Sg2ymxAxEpTWCpq5RPjky8sM/eaxsPB63wQExMHg4Q4A LNHkstArnJDSBZ/a2yHhmL4OwKRDtdt0H4RS+CyIY+hNR9PAYCHxx15V7kaKNrnrYt9HvHFVjPHZ cMgbDzApbUVZ8oTTVTyUvSW3VXE31noD/TW+XFI93xcT9gHK9C/+b8DFp1sCEZL9VXhuQiXXsE+7 mjhWLE1YZ/1BWDTZEWrg1z5xa5mMjhOTNlduPylZ8hXxws3m4WdXCcAitlqdymoVoxUgE/PNA012 7z01nxm/kiz0BQwSD2zS27MQa8DXaF+5wbYVVhgncEVYgk8C9k+cNzwqx0A0ZtSmJiGkOzPvtfXC D6g3tnpF3kXCTK1Q12GaeK3xf05T255rz+vnOfO1wHrjnziG1euxcHFJEB7UH9OKIw4n5rAFI5wU UvF6HTWR4j2uyc0ulAPAKc5UQ61O2xUbeKyvVX4eBTurAeMR9bsFYgGU8QZ9/PUj+LJcjPEKyZd3 a08UF4ZHIzoSlrtJpEzc+9bUMwx4BTJqFMLTMVwOq7dXX4FFIpHlHK0KG/g3BxLoQjlUYlKAREHR kmU8SxVDerWKavJPZR9TyR1GjaBdfa6mJhgpCUIrCxgRcOGurQFfPbkxYab5BY+q6HTGiAN2Gluv IsztrMJ2NxAtAT+9h+tFMxPnuTupCDbV7JAeayGl92WwqIcs/i5MUop7Du1XsMw3CbdSizGAB8Nk t84UenFwGEwwIe9LQ/AyP1o4oc9p8n+U61mHBTjDfgfsduuPhafEfUtYP+Hu3jsREWnKQJgpNpLI geRqH8Iv0z9w75JUarMd59ZgxqvqGlkHunRz4Iwdag4BcfntxLUc72rxUjzYgaDq1AJ4BtriGGiP nthpSEDlHVGrClkwuJy+jemMT77uvchrKEQBEbCDkFDTquTv/cYCNY9pHecCjy8BSYpKL3hMyZR+ eLd5ec6LNzQP1mZ5MBKcO/l0XrDzy4OE0RWepH+D7aciP6Yz/z9swMo8lOdOaFf8hJ+FU8tUgpNG NMsCWyXoNe/YoNVzlliq0gJS427OeHP4BJMuOK8nwEhkfSAHR+yu6e+hGAu4rXOO62o7h4J7ao/D sqvAQMBF2ztbMQeN2bqWihx/9voi478qtnpmN+aPrYXQ5XRpIQD3xeU6YyC0aNDFLRDMP3Xc3vIR WT0CKUZ+1yv/7gOqNZ3CSZ/erpq2okD/wJaJd4QfMvL5mUs2l+yAdnszBlGcSOyvxUlk5BrZ1Fiv maqJ+KXrGHL2z3XPEXK5f2X9j7hf8Uv3pSYUKwxpdbDg43ofij7FR6JRuZ0qB61h1MzDM8HNmHaX OydemWqPWAH1qukutjWk8pI8LUhJlHKb4hn0XjQPs7Nh6MuPALs/7jcgdw9zXcr24/BbD2n1hpe4 hihCDwHY2ShbgI8yN/q92vKv717A9ggZRQpj/mZS4/Cp8XuLXTNhiApaQsUJZhBgjYiDCUQ9IIo4 JNPhmp9KWOFPTwpccawlsA+PTqDordSLmYes6gyMXAJJaI1EvI1F+x8yhevjwR8CAmsmgaWN4CyW 6OrufLTg0DSmn7xg6JwvGfkfYGCOdzHBF5ImZYStakwAM+soto1/AsAfkYhhaDvuy4kCdqo5tJ/E ++MHKTxc51a2FMaZLH8DVX6+G7l3tVPg8otxSBEffrTmlhvfrfvQH5BNYx6+ufoHDO6dl6uOh6T8 7zI/uC3/sKVRLhCdR6I8+TafqaLiYC3LrhKzw43wnVrj7vCQItnTELS7XhMEvfArIcwRbiDPLr4V 1TLK+ELXUhi26N5DLHdjvjEXsVUupSBPoaaie3b/rjiYXheMdVpmc1G/eeN6ZZ1HYaXQLDYPPfwg DPigfOjuDmETiHDOX2JoPuVXEilvIvERzGQyDqDTfvsSQsg73Hr3Kygx+5RhpOYYwCwG5JtQqlmg OwPYxlg20qLxtHjs0JUhkp/AS6HHKWzNiRetDleKllHxyCdh03YArtt71xqHvVSMZhR82by4LtCF vB9IfYP7fFFpb3H863+8g3R2AzqmAbCNbcz2Ei/xJ6pdQY2I0qFDIc13+e6/AHNbLJAck3lvxz1E B+p8xwUQHCvQ0r3LYY+r5gIk1rqtpV+lRWMNK+tBdtoQ5Qd3a+2Y69tSFuogvh5/VNtwFM5YWZ7J 10zS+D3X39O7RoXOVXUqaZ1AwSJ9RZydLZm3NjMjlqQrL2eNMxfYyR0vU8se6ee2XvKZpEK+gbLh D0M6F6W31Kk+vzvv3bYCSFXvfI8NwmlJHRGjeoN/LqJXISG+TKh9ZyLeoERuPaj1CMqmr89CExF3 O6kIqkqsjXOTu6m4gLAjxEczBnW7HlN3LXTuyIzItBumxZjZFpnszimPeYdPMcMarWJhIs9tIiRL AmLoxnozQYXaGQsSxajCwnQzowNZdNttrG9lzckPEYKJqD5pFfDVwti8mGiJyyt+vmHjIBkmrCDL 3c5afVvVzW5vgzzwgy1jfV/0REaHhB/QDvpR2akIw0H+aPJRXvKaftp3QVMjoPuN4/S+ekffF6we iGxhaNBPgs73/JGts/Xxsj6ZoF5+He42dJehyA8WFULY6ChTZ17PpzUslqwjhkbDe3QKnnn8uNIh B4t6sH3OUtNmNQLPoOg+CFA/IvhVUktSpFhXa5LjPSMQLq5MyZIWJnDCLguGLtzOSHDo8bfqD4pV fjy4KeIQ94wbQhadbxkZFRpi9KbeGQcPY5L7vlHrV7VtAld2fozbPdo30mgofSmOi1l5Mo4retbG 2xy5xZ773zuUFzNpkUPfBntJ1S/QKI1hg4G3RG8smYk87yq+o6mCoYs44G4V5t9w6nSLJJG9pU8p Vf2ravapu8T4TjhOZDo6tQlV+jjBFrsAVaFUPNN4ClRpDP5twp35DvaZNrP8+qlkljdyPh3fd3eO jgpoSl2CaAgzNbgODb3Z+JH47BQ7dq1/uSEa1OWvOlP1QONcAMvJJeDTTFjvnk+/i5BiushO3lqt nctbZH/fYXTRfG+XXuWxHC/A7d4wa09lW+x5q903uee1sanuGzHABI8qxpQ1cgUzkGgYYTSA2LqW MQ4MSG41AHYLLFmyDG6ZhzZqQTBeegctM6KVntqQHWJqJ+xRYv0Htu2jK6NB7+61j9AGQA23+zA4 rspdAAO+zTAJTniy1wSAWxdjEdcbB+mQuluHicWf3GGswECluGEbVxMpnTa622uCFLIdp5gMlzDi yCCf3TIAZM8ZJMPOYm7Ca2G3RRYyViki0zRfGgMcF/KdKlaH2TjWUjJ6mjsk+7YXQ7BqrAyUzohB KmYS9CyxcMbpLsvt4O+W+vKhSOwDsRH8RQz21yZ50coPq7dIevpR8ijHYMUQP2m6BuNXIrZjuwJr K03GdNSQA78W+/MzIjmUUwcDKH/ICeSQxiIXuZc0c7hOingBFSk83wJ5LZbNDHEkS7xRDnILJwV+ EeGCXqLLh94iEPpuV+V0ci892mJTRNBuBxGAVzJOTUM/ZLyb6UEX9n++LHBSLByZwewVQDjTBd2r ewHsti0j7TCrrYNLHJs5jTKHQr631teW9SnQHUrwQPRorWpVP2fi5llajoQomFn3o+/d7dvzXcUe Fnj7zE4z6tuQCQVxt50hQPANg3CqGhHV6ToZKJ+cXJU+mWsB7/2VkLnJpsLy2D8KPQRRZn+xuUxK fes3910YV4pWW2oP/lufH7KU2vzJ6Vieo9GP3RvJ8NXRnAS4F5XSYTNAgoQC6JDjhU1qlpc92lTC t97GT+LCyW9ibpDrV/T3Hb6Qt5rURV1+3iN9uhs/zxxoqQBB0dRmiYFxm7g2KlVnEU8dhFC8QKK3 NwOlFKWTzT7rqUp69LNIIvtiv4LDTOyY9eyv4BDvAY4y4ItnO3KKKfHk/Id8L0lIBjvqGp0DkeXr igyakeNyI/3kbMNiL6gTZaNn8K+cjfXc48KjRTgOOwRsugeUJnAjqINwy7i0iIiNh6/KwpXLSxdC c0HEevG5oPj13bPETmO4dL9sD8DbyuxJ2Vs2R+Md0HZfcU4d/JS8AJRCq6fBBn9DkQfoMLXytAmu Uqmq5JZc+T+8H2hDzS+bSGII7jUzEuyQ1LusVRkxXlC8kjQnYdGjynXNPCAdXpQSlc0XkaQud2NU iKJuptYEx/NK90X2WlGEUto2buXkrJKFRsxZeUxQmzdoCUbF81OyYQ4hgBtfeLwgPf3nurFKacyi TR3sY/n1abzs1s0S4sgYYxsNl+aPOzFbOkVmqW5p9EONUEdkxut9e/O1eMNH9T4TxW5Fpmh8NoaX VhJwHcx80neyy8MMPLz3O6DoRK5R8OQs5MveyVmcjTQeKaeEhmfbyog1AO8F3NgQAkHpTipKmmKD RcsBx8V+9zca1y4LmpUJQUawV9X8xt4eUR0zrkeNp7BmuseCaVNNdETIU87ngPCanJTqDwYQv0Fp oIueCQlNPePEjWVQGSAvAJa3+lGH8K9sSLgW3wkBvUo4wzg9bDlsXhiX84PuSGvNxORecSL5t/nM Gmt8DCUSUr1El8A/DdEgjHgncr4u/0Xz6FxhS/yOokmKtniS7jj3knpQ7ycwLqlRq2Cuv9vfXrZl tp18AV1JLk3ItirGDUZXlHXdFSGd6bWBI+Mt1Y5Jw7IAOODLtuQWXclqSSiaPhdrD3neitaky8/E 3p+iBR+jFhrIgKhsDrnba2ytj3w+Ir66xCN87mbWwWYRVjwp1RK1gQte9pTfIHrI2EIpXLM2H1yG mHQ/0CTj21a9VkCfiOLxl1+haQE3DigFl+PsWkevqIU9tCEf0b629hX5YzJCTBm/ZrQztQJWjuSi HaYV0LDQm20u+6gB2NiN8Kpobi5DsXnc47WzSuAsz1n47feVPg/RsNnPHl/Q/8sj/94sAwdLKhX+ UneaWyeZ4/ZVnQi3fOvapUL9hKUTxajPOYrEtlg50M3pyri6S9GAg6j2vdiDJwP/by7AUr4uy+Ku ZJ4s0R/f1sTA6y8K7fu952gan1e3CuM7G6H3ol+E3G8VIay0qBNW1GfxebbG12ceggvNfk9Kv7Oz C7hXQZuEIoe8xWs/0lMeDYhf8Bbwo2oHu/mP2HQ5fOgxsCj308uuIMDDPvkzOyjCJnmW3cWg9r8W PwD7gUxnNtsm9E/fUOpdv2HnFi5WK4EDDVPnlPQp152l5xIwfGirGD2R1d4TrehAfjsYdNbHBm0F bLgiwE/6HKLFu2qqDOwvCmrTY7lKUiHAON1q1Cj/VpYTDpDsn3SO7ozgKQzzuCbYaLeoXF8d04uj zmX3lOQU65CNz58zhWcAXOD69vR8tfIfoLc+IkY/v00vPFDP8mR4vA9zjBnZfIq+Vh1x+rU7ncLJ BIwq/4QX7hFi1wYU5c1tyjE2LE1hVDfGXcQOStl0ytCjbHTGsZQO4syRM060clb1Nv/Yiy3JZgdz aq2dEDtHQh2KhiYCsQUXcrlXmt3NDRL1i3FU4x8bgLVtJUkTbCK7UHctB8SZJF0nZyLIvZoT9qMq gNYhOuN5AVXnSbBIIZybjb4AsbdA5x8Lbz731y3MesxbGWkdD7b5qAIg7ddRFypD2hEDlWugNNjM CHOT0boSa8kLpamzC1uCHyK6NLw3BYXiQZ5UgErAbe4FwXvYZ1S37QJ3exP/uDZFOn8mLIARERYj MP9i1K3PplAMwEAQMP71XkzEsRhXNXFSm5LNmWPInTvQnW+Qspzi+fNJl9duKFrjSSismkv4SNDf XDNG8zc5R4gzZ9kFJj1xZfnqUcmjEsnQYzIhITaP14btywanDrQRXO546hapn2NLJGrJmstqgvAZ utJoc5q5+NA5K1fgEPIGoSM/dPq+GoyHb5CgSvNDzh3tGwtQ2xb3M8i1YFMeypWKSOLBqKAfOgH2 sf+4LZTkPxgcm2FaEmMYcum6EwPKsgadCBDP+ACjD8I+zxezPLcGIABPmFNBXyY3zJHFai0yl5im jARHVPr83S+t+ZducKKW+lpcGZvxQvtFeJbKmo7go2yZVu5VuQ8YSGUnyUc332LraVfGo7KMkPPw FzDyr+mjsk3sYk8BYVTqdIGKOWNasK7AchbzfmUPGImEMqrE69UyKFOHuN+/J6Iao6AXZfqI2NAQ /QDc+1aSS/3w6vQ79Qoe70toKsxnfHvU350V3LKVqCsp1XqYC8y8d2yd9tzT2XJmXAJT4fogRV1B hXZG8U7IbDfTwz2tjwdBVY/7xuXzaIRc4PeKGjStwvBXG+6Ihc3wnfPWvHbAEYzt5J5zzgXoRMTF 7KXD/dLE/jBfVLcoiGRJ7UdrnvqIU4+IF6R+2IwWYWFgJH4sn2HffRqTwE8gkpolelkFXB66lHFk ElwLj26xjJvbkCAr71KaTFEu/IcSBYzxFhrOwShQ3kT4hlJMeibdmeTxWVCJkuNncg5e8i8gN/yG FRisYZtCZJl0lvEJJQgaozPf98sPcnyz65DGdjUeO9iaJXoY3Tjt3WYAF/dhNPO1Edjl2NwZE/4u X5ztJhuMaYJXWkfMAv/W1NzIyj46WoZt7UjTeSL7JZd4lCVCrqvMInGdI3C3UZU6hb8L3HN0EdpI cQ92It7A7/R0hK/1WTbxQ8y6m6Sp77KFgXQX2pdXQSx6f5TSK+xbNfvgWi42FsTV934d0t7VoUwY WSDfXRkcO0KaRO7Zaws9/0UZ+pLykW0T7z/4CGRwWec0CMDjpOtaLpsAXtISgtzAUS8SYl/LVbf7 MXcu16hNv9uLqsOIDY1mnHmghp/htYN4lNORYRN0z6jMxYxovoDICEevhGNh6EeVyIXf/nrALFtm Z1+iULMocHwY4N+n8nsambWMCNfDENMaZWft8onjT74RVAy2wsnyCkUILJOdzdW4UvT1KwEzQLCf L3sdN7cGqJZ4Q4GfsIN2N5AyW53TiKC84rgDE7RJXx2PMxJF+xSlSws1b++oia7zOY96ZzgFrCVY 2gl88XVZT+RJafhxAQcFDFFrzUYoKQpbwWH5mVjozPnT1rJsDY8Op6tGIstT5XEtUZEf7rQp+8+4 idSLMQ3+mALqA4ix8ZD4v7wDINftnhmlgM7HEhs+M9poO7npMio7NLcak2JgDTK4volvHJyHUg9C D/4aPBqyqCoEXSLL1JWYUb2LXFdePFDi4a/imPlSuTEuGN/hx3HJSTnEAxvD1jFrnS63mEo6zRSL UnlBDBhEXvnJYrmMf+fqHkndOGIS+8b+y+djj+VMB+wjt3gNllezVPlQYPrMVd7BE9fEMNaPlKBB 2cQWKRIOwJfprRILoA2qbOL0vaVGPw5wJuMngAfHIyt1al4/ooZAMj4CEfewL2KoeLZRhStM+y23 6vxwkfX50w6XinAnQqfynzE8lvApL04cjni8ja+8MkEoi/P+iAMB0aAHmrdOMzOGeczQmQlg5d65 ITmNQRIB/WLteroAjz2ysO/VOVMJ/AJywac0Nm84c2rzvdeXR1PYTfML/Fvwqfno2nOH+lPrdqrq /flRUYwax2OM//tMMaa5mbXWfqemis7/jEH6P56GhgpIgdje0dXczzh5TzqqqZD+jD0rOWyRcV3d meMZArEbnSmAupVaY6BMtUZkezpVD9esQxjyetcVwK2MVvfgVQ4eyYHeuduyjF6DOkjDiitUTO+I LQu8ZWmH0WdFiKW+nzBz6zg4hble7/FhJy9MU4t9mhKU2HtIlihmBK2+3SQvmaGzBHjRNyuB4o9H j3yAAyf64cLwkaekuCwD2EjCItshtPuGu5o2wDsULPWydKzBvIEZtde40YDDjOIqpDGWWM2f+9ul u6ggoGIcGaZzhqmf3aXQWwS9kBPk7XBwV720KD1ephuJZLtSGncnCu+4jDryq9haI3+ll+VUXZvP /35qy9l/gACl3hZkQIdhT7xn4Mzlg3QBNCQb/UEOsCKFyEJQ3u6401OUfKYVVsMhWeH/ldQOlbne C1KkeQVXtReF6X5Xj0+yApgGvtU7ct0OGJG/8YkM3YQYNH3jiR06jSwpvi3oQadzMxA5Gr2fCdwH 8g7Ow/uQEdKIIfk6WBWgN37/hwwfQ1txq0bfNExt78nX4zKrvH7ZaE4FN8T5m3o+7nrFy/sbA7Tk pbDFHWkUjZHL4CWWiEBxWbBfroeQEpJyvUqC35I4Ni7JNdqMtiZrhbhNoRbwxE7CbKK/ct0wJHwJ i+csMlxQNXdsA9+SaBjL5er+rxi0N2Mwi+1wy+eWWPdAJPDikvd+TIKhPlkbghZP1jKMIKm2TYZj /KLwWmTdaPmVabhvoqIbHfhmvhO638W2ipnZHD6Vyhb7Dr3kaMJIr2uFHa+zuZYY2GmK+Px04JXi O5mruvLyZ7J32e6U1W9fQXXzck/acnFCFnSk3wwPsEWBd4i0MNYB6HJvvCdf/hbWd2wrzM97vbxU uF26wTgXphjtG1aLu8GTqRbXdl9oQNfFOpLPguayeqj+xcqcfB1THIcUZKS0OBv0DnLKxX5CGANa /uZijBOVZmEAa9N4XV5VyD+YHQd56FQL9uuhJRMBHNWPhb/pVJMOwZ0yzmGE1kzGeWJf1JAM45rW gcAfVMNgg0zK9GAmOqavsoyUhzO5/1/wlxeA6YHLzdrjBW9HZLhKcK+Ll5TT4gaS1XxjtwvFNBMZ WR3kNaNm+mgZ6Zf53RMJ5uidYdi8GrUmuR+LV2dwm4mnTJJ5eJuholdFbeZpwLjyTarN1uyoKG4p nb+1GFUsrmkxfzMA2qdBKM7iiUa5vMU36uuAiG4JA+32jo+mqYh9Ulal5/V5wL6BLW4zCIugyp78 bKyvMIvQpyssGekeyREFN/S3++wCawPhMUdT1mJwHCP8tvZqTjjAV58wAOoRO9Kwz+I5JZAf/W36 2nkb6q8FzfFIhfJTVFgBeEUjwYzCgzWlpDXgtlB7OA0i++13eLW0eQ4CDP3M1pueksMx3NFD86hr iEyAvutuoXZcukJhgcSLjuMCNJhrGimGTbFrI3t4tRilW1nbuQVM7OcJLFmRs7hfVADIsyHTMNms AFQL8YZ3dsK+00L7AMMHpPkzAx3syoDWCbYHYAr8vGFc2IFtEUZ5o3ypNU7mSb8LJZeJrbxLV4we /62jOnY6Ns9U2TW2HDeGqJ50QnQ9bSuCfcgczc/qfDyctFIRtauMDDaH7hBAR7AzjuxrhTU7xU+z abPsyscdawXOeJmKVsLXCNwNiSUJHlLxr4L1DtqYd38IzBnrJcYsIqIO1z83048t9RCGtrvSGYOG WvKMXDj2OggGfZVw6kz2KcKlnV0x0RNO3TWnsjHlLaYM2kZgfn0ajbGhb2I7O1GvNblxcrdL4zgs sJN67Yw7Qnnb1bUcp2ad4uYz8SLmqYcWTHJRGYWgAxoPqD3XPjoi28U5bRVRZ2/BeDLkPm1mzCBQ P2yxVr2EEXciSyGTkCh2cBHgMuNdkQzphdvazDJxYyNv6NWrUtA6Zv5NC+zKe7RSbQFaLh/780+0 4iTiAmyX2UniWdB492giOepkat7SWmRDf5eyumTCcAC86TIX48pDCLv+M6dGWDMMVSYWDUYJa7cp LKp4TJ85clZir5oK7DWnWfn57NvebK1tnr0zFy7TayJIv1UO223+Ts35wVVAkCeTUiXrR/JZdbH6 X+WGb5q78BAmhesA004J1zT7cbGp4xh2mdD62iRgMTaTEYyuT73jR+b5XlpKb1gOZ5dJ9IxELFX4 PdeJTwO8+wMAEKVoYL9cow7wHCcb0peJKKfda6D5PhFCRKiRvWlETOcWhWg7Sj/OWgJpcRertvv6 HXdjNumMojnoIkLXXeVdFBxj08DoscOSbabLXzB06G8v2LpD3KP9JVipzOp7IA972/vXzK75oeJu s5iWI4a68hn5oVuPoXryQDgb7Z8JmNwlLYeiFi0JQUpHOISnY4cAJPews+za9S2inkb3UcGpR7+h f9lsNGcBMTu8dgBahxZZ3I/gWs/3LwQWpC5KNQEzMxuMvmDlHiNTSaSyAgNvVEPxBQcoBuyfE1zD +yqcXm9CdrZaxze5MKkGDmjzRc6zbH3JUzxD1mDcGW5rG6ee73Lmy60JkUBPiXv2KKr9oaDiYbPV gFKE6146cUUglp46R8SHwK2hKsy102HCQN50hSppaGoQDgnzOUSxgtorJrY7D+AlQAaHP7L3jJ/k 6TqEBVQFQrSrVvTbF4v3w4WxSXTYUczrsM11i8pw1PiknUR0hM0sfkrj0obI/eailRZ0DJTido5r kbcRqnPVc08H0rjxnou/t5piLan+D/y0G3ueCyaJ6t4Zj5r/Bq2B6VUcR4y0uooOWTIKMFtCXVr3 urqTLSOXiRgnhwtYYr/O/iVzA8LBUyJSLszsRy2Pl3dfFSUTDT4dTZIC1i77Lbq6jEw3BWSmTaMK 9IOCu6Ix/Lw5Dff8o0/FGRZU0ykq2RqNBFNDICMnqnaWSeqS/L5Fg+KnCD5SKE54GAhTU1Xddxjj XkOU7occTQmA6MXboP0tMlsad+Ih3Ldv4vA5cMCKeJKhr1UHq2TuSmWEfdPa92fTv1wlX1U9Y+qn 9sSZ57eVqRgSJwGshjCT0LGN1LhsDnsp0DQzcD5TGk5c3xoJjjnV2wel/f95wNKSxwg+ECNCBNR0 oEcl/cSN0SOZUHhQNi0C5vz8Ncv5Tl+s1+KCH3i/1FkVNqod+ae7eNH2yXQ43poTjpAluWqtrMTs jI9d0vmeLzEf3cci0HFzdkvTEZWtUOnhHpp/iwLs5k/BHAYS0akHU0ZjBT66JGqry+WNlCnajiV8 Vk2C6q4i65/cshtGtQRxOSmfxiGQxjaeeGall7HN2VyF48eEtIxrmE7XDVdxJMiR7YA6TyV89RJ5 NB6uNBYCxNKy3cJjQtSIK+oHR7RsaNoWM20x7L2OYgxPxDxvVrRg/3VJXVOCBmC+U/DvFh/HMlov H9ZdegfBbbJ0yrYgfRKmyEXhAE/UdMfdEakxQIUdabqqkS0WxPwJK7ivDBuZ9ggXHgE/MMvivDnI 9b/GXQfMl5mSRwhVtF5Yg55jYcnkG/4F34lOfKedCLf+0rQAEaLEn3PvJ2SkAgb48qNOy1jzc4fE SGzAnEUJHDLDv/xWAJ7X1gCt+mPX8niw6DM5z1plDsAd6vjRueLBvJZuyPWma7lbirPnQEygjZZU pVuBV4M0xmq1lkT2OkLA7rf+CWrLEGdUgJSBk43to7FP2l5Ky5XFr64f7nV9FnWFnR07EN7vXiF2 OYH3Y83FL1dTE+rQ0eCIW+FYdBbZDxHXz/F1/AGST3gvyYsb+sLXgEfOfhcHoQt1+jFF3olscYZa ztcIi0wADu++Pd1/oJEChI6LJSBRbm/UdYGf2LlRV91f19JyifyUEBpPqxZyBCRerFPbliZ2nJ6z 0TmBVWeOD3sl9uS5N3ebq+PoOAzyozlIsiqIU2Hs0LgYTwHKtFQLtTQLrReJs75qoxincX0ejUDm YobFZgaR1bLFtHe/ZmJHXQs6Ry8QCmttSw3IjLa0IVbqUp9GXGHYEk7BfH0k8DeGcajnpSmMy9Tc +LXehDdzsvwcpM8IAe+k9kvtUOyBsl39WYJM9hUqZviA+wiLHnG58xmx0jd5UzZdpf7I65ikNRco WDk7TXzsU1hSbWk86ehDElNGoO3jfVNSp+9NgBvn3LBqYMVaK5qupon3Vq1M/JnUI6yRqbhGw5fn hSE78sY+NBCVdHymzYbTyp2HdweN+O91U85/mf2WbdzOtXbLzVrD2zVMZMdTO6Goh3uCDyEOt5jK 1IybROsw2XFQcNobHUJSKmPMxpIRW04sGhpy2eJ8B9EaI84B50GesBZg6uLviM4HfLUbJVXHffJ1 yA+35gvmsRDAIzstppapkLwJseZEMeVBTtuOJ3YArBgsIAp1tFsHfrAclHGOG0rIp0Wg1wtyyI/n tPvh5HE4Fa2MgE/UgUtLZD+m+bEXZz0PiNmwTyf+PXuCAAcOl2f6maASuAWukpbww/4rPhH5/kNS biwrA54O/osvzG6TyNNu1YfCaIFNfxfH7oVoq7fTf5EBflfhfZHbXIDmY9QD9SjBqyWOYfPgCDph 9WQpl8udxg3yGBt8wQCOEjQbMbwph9yCXxBAikq34gblhg63D2wUZjqmy7VmzTdM/uho79JJJH/Y ALmg7doHvojx2CmZ5MSQMi5HdR0Dq7OtH/GGA2abtkBonT6B7mh1IVNt62m+ulRvyyG4E7PvW3Qq 1L26pOAezSMG3t8V8OkzXjZ/91KvZ0siaymPc3wBv3ua9cuIsv+RkW7kRYL/GqhTwGkLvWnzkiry 9b5wRzYkSeTWeG0MfgdDfjfl4fa7fKYH5LW4zbf7pa4h8cuIIepAe9bAxJXWLiKg4QWMcCPXH7/C sG4LdIjRRD+2SQZ+WAsMPRwpLb3JvTXLPazlM1PBc3UdynQLqghCP7XDbPVBgdcKsKGTe6ugAIu2 FsTGJcxI3a+oCk/Gm2Gex6LOMiG4Af3HPhOkQhC2rcg3vAPXVchrExZAIewT45I7J7VXrzdGcY4q ZBeQdtAMrJKQV0Hj23RrVzojMNZe0TeDCoPEpF5OlsIqU5QcA1xK0ozQL8wUAjAi/RDFNiKqrz8b SDqMy+bt0x8QZ7Hn+y8ouRwJG/IaTEry+qdg+mV938ss7fvjEg1xn7VuLZffbCDW8pKPzmni7nkC tlxHJ8dTEHAUskgZm39mVyeV9ojbT6JHve6Mfo9epBwydj2B6qmzJNX7NCZPjy1UQz3RdqUn0KMU Y+s/sqx3TEMF9wQtfmSrnrPORxYv2id0eHMvarvlpmaT/R4BCWWJ9LP7tMxAkN3RgvjlSfeygli3 zOMXDlMAHGGpUe+1bH6PqQUXWd8rQea1284dB+SK2rVyMkS14gp0JAokXYf4VPfhzajjttSJoLpX 1fdY3ZOhCaFwbwsAfJ22qlP1VgB3uzZ42aqqYq0NWm8WtjuMg1GRzvVYA0+sgohAO5dv+4h8Lkot gZzhWkLP3xiMnUJtgMX4QaGu9Z5QB0yqyJCFzCWly2cJVJaTBxFrrTafVB4Jvlk3rMlUJ720WYPc S+BRPyt8LbYTyFgaXUtNoqPDWz0jji3SOalhJI5LwiKbkjP8E/OuKajInqbeF5+GkyJMxK7jHB8v 5Z+0KijVAikWXX273mvetPILiXcWJPbN6XGW/3vgHLx8HQ23IzdLy7C6R9R6qqdDJ1Y6vqAQgIHY A33txr7n1OyrHwUvuYd966UY189z1cjoN3wcjQXF6UsZXocCHLq4QWvyuwx6uoV7RGWC/3k6CSe8 enGAf9uK2RwGSsR+OnTJ9/QXhk2gr16vWirXCJ+wFLbzT7cbssN+eCG2qc8Gk04cGI6oY+c7h8oW VxGQy/3lc5v9GMY+hFf76zBW3z5ru7AxJXlrjC39DC6sfL7B2nG86aXfjLTXbI5P04g5O2OiRxnj b4tvQilmtWgh7Ypnvl1Jz9kIv8TWvq+lCG5pV4ePVY7HCyzDl0cx+xctoJP73217WJhxyJIy10Dd dwb0BK7KPrUoHE8Kx1tG+1F9l26FTsa66L6lwUA1FA7IhyBUr3QwoMvSXVB14XCsvY9WpA8BSNfo STNPUr3/gX0h5IVhPakRodM1RzQNeu/MMulNzyNutDv+03211cg4UdqkKOQdUOlGnsXbx47Uuoq9 32qbgvVHMcwBWlPiLyBG/u2IHDpZ8nw5ISItthx6gs/qsPOJl0+uMv+027Xr8AvuTugfLLql9Kkm NESB48d71FqtKVHJUaQabuxF0VtTqFANVljDxeH+1qm72cvZy9ZZIXlQ7k+XzJkOFZplUgxbpPO+ 2Y65mmiNk8QOt+Wqa/SiHDBxqgCgZ/PU8FxM3Lb2OANo9xSeB1qjxqsgcMhdK/ZpuBQhFj9Ogpsx hRNivVJKNB+28yByFII/gJMD29aljq8Lu8OaFIGCiHbSJw8MdE9NYnw5cPHKZrK4lTiGJSd5vSiw nnC5X5RLRrvuHPUj5rsxomLSIVbLpZACdO/DDmy7igNkwdU2mxRnmhv/rk4jAx42cYzAZF+DFnBv zuWyega/kT+K04oKP8j7osJXuPBT6RCNJp2ekResZrOYamqYd/ZO+wdcXZWyiNajHkqe+s9bVO1P Y63TZm7FFEh1/hU1yX6nWgdKjay466kBReI4U0X9IGtRW2UKYkPNpytEAX9zu7z2+Iy/8iQaIWka tdkzLU2YhiKGtVgMWbIeqbBOxvJLc3c2qe0U+IBgAdAQ3GF3NbHLJ3K2VuvCeihZLfUFPDHgKM84 KP5in0AJeyK389WAReQk7COqthKVERG6BDTshq/g43njue6QqYop5G9EHfuOklMz/4KJLsQ6r0Pa 3d6jA3kHrLYuajw3d0K0BuWgBXuARIc1kqVCxH890B+k6V/yrSswiMo3Iu72iMPsFKoCFyAqkTE5 ZaXETsi522DdvXq+sd3pxEt9qDLLBXDKu44tae5faCz6VdysQc0oWgJsu6s+qc0kWwj/rQEU8dqp kPdlv1rpIw7N2WkJy6Ft5Pud0rNyCNSHCfJP0/cpRZHpswZVaxPwQgk0LQC+VrrgQWKi6dI3+Nup 7pYV9x9wWcCsrV7hxVUs2fZDZ0Am/PlYuy9bISSW7O0zEMTYL8iYnbaBvjMmBdDxDtwnu71l2C1k 1Vlgc9A/hXBzXDfPjjwVPXsrs8UYwYBx/hCugiK9GC6Lztm841iGSK04pxuVxJWAQ9NLEqRxWjql GRySRwv4gcvkd+SEH3ooCNS0FvhjicjAvRdQimoOrOudtm5Y/sgRGX+Z+bvt4LucyHZjeDYoVQ+x ry3cYPB9aWfxGJceecwgSAie207JNY9u/qvJCoqs/q+IVnN8kIsXGWKKKOwTKnO+11MjMIl1vacd vg9tkr8PxWXpZdy9Rg/xHgYB1Wbw5a2TzeWwvsqFSH5b0K868YV++UMvrfwcCdrUE7unysjz6sL/ ppVje46jV9T/9Ww4X8+82jGO7xfaI8AtCwtVZwslj7SJFQdPQK5SsFf019My5Bx62nxXaSMRbISr +xAxNNGw/zBOxGUa3X7V3RFnUoJA/pX2W6Bnjj2wOdFAo+9ThesCD9eZIUwc3IDe2q1xu9PmHg/X hES4pte4vEJJTx5etokoT6wzJNYtXqXWDeZ3I794GvDmKfIyV7qXe/qtxSRiKDRr0y3kudSExNNI 75gSJuENn0JTFlAt6SADkvjfoRKTSAmcpKSj7TKzky7bcHRRb5Zq9S2SApt/GOX9bFKZZkS8JaR8 0PtExZItC0FtBcrGuFkfq9jbX5CRpe8BOVWtD5chPcFkEend83rsTsRNWFl2671Y58iIk94Qst9w hPJ7uTvgPTOU8Qh6B8n51xuI76gACmvwBbkSQ3vlHjYND76teW9aJmTBog8cml2lrDh4o7zPIgAm Fuk39S63o6yZT/afuIP9IREa/F8FHdOrAse905Fi8Ge2pnzB1OYHoW1t6whZ/GfbS1V7NutqKXNo b+Kv65hrziUmH9UzHrknsArUVaYqvafqJGbFYRF2tPLDmmxHNYbKtp9qONXyrVWj9X3sNP/PEj7j Ym5k8MMw7p1VO/UZjKWzvEUnI/FVhFyscNcJlAwqA6agx4pOuwY62ROQNEJJjYkevSWxe1HkF0jk wGSEgNELN4hpdV5VMbvatsGxZRjMGaQTT1e7BKSTJsrfSJkQjju61f7cGF0PlGf3bQm0aKRHzDXq 6krm5LF+FuZ2N1VIunWq9ZCgmUJqVhDnN2xrc8d7chfW5Z4KLR7rMui+P0fwwEaia/0Jilb7Lg+j rSbB4lC7OjBUwzOLD4v11krjB4b5WHN2Gunt7TGwrN9YAviNwi+hxtDH45lWN7nxi/TAFMnba0B6 GFvUz14bKeG1tZRrMfEfyG9F0rMfPkRRPKgpAsRq45QdhUiU7Yo0nD2gbhXlKDCtoHuRXwtk3put +8mNlXrCBzVhgmzQY4JWAlaExARsP1QrH0oEnEWgZKvbaCGlYvk2cHKS1dwMz9AlFQxnAKMdJiIh 5KcW2LKOsqrU91wKKjnD+POvWKxKsofXhcG7o5HlVZx/NCrxvdHqjXnvtJBq6/q2U5ZV0DekR2WF Qz0KTzy3ffL7gPE2+QtJOzHZNBeoY8PZVwK1zMBQF2utzBZUe4sXxKj3msjcg3KoUimfXB0Nmwxm EfFOTW31Orcgn5RqHSjEImZDv+9pKm+mW7Nelf9WKqk3u2iJUE4siqLY8BUfFqwY0E3QWP4155xz DTPPQ21r3Rvt+QZyU6LE+WmassDKL6Efi3cRmQaUL+XiqmlVme5O+YzSp48x9z7uvO0l4NPIsHs7 D3EJ2Y62y3i2WxvZEHMOvkdjZbOUsM2rKI4q7JL1id//21s7HPLO5RLqmVYhBLN+hMHu6qFvWYZc S+Sg1n4XovhEWsukdsIlf99Nqiib0FHhR3ogVilEsi6kOQOyzZSYml2LlajkOuWSsEQ6hv4aoYpL 7ULRe3Ulgv3Lt326xtHSBP6Ga9Rv/6w+xuLTQpIUSmN92htrlnxZ43J9Uv9Ssc2fSFN9YF1FcK7d c1ibKwNQBhC2la9SQogCCtOjbwD9SAdaVVxcktqu/D27Clx0B+X2/PIcuZqkkRPlIdtgW2z6BgzE 4T38wNHpFp9WmwgMxJ5rgaTjM2G7pVjJFK1nvTe2+1GyIHVx/emGs9uyp/u/djBKT2iShX9C8rt2 VxWXt0XyXAVnuJU/rgFdr99y+MeZabdgUyrmcxNgZf7cpYVI7erZWhfjuSM70Micqo4M4H9jZ4Q/ n9W8+bY6CDYrMn1dVvLHyU1L7UY7NrHIWPCJH0VTwOqFda68Uvt2kwVN7abAA2p8M5DrLa9zaufc 80BDfJVrg00P1JM5qVBmKAQQ6AJfObL7Z45uZPTAqX0XYRODCCLFYhHqoe/8GBMUMv2y1in2Wwbx 2AY6qiffE+a1C14kfCYrOq0z0ghi8eYj/fTit0LTUd2dqGGH1jJskcuOglrzXAvT2UH2Zfh4+51O JQ4abqj47cleg7IMlg6oVFiWGgPnTXieT08b+4lxPTO6lt8/pH2cqa32QYmocl/w51CF1W7rKnRH cxM0vGSK8p9alWoFhQP7PjRH1q2c1D9AQyaAbYzTI+v3Y/OzaTx99rSK1E/gXbqVdITf7/l/5eJh nBWmJocV9mtrmFtrEE9Grbr5dr5ZPP4rFGEIbC+r3z03l4VV4wj39SQQgnFquhM9itJqdd2EqVCr LCzeuQ0jDirgsqGMgm7c0k/iDp4prX5bagYRBvJ2U2ahSAqwbSvunz8NWicdMwyltgTY/2NMFyBT A3mGDlYyolqFk5Du09qwVQNmw+T/3TJlDhkFelDdXYZrITGh13UlKIevc+4c/qdcMy0hYViBcviM k5mprg+vSWo2AK0h3hQfgGIMUNgHFrkvcMiYSf2an7N3giGTHZYo/ldjdzxzZLV2M6Po51Wx9i/5 4qai16V9G5tbt6kYtjg5vZfSMvLCPVxbtDHTWo+uBfkacFLdIlcnECf6h2J/V/gL18qUGZULbIF3 Saz3jLfrTa2BWra1GDLtNvW40UKe3WxsXk4BZHsOZFUp5lri35PANNHdYPH7blMeUT1BPgd7ikZb Y/ZVFwSzztvMd5sEJcUg3K/O7vq+3dWErHRTHKS+vqJoB+rvHSyY3TG1nLz66NacIEZ589JTj1gl ijRCNbZf9GjS3AobeMP8cainrSIKOnu43PfPBhRsocAmezY19p7mGRTKHe9KAmJBXGv7ra0ek1O4 8cphd5+pIXzovFJ6GaT5Fzh7nfD4TjHTxIq/htaoSmQr+DEyOUVVG7AHA4agdcNebhV+DUyqIigK cGpMCzM2Ypwd2nxrNULh3UFAb7GTUYDbgojJ8upmfV8eU5kEmoNZHHqfzv6MTJI440k55PPO8uxE jdMOHeIRNMFr1dUbDI75IdiGsY98l1P243utC8coXdVIeA6xActeav5xz4pX+1FKQpsoiw3C6waA k295G75y97CHXz2A9JGVTY7zloD+WNV3vLNyAd+7UuaPxx672fESZYmA4guWrodwW83ZRw427pdk hx8pXaDiInEtm+5MlG53UkxGQpvfOSt7yfMeTulUpRQKy7REgUV/r7uE7mW9lV6hX7Q0Gqe/RaUT QPrHWRbFypannIfuc35SEMEvYxntKDoASvHiNPNDd+WyhGBfvdt2Uj5eGd3KeRjAFiDZmYnZvrDC yiksskAZyF0eeT/dB4RfCLdXPhhaUyqhaD9S7P+4+m/quhdOZD9E3Kkm+6eT0/0JMvGRivSeKmGR H72XTrZKqa2JKfeznE/IGEOR4HWJdQx6RDJBfRPahx66Ed8a5hNZ9nzlbziaKM6pZvic7sYSyDtV 0ZSDn5A7VkD5CJMxIhbhYsE+R1ph0DVKDC2yg1O4lZQaGurIXbX7jYp/9eNC2B2iARoreBq7veiF mnyFpLsMy1d+kFFpOnBsjH4XdHgM4Ej1aSWweN38zowPjYs3SO6Wzbw1Gq80fSmDZnh/xCu9BCrr cBKfvtkiIFtpeht+fDOOvMfnEUcrT0E9mID0/5DI3u5+4+R1pznp1mTLAMqVOdxeNBQ8cKbIRCaU CgkaAYh1f3m03ryMiZUqvupAhNAhHwXfyc2ATG1KV1zw8qRtUMXhGC+VwXY7JvBzcHnUAx6YMpgI Ww2pMR3VZFeLNFE7+0VrCpsYclLCGQz1ZtDvrqnLlA9nqw85g+7xEKk49M3pNAU7e86T+hYGzSI7 GqyWvEIkiD1Pb2kfC9CmMRFIaZOroSmpFN44qmbvXjj0oO/wTUxDxJ74wlJ6D3ZvNO6uKEQNdfT7 QAF8XljcYdeqANRRg0PnarYHGn2Y9NLC5TqzikAUTcw/fmTqa/Jm+PNyh0w73wP34YKcn+/oEHie TVw7KvRwDDRa/lgdIvXnwi7SKLFR30O8Y8qh+CQLBzFR6y3tBIsoYi0ZjMD/+7Y5SFqRLpJ7RxOY KD3Tg/rrlNH6CFVEdOxKkqVXFbawOd8NUmP3zddU8oplg47MEErjBJSjFfy0bBgxsEnF5M+R3WEK DZDEGkDmqpUf6PSIXxtLgBSaF1LDBic0kKesg3o7bjnzHKGX+00k8TnHb/JCLBL9bzkdxOBh81/W 9ktASuo0v83oJCR5H9CHulNgUm/mrJWPWISew1yX5ke9FDM6nNa+iFCVx8nqwJDor/rov1x83tK7 PaCYGFDNcwuE5MvIkHjASEkNWDnBWWuwo1cQYCSaE+/+UPyzRufi84BHNllOvkXABzbCOuOq6bG4 mOTcZf/zvby7ww9hHFCJ+f/opq5ldt5aGlDd0ANV4DWn8FhAeD9L2sV53yuzSBD8dScabSLb88oU 6zyIr6YcoRyk2Z2KfiUsfY2Q9wgMqkD+SfQzlgprr2JXrgpXkwUI51L/8e9+yIItjRwmmkhdDtcF Vy22+AQlzuJpo+a0GMcgkDQFzsQZFESGWDqC1/bV0MkgnLCE7xSj3k/stBYi7ivJdH9k66maTOqI b9WV8rQNDKg7QOEwBMOLVq9RacvqHbOR0rZOsD4xHlsOPMOxouijV99VAHetsq+THW7xns6bXdmp ZCA3uHZXpDw4DgbZ2uxLcrkl2qaRAenKvu6rFj3V4LlIyokVzvEXthfOuIEIOWoYwlqTz1L4kuL4 mSn5hCDNLYJidRCVlzv+kBjGIwMCsUFwG9IwhcK/5Wr+9K47C1+F/xg0OaeoEjzOmo8l3iGoA737 FDp9EKxzK4zFPQCrwWvc3G1cAxvHEDMC9MJv+4aroW6rE/zzz/aFpU268YdKVbfzjBsrucU/SZSf 9VDVcHi3NwemdD55Mi4a7hw41cKqHbRO9zH9uXq6m4OIEGaLKcLFsKcmDjKQNJSfS3avX7g2hz4m gKfoyoJb9a3t7PtoCfSJ9HjjapDQLAc4mkrR1iiFPSVzhfwvN1whaQogwLP3QBlh2DTGjtaSBL+z uiNTFpwSiRd5nKkKhjkLauWBULa3lpek1de4FFQOQ8XoaUOdgFjQYztdeiaeP2OSjn/A7aTZ1WPX xJUlH67xHds/MRDDQ8Opi/l4f/787Y7bZ+bvw3XjIRvynhbu0F2AC65ryduTILO7RYo5ZmxEurkt SKEhJ717DmekZ3orl7NF+NI4e9yRmr+RO5KW1vpIHSmhsI/Mwk4RNncNQy0xyGcR+ahAksKyF8CU THvtxot5Ws+gYaqVqNow2DQW3LEh4hA9a7WnMM9s7lZUhvhDJfclJ6cAVdHqQTy6T36z3bpy8dAd rgVV9FEWxawFabHD+8rc/XygLprcp9SuuJCTvQiH2Y7aNVORc1W1tzoY8HhbP5AP39lMnKi+bWfV /Pf73wTQdj5iqikW9ACblLFHJ1HWrBkcJVEO7s0xk4ggdIzlkHqm+5b9cbR5qwNZza5HkoIpcdRw cZgqS7OXGH+7ppFfXUMcBAITkS2ju+RKh9lQSmoRoW21ermH4hE49PAarKbW1k5xU6rucBedskkI 51TrGjmo8LD9GiosI0i9iNKhYXe7jrlzk58ZhO4qyx02rHlRcGN6CEgJ1ocz/Y2YKK88V3qOGOXY jIQsRxJilRt/Ts43q5QdKYceKXDOH51JPV3/ifPwA7MsckQUTkCsqCJ5gu2vgVUwBZ0erYxNRqJJ K3HBKI5AhaQwCeU8qkj138ZxIqAwOn5Y4I8nCuVfvYC7/2xBI1mk5Y2YdxQezdJvYuXzGo7u0g1I irM41OWoTO/BST1dWLy0jCouGvstENSOw/6mxMWrwKMOctLhVU8aRjD0EMWNZWELxizavtGrLlGD R2NR9NUJg3qrGHaY60kW1tsH1Em50ZyxJk+BWhIrPGujpihUhR+9Dxzo2tkYXDBCwzUR+FPzIFwB WGKXIpu7Go6V7cLO5EcKEcfJ1TupA6oUNoera0n5Zu7hFUtsjMJo437/WLq/NKexJueFqfCIYBOy FeIlMQbByq2JNPheMUiz/L/hclzPPCT/tZabRZ1//6OgCVhaV18lIixA6jI/tqZ5MtScfTmNeRAD viC1B7+NL+Md/bv7cztkfR5LfECuzLWMU2HnGLyIwsdEVV6sYtZSiICbKdw4hAuervfAxvRPGfaW DPhMJMHiRqMsVWa7btOhcO9ZURTci1YmcBoPDhNNPppwh1QE1ExaE/vm33d5PYGOVJb7Yi+Ytm6Q vMrHDwfSBE2pEueWeXdRTxVpdzvxExYY/fXbFiQhMbPrBSPWJnZZeU0rJv1TeGxKGyiDBQtlUeP3 tutRN6f39ZheFzVFLsND66zLjkunDU4QkWxlhHfACBPhfZDIGHxoG0jP8JW92R3aqoBnjgrypxNH ROk/GMhLMoH9LDZ1iMKH5NVmtUYyonz4rrhWjxxUYiaI1m1VDPk+1+90swBC/0JJuUwaewI63txZ foK/8NuD15MZ20LPZ2CvaWHViT5HB7t3bmkaW7WMHpBvIfS9ZuVmQ8dklqPymKfu2JqBhfYqDkQH iq5nWQOCMFaiachMkvvDA+Fy7+ReKHAp9KGzucEMSq02vwUdrS6Ec7G3aDI2Qd1j8Mg0gYUEHEoX 0tUTrcwt8CL/68GVo/G7xZvmrOvhRVtD9d4tzLAzw5XJHehLte7gqxU+x1R4xtg2Wjujgw8iWaJa q/ug0mYXS1Nootntq8y5hWUm9Oijt9BmVhcmgn4wfU4expZyE7tYTeJpH8MOxeisCA981LUiDbhy 2K77BorBUrW9QLUQOv1R9pr3Bbe0YXoUfDp4WhMPRbvo41FWaOg7Or/M9cWODPKKur4vUK9d8hmh dzRumS6aylSVyzZR1J+7E2UBDSwT7sWuA36s1sijLr+ND/ba5M3u9h3JXHLn93r9Ciuk6oAyfEeB 0uvzrsRT/2Uc44Hdm7FlHgRrOqC9MZKarvAx3C6ipmF9ucpbArW7eizDNpLJj0unMK/SlgiQ5fhL 4rq/LpLqy5Eg9JiQSgvupIgfuM8Bh42MoVtde13xASAScEE75OTvR/NS+E1+rp47UShLAy/QA9A7 RNgzNG5t8M+xUuMAeOYNn34chMk122yMnKw/Od+ECKfX0m41S+VUrdjhRo3h7/rcQvOkl+vQyGII lotaTGR9WjXcMBbNO0LfZZ24e1jw/aRZ7QXCfxJ3+8ZFbhQBCZGRzBs2UpjaImOCpyU8eFIN9slQ FIvc/1DEgHeKBDkfHpc4rKe7habdQ89ZVAl4oqvZbDdcy9MZvHUfAwQplRpRFv68WHUVGPmVuREZ IjvSQXpEc9dTKTd2u8v+fB3qTqW1OpawF923KleaAkprs0lXtpuU9ziqcrmFjN+mwZ7a0LDNeGW1 6r4RhNDxHa666WUrSC5r8az3Mws7VJiYZozTBbTEHcw6Ho1vVo3ALhXutiQb/CvG9uDHTafkzL/n VYaFxzkK6lMH4sD3b6QeRu2zVxJBGKgszGO+8pY/Kx645XjStK1BS9ds7aUhL0Io7sxup61YcPjL v5dCxXkoC8Ss7bvvHI9G9KRuESgbuR7LHTQBcS40UuCXmd6cCAZW9msAbbi0V2pDWgs29NlT+MBl IQilkCRSp8qgfHpbRc4XxTk791a2sSzj+lWlQdiSSNVTCLakDFzTa2QWU/NyOFPH8swF4/LauRYH RMRUIXSl822D2jXVaqHZVpjNySLU5rBmIa1q2UXtE9DtmJOL7X/Y2lhAAGgrGKD9YME4TRkgrPVS azgeR3ooQyEPBI45c5/HtRP35oLSYhIcXEQBwicn1cwQC0H/TMitC9AfzARmMVsQdPuwOC+3VDvo fIuV/3tzRt4TqHN3IT1o0J13mGpRwlAazKHpztnUbeg+k2WHFi9aJY54MMM3em8WWiG9VnEK4T/U l3VoRnZsyR9H37D/NKPTsUZxPR15n8jC/IGYEMILWQTutI95/6cVAgL+TSbDjfQH7nocdx6yIooz jat9FMkyZzL+BKtzK8Ray0svqZ+jU/LgwPAHg2VyDuh0qZcFPV82x+NXFmAr+rHy7vUIXTv6MAZ6 zDhE5pZbh5lojW/MWST/QaO7VC0D0E09zxexHhIJH7U7tfRAZZ+MmADDDbDqO/DtKyedOiTFLn+m mE4TKc0Rzbqga7UxJPB6mYp4UpajotWUHDc3FUOaVJWwj9rZpexkOUq7lxK2/b632JvTGuLKIsgr I6NOdnH8hUK5AxcolUtlwuJTOrO0NWlZuGiEGk1dyLm7gt5t4J+DSPyXiqWMvdMdZUv1kDaEwUdA FeWqddooJcSegr3zEAzAYSPxFndDeVzGdm/ZfRjw+MAS6tXamA6x6fUhEIjBze21Sev8qtWITtFR P9yaYiG4rHwl5kxOT7BR/Mv6D2PSvbftr11aXTbXI923WLjkjlu/elpmTA2eGxhd76aRRWO1XcNU jrUZAP/zHEIC8GfM3myYmDtk2yMpULw5PPWlq/3YJqkFjUMq/msiAYZxDL6icJG7R75PR5A2EgOW kopBWiSFhiLbYhGqgvgiWAXPVFueLIdcMnNiR2fNTParacYbDXWQAMVi9NA0qg1ZruO47DCrZw2+ xdptfhV1Cm/TsyfTO2YWJRoaPdbJHxYF+nWeCBW5zCx0PQ3pQNS1PRk+ps6nBitolA578ay0/LDt j0oYlCnxtIm14FgPQAEsWCqFbL45uLEwuR2BprEL9ThQ4ICj9FOkj6kFtwnNkljwoKlJ0L/4VsOO bBh5BjRRDeYLtWXsz4rtK+ePZcoy+SEGhYsi0MbLVdYNprwI1J0TZXcmywCkH6LXYaRga3VsnQCm d/5iu/u3fEf9CYyBsMcLCVcbKOZ+zy0hBalo7uQXWYvN/HoarItbmga1Cu565guWCcp9GoL6YmCj AlnR1tjCBuNW0oK/CBuesGRHzVZJmMtX2wGaYwizQiLL22TJMt1q8RUWPat8QtF02XE3gQ2uQ/Sg Al9oVanlHWGwvFPGugwR5zqq81sYYqRVwBi8rdx9vcWB6hjhBGjjKFk76Ouyzpe+gZ0IMBhrq2Ze Y4IR4kQ23N1UW7UEG9fXgnr/nQiYJjVa1Fx8XMZRxuJdQ1nLJj4aVhBNIol2QihRUfKX3nPlcSAt y5WwhYlwtZzJofC3cpbMkTO+bbZumGFEDvc890s+by0b699Izw5Uj/JGq/AGXGNPGHrcknCwaqZp /ntU4HJ5GHVktH9LtANopyx7OntCpbOQWa6Za3dDolhcCPR6G+XgYkbYmgm+Kfbs5hjcMa9uy0S+ vg8Ppdo0m97XisQXOA76wNI3oE2Jk8C4G29pQJifSOosPWEU8iOYJsMAivxazWHDvAP5T4DN19Wy dsbCNqH0+PeBuENOU2ZdxKeIQrn+DYcvYOy8KdasUTScsSJYh+4LU4j+iA8RnkctvC2rLkbOWrMt 2w3p8QAa29uZqgDX+VmgmOvEwnAoLq1KBVx/fIjTXM8DSOGeztUSwrwk0eG5bjoJstiauyUR2vk7 SI3s8VnkWYw9H+e4qdo6LeeurdiWaXnYBx2S5PyQWFlWa17x0kg3Az4zRTvcKpbp1c2uDQvZKwbx oHtqF/b4dtPnIvqKHscwGZHevT742ApDYqUeTYjebNEGI/oBHaczsGfwRLuvbn9Zk/vYsaUuvH+s sBu1jPJruhjyyRZjGU8fQgO2AP6BNDK3soUh/tZKYusN2f5Ye+rCU1nXm7ehdT443+5yMS5o2nGL qrMBfYSCXiEm5Gge2tf6YuVdgyKBpoYbWpuut6DrBS17m0gv3PGvuZ3xYStPUFLULgYGwc9IQxe8 Sjndo/vvVfn7cY9Yxr/Xg8tUL0tkfWhyJ877gt7j4UljcU2XbBCjeyMqRN4Y/MnZTYkDBsblDu4p 9AnkNEqqt5FTq+zyf5cK7iRT2N7z1cwuallFoQuT3IsjcKcRMdFyn7Eo4IX4ecwHW3W3gokcxBWT Moos8RyzOxR6F/xcD3MwImrkpRpQMbhGf8pPmWGOojz6u5W3YD+A0Ut4h5OOkMvsuVGO1vtIg+EA DwWtfTdVV6eX9uI/8E+JPpNhkSe/q03t8wnjjROpsJShJus/6YqiWzRrw8rqSb+UCRn0WMC+3G+0 xTMFoGnyCvyxeqTIrKChq69pDVrjh0CgE9ePua7aCDpHUJ63aZmGjaKraQ5bqCWj+GI/VKZxCN6c e2EdZKX7D2bOFJnUaw1gg5nI+uQrxaPNpcAl8okuS3liiT/XptwGafuBcVeMwoykzSiFtGfJjm9l RDkbcpZE8f5Eg+rf2WlK37WQuLD//pxO7DgRZ9HBRlAmZs3p6gC/EONJOWpLuSWAQjI14VyVXsEV x+k7v4qDDfyCeEG/Fy1M2NhZbkxtrxac7V+5LEGM7QF6RUykeqUEBhevnC3544DPnK1UHyC7hH91 4HiIrbvkQmdURIpBoEBUEwWN7+Ac+cw9Tixn77KluGANiQzQMvgQHBwizc17ZNJI1aa3uybTZxWP VNMFFa+nPITi8Eon89h3vgGqhuJm1kwGrX5ZZ45arsjlbOhgEesHxoyRQHaKIPtc2PxHjPh3OlHL b4JGbN2SIKYPE+QnvEABQeh3nAYkE0LUqjlOe9ij205nBR2G+lHUNP0XWL28FBo7S2ev6U4ED2T/ F0YHfJ45mENT0vgemkFIS2+An/issAQf+lK0nLwMsJQTJMGgdNVXNMUPTqotHRz/0Ue46wUq65Pe 4tME2KGcTV9gcLi9GGCS/zYlkpJpVgiEjb8pMnLPi1ygEixJoqjJy/Lvoqy2TI5FZy5QvaJQfxvZ 4O9MWKc55nywDoz4BBF+sOwAH+gyFPMG/gLj8EndLStv+6HwsNpKUkOG/diF3O/785xFXwltw5iV MOj8rKcbcHM8uyUgzRLu+oVzDaEH0kqntobOPpxGpIRkGpN0TsflQQXiz7W5b1qqUZVNcxiHC5iX SgfOPWhZ0ewrjvUzpiom4F+sxU2/ywEP84akLljNzzOPy7FLdAPZtwvHILVB7fF9sD/3leqXtjXK HrcS7ymUdA7ts10lYHAKTmGb5C0lGhn/PCcLfiFzNUG7g8fZG+33bZPBvGD0CtLt3c/Er3tSDgiQ h5AFNMall83vQxY4KDt96NSWT10m5PUvv7uDubUskLMSORm2P8+iGhyZRA3H6gWY/H/Wh28YtaJ2 z0m3wytj1gQbEcUYm+eLHVpxJFnzyaHB6+jcVyUzOcgI/N0TgE9GH5VhOdE2yCRiUTWHMn4AG9wO 2x/DhX91WzZRJ5cBmAsyejdY/AqEkMdc37qZIgtTf7jH3r69xnJTYjSxP9QqyniD0z1ajAMUL/Ip TI1w6qkVzyWtf7LRW9+h8+7AfMVCU7CvRpbPBnglu47e33pwFDyrpNj+yXzpzG1n0n1S4HSLD7Jm lRHwhFPGbp5efbk1wC5LM79XsEgU7+J8iOfDvLNCau2vKtt0VaPoZ4NdEmzNTxHttmSO8aVcUKeX hujgsJGjsyOWOiSG0Nulvc8byCKZegZ6w7erghUuWhTe32vYvG6+OpLcgzDvm0aywVW8EWcePLV5 WNea1DQkxmdGRLkD91fsfdch8/Iw6B7dQgbY4mYowxFX53Kwzg8+P/P1tocL5kIfu7jRKF/6CXPb rWn2qlRORBw8vP7tq93UIs+fuB6y/ZRwmGA2STyxTFFSBb9UY2WysDPgJEyemfhhgDjqz3YncJkT tkqH87Av+7tLDz+pFsr4/1Jf2drIDUAqcaypP3yWPyKH5IcRUKzO7zggavCXIID21bMFYgUI2ZZ4 yod2TNAaxXzH8Asr4iC8nghsSMCMBR6zOWcS4fRy2v2qsN3If0yeHhZBYun78ZPqf/vm6faRVarp 3QAMfB9IqN92CCyzbPyp9LgUY8hOftO93L/aEpcJxgK7sX0+QSb6LyPcxJjLezdZUVvYGaLr5Wnd XEkxBQdLSMAt/vzXB8LJ0/H1ZoblLY2DElq4FsxYaIuVhiBIF89shVNBEscZGn5/K5dDmyK1M6DI bb3vV53vK+lZY8yHdIXLXD/xBsNTodWRwKe57pod3lx6R86067ofUyUctijhDD3LVAkFMe82qrym DewLyvKDR88ed12Ij130m/VSrNxvLlrPhg677DnL2ic9J1vs16Du07pIfSv3WYr0IM93ynkEkWjQ 65y7MnlYqI4u8S+8JwT5gJvcf/gH8boYa95JkLVqSHxRAq/xPBN1ihsvkcuuP9U+FT6q1zEuDwHu ZDW2zbnnMYHmuXeeMn7w3vQzMFNhTiMf0Bz9ytqIqkf+SCZZJ6urR5aAa6chBI3DrqmIKjErylMu ZG24hVBwBPtBr5aXQb8+p1qU4zjw6EWVDLCFCw/HfGorrwVo3Z8W0DwaTjSx8M2ORQZyCivn5IFq Lx4skSC6E9T+4I1h2JGUWdO+N7NVtvdNgWKGqGFLNoodGXBlNPqycpir9GyNY+/sZOpST3AQVXAM USpqGTG7RsjlQASoRw4xZCgsp++NSmOsmumfuYJJkEsE/Q1DOweOfpHaXBUBvOtkf75MnN8TLr5h K/WYv+DDrnEgPj04SIk+IrkbHUSCmXsOYXSOWZDQk++x+50exV/yjZtmwbgNeK1hdDzjgm9h6N4r nkgFE8gy/Yf5MeyJA3+zpOcseihYRLnkNTxIvRXVTbiANQHrSxLpzJh7Zbf3Hy3iYKObWEWMx0wo uizIXHv+R9dFwbrPhaF3VEiMRI5KDOMVeDUXzvMOUBVA6IPNEQgcsms3X4qhMVBV3KRZmKHyRe8R i3f9B+8MMsSeaTUL/gTB1kOcHoWDqj/jAq2F0iAGNIujwpY42sJ4m69MharbdXLqDWA5ntm8Xuys xGv5l08p+pVvKhmJLKT+vyPBzCPeaRHC7keGuk/0Ij7g6CGMo5b56uD2GnoJ3M12XYG1K3uphDI6 XWeSK7PaPj/KvcenY7cOPPrSP6NzdZN+ejHzJVC/FWDY28XYeCl4kM55reej18MWqqIXdMEETzL5 k0BtVtzhy7wQmvko0xry30CQKKTcycah+pmWVgHZ+qWhmgnX7H8qjp99l2jTS3rKdQ+6SQCaWwx8 yvs/yQewVxsgTdj6w7+rY+F9DZhADHnLt3RT7A/7jukQRSHNDJKgHKYLHz3p42tBEro5zYpBJW0E OR0OwIIO/alVRkyG9zqVdAfgMQWVCqv0PYQrEehX8f25szx25VLM1AHROU2qqpxXeC8VFdSchTS/ 7aq+5yqEaNoTsFxpo1IPS2gK9OP67LLZTl69LN79M3L1R8iMq6Qb6RVRzq3BBCvQSawoG/YPwc4b XUPegtejtV+A476gAGrLiCRaKJBDxB4B+2IHBrjLnrNEVS4f4L3Gtzn3MKfkrqQp+Ohm5woWzfrq Tc8ebCvUECN1gT1MlVsNHDdj+kutLCyu4pc1R1Tz/gNf73lZuRx1v2OA85cefbB2yMpA9E0jrwYQ S8A2KWQzp5XSibLdJ6tMeBoEftfD3X2mpIzQTMwf9Yih/Uf7lmc/nVhtwDUh7HtgI+07JtM+T8PI suYMU031+DMVajI1lzoMNJIVu9tFKevOW7Oj5z53L5ZbBFjzXRUpNnZhw3G+DWvU/vRSkjYpURxl aadArYm1rLbLDePs6VI22mIgyLg4teQKbxR401Zj32PZY+MPf6XlLVkL/colS+loKeWdulS9/Xi6 ZRJXGbxmsHyB0q/TYAHhsCKesRLyBQFtXleo/4Zch5zYKtL5iXswUs1t9h7Ed16vGiXshIt//2om MP0FXd2Xl14emxroHJB9Ux8ZxFuC47eMumyFDLQezQI0aisN6ONk1kZ09FJ4TS8Kvr6n/A8V0mv4 goVeyZjA+gFbdSBOYK9I9Y+nzt1dsZctQDvD9h2/4heJu8LPt4HMWHmpjMObC6oRQZOIgLzYStIe UKAZam/iZjPlLC2OSECqxRtq4TWHzVf07PHzqVhfIf6pSov5qEsy9D/9lsUlc9Q77bwNDGuYOgNP SIedWyOWMncHUJxHHRmnsEDwIqd8z+5bFYQ6WOMAIwncCRygzvbDroTEGj6XN+Q4mHWneOWvMcSe HsWy66je3RDj0CLkUTxWZWKNFg4a1cVfuMSIkRs4FfN1QqAM+WXeQjwfWs8ISr7vyXbxM0R0mcR1 5reiNNIvEfhHx3OJyVFmHQugP/d4IpTTI6QF537Sby76jLVk95Mb5B+ORqaIaBDy3HIOJ4+BXtj1 p0mGR6ZM7KyXWSQT3KKVTPfWjx0sQh9smZ2JKFEuaJDm/uMzERljtED58Z8hz4e2KMjVWfiEmWTx Ww1cqlfUYWP8umANXjIBpraBUBNRoHFZLCEE5+5OieT+Fqjr2FxhZss8/mLygEHVxY/UZQDKygm0 0KNrY06vynB7bFcAKQeH1i8VnKGZ0kzK3ynsX5oYqNlcahDiliZ53ADTl+80m2t9WEwJuFsSKBqu V6q3x1KtChD/iePaKUXRCDPPKUXlfD9E2rfBQnAHD4F4gNHgAOoUdtOy6MzsII9haFtWZO1/u2WZ +nJtWDu2616Iq2fO3cLh8ltGYFUdN0ZbtY2iTn+WFi1A38Y7zU+RXIFukgqN3HLGAK1EQx9os4d0 ujWNf2zcxZHVlIA/YS0VMPQL+O3hRgjhZFb40id69rPh54Eqr+UxZUYxC0wrIu6xisZt8lWljbrC +gXeWp+5lzxDqw86SmSFDGaSOujIaWjuNXCccxmrO02cUVKme+hxoN3x9ME0xfzgVr9HuimB1/AI gZ3TzZAXEDDjpt7yqilo5kgJd0xS/VgZq5KYd5NlKJroKf3cB73MZVgHDFjOjEbOK5+N7RfozxP9 kblQ9vph4fQB6BwsN4DAiOuUug4STjEIcGkFePOpWCmdsZXxYJ3duj6rG878BJq4xln2EYZBoLIy eTJw+HknFGLrolSia2UBPNOdR9App0yz6rRUe2rcONGzKyKtWWm4fAgcs7MW3QN8FSCSq0v/K3nw s88GBIdwf0U3q6/zotyQno6DNlLv8ekOf8wA8FdBrlKAgQZ9yj3l/Dq6CQxUR/x2rBSdDrxTY8KM lDCxODNlH32z1vhVw6vPvqzMcgQCZAC1MdDf8o1hFdRR9rnMq4c3PTIkO6sZ88RJuWHhUmSnj6TV gqgPoMOsvchMw900LPi8vc+MiehoM446TnBxWOj8UpJobZTKQap6KWYvaVRFSASnx/fIJpkn6C+J 1Wv25AOO/Yei7wkZ7c6xIMAawdFcwLYBTtc0gMAIdZRaa8rD7JsYnDRRmR4O4z8mpciuuzNUnTna sZlGqWjj8KUOj+WZtz0U8Vj76Ry6ouUaTQyLiMxhqzmC3UDet1fHFltr3RpSvVqLMK3j5JaaKV9y kPLlNJIEErqiK9JkxQw+evE92iLpRHhMWmkba6rFq6kCR6vSujNKOPcd3RijkfkJOM64B8dpdZmT rUkSagmp8ia0ipDdCPNA6yCFiNniNpPKZn9VWW428lRuHvUmiykjaUUipwdJ7uPhClr+TWfs8BBL ULjPvnOla/XG8bG8wuimEDv5uB6J50fjj0D8+J1uEgXVKN3rtM7ZSqUPVU/N5t/uNkQOYaTN7fPR oizbaG+f+Q8p/HzUtUsiXnJmseNN0f4Q+d8gnoOaElUEknmu7/KpKqptT3YYTXpqAR2S6lLRfJdu bOh7HqoZ5vvU9ZvARfouElzhp7dQH2EOIHAIS5hDZmvwV2diJZ/P2wJuJsGaXMYfx2FkL7Hyqt4r /SEkbHl8BTfX7ODKNJDTwbSFr6PNayRUnpRx/l6u/JFnK5By2ybQPzdesN2mCmTRqiqWvx+nypIV uuvUWGU/L7aX8tdTGvTOmyzZGCO4lujZpg54ZjNjH38hJTC6RkPsm2+3e/saWnXiblqPf30eHOIt 1pyM7vrg/xyhE2FvbdqNSLPKeiiJEYgaDEYLi1VXug4CZ5ER+lMvYlDw5ia4l6+URlohalxKCKCW zecfWnaV54QLANsAtFnmwX9y+h8rqQGb+yGGlzcuMdGGdu8YAYSnbeFEvfbVZKFsz2+pej7sH6m7 vcQ89XsyuQiMlvTOJCXu/jPctd3E0YUplD/eDaBq77yQFV5xGt970Pe8VlFlPDjwH85boNO+jQn7 EZJUKuzKXwcLa1fzGkXdqMq/7vNmgLEH4rL0vTZJ6xtYgb5sw1NctvSXRzgJsfJarMgxEqdM4Ne4 gvxNtnHoearbiBsUngEzkBWVQDyvMrra0T7odX7B6ea1mYOLl7lQ8+VYVJkQ3mAUm4cVe3wPaUuR irBM5/le5koLjY2Tq9qvzLDVmzdHKa4D6zaENdK4fH3arPKEW6hysAzAdr7kddOTZPwLxHCHwPHX bLygUOJcact/+H+XdYOIousZ6d/Z49podfYMDC400DUVXEeXbvT+Y5rI8L7HRH2SFRUgG6Zufgth hmiQfFB23rJMIJZE16ozHz5dCoA9cICzmHpisknYTp+Iw06i6xOlrn8dqREoCZgJy4wk8p8WFZwg zPDY9K4keZ+BljJveYbPw7/dY0nrb/IayhXv/wFmWdjl08Nalve2MrwWt7pJ6NgjSF4Au/sZWGoh Z5reSrQNFJDGlbGucAJfbOnzbHNzXgPzYCAktgRVfpU+tmhsDd2KJAqPSvYdD0nCVtkaRJ92JMX3 xje0F40Rm4O8uT3PtPqMZt4oypOeajSvI2/YKFzjn2aFAZW5lbN/txwaJiB448qxcIWr8dwGpJya PTCTzJoJScKn4gWZxyFUhEOpgiOTw65WpvkN+Jkir/EijzQ/g/aR7A6rg/osxYWrHLLw203G6I/G 33ORSCmvSIkmrtuY0pk3TD0vid8bH2IxYfA0lIaa4YB4+McG3tdz2yBvN/L/ryu9AsUHGls01jr1 B1Wsl4VHRfVvDAVMGp6qITBizEb0VC7s6nn95KU5f/LwxjMmYLf18SosDfJQ9F7BHRce+bjcZ0oF U2Se/rzKHOO3n3aFqeo/giiVHNUMHjjZ2FwXewseoAFEH+LA5QehrGPsxUMI83gWt4tIWVB3S9uM LmSqQwtCtgL0b6QcZUQS8bcFMnevRse9gkCe1Ubza1K2B0LY5K+MLDKpHXZcyHWCiWeeQbwMvAsF gKQR3cyDYHBkh4sFSYqx40stJ79fnK37pXLwp8N2Tx84x4new/3MKmYW77aL2nJVIByYsT8cufus zgmPqLNNBd8Y2GRo3zW6wWRyx8YtNBWpD/p+NYssHf70SxCgSOF7K72iRrUoELMT4nla7a9XcoO6 3UKnEvnY3NS41iqxzPN4KGxx2pTMM6eYXHnbAuTE7KXHLzGGFY4qkelQA/lglyJ/d0XhsWh2OAPH sCAAyhohHYRGEfwTvnSQMhbW1WB1qXwq6C49YhpnGahUnUYIiJ3RRAUGtfkTlZ8hn+fBvpXkxXfo HSTbWNu5ZQOfsiKULjWrGKxEhN/6dujGRVdWx0KAKaboypUdjRlUZETWwJ8zT/0S7phvfb3u8oC1 QP3le93fgkVeGCZ8PmEJTuY39tyQHFI4aLLSc7D6iEUpLMWNhn43FleXUcVMbgn2U44na2Xf+Vvk 9Cp2D4RYOMPgU6rkTkIcBHls1YFeXzIgVJmz2baBY2kaWFh1NG8j7AVqWN2FFXF6Jit+qTM06cG5 bnTscMuQz+/MsGJCxA7WFe3ALDkiVWg6FNuqtepoS34CcxFZgEjrvS3m6vvmh7sEqBzCI1ZVZ2Bt sBn7vdKd6wO4f33GbPyFQZTARX3XSpq1Qtzb/pXO+HaR+9ZT1dyb1kQWqE0HpUecLcHIhgxewGhK pVvI/ttIV6T7ql4xcyY78F/XQKUJePBg2CnceMKaqDGJeHeWNgNLsfE9RFvZe6fZPVpgv2dIHJL+ bqJM1C/C+I1NTeoESnyuT2Uqn++ivNM1xSwkWRF6U4zHRI6Gw9EQFu1L2K/utal5W9j6EykJ8ugP jIup9O/TF2vHyMwryjYM1K7nb2QSfAhDAIIHxL77M45VUipvlimi+opmjmLXwTluleZwdbe+bFpT arBryGeoNqlMolzdOtkT8LdZ5TqQrgQOV7KSXaL4ZuEiDbbgbHrOwFGgseTeC1MstWiCKwkE0B9l doUizHfJxIW28LhSIDG17n5BXATRZggpWmeUMwVxVobRFYOrrZJ4B46d1UcirRWzxMgoFxCqzBsI 3MGNbV7JhvDOrQZX0W/Ahd45SQctfJ4iHvQZyarkZruLcpwxYVhjiPjrMj8UiZPA8vIoA2fK2UFY QQJbmjE744+W9n9jCywVVKtdPFluny6cWp8MpCqfQlFup95AXVjhKn1E+UvpErU/qB1Pw7L/2mLB INR5B5NuvieF5YJ8PqoxIClx9wMdcc7KlNGxKN4K8xDY0UJ82g2JCUbcQI83mf+9gBH7lkwM+X/U bLQzcqnM+vofu/MM0ZtKwMKcf/Y5N3FRP1rBHJN1hRGJr04GTi82IQ5QQ7I9VhIp4LxHRug4jHt4 GPZsUOTldXviKesgqsY/+8/wFlJHCtHGiGIql4bv+iUPXM+IlkBnQiGQYgGshAm4BlMTDyArsFuq RGGKtgQDK+m4gS0NXRF/gyxRxkEVFG5KIQSWtLbSbYSqy9ZGF9zQ/CdwV22I3963Zl7yLvvsJqfK tU+GggpOsF5vdSwOOkdsW+k7jeItrVfUyOPsaTQcUiiX239Rv5/BklirCWcsA1T29hoK1aScpwNs SSnXlmEdDKGhbT18NP4sgZ0XMoIqKtaGmID1QBoACNGhlha0Q4BQcUL+8KtdlcsXDxke2mSA4lJq hllMpAbm69FfDOtoLDhFqeHk+wRXNSGXTm6Jeh3juGk9lzw+ISk8TCqFzYpfBcbeJTUwCt7mW6hU 4KjdDLXrTbc+SUz5xhwSqvjX4Vp59IxGuYv0izEyMYrkk+3VihVP3yAt3cX1MyEz3xJDGy9HHT3F iGuu4rVa8xPF0HpMEqWLwxcBq0nn3rvl2FWtqeLjYHfiIu1XpFGQ8bVc7CrfSGvV7WbYRKABzIhd Jq+u9kLuav8pe0VL+SyTpYzhJdjYbk7kUz2XbWJW0DXp0qOZUoW7sNIFrtYsXMjBj1ShFwJ771tc XZInXgp9OFvRPuiQTp7kHra/r+w3XV3/OFqI9iHmVcWLL/hePEb2LntTzY1e9xKJ0wjnsOHQIWw2 VeVAWBJg6GhLwRe6fJonRngJ0yPyhGkxD/KKU+l62BFhGShkNTULplcgeVOMt+u2fW7mlgHpICHb UZXe91KOiJVvvIgDwhRc0jgr80E+hUoxkh3UNhXj870GEnWt1Fka4bcMPJl0Qya0xEsmkf0Pn3zs Re1H8fDM5YVUU+vHOaL5415L78QHKb/Rr2vlswEkaMTMnTTEtiEV3lt3lW/zyKablhnD4S+o/T40 WnM1F24MsSDBOUvh/SctkUz9obqPTeVAjEuJ1r2neNlrNbjnRJYDIPMeIJFZ2aRoRX/JCrYmiHbf mS2f83vOIi+vRKIEKeqVFa18bzxW/m9fhMCH5h5eGB8uRD5WRtr8ZQ4bQgMpBUQDZkjGDfnaEoaM Ra1Iz0qtVgsQ9VXp81pd/aupbweLM9JpbyO2XtOKKTEP2iHGE6LYv8LHOGvf5u8eyW0xdFiJb7Xp P4klrGN7fAlSR/4E/dAVM6k6DeMf7+RJIlmvgmeyZkZCkIOnf5wHpe03/+kYQem/dBXA6Q8o2C3V hjmQZ9JmAGVt2kgohtaw4S9tYZSZkcWzI2oF+ddfX95ahXO3JVrIHe/jvgDaMikIExbG+uwNx2VR QtaeXBehEWopeR4JrMwIiI27Z0dGgICtpvj9dC/bqZkSlgY1tgmXrE64rJF3ab7iYwmmnQwfPpDR bQ4rQy4OAclLTW6vCllu1SNcTo87jvTU2qY7s6cqV+g0AdIkRBd0SONPJ8DC7a0cZF2FfQCtB2EQ +bGGbcjCbOuLAyf1mY5M/oBhYdiqJP1nS6OBtsk8lUITJNZ5yHmyCl4xDUZI/RKECUzUXqVnuhJa FMg0hDpO0RKpmNl7+QZFPaCnnwf5uJ38QG+Q+4GlwJa7LsEd4KeJljBaq2ku3f1IZ7YnH/hv09R/ NCloGfGyc0VxSimfifviuqcy6Xy+NaUYLUH1gIpPPpQKqo/9MV40Q4XBJgpMvPubHTa4Y7TNtbwL 2oyUnZT/t2ILDZ0rp4f8fNKcZ1NJNM4zf2a+2psCw7N5hJo8fwpOnifMgAODY9H6iuacmMKAf8bf AvF8FuS5TEUQeZUnicgwGkKKCbcYAPpybmGY3vtcsVOd3pzjYQeuFr6rDcGH0H99mMX93NVyCG8q 1PMYhCZr/phXlvCQbPWpqLoa/C67yqCfQ1Cwby6OFaAhSlUzVJBghqnQqPkX8KUm3ojHdX3o3iam tFEL5GNl5aCd0p8RhUXDfnmgIYNUsbvuheztuLgjYZpScL56Sr0sTw7MEfqG48G1NSpQQr3ZaVff hma/r3tPv+aa/FEW9nH/CQiSOhSYXqJ0dcvzzMgY2rJ0vlz+p76mV+s4v5w/52KIkFhPXddVPjv/ kyFM0xeCpNeHiVY8/C5H4uuniQOnOPfBLTNwQq7U4V9WAxfQ6lbMBAX+P9doYXElaOrJO+6l+fWS P4oZ6Y3Nrfy8F56xiP+r/ZIjNRkhZ3yO4sJUfF68oR8RRWnH7V5DAm8yMspXFKERh6lEH2FGj/Va /wvdMi5G8+Ua18GHyvbHkpRx6ZU5PyO4hqiP3kPXP+g9eMLQxAbqUnZgiR4um4bGZakFip69wthq nRa+Fsg7OyqV8m+AtOLwrAF/CaQOBvrnO+W7/TUEEOzY8xALxGxE0jDluud9jbPzrINoVk9g67Ew 9272QkpUinTqC1akRNr4vapjtmUaB8zCIN0OVRpEG8FTxieGrTxboeDGfYE6X7ySAFvw/7EJ1j1a 2llyH7D1G6jQJ2x9TIXGJ88RAQAG8qj5TI56eH9aICwemKtKcHyP1NySnURpioruDNMcF8mZjSU6 dVr5Y49bFCS31PW0jTF4yblj/NOMuKLVw1Pg3OyRZGMOIA7157hi/ovNjzbq2V8mIChBUidM6jVD uRTuABueB2usxpMXI+FxD6rKK8e7swqza+5S2EvbRWA1LpGRGXNcqJK6bXnFO9KEUDHlYK3+M9w3 PffrWGEmW5ftaRcz7xu3J3k03PS/Oeo2/QvqcJVLgqAgMQlkQdkjRCqzUMg7ih22hxawGdXQpC32 6e7jT+0dn481NGf78JAH4mSyi7E0iGT2+MOKFDUEQcCmTVA+5W8FDclXJfy9WsPwwxQTBZJmSw4O c2EUBk4HKEQbx3lxqsddKX77ztJ9COIAd7sU5x/Tw3QMXIWcyfYMNeyawUZqWBhY4ZOvs1Lfacgi 2DCQVpOkfg4VItWkilonBJkejVZLDgVLOEGaMR8/kpE+DUIKyuEkjdiojyPLy5Ck3xn5voidcA5K dtdO1g8wa2yQLhkFyCz59lm4NfngmwouxWvGGrXTkn1Ezvp4gWXvcDAwc78H+Jr0Rxh8kgsW4XjM RmCvlCF4cP4rlyuMJadQEyBy3elAqkUpcWHXW2hfQzRzRZ/C6NArYyThQ+KMQ3NlfQg4QRULHPPv tfYJu+BI5X606f3a1+qrpuZ2Lj+uUKDo40Ngf9I6HM11SRqLy+DvYf46Vv4is8ZiEvtc9C3HLCX+ f55GDl1uvBIdRKINt4ubmHFz+mtETk3sVe9DFLR+I2zZEJUUaFVoYlUOziCrB/OEEvAtw6sUHp+D cA5FXTyi7c/wPuCHPTd4LNstkUrwD/u7LvmbuJPQhgbmk2NcQeXcDllOY5L8li5wiuLtJPvUP8Te fSu12MIhcIWLTKHvLPkKOLVn63vxDesCtB0EzAVgxa3wxfwOYHKmyeCTzZsxkavB+Q6H0P3H0K+V nQHoY3J89FNxyXr36K/ZqpXC8HS6Uog6E/J2/kwNo5wvoCmSuX6H90glXV4UedlgYsFbZSusnbD8 ACzK0QHBBf1JzY8zJcXIUrpuAx2H8rpbLohYoLovEpzQtgSaQ/bsUChyml3h0RQftmiMWy2vVTPp uE/YDHxn1VnrOBt4g7tYMakPG6Gq/4BCakqlW9JHgf7YbGVP8m0HLwm32UbELUNyspYLNHoeBLyl ZgvN5HUGUsGXQFR8arw3dv1MU6NAR/ojvTT/JmL7ADhOjUygJEkl52wb0PXACzXwfLiIDQBCjpzO fBMw+ohfsZRPyLiLj/JDG1faZtPsjQtjwuzvZY55/s5yuaTwL9aT5F67vcKlC9SRAnSmUNtdzeEB d9+B7Z3C461BlggsjUhMGCy2Tait0LI91LNNOThq8BbDS6BeuqxdEVZSv67xe1I1D4K5I2VjjDaC LSqXhiTBLGXxvD9nDDNQjY/cJendekIjJfzM+LPIatmN681rWZFVhQvK6epzlviZLfgJqqdMff03 rKiYAuB/AJocrjHJQt1qaiH0INCexnxLlTrDfQEYEYfA9SOwu79pk9Jb2juCVgDz2BasI4lEJZuk uGzjrgBy+NYuG5yhRPww/RBvpmLj3V9MV5LGf+w1U30mmRIhEBCvQ5SJVWGKvj4p3h/csLOMbRW5 0rQCYAqXxqXAMnMj8eTQkk8QGUleD+K7o7lUoPIzSa+xR+55L8qobTAH9lP77ip1LHb8pPJIbMwF JAXQLg9GLFbID3YYDU+zvRbFmkj0RWLx7kZxuYzfxuCHNfj1D0tK5K/oHhuXtQo0ioAqSOx/oF/0 89vJmjrhIHth1DiUbSyY0mJqf94I6iZRp9B6khvkONVey0K4jR+bq68KNvfOV+LJ+DRDKBXPZH8Z A396ghh15WzvIBEhACqR8vE09vk6gcIDBFGOc/9ku0zeSx4sT5J2aak/ISJeLhKLO+dM3zy6H8j/ IUKzZoj/yaG/xHWVKl550JABt3tRL3LJ9kDlNzvbq56UA53Vc0qLtZWUXAcv+yeCrRibDmpLiHeS wcYyA8OZ6M1ChtqTmztkpT/8mTtuUpxK16JLQ9wKnibMNUw4e7kQgdBBypEzik0Q8+K+tomDw+Zw Ti2HhBzHiFWISfTznfBDUvliNbhRcCdsROC4+fnkBJYlaY+mXIqC5WU/rGWgXxV4I04qz00dYoFa +7wbfajxOkY50OrPVGjFCU4seae59gDT4BqwhP1qr0BrOcNboEdvDAqiHZshsD2h92TBJZ2RjuS9 ZqwgqnBdLv5U3oDRDcJpu4x0v+Y1iWipcM+Y5pbUFjVW7w/KUjyrCc/NRBLP2lioGRoxIEi82Chw f5D59bTsFw5nFyZNt+4gJJzk5jhnuhO50PENqAEdqQFQHOLXJQnuaAhu1nh/EHXthFhXysmY2aby LujWO2I+8yi1hq1pL4QJhMvZ8oAX7tDU8XPgYKLEPP6Oy8pFXeaAimP+xFNRQXdhRR5BVsBNn6AZ 4QEn62MGvcLuLJ3svvoP+oAGMv3BnUDeBztovkb5qvYsOAFW7fcgLZsjnYy0qO3jq6L0x3pOSC+8 gzMEiMXlpqHY83+5bmcsPC7ij5nClPbA1SYBOO6bd0djQeB6Cxor4e9tVejvREuCqxVta9b4Owa4 jPbHcGCAI+vGHltg+/y3b+g4XtraX7sjgKiQLcyD/DGve22PPgplTQhYHxYy8bFfWuDFCRNHTkBY oFJdlgpwQIHC0T79AVaWRTHSY/VEPsjGbXWTuaauxV9ugwlj0kW9M+GKdZ6AZ5GTT15ySYWgW1XA IBAcc9R3sJVVBWYshPCWpGD/Ke5qLw7yi2kMXF+adpEyIEPCvpjSRFO6lAHIoRV/5FThDaPnioiF 6CQtpslbIYAlWezKnDEorfUtPWme+WVNaOjj66UMouddZDnBJBEYdHVrnJpbh2PqPv2fMop0nJRu V/ERT4LjVKNuabpcuPEd4v4e5xU1ddhHQ61Uq7uz017Xp0nR3SREpx+ifBGS88NJF1Dk6dPzM3md 5uOS/aHaLBJvw6ATb0s0vkEkq9CQys4g3UjKO4AvPNpHfEUWKfuGYSJ08Zd18okFUw6eF8zBHiA7 RTJUMk7gkhan8hQ9C2QqX1dOuSDMzkxqF0SrIYOkpIcYhWT4dAibZWReX5rIiC2WFZ2Edggck3x8 f1ppv847hP23REalW0YgGAKLskNyA2XkMfre/Qkd80DEhI7mjmBRK/ACDK4SqjGVH1npWqlJcrPC /Y3AR4kJNCc+2sYlTOf6Rvj+O3PJf3wGyowEMRgcUt5clyANhnai4BS50VXnoVXz3GcGv6ZFZEI2 YrnkkYvEUOQu38P7F6PGONgMsgOluVurKC90tZ+TWZHf2L1h/DkilA+IjzvUoTWJclKlH3QzFEIc qQp7qHqMu57p6ikM1GzHtf42/x1qoZoPzhZ/MoxLcvhn0QrT/XtEm17GKe1I1w8vSeGVyVsBt+jr 3hiDVC4NQmxz5YfbQiY6ESD3118ahQRoN/SKF6UnAayDQrxewMJ6DwzgfNVuyP5D79TNXE90bE52 FcybD5i3H7jlPZUo3Az2jrK4ovnbRzpYXj29NhsVx2baVCQJ27AfOan7LTUEJR3OQw/i2bfd+2kO t1JeVXa7C3tpG4PrppY2r2ITnScWwIBtxkQV0YgkYBAQwsGUZwT7s7g9J4fy6BdEgZtGZyV+kfru Tw+TIFU07g5md8HX+TEKjdS/cS5c7jUnD3EtghBvZjW40J3NzE0b5myGyoC8435Z2UIXSHxacXtS u+IlFDm/lbwhpaehq7rNy+TLjbnVOHSQmyn8IrM7tjlJiaY03pCzcWavpPL6gaeLrNzvCU/qAcdm gOoiWNGfNzMcgtUdsDRZzo7+b1V/kbr2n4xai0VR9a/Ge03b8ZX06i4YJE6WOAqoZl3obX+uTS0y DVHk2ONk0uuElGtaSdhdEUZw7A3hvzC/jTylCZ0gIZwgX5/w9xihGp9gGsCe+fCaOr4H4v4JwcSg E1a3nqd2q8VeJKXxp1T8NUVFmStUwR+n1areXurBzc682JqN2ML1Yxt+EFYlX4J5mB9t9vILXBP4 SM4tPxMmzYYfbI9DCUTiKm8WW1YJ8iss3Mgf6F7pNxi3G7SSY8vE7757ma9QKVNzHgUptpZsspVP hIOgZtjjlOmp7sXCn12Lo3OHHo5SZ1BJYWcoMzGGwRPecqqLc577lmWom1zfuoyqcn8vjueCInOn 9uxVTt8R7yaoZ5gZEWw9kToYt7bEF2cZdnhW50k2T2cGW1Hi4pUHrt55sjPrMj30n434oRBeb4lG gxxrzs+I2R6JPZMKFxZYOSasZ34JAvgYKklVrAy62Kup1AE1IGAjEFeys98IMU63FN4gUEpDpuMx UW8VdYV6A0ftXPSErEnK42KwaFM6Vjvt2raz6LaooeQKZLPHIv/cbXVoIlqOMmg5GG8o6vGmiLF5 oQ97N4fjc1jEn2WdBjG4tTQfWq/44fJ+NZHk4mdoA4HPpyuhcgTqClJ6xk2KB4YFQnRSuWs2yH3J 67SscP6RXSufbFa4YuuQsqjveG5SlKJ7Ztnb4y41kn5Dwaom2xGOi3jRsUIXtky2v1oH+opqyWeQ V9RiLC/aO0Fg6zIq5qCz1Qya6+LDusx8pW2fN54Ik0E4i1mxFsO0NyRU5Tl+OxB0/Bz7UZ3cCzie Fb0g9CEGAGNE4qPyKARSZFe4rAbTm8uOX7rjy9IBRsO16zYc5AG6d0/ztwEc4hjMHBIVVvhnGtpY GbHrJUKz4LAvZNYk+DbXJ3iDmzcujDiFQZcYcwPSyJMjQjAB6M6ElOqGuopssq08KD7p98Z/i8vp kfqhQCNXeHsTHw48ExaWnm2NgeFk7kD5EodwoOx75HwVqDInFK2pS7uX+YW3P4YcjdUcfxsj97Ts SFOWgXBXymJR9cH556xc4dpjbdsnQ0pHnSrxwOKLm1oTytKfmNnILnlQM6L3xFU0wcMc417CeCMY oMP5AUNf1rVFkJiThn/aEDyBvdB9jwsuHYUVa9n2yucdHy/Wgkk+HIyy0/lFkyVI+kmJutjZGxKM 17mwlsqZcVaN0eM56Vq9x0NvvaxZLLLLubjmV5SC6I0cAvralVIpaV9LasvJSXtQA8ov7edpCI0k JhCzbZjadEtvwYCMywV31MVgoxfHv/u+PCZsVEMp6RmGZLehqN9G4GlppHm3/IDeaVZ9ohYyL5As ChgGDS0DMwz4wUyldnwZcz8vmJtkW6DSQ07XWTnOCX9k3EWQx/ZBZwemC9thTsdHbbnx07TTphP/ TdhiLRULa94nEJPG5E8KRxyQvxR3hB1W+g8o9pIoHxwmtkJwc1xc7hEXgGeufaqc+jH1R0JW+tCX ULjJFm3bAVxhQdwV5SMh4l0EoXeNe3QsKQQxKrBRRZGRMF9RPd6rDfxkzDW/Q+ZyLSMCxvyOhmyQ xZ/qlaeuZ+iPXcG/xrzix1gV9TGxNLYxN74EM1UD1wzy3063R4oWSJS+Av5glePentUzDE7TOb9U QmkPdGA/ZJlSd/Fuz/5TpbCzicMECU33ChRLUgDkzmIVpai0k7iBeIcOaMPJD8O1/++qv3z/l7Hg xOjti2qgmeOCRlek7LVELk2PeFI1NKUqmT3TzfEFAVJHd7hOFjPxn6DG4C0myEG/LMXe1I6V7NM+ qxZE2iuxe6NXWQ7nfuAqpTQl6Nu428zczNrzd0hbmCNGJMOHehLEKv0M3gS43FaaMqC1cEuVMpF8 OFtRhquW0i2xJXpQ3ZV9etwQCvPxiZO1EeagrxrlWT+bqC1fQR7Oi2GnDEDlkAdD3GCWznA7qInD dl9xGHQBvKEuwcpN6/3Mw+vyxcgKlKYITqCN0S5GmnOLik9YoIdBBeHTovRFP+twp72xyo+8DQLN 8Vf27V9y0Ggvk2gF0K81XaZz+BHzF3qpBH0v4WMCugX8ctRv0FXYc4QSIWbnSXloAGQCy+4GOAxn GxPSS8gOG6xHQ9NlutMeJIEIl76dFqfu3Pj2ysgwht3hq22SdT40qq/xV13JsvyY+hp75M6AJWew B/dhcuii4zT1ZLsjW24wcUuINiDcwvSRsXNZ+z2lOFo/hw9HSz+mw63YQu88UJwJMMGihFLjxxJu OrHqWBa2ItybBCDSZPgWiz6jc0bfZqgtX+E7Sk09qSBr6gl8DMI1uBr7Hfw5LqNpOQDjpbgd8jrB ie+RhnoPPA7gLM+j1icE2+vESqEe5/hvAH6wVrBA4Ll5JLwB0Z0mPfZjmIULr6JehXbpLHKmN/5/ 9swtmpEEfcKvVmMVS8iMW6QMuPuHSWFi49ekqnOnu8daukVOTR4J3Bt/ppa/NT+BGuN1pT3xhReR 1AIi6UMu1gaA5z1zjwh5Kxb/ve3kOpOVkZL0EefSfi/1JaZUmE8lymASSTMHP9HDCt5QvqRs6EMS kaod10evVLq1kQ1s9mR0bSZRAhRAuXH7LDzMNHdG3NVacsAOUUwBx8gz9PLLtWQhF2tQf+5yVxmR 0GsS3PuGqyB2Pi97eQOBeHP3Hj9uu58h2RQNx939e2NzR5h7nC3AxbidxAdFyTcdXlN0cuHNqbcW D3dvafH22HUW/DrY9Ncpvl8zLDfB7slF/CDixfPiFlh4JHfKQfC0Xt13Q7xcs7tYHwtTomIf3Pny m+6jm0sWTkDB2D0c6h5/8DIEWrKbEqwjTfLil47DgolHu8y+vL+UzmNzcsQMBwR+u6TKPp/g98R8 BOKN0/oXpqLBj5C0EPWFx3V12NelvbKWLjY2EGsoFnbArfoimXmf8FRb3bta/tcwm0n2mqUuQCnw gbKMoKtux6sauQjLa9qq3XIuSQI3cEAUkwO6cfreltPkHpzNy1Bgh7bI/+euJavOBfqAez5N65RN TvNROnGKX/sCEAdMt/Za1Nxm+vmBYk4PAILG1TNnBeZE9+iZ3rDvx6Xm7oCBKoRyaA4K7S5MgLfV JeOhQt/I317TcB2vj7PzDu6EuhDLv+rChzR8k4wjItXWyCyJrFDsSNOmFD5zPEJ4N6+Vpkffs7VL NMqysZZmlDK5cN/hB/Hen8+1R0nCmnBGCShvtpFrjCAn1JKsoSaTqbdjlRgqfIRXcoAhBtAbgh2M NGJn5HZ2X5o4GrfXY6woPPyfr/5t8mHEHmvg+r4tqH9oLduDWQAjElEaccT8QdC0LpaCbSlSRMjP U4z6vt1Yz6CxfAqlCBI5WpLmWojkKCJDGTeB5Jn4U7zuIUZfHFELVlv2T1cH3V+Sv7AUUFO/eIJy S5zyR6GLgF1e1+zcyON4uSybbZ00ehsQqzZhTM2o9JXOyOkTsUAO8LFr1POKiG8bXki64N6VK9BZ TrgaPAVDbnjh2wpzmMamJaZTimdSozadQ7//t5rGuUek/TdWGT9nP4qVp+jfo3VinkdjU3I83tXK /JuZBJSxJVa4cE3Omt/puGir8ACEipISpFzFhAIngtLE09gFq4OqLKyoPXToVlcCQJyGJMQnZ2L8 3jUBJu/ftvttolpPgx1PSesUDE0XSLRJ7tq/us/bHw6ojnpOki/SRboPa6PToquGBZfkVlypgRbg f2hJDcTLhbc8M8vsDI6J9SKJhQ+V1p3CtuRbeJvntdqzxRHoqw4+FX0yOYmaRT02O0PxbYzLQGaa vT2LLSPuOBI2+OhHUTACDYZFSafQQdSR6rZuplHCTqHyvRCiHcAPRwXi8j/K1sOFXqKLKMiBpVd+ 4LU2KKexXFwD8tLEB9wcBm24lpkGTuKEXA91UbnLxCZv+bTbYXljUMmPhPzEMebfE5QC8IZvCb98 EDTl+eEQNSQe78VxVkzk41b0lguRLGJqBo+0YCIXm5wW3B/BUQZ2MEVU5Yz+WqUlRqgB2TGWhm5j eB4AIfxUt4vSIoIXoUEO4w8l0gUXuKB7ZYOcsENc9NfhcfN7xX5GFKp/vpWrYmhm735K8F+PwL3y VvbfwaYeeikfHybMHcCbF1WNKnpAAh7Z0LNaI57JPMWz8ZXA+bHi9RHLupJ1w0G0ReM1y3U8nxwz fwbY01V8alDcQlhqFkp9PO9n/XaBIcp15qU/p03afcNvRvyEvRxSLAApRU7r7KrsOyiClfYgoey5 O/l7a7ekTRwc3kdTYMA82RaHyiPdEq/CSEirp5kGzqYU32Wru0Je/rBahVkzYFMUoWFRRcXJcLTF 5aZQGLYwaPMGsjjch2arZMBpqxvbWvJkHQyRJ3dzyFbakO41lHrk4g4djYgGMdj2GeYy0htKe26/ Gl0oKbm7tfYaSusL+KLxJ6npaoJ81xDGKuTyOCdhiovrEW8wC3leJDiVaMYSqwF5fNTFKW+JSWXU 95B5bVM/07gDLgADdmhELB3NGgYquvX75RE/TYW1Uz+eJvn3WFq/y/wmzMRSlOWpukDRW6WWnKcn 6cJO8RlrX5e2JkKWli/kjtumJ0E9FFF46bmHUiBf7ehaXHE+J8B1d98YiDDDeI0DfJNKjSNQojIW YZtWb+xqdsMSZaRInMiPXVL2qSX/miT5DxzJmgaMThBZXxZTf8q3YRWxZ0e/N5kOUBj1T05bX1Oz MPZ2dmM3McbqWqlv6kAqtxi66Qf6t6wqQDURKcKSlDPbJqY0yRRRqDUvsjDgSHmJOF4glUnlsUmQ PMR6ZPud32MbeGRjpvyZ5dHVSythourdA7bYFei+PnQJ6oxlhY7g0aROCmYeJR5VeUOEqnPWs5Oh FNJZkmlFiIT2J8O38todKngCU99hiAdWxpMKrP1HCd1SQq+Oyx+PzUIcHlEnfa6VVaoXFmkW6G37 8Esp+3jZ5F+iwf5dYPK6KOhuPRa/Ai3czISWP+jw2S+VE9QoZmrNL3kdqVbakdzH6N7clB7ZyzUh Z6gH+pP06mDCjdrhZWAQvMCmqfJ0veilwonAt4LvCLzIVhoqy6RhKlDtIps/eOqtTmHTbyqQvEoP EUAtIzuT8z81N1eSi5oXWUqoLw2zaBuxeV88TZqDTStUMGK6HjRovN5gnoK2lAqIHbFa1dP6P8/l bobLMkLng4R7ICNB4gQ64zqJ87MaVEOtKLAyc4/u88xFSDY7h6fGg9SpRdE0wghaMrvyrjNCVG8p hlLgHLtMnv0VHwRAyHTcH/3+YN81FGc1N9l/4eX87xe/MNFFZQEKo0a6nLiPbu0AhyNN5H5PI4St GKIltwZTgSCvi9NDwRihAWyCKGVsbjQFtux7YVlVp/PpIfhbVkLryFMw90j7DBBFdZwTJ+j/uNO/ K3dfqhp8UThtwac5MSxJDRHBaSgali5qa48wevuuJglO5v6x8UpPvEHHYfp8QH0xdgBwnb1/mjU0 Qm1+WTzyaEZJQ5RsUtLC7OCSy6Q/NGWfcdAotELLmOyG4gOe/Ue9rj27wt/wBB+WgeklGAkFNLeX v+33RcsjgK2NLWlt/SKGJYfDlzLByh+BBmR9T0vXQR81D3rEk928jxwVDKoM+ZIs0xKrg0QGQeUV vnsTILS5rIoRIVDlOjryUEsdz2zeaB5naQ5PibOabCobLm5vXkJUeyLyvu/xCOQEOAA5GtnJI9NY /g7L19O50rdwXCXn5ke7IgLOmxc3S+FAO18UR9n1HZ6g1DohDlbVrRa3WFHtm0Hxe5FFndud5ftZ QZovDAESinjvnAdRcC8hyVHiTuerdbSttN3V/hJ90ay6UOYugz1cOQtxNn+DqxmXDptlZxry2dPP W/jTe4Mh7TgqjAzyAM4gRfhUT5Pns5JAbhv8nbu7eFLfmf6tFALYPuboPQSd19TWKrt68EddXmQV c8SrBfzWpCj60QPmOYXg4R3nPCGQjklgBQQP9eDEP6H9RujTDGITMEAUXk1wQqQGCDYbASVrQvLQ 8xtYzOp1Fb+90Nfv6aP37MIxkab4JYO9Uxkxz4Nl2wLStU4Imbqgqr4t6Y1GbYE6YJ5dc03J9zSx M5GPs2dRzzx/UlTgJhiikbirI4wBuns967H5wcaCutACazH+gu8hRyvXG/Eny6hE/m6wmi7ZBoIv Y/HD5cCaagV57yoW8OxXGWZ2lNr+cgZPvwZMWR7ngDnYOaXJ0BOUK7/iUmAuk4E3bThQqZqSKfXM 57NRyK3NANrlhG2t8oR3zrBqWmmpgJLtQy71N6rHYA6SGm/OQ69gDlbr5PNfSSY4Gt7pxKrSZf5k mi+H4ghHUFeenzq3uzpyI72y61ygl9fZWA1RL+iQIvnp2GzDZu/QI8zVcooK/goNxC7QBBW9fcwG bS840q32YGb95+1yPepZ2Edq+/E5W8eSdkzZ6qxDQQVK6lkwnJD7Xh9RWwx3yY0HLg0htZSMtaLD c+642aX+REzvRfqBruwk3WEcASHQjnGH/6x6oNXAQSNkSXOor5+ao+gF5oEH6uNNFrRVPCdcyKQ2 HajaQqkQB5uS9rDyf+Iyms7A+sPoxIbpsBcVF7PXK0QEngMZV2xmddMI+LSW6UnX1P02stsDDp9s UDr0PRltxjN/xSeCxqtslkAxmfQasiAkf4MYi+MMQMIixSNJ15P1cQuiokt8inXFBjxGpPKD0F2K D2wUNJHeBjg7fQQr7DS3NxMlqDRJfk8JJihEHwmV9GeTZsuTxKnii87R/HWUC3ex9/DiJ/ruhoP3 mIz5DVOekxTchL7qQyLyefT+XkGe2Ex7UB9emHjDB9yoV4Zx7sY70lqtPR4UP3SSQ/L6tgrEf85m HlKHBHiCxUGQrdDvfBz3KSgyq5x0slhAAggtlM6VFPuaySy05NAz24ANN1Pc07SqAgND/Dk9t5cx H7KUfKrGE+VlsNAYmqa3ZIUJ3rGzodGKgEgsZsDNekQWJWFKeWhQwuLYoDvpsvfD0dd+1iPIEEmf YBXv30g0CYrzhbQ3qsttw3HaUL+hPynlhVVqz5nAZXjh98zbS6+S55l2bjsz69cWE0RHa2Z/AU4l PKM7ucvrLe+qCJHqVls3ks1DS0NrxHf10/PxZ0plU7uuoaDCf2nl82aHhOAbtUjLedLs654Q+hal pxue80rvTMvZ0IE59IEatjmn74dgK8fX8QE6BF+Se1Euoehftwpu1cWkwIH3zXajKaMT28GvJiVa o4owgiAgWM4ZW0nD1dcR9/ATKdFgEPp+ulqrVGuQK+ijRGrcmkKFfqmM+XlCOxVCKQPtYgCCJ7le 5+JK8XEZ8oMSHV7Y4CNkPav6qtBzzkMGxA9xx5Ac1gCAH5qYjR7mg3com8aR9IncO3BzytaC+OUF XZVVIENd2eruqwdxFiuHSgGSZKUK3z7uFO5h3v0pXT4gzsxyiLZAs6q/jqUVtIG9rUZTrrVsFRND e+PcJPmHBvMP7ZObDqEQ1vD/L70gsA772qOB3bGR9guotr6AeKZ9pAZaapnWqx+wxlna6QS+t5hM gGW5VSQiZxn/JBZmpkfQeRyTDP6Mw+1sq1iJ3Fp+pCH5ZvH4CHvSqzn0B1Y/NlXNrk8akIKHb3kL eD3Cbr9se2YqDKlyimnFBvEEdtT23Ohjq3l1eiEOQUTWpMFt71xkV7UOrXs1yguoligoYStezZsD EhtHV8U/vwsHeuMyLb3tU0XMMcLYWNrt+lG7n3l8poWL67cVLuDCNRJc4QzpTYUUXKyKZEX4aPCo 7enYtzgujE1a7oo679vM9wRV30+iCZ4ku0SWH6JY+7z7FCqiCX1MIN9mZ46zwy86TzZLjNfQZu0p tZTlE8Ai6A9M5GcrlgEn+QKqv2PPTBI5+Waj9p460U/DbSprNSIZ9wIro2gHW1aDkVvsDwKEO+Zi UfjDQprG21WM5fgO5dv9uC57AW1Y03207cSlvh+dazAbBEqyUj3prhSWCy6eNWyeLZ8fAqr/ELfh B0e8GOdjuh7ZEr/zDoTc2YzyM1HIHWsVLbBFSwBX1/ul7P3JUXQLlwDFgv2oar39F+J9szaY7wwZ FenNVldPwydx1tr7L8a9CXdIcpkGhQYPXzE/58Ai6WIuuOu6B+of3V7FSw9ukNkgJfd+89LXnavV hoWM+8hZ/o0nzvr0W/LwVfzt55MfT5gwNryvi4PXMpy+kkUsdUeOSSg/oSwVg9F26p+hh9yTCu1u 5lExOiu9qALB9IhgLNGc52fYrOH0WLBwNzCzt1APsJn9uKWiOPzfk8RWEN6JVZpjFpvxqh1wovao ImwseF71fLD2P7Iy21HUfztSc0gPg/Tyu924lb55SqYZsWqavA71EwqsqMDrK8Vu5cS1ozBaKzbF RkyJV55gjoJxwIU11PnOKV3EV7i/nSZYvU/DAjln73ya0LIcmbk0PVqyF6LNbhIMhVoZ1LY73Q68 7CMyGhAABvdGbHjP3+G4ycisiZ8GKcJgkFlnN5+l09VGm2QSPJt9HOwLu4ImbssNHiXh0S9g0GnL UrUsZRryk9IcllnOHMz09YoRc5Qzw6/aRpIo4axP2gcAqkS6Jniyz+D3rIWstK1a0Xlhjx5rBdDN PlEsjlsGZvdz+inyhxwKbYBhe8Y9bx6uMmbW108IkNSMK02PUoPh+KrEDtqrPCV0M4h6CzbacpHv zuxBE70LybPbWlroLCgFttx3HLADfbu+UvatyS4FnLat9Kr5pP35pfSoQLw5vdFmvWaKazgR08W3 X2DWo6hUH9vYVkQOvdwDanyN7D95m6rg6+GYARFTaGI+DiC14UxkZJ/S2kNYWv28pqTdbLzrbEM4 r8xWY+oNE5s+6OLtJiCk4c32DuflCzSszOkysZ/v2oWrlG8DGimGATB8zjM7u4OM2cwBlCgKW8xP NVH4Cx5M19oDwHzETxAsNUmfSuIgz3dxR69P6tgNkiek3nseGlv4GuQaWcOofBatSOsWvlRgIkHb k+5ZD8I5Xss4zZAo1r6nmJB6hW6IMauCGsOMB6xZsF8Xn1gqrTPV/NhLxzM7+JJdWNDdOi6F8A72 tAvzxdZZuWO4hypsUU5haodX1lpNZ4gFh2pE2g1Q2WB2Fn07pWl2Qb/oomjUV/WRynFUHkmkYS6z FrvwPR0UduVSZ+qxEdDzHwhUrjTicx54pceEGyujs7cWpKwpulE9jGPmGtC/+5pL+9hErQXoAeCE da06oRapkll1f0Xln6sfieZ563CBSEhk+L6YD+EyUJKAmySQBOfj3rrK+wi8AvL9W14X8Cr0NpzA nbKbllnxS3jSu4iF/+V2xhSIgfLSsRx7X3Abm8Ik2Lldx22aglMCIO15d7nLPWG5IqAlhglE10sS 3dYMp9ZciiQ7v/U7hECDJMfcHq/lSS9CKK5PVYc3ZtNYDR6lnVVAdlyjOy8bu2sVIQOXr/S7azbp sGZ4Uzg8WQ2Kic/KNbeeMl2jfxc101DwpWv8FSdKpv7nlI/6wBKx7t/Y4qGOX4LQhbSXbOs2+6ie /gScAvtTPFWvWpQ4B1WYUxwF3p+0rvlr/JCC10buPU6TQf1+QAGKVrIisi48gUv6u1cr5qap1VMI sjd1gFNW67nd/Jjsux3yDNbySy23yKS0GsGwvO0Zs4zwK07K0EipAbverm1Mex0ByPVNsNNjwqhG 34l6Gy5vpXeJlqpuQYVMtUq0+0Zd7Ds9350OFgwTCK9tCt9oiu4W9u2exDuwhAY6CgWouSEeBKD+ G1fY5UYdDeBktGsrMJB/seusI2oERyB5KNg9T5pfCLmHGa0B81jtltLHEqXCHR4RGbUPfLa4JibU zJGVYBGUBScE7B9N2be7X3hQhij0bQ9Ftm+gZuIOiISwmcPNrJ7w87K4xiwotln/WSoXV9m0ez21 3fYUHB9gpzWshnzfADqudf6CPj+Ty0qxWHzyE0TRzIn8tVKJqt3MVNZGrsexttTPAmXSgHesdNxs sqVxPbghvE7juapEW8Qe4Aupspk6vMpm6AEq4TG9h5UgxPTdM6pgXCxAHR3/dpWWk4TzBfN9X1EW oaZMfjuHItv1l/iCZf14byv8dm719cbAxYKwM6BE+PO6ISykO2dI37TKTzX7f0spfzQgSk8rwtKE 5P0BwAYxrBmfzK7Ly/2KlQDAchN6dqWdRkQX911iQCQFFS2hVKpb7gqpq54r502OREIiezNBRhBg 4w9pP+0d6h0gyJ3S7fgHP8NNqbr4zQABt6Gwj5WFaVlkXwAl/uGSbDcJFCFida6wJeypkai5ClYF xp+qOZU9ptquug8WsBVMIkLE3HsWe3WU9WoRwPYkGQq292EWDXVFHGdE3Fl/EwONLjOrTgEmF8Jc o6PXaycJjpxsxziYGKVngH0h6Ir6b8DF2KCV/LYvoua82ROQWmP9SGB9Xiawc0nNupa9NfoHig56 8G3aiGpN2eRF4h+pXLtQeNLI9+CQw1j0QxtMwlJhoKbNaHBAW/DcGMdPnU8K24rn2iMpDjHrg6NW N34nnV57MsavsqgewghP3ULN42jyqv+SKAl4p8LoDpcdXP3IWcJIf6cRIo0OYbZijv17a5sN5guK SbBrE8mUrUP1rZpSy0JUvo3msI9hVjR9FYTAsqPuPedth8dUBM5Tn35h4SyFmwNYUzRlReepbaPK EOLbfzvRxVRQUq576KokLWurk3xc3A1kwm0MKWZGi0C21bNe6L2FGPO3lfR4WTOVzTkU5NY/lGgZ 2yrK/ZldJNmWoOUI5KdBeycY0+D65QF646V/A6HxH5yzLsEZ1PBmx8EJeSLfkA0k2erRW0g6lbgj 6IAQNfK9323wtHWemRsKH6or0jmkW18Y40pfAE8swUDHCar+4oOtkxeNBmihJX2HKWqTfKRUVaWn wbBpUNsYcIeagh5szqghSjrkO5gs35bujQjHDJxrwY+OExfOiy8hEBdnIu+BahufTf1U9OTgX8+q 1jxiSTqhUqG7FliU0CEGIyTiXXRbEiS+gGVJ4SXQmJ8ZY7HTWWAeEMR2OE++cAN8PrGA+TqBFNpm NRgBuoKW/XXa42yj8bOJrChHUwC2hoafWO3WNtYu2m2Ltkj2BvZQoEtx9rbt10KU+VYP2FMP4FrG Umr2YpAfTdIQg68DVnCvslVSvAGJZW4Qx0T/Lwt9Sw6B/yR2iOZ9h1sW/Zv9diruVwA5gYCHFaB5 wM8iF4ODfqNTyXO84zvPSC1+SUjiLf7CCVg2KaClv35lk0n0zbrt0RQuEYnhFeHvEJ2gM4ulRSh+ 9hYp8YdCm+lUpeTYwpxJpn3mnpo/5N5Fd7K3aY4z8Rb5YPWH/BpkTTWg7ptGeFUyb3TYKTK+DSFt k2le7Q6jPExYpu//4VawxoPUQX1Bp72ia1G1zgOj8RIxL7Qb1U1WtBdu0qg9BFYYTWhyU95sgxzT A/oLvbusNX85d/m3V49B0W+IEgN+steK0uDW9C/Ce7nVtfxtMgzZd7Qxbc5QcOw5uBtgW7tc7pZ5 k4kdUAHouZbLMSx+0dr1MJPkVPwdEjCciUIxU/KN+6qZ4DZl1KLP/S3U+/fGuxoTCGsxmG9gTOqk PxuULdeVEtdXvcjtKGISUmN1wcxkyneYPVVtsIcvezZ6N5DlpniIQCmQD5zNCG6FFFOF509HCvsS zTnu3B6v7Vs2O1LNl2dXdhcbmA7Djl/7mtmiNLwZpPz18eDXP/0hQcejwMSUui19zQX5Gn28+8G6 gB278Cu0WxZ4Gfq26zZeCjMvd9uQHnbJ/kBKFrb+QYB8GAVlcxsVs/tzcs27v+sq+NgGhWPrNsQN SsCKwS2o2TjRQblKkA4jBUXZXEq4MOWBjAxZC/TypMkmEvteMO/IFHpljveSTfV8KOWFRaPs3MUO 72RWe0jL1qB/T9Uzu+ZAjhXEXuR+wXfWM1VnPA5vEbn41RmhwfQeIa1DJ3IYmmZLyksQGTqnBtjS N4bA7Sc+D4NNLRS0K+oOFst6imDurH3AS3juxNeU62sJ24aVFeAPG2CUatNZDOhH/XW6oCCQcRvD TndAUE/BosTUAUKVHc/waCWsC/O49ciaOx2mC10Ac6S3b+Lu9Q2PZpJQwkbEZo2e3wtTHqsAvadf gAnjcoFmGfeGqAHIv4jZHRle5QBrWnvLFge6tCbTMY/TOraKmNhwsWEuec4cP30g+H6I+XBufUWS PWYzo2qLh74WADC79jW5EWvNstrS9lz1gsU9j03UVx8WxcMvdUv0yViY8klWayAzX7zwfBD9YMwt uVVxRUIIn8k6ZpeI2+46BKP09KIvxS5nhbq3cbVMVS6tAe9P5YOjSWcUro9eqp07WEZgNWTwIuT3 7hbNyCD0XfAVI3Bf6vehj6bUASW2vIlfeIopOwqYIdXs5tHicLYisPKOnlrycbhEbgi1BTyJsODW PSSttetHK+1KEmN/wkWCjbXrkzPjall9O3GZCM4UYTSJ1HCGpPfehAhU1mO3aM2X1pFVhAwoujsc F2vkru8zzuz4fvCXy0ORZ0S2Vxnq36GxUkybVO05R9q2JWuZKManp9p798d2BDtDafhljeUMRhYv mP71GDVWxrCrahEGXv2njq3GVBPvj0YDygXhlEDWGRI8N7+zys8K2LYiwf5Uq7P+el2KeW5XKBeO jVWe+9hPsLa/XaVs0VsB90Jkxi3wU/1thSQxdSpPasR8/YlqRNVIRs4lMrfNDtlPe8KwvTaonuQM IZwAthmDh7tuT/G0+PlE/5yRra6/Aa3I60ofLlU3kvAxoGVX/JpPMmlkQz5nS0qdR+syelbEOfEL GwifWoo8nsv+PMHN4PXzq0dZm6QS8QfLfhr70+U3VaYEQ5VR2kPOO875fzGTKw6YSDijPOc/yLhO PCEof8ycnu5Kr9v3XihmHTIq2cceEObs4mfaq2g5efKhXDuGhxs936R7BmICLGXw1yLvrmiwcklL AcVQKg1Ob84uaEf/A/nHjpkx0UL2oKCT4XYeZ7HEz1KogGXihdilM1N/76mjarp7H6/uzukSpBDP BpW3GatBwUuiV9Vso8WY+jzzLFXGRN873Oyk6hyJkWemT52xWPINsNydfzGjhVucdPUgY7Bf/AiR vMOT6rhklpKKXDGa4xvNDU7X+IOonjX6RTD8l4E7E7eQtb6OJAdnFQxo2Q9kH5imyVzaYf8SnEMh EvgsSoBLX5NGvl7kPAROyJzbzIGEe2RTtMgCL1GcApGXhWI7OYcaP/nIO0EJWwL5diVMVPXC+3R+ Z1GtRxFFdnnCcfH1vAJtHK/JnUjXmVcnzp9/NeBlwQ4H+/wgvtA6cKaIhgzN5Vbkli7ru/jRwFWu 4q4yLspgkD2/rkGMNQswxO2GI6huOPYIZrDdlJ/r9K3ZHil9d8JYMXBbDga87mcYI5FTNB5nreHd k114Jc7P4k9rupSDLVZshX1J3IdQCYk7MGUqi6B7TMQQbbCAGgI0KslqbwKLj1905LAFH0gE/Lll PBIATpXWk/td+T6qa919gB6QQ4zuU/MSF82OtRip/xbPb8VSiHHbxd/xP5dRTCfcQ/uVIP/dMnEA iMP1dVifdRLZNpPOyueKufx7cl/+F6UKVCckQXaJkmqXXMAOkwcPdKHbZXZHi5APzD6KZAAXKyvZ fmMS0wNKHMnUhBvnMAXqmzO3Cb4OyuIwxIoSLdDfZzzSdCoE9Zv7zpO/NnbeP/9KxRkABMUk9lqU JJQgckQzU69G0oRR/CXJ6RZMoaIsdxKIFvq54Qw58S83MJs7g9QN2e0iXPwZsIpq6CvMLxlyVOSu 5qNo8K5xYl7fpZorFhVcxPdSe9GzoRL0dz8NYvoB0aXpS9mxVvSXa0cIPcsOSj2Z9JFPtjUo+gIM lUWy0fTqrIbaDD2qYLuqZZUTWUGFIaHnmDVvwtqVEEDEDO7cH6F6Vf7nofexMXCpdha3zDC1nxgF BhMxWRJMos3OIwNJjjjCudWT6AyQRiBV6wOFhkFx490J99q6+sGqXgFZs8z2kFQKtPNzTFwvdmQf oMQWXtngsN742+VrcjoUuZkBwOliwLGYCwVxRQ578UwX0ppCst2aokzjhv4ztGLK87NOm/nChKEd myq37L5TSjfQilrtJ5/wp7oyFJg2IzWcOY47qW9H7b41z+ZRMewC2YLdgH2ez67s1DHQI5HHfyrS ZiAd+E4y3BjYfTTT7PLkcsadY1iz2OV6aKok869jU9G8bgKDa1JRaKLrDj2J6mKBiMhVvaq3cHyM +qBJISTjKZWR2H9GYU8c4HsnNKZ+7ybIGGKP2tTYdDm94Zr6RUlCWFJnhqkVO5nhn7M8gn5jzBjg HcOMu3KbsIFIdLkn2/7oJYhS0McUuORk3Ngi+aqh9ucpFBEP0sTiLbov0hLkz4605gD8E2TydfuO tpkFtwsPN/sP0lLJdqbPwGtQS6+hGbPoVTpQcS2FfyrUFwxTPJz6msrWlF2qlee7bNloGfO5rSPZ jyw2VZJTflkW0N3AHokUJoFaFgvlG20VD8rWx9nNcdh93UafS5iUFieo78t7y76rQ/AdDnkob9l/ WMrZtPkWDdZQnJ6uV7mTW5bjeaG5vp5wGHKSEEvTHqbVpggJc1YqA7uqLSgC7+Rq9aYAxm7/hnI2 RA1Piv9AQc/6vvsF2rp3vqk/xntO8ngwniP84WhvHTpO8VqK5BLG0krbh0QWZ9+lXL1PjIJk6B7i iwHtNs/1e5fJCHcVjkQo5ZtPr8ionx2j0VGPUgOVygMFR6UJdgwq/zEsCrADWikqjejyJ1IYX032 8npqjTcGQPBgmnxOywT6dLaVt6NBJuGnHNkr/BeQAtfcaxKKwf6D01g5yIygEwjSPpp00FSXrdNc RkKnBFg4VSQPRkBr1tfUT2ahWq8YeOXMAKDLhV+/Khs0kyUMAXSCsJFezu/arQsKGsChAJRj3SMJ WEbNZIC3fTS1EJpFHZJajRnGV0Kn70+Ow50xKNPt5+S5c+nz+IGeQuAAIZk2Y2MVyW85TqCHV0I3 T2Lhc2XxNCP4dLBaaCm3FOfi0PGAV3JuYlsMLfeRGFRfHEs3MGP820+KQDEVvoUrhiddW3x6CDUm BjWSTJlPCmUaZ87RpOscGkfR6pNybnZmOesChPfU0ULroUr1I/QKsaKN/JtSWw5HppffmOcBHcbJ hjEViClTt9gNvk/Cr9byEXqriYg26fKpAurb+sn4zxAc1y7JMiJuQvpXVS3PkwQg3Wze3Whhzy3r w/qeNoArH1SyCeiPafsJ3lUeaEk8FXJoMT4q9NMxTdByxfKTCnCqAYggbF2kY3WfFRMtN2OhUxMk EL/qqTEDJVlNBewtBd2UmrXbQ9QJpCseYF6mxvbrBaOwQ7GqcbKgdzjp2ee9BRT3s9xE8PGB7Mq4 M475EeZN2g41iPyxFKdldLrcH5rw23EghnGnkEamCS1hwsjtYMUdQ5a/vz5yENlMKL7xfAkI5FlZ APFB5+lJQYtxiRdhdrWFkc0oxZZW38AjfmCLLpHufJvObAgtmMSSMQw4lHJrJEd95sbZu9NgVIa1 dsPz1j46od9Uvdtd1IZjYEsaw0slESUoffexakGxoaTqo8iRXBs0BpF7i3ynw//X1s/OwpYHc8qz sSeOzcPvXUJ75ykFRWG72G82kzhl+1LwvHgQ/91i1EeCtUz4x1toRzFT0lajjvToiztDDwh2m2TW J+Y4YfzXpHkhwEodDqAhOH2YDloQMujcG5rl3uuY0r4AtgM63hca5wbRhrEybO5QleygD83TbW0d J9Y213XofFWwHKXUzZm1nLF6l6zkZDTQNM21hwMGgccxKLLSFIrKRgR3CjE3zr+wOd8O5kpY76i4 tXxwCDywMCB6xw+LvbaI3qDZWl/GsClg9ljFgOJesBZNNAlM7LGbmgmCBhqloD/8YtYfA9N9fq6p PoBAqebNuuVgEsinm1xY+dskg0sSyAkIQr8DLXohebN/ZT+8MmF8WH4gAMD713jyembpW1mMTzp5 uOwUoJfHm0540AnKWzuQVS7aMwmgKUr9j9lJtDFx87dbjzmz7xORoTDiCpbDP1pbloCBwdKCyV3W y2Wiy0P3PMTck4etDTLnzNoFUDddF5p241xBN5NB88QzY4mtvIOE5sYUM8sJiLHP2SWAuR3ccJZ8 Hoh4QtJb0i86lEUoLSeZwYpz/RCz2D7mIn4sWDG4kIwh+AWYGovXFuyr0FL2E+2E29jNrtkwePkU g9nppsNgXIzogSuOOlG6zxrsH92QC+rwX+GSftfpfGx9Z40xEvwgy7hDs3tSzb0IKj+6zcifuggV EWq+UrRKZKdxGJ8aWhVQaqAzdtQy9APA23S6siIuzsetOztEQ/MTkFmFPTBRT/lgUhfVrVZ6ofVt AXN0O5f8AmljWA1yjPEImHYHOw4uIbMtuJAI19j4KnIzaxUsjee3Olp1omU0a2pznhRNUenD8BhV rhQYzZ0zo36T1cwwNNQJboM7bJdydKAcvA35PfaxJLI1ayIrI1jX5VPD4GOIQ6lS8swqOaFfRLCq gin3GhFFDHMF4T3XHaxg4x9vmzNsj3B2KqHMTE+/+MPY7pJTrOXpEFv7XZXAXhoONH0JAN0R2vG3 vKftQr3SL7FTT4VI6l9F6hMZoUlfZKDp226SpdSU5UOI7XdEe+wefgxnu5xfKGSLzj640L2xqlTF s6WlFs/Oh5r3vgyCW00P0nxnbONtQInqialAJH+tfingFZ6GyE4fAp4kfu+b0qGabR4uy+PnygmP F1TuSx6F1Ze+lJ7zvSbRAJFLCtjpEWco7opwO9i7uhzLTpWT2QSikHeLDrGnt/gjvcr/kKSqOZpm GeC9YRgYTWVPKDVssj+ymEcvZNNYLyXlWPQDmSC0zn9f60rZdGGLO89dwYEWBeSDT2PeeFxIBdp7 tf7XaOblcSj5Fww+o6d+rGrB8lgMkatFduA+DE2YLYCwEelTT3G8aFYgooI2j8fbWqqHt5prLb5K H4AR7or+PgeILKP3WODRnaYmobqh7/e2Yb3UGlJKxoTxuihVXrJHBpTCNn7wnx56sMAa6EHws74L 9pHmI3kF9D4/j5YPh+WxHp9N+M9gjFrH/keVoXPn47ixlBp7ln3l1OpLQ98hy3/m0inD76H1i6zy JBMWBLHchNRvSWfbKo+4dvkMUEYLnSca28vXVcdao7Cr7YNmBFu+zjzkjKwwuyFeCajY7kxK5jOb daITjQzMPFUpjKrIupu913ENO73GydsUS6OJrEkBLcsCjHpovtJ4L/UXPJapb+cJzdTpSb/U+Rhl ThgDX12Zk/8dKvjlLrV5CAEzCibOO6CjyqTPlyaMRWm2byWjdJsgi4Uy3xrnWw/BCZh6SaUxrXSb z2vp8FDzUXZ+8/nZai0MfSic0midma7alihbY5Om4YwzyLIN380aK98hZR1nbnf+NFJOzmv2LMb5 mcqQgfF8WqDlj6syDdtG3gIJHytcneTe4byLalDiJSetQ71jf2sruP71BalJwR3KliTQrFNHzUKC C1CGArsxGHLaGM/5V7Ez1XjGCN2p8Aq+tZPig0SNi63b5ErB3BbjZEprHw8f/4fSDGLFHXyxFi9l q/pK7BqmM1RZfGkSWH4HbD6UuFLZRiLpKoa3zQJDYyQb/UDld+rnZvGCskMcHUg/XaTjVUuAd00m qdbCSU9Kb2zgzXCDAWt7PLGLSUaKWhlh24O3jBXjTJn3iuN7hLPxgspQEHOvr82MjMlzxx6/X3cz x1zzV7b08AKsQ3B8ik7btYVJfi/Q4HqDOM1+oRWoFcA4Br1e2GxmG5k0nSDUtRgEWy0lPwm2U+I1 jcjyblwLXPDq3s9ePWppKrLWdwB2sYD9oepmgS8aLZi5WKH4wJn5fHHwWdmW8kKOlEo+39t3cWgZ 394JbwuffQdiv4NQCsfi+WxEr2vSBtDxuTTBpUovdRRcS0RW0EyP1l9IPsRIk2QmoaIBYYGQx244 opGL2X6V2yUE2BLMzjyMv6a9iAh5bwK0ZN4ua8E+si15XZkss1JoaqgIHfSXeEwy6wbMyPPW2sj7 GNdAJ5ych/i8qTkZnr9Q6ZbJ3pOYtMuF0qDZU7eT9vd+D7sw+rTWUiUFadJm6txlhS0OLVBXalDn rSkP/c6UJCZj8uCU8Kxc83//o9G/aT30Dw2Gq++rthxqZ1d98U0BLngvRBySJeSLiEYulS3D6r9H Z38oevXM0loluZPRBqZLwMwdxbSGCcMtgfXO0J8TcOogYMYUjN+tiCDP5TbB/8Y4wuU38NClHtTV 1VtYGnsaatkiZQ6E87LA3zVJF8KSQmLcqx9GytbTLPUhZHGo7XOudI84A4PkFhUKtB4e4s2c2daH sYrWstBuZCjkuw4GGes3pNLyuV46HgiVQBEbTPY6NBC6iWrji07RKzNJZJ8Tyzwp/onRQSYUeaMb kKFTw5J+Qpy12jJv2X2dmYB74Y9h/WSGPd4QIEmXEwpge9sCkivSLlwy78VbhBq1HHc1dbi1vLog uUTLTIZGlYskrM9ZXwqvg5MmmvMhTsWl+MMDiD6tQvmlr6lDqI8dfsMZ6kfac7AEiJzL+1mSGsKx sYmKtmvOdG5blbg7kDsP7CRkOJuVhZGPZNfIvLtSaC7BhgSVZ2cokkajY3rubdKBXfs5NUp8SWj/ f7l3YsoM9ks4md74zHw87xq87zgIGl6956rrsMGtx0KdbRGmLnMSWdNqD/PQBTfeqIdjK2AST3+y k00V4jZnkrOj9wZizHPDb8OLHKJZCZ8Vk082nad2pd1SSNjHG62gnGX89Pg8aQ9n0CoCtQcZIdWZ 1yfUKg58+4jZDVd4ep0ZxoD4bjuCUQ6iAHtenqBKq9ccZSEkpeIMIhvLG/R10nGLaOkpk8Xamr0j ACCt083DtGvigNqyJjpfGRkdR4S1RHQbMKEsLL2tHR07X7KCu9DmJnnEf53wH06jB/tKVJpaFPb4 sSfJsVaSGyYrYiQoeM+vlIFdZsz0UdMyv48JJUyU2AdRlKUqEhCZ+xPtfHgkbIg9l17zmSWN5BCY URASP6UpctPyObTzrLX35payMWXmTKEXCtwlpolY9DUIK3BbimVwjeFfQYi0t8d2U95zEdEDL9O4 bgahE0aDORIa87HFiSX0BpvqaCryO6wp4+pa2hVbIJ0jSVnBqnm0zkeJdnR+qydf2HSdthSaNcdG LOxhkR2Z2aC4zBnAMMl06pcSGq0350OrMudXbw/MDDvJ9MhIXQbDDS1cNAvIfaFPKNb9cuQp1DAM 7p4eVWVPxNPZqMhxj0mtBxOy8vKocO1iV5/XaejomW/5TGv8OqAhRzc16y3Jb1eO5SxFY/4RyrFy +bM9uUVZl+tE/cAffkhFa7TmD6OMNks8f8Rm6Jm/xf6i3VsVtyXy8s6BqiouZiA57vth8S8ZeANw XHUMDeXfSF0iKUOX9wxVxWZ7T46w59qdSCNnFZQo+KBqeyAI94JjA/V5LjpIOSJdhVXXXv0k9ndf FuzJeIlZAqEVTUklhwlFV+LmDO5yAfpnH60PPWlDKPLwlPDyUOmgdCqFHpGe81uc8Bhzkqkc3l4n UisIVmC77VH6G0pjViri1vjd7+99k0sbKPcZba6uwbfQx4IPomJDu840Vlu8DSyM4wsazo+zmn+S 5De9xdqARELd2LXRvBfcu9XfGE0dl3N+5XOaWCIXc/yzEcvuxcHuDzzDV+q4qFavDoQ+X4kUQ6PC zN0Hy0Un+iznFKx3oWgPfH3lbWdzX9EHwZJNQWDGZuyKghKRGW5fly6cQUmCb0PFN60QN6sDflbR MsaHbrz18r8xgWoQdeVghRullXFcdJKrldcklUEtwAhTdWONZsyjiNt6AmkXI3K/gmZWqZlmSJXP n9wqDAFTvwsEJ7aMvF1vrS1bZ8YEBAdJctvbjk2KBiC5IDGnDDWAQCHnyKNUhfLu4aFtU0GwEzTz W/ABwddt8s0+bHd1F1eQTwKRIqi3QkQAAU1vUp/D31QsoPzn6ykVHTPvvg40McCPd9VZRoFtkosn tfBtsncdEoLmpB8g/8n4pcLst0eb0g8l1L+5ya6cL33aimdtq0HykwEtkNByUCzJqMK5FyvfCg6D R0i66uuNMEpFyPqcZv3c/zQHuh9JqD9QBgnlOz2f9JCUxVH/4+wZy+FzZfOujqRhx5QVw7nPPrn1 Y8Ie+o02XJxRYr1mAy6D5jK2ArNEDNTpeLJo7iKxxCMRomPt51Owu6D9clIjgpryuj05MtXPkLcc O7pdGocsZ8MYPEcIhwwFc4IKphpEAVQ0txpu6VuqDu612Saf38sSOz67bzwVAF5CInZEgeW9aoxI TYL9fQyCmLMkp/duB1cM16gJaANTThESK+QqHkcVSM11zYhq9DZPti2HQLxpeyK+oHxAeyV6mdJQ eRw5ZJpyz5mGXisylj/WKut3IxmXR5xB7x74EmSkkhLlwLF4pNOlkeMAQFJrZpWmRJnLnFe/SVOl eYc7Be5+Ejnwo+hnE6+Ovz0AkOghJDieo3W9QI7KIiRQi9iBojAUFS59s2NsnDZv+3CgqpJ1HYZO P0cGmMtviWAuxQfusjDlsS7UeLIPQaGP4YbPiwKJAO+7seNDkNj9n45Im/EpWB84pNjIGyxqFHoS vNvoHtIoO3d8lq1ziGmukl8i1a6HS84X284WkiCgmd8hD6JPxJwVibIibtxymOEobp9csParxC7C Eye8aOS2LDPFSnoR+1IJ7asqQOSqO0V2Y4WT2uqpb//sJfZc3Fsbuqi7klvpkvKVyy7uP/4cdZsd h+MLV6P93jTH2sFEVexV55p9d7nutPj8SmMOOyZ7Q0ThcB+EMKjDRVTMye6lAC93G9eyImQDF9Kj mM3FRMyCkttS1xBhumFYn6S33tAVrDdBrxCegL1X8ji5zBp0KZit0e/22bn94CQ7cHUPQqo9IJsU xpDXb1rzgwg/iGqgnwmeTg6Qx1YjT89TCUAZQNVfnZ5ZICCN4M94tREUBzbL9SYz5K6KQUNgXNeV MhnwoEC9+KD16NVjqMSuvvvaQPep4O3VM1KieelSrQzFPQkBqyDwmzUKF/CeH//k9kFKtTLGS/aY ie2xHaOLzQQTBEq7eTQtGRCanGi07Bqym+Nt10loLI6fap3f2MPFhqbrQyc0OsDu80HJ22xaJeCj nXRciB7I0WLzpyWb/HuB6yXynC//kP1kdFolETmnU0mgTkZ+l7tzBHZUZlcHizewO1cg/OT4IDZ1 s5k1rkWhlRlsFXAEcBvUwrAmc8SNCltrTRmoJzZhiHD10TfdZN7y9PACg5SMg18v0S+HOFhmgLt+ k1F7GdjJOtmbMHAQq6Q70E1NsVHEIbPUwLTlpKSIjt8BvN8zjTvKiUxTwBhsOjtqQVhUfQzS58/F zI7lwyzzHKr6+oBX/go1BxoVZaMCuNL8UxvnZBlP//Qll893IN/4Oin5jj6X/Grj6rwK1LPAo99o lAy8RdjnEqvnfl7Y1m/8WtGhPfNZ4zVQhCb0GeE2BJiFOz9BY6EpPKOKhYu4/qOiBlE/MmH49k8K 3DKxBm7t8gxwnoXQNuRKmV202hhwyTlrtlPpcpdJ78AWFODqdmhiagoEfOFmL6bL0Ioq2XfaOtVn LWfKPQLBcFXg8bvrIa2CCwsznu02KRw3CSCC732tC3xfAW4Y/GkJni3cHtj5DQGmYXnggVJcda6+ DoISzNh2oHaTrfjnEiw7tqDrG1cX3CWoEou+IUowpr/PbeciYODAy02btk3iIsIIBG8LiDb97U+A XNiRjrUvMUFkdiXEio7sSLW3jNmZrDqwYWj+j4skcYb+5EZsoi4B/oA019Ze3eZqiuhLtJFDmKCE nHIAln12r6m2ByECTVOw1GGmf9qAWf+NB+I9MsdVgo1wavRklovUVbM3ohc0jKcuFD1Z6H+/acKb uNxZ7129JixDXrsKXfbpcyq6i9OdIrgup3y88K4ONyMs4/jdsojh9gFE3Cx7Fwq8AM6fTIarbdwQ sViELjpW8jxgllRSP+US3Kpk3Haxm6PO7a4z/XsjJJD6i7B3jbpd/DP/NamCZPLTyNEab4zFGtYP viF9UdEox1xKl8qnsCIqdhNebXIaRwBrU+KCfh0IQjT+6ERXHDeWx+IEda84JYI2Tb345WrzL2wt RT6fSAgvV+XxQULV/BPmJdcJcCmhlxS9zpDcUkWzGf29Zu2SVgwDsvWAMPPDKKEl80Z6UZDril2x rO8g7lpr/A5jUvTWqAGHrN2nYzjEq+LFsbIYzB0yU68HZhMeyIciEIvLlqK0Hf7m7kfenwL77qfH 5fCntA/t1T706ok9Y6rk7TW2s9Nrt8xK9sA15b3o/E2agPqH7UHdPpFWEBycohiO5SqszrqhIFoY a+Yl9jH0eSg1AWAcbJ0zL1XNKwadNcOr4wnovNgSlcS1U8pmx2IVWJCF/Zb6s8XZzOZRUTFevCzP Y6HoB4IOF3gJPbs6yZuWkM052Kx9Wxj3PFRXDHswet860F9Yb6RIQnH55Kox1XBSeSX9tz9Odio+ baTAzE8jLx4vT8AP1w86OhxlCm+MGGvz5W2u4f7MT2nGqu2jAcnqBqS1ka2q665auXCWiqCrNWEf KDuRLUW5PS/5SFl+KVcKJJIbjEtGFq4RSYoiTKt18MrR20oN8spDuioRBruz2cMkJiKjy6IfFJmA YVcJKRLd6tISYfItfWRqZAV9C50eLzfAS/Iz3Pe8DyQNo6ezOGS4TZEcWozhGPz6gkO2hSdE9DPv 9pWj6+Erfq/yot+P/KLehdIrmsPNWfnpEjRW3qdzqbkqKAdCuS6ohIepwOt9T8yxyXEjXDgEgNRS MP45ez/NQObZAnJeeLlkDlZJ/+4fwZT82ktpb52GT+I18T36ja+8Lr06cjxBnjof/+PdWMsP5Hfb UBnSzRXds66F8abYx76UihZTtIzbeu1UTZKSeTASSsoylmBSZgpLVF3wj1BroRmRDqKpTEb6iZyh R7/8Uaqm3UBYacXYAXJViLuQfHmgQtOskCv17F209xcplGBXKN2tFPcHxQSg34TWWKkRfr+EJ0Ds IhvRpKF6uwoIWXjbSyv++amkIcmu2Kygidozx3TcXI44lCY9AGUq/LWGU8IanbqerswR00YV7MSI gd1vuqXYlYSiEf7RaKicd3BAlCfjM3dnJDaUJA6Mscqy3wJZO636WHKHrcED6G8bXRa79R6axVRS Wj9S9qQEmH7plGXPSmomaLNVbSit2g9Q6Y/vnMYdK/R8m9B73E06cfxdLECHExuO3wT+bGemt7yW k4nzqMaFk3CTFKCq9N5C1R432HciDUbXqNVMerkNT5RR9zSaqiZAakixXXq97Mq5Un0Ujbjw6L/0 GkAy8O7Zs4kVgS8nhuotznlC60AtP/Rzf15PuNxHw7IkeQyEEfB/DRf9SydWxdp8dwipWLyz3HQs DJ0kOqdBrNv6y1ldG9yJqn9c/te2yBKW91aJtmQOa+I4erCkKtBymvwFeJcn12OvBvg33sDRDSe4 WQ52Tg5JoiKEge97DtfbZzZsNVHIuepueh0ZM7/tDY4Y2ZVZOA3KJCq9KVTLYczzF0YA/7m6ngkG xZBDfrpyPooXv56d4pgf+WigytFBeA3tIkiyjcr5tAlyesjRMQle+y7/lrQxsEe6wGTL9D4Rbxke QD9O3oQMYBnuU/FyY985Bzk0rP5yDrA7O1yNeQJdWgUTCrkJyttIRmz0IuzTbpUe8HctHONodAoq oxnc6fDL8NSY2SXtZyzvBE5puF3xBI3ebGZCVLkTjbSZqYcOgbTWiz9I4pcuX0itOXX0gjWogK+D ub7+JGf2sEOInfRs7KFkLu9FCAdaUhEXubNIqTkzu9a16WV/jlFkk3X8FDnbdEHAJVe/qneSuN5q Q3kuVvlQ/1s67vFmJpR326amJhwdhNQ7F+U7j7bsJgo/SXHzFxyprMasQIW/VGzyavGoQEI+stYQ 4MSDbT3lx6xF6JrGeoh8e1ZW+I7P+zyuofw7abgBmfh5Fjm7q58cLu2zq+Hj1ZoaxpCG4m4OQCo4 SbDnQCr9deiBB8QB8Yip+X5YDT3KJNL5c3QnrTmZgdsPTlxGz08LUCxyzsR1yK7+H0I81DOCAE2I yqItC6SHoqRjdJXOnJdXuCbcMqL4H7ZUhDGE7nkKpAlJ+RzGkqxogk48hLwhwsHRyqQ2Y2N8tg0j 5v30/59NYhi3YjzatPiKyry/k3nMV1NnHT08dRVlqxAYrCkReabxMv8qKUU7fWImgjdQe4Z8lrgB NAF4lxPTs4MYtggg2DFBrgoVfKkqF92QJNEcGTx7g27rmQDn46c5VqFaVFbXOjkqqpBrfzU85qJf JBXGhiujuwjysRZo1U+2/Gmupz3oXBAe7wehrDk9JlovxRovB6WKSrmECrbnHsjqfQy74qeQCq/v BmlGdTfbATZp6ngTOwnMsRA9KuTAecOZBX2tcK2RLSi7V4n3mvfYK/O/gr+Ya/jttzeYS1GeC9u+ qIiYIMD13qXrRFnz6v8imCZ3CH/HY4LM/2JSmONPJ5ca6oDZ+G8amp+/WRvMvt/9waWz32juCJTW tb4jxjifVNI2XHldJdQ+rZywAeXAzwS/lCL4lKd4EUmMDQlWO8xTaaMp4RpErjlXDb5/3heoKtSt H7m3MkUeW5jfE6WG9DVBtLad8/m+S5Xi5XW1ihKM2bngrWsQO8yPqbna60pQ8vEfkfqlGbFgFymJ Q58oEsnxQbT5TiXat3Rd8TNhoA9O8DqzqHGpP8wM8/3g7Z9QNtQOzttuBKXGnZ9dTw2TTwxO1uKA 7F+wR8y2SHl0XwWmnp20p5qKFjO9h9g9AAigcgM+X+ClQI8xFFDO02tFMSiPuCCGY6Ex57K6sN3T fenJK6vVTfGIekh8lbZbzGrtsUypQlJuBUxy52pRFo+iWAMh5Xb0rGX/0E4p0pU61Y5qBWRPkLs+ KQYcxunrGuI/1TeXvolHwDUj7aQ/PeqDGiDCT3KiHc6bplqpP0ownd1gGhi1r5w2/UcVZKdpLzLf V+kEmxX848TnQiEtA2oKryidQYhqkCKeulD1DZjIdEk9bAd3eqOq4jbzILDoz6xwpHoyvicXHWlt Z+A7Ja1PwzLOrLKrQjoCt7U/8qXSyDEHCCyEvidCnbnspwGsEzX3Uu3FLCZ7PYqCgq1Bz+qzQpF5 4HpYMcAvWAotBznCOCNPXQrCH1u7oHf17in7apKrYxS/Gm9QK2frrExU0pTIPIqMcA3w5VANAjpy LMBDW2FV8NTyuuxWcejFAkNcXhEsR8AulMZjqsStFdwVRDOPoV5wlVYyK/jd9xI8qrXFYfpxm+Ok dq4rQx8u1d2xO2jJYW/k6zboUcnQDiEwzSUYg6Z46jCBVmSWqTT7FtzFMdif3S4f5xb89Q5oBjns QKg6BtOIfIndqZ0rSBgorXfVQrUuj0UytjfxylbqP5vyl7jtm/d+fmyyJEoePpCiZ9dRL2kVj2KK d9c6iLXyJm88E8d/N/X1QD4M95lXSd47r2ILLNFanCFHzYIWEFfAppelHpwy90GG742jpBbrn4S0 2G0tdCuYLWZEFuD/A+J5FyBIFk7nljM5O/VURCmVZ+6np9ruaRpSzI9p4n5UmdwvSzyQPZwPX+wu 7t6Ee1szI2TRBp1F8uHUM0sxcDaMf0GgGgInmjyIcVvBOpBK9ZJsxXh6FKWEzew9Nwd5JNrdAth+ I2Vz4hG5FCcSCcqCkbU+2l5C9jZ0lIsalrVBzXd9Niu6NR0g50FyoW80hQFGqToxsNEU/lvlwFO2 P+s9Q6DIhoNZFRRoxKhhutB6FxsBfBdyfAmWxvjSvY+k47VuRs72+ONtoFo2hbr4AQTWE/nkvBtQ k5JBWKM9uSzDBC3G6ahmEEVHfaMoEMGV3nXbmCLbaoUu6x9vy7Z14IKSPgxsTrqZcHOszaHUU+01 xnwR2cKqwgoUKCvCs+nXFg3g25n4CABcKQi+uCbFF8bRkOi+fhFaK6bndXoXWi6s5hKSLQlYz8EU 3bjBo3AaGWeEosBSFY2HOmdeNa1YNN47YT7HtY3aHF/fAR497y+cbdcHuoSaJvjqdk5VhjEQhe05 JdIyfoksSKimFRKO1Xy6sKmLUFjciMyXcIWOjA9mcl9Y0l0702ktkZc5wXb0kqZDoTIrBfZDPprB pDBr1D4rjYkJUfbXWvkHTHaWNJqaqIty2mSvgbNNGXaoUZmrqM6dWOB5iSCluJHfE2FI8BPdXod6 AX6GvI9zm7XKz+zIAjgmGcooUbfxfjM9q17tfq4jEh+jRPmhfSemopdgajSzsTiSqV/uW+yNirAM op8LMniFSMTnLQh3jBt1P2EQqZp34JFR++tNve+Obuju1/gisZw6SdMJyXeN8Yyp23jYrnAEXva3 /14lOZVdxdT+YUTLtSedvr9fpUMJ9c5zbDZV242XAtPdCLuf94Ucj9K5iEHDVAmKWBV7EDTZ4Lpc LIm0BwWltA0XK9YfmCVDVJdQXjFgoOMA/3xHkTHUBvFRDIkFD4j/X/ocJzFEiPxiHvqt3zS2nEJF nBvDz9L0bNI1mh3cgTQaMBm8Qz7sT3RLXh/rarvMiFesOz99gHhnnLKH+Y/i3h08HcxVz7LHsn+F hnc5wK+HQnBEpOO9kJCBGl8dDJVI6hsGN+Ks8nlrjUzAWtJNkvuekmm8mOf3+zBsWlCEmsuns73N +aRnPMkFMVxANR24D6Xe6kjBpLulyFOn6GEEyNTGUqwfjXO358bQ0WGzjgpTiABGQ8qqAO1dUnj8 z8+jzrO3c1PACNNBEHidQTOBYnzi0IcJz3o4nBFsBKXKIUOC2EgFz/wTVrzRpXQtp19r6bcguvR/ B4b9LD1yEibiEYD338sL0auhBRDoPh2e/AkgH2u2YoUYS73cLcsB36Sii97vQjwVosN/uyMznDrX gFrlwk8E7eJWRtzevjJ2kos+UpF08dq+lI6Hlh9PeS17oSENkMR8BrxQfzMXrdVlKAK2cXJw+VT2 Y24hxzAem6Jrc/uey+F9pnmL6X8GNhL/nXPvA1uWJ2FSBUdvhneLspUPnU6Xyfcl90jkbmJtugek CBFafYmepnnU41Ou0kwoj/IZASY/sbpMM6+dRYRMfVv1NWdTxyWJre1EYIxViMMlOZkWF0NDhdsN l+CdFPOacV5DgnH9z1uRo9ljOtcRdoQtisNzn/9ZlDzIBTtrENVzrQVlgJ28nC7mn6QFi7HI8ptA XCd+CsObhMJZcz8+PKMyq0C8fGUKe0MOsPaoWwDVzUHX54Edrf3axsAwDnAmMVmN3TRexrcV+wMc cmoFGb5znJwAWhAsQknuJFHyCWgTQ59Ht/koetSI06hJsuEJePuhix7DbrNs6JTDwuASYmaxRYV7 VHZ/yshF1eVWHlX57QDC+6OlGJxc1q/5pzvb1P8oyzgSXj9pqKTfT+fTp1JY75sVWslzTYYvOZeP Mx5ElDv9nx6SCL5ENepVZM1dUfNJCN+Prr1v0LSLUocSnPVOMfeCBK8jywDJmTkAS/+k5YY+sQ0Y i0zPlywg0eew8nrxJu/m71peXChAIzdzGcmvEo8aEDo1sgPdpEjRL1EQKz0cGfAy1wj3+OpwtPe7 7+WDGCbvApbRAO7WMZJQ+ZCrv4ahIbcoc2XW9SpxDWiQvJEYxnCQ0EE6CoeQ8bHfiHbnCK+As5mn X/vHAO1Or5pSJaHUh50k5+BRVwJ1EmELNK3PbYImPLwN+DfGaTZURH7luLFG0n8CDHm6lSNZ74gM 3+HVbkGXBz/zuZvLGFRHUUogfyDhRywAL0oHvlqKD/aFNGiWsyLuFcBT6V8yX4ZVlIPBuwJKA7TS X2dX64YQ9voOu+NnSm9oKVMuK3L9g+UF5AikNN2qhePujDW81Sit3p9dJCRu/nSYU7ta+xE9v47a TDmNFM31gNOlyqHomFtHszAoYubeIKh23V7yHjL7GwOMWj6bShBgYILtz5cMUedjIX5ibCwkhl04 8HLGsVMk/YI2vVV1ocEyzzImzcDBuat/+Jd5tZ3ON5c3jhYprDzmojgY9HEou4X3DdTyv0iR6U4p 8VWaV9yin+mHL/8EUlmxE3/0AltV1P8c94xpdRL47FnGal0Lq1ushXyMnbWXIiC9p7conn/TY4wW /eTpTeAtvtNbQHJJamy4f1okYWoNifjIlaL2JIdDbhGHgl9mat0yTgKENRMR5SB8eVmXwAqHOQrD YICtgqprtogVReDsrAMExLdj9iJGSpa4cYebOPnKdccBKjRe2iSuLCESyCt/QLcFop32lzVCaluD +R9OzhjBJKMYLPBbSnngzTlKu0Mt80rkS1ku6zFgIhmNPC0Kmyhej0nPohftJiWRYNy9t66TWALi 2kOKHmwkM9jMphmom+fdNPIQQ/8Y9kJke1A/VoUpWJrD9kS9y0mvOtEx+NxbgeNqiHehn8cyueXc gOM0DT5EyLs7/uOJn/KdpMxqnJNiukHCduPbYtJy1cM1NUpcMSSuAYHk2ey8GuwhxCMItNMCebd/ 3alXIf38NETtvQj8ZWCq6Uqi/LfsXudNbICB22vw87XVFuYAEtY13bO8K/mhxC1JPZp9XRkdBuW4 yoJev/HNFynmvQf24IRqhk0bMiiIK/HqPfrgdNhjRnN7ZodGhZRiv5CB9wCqA5zfiMrc7ky37nGm EESZx36jhcPyoHloLOZrM6MCZ03gTomcmrd43yBfx+Xmrho67Hpc6YyKTXC8MXVAHouWL58rjmtm +tHo1/oEoJSzP59Wh09kX1hCmIzNqv83ZBQ28cWByNx3M9i3Zhpl7UyHxm/0DRMP1cOWMpm2hgCJ hRY/AHrodGYX4u0GMPE+aWkCtAOrF/8pmgtyNpnJRkVYNXLbsW5P2pd3xwuJ5D2LamIpzvTE0t+p r8KxijhQqYFQ6WE0uKjeFfH8fkehuiOSttWKgeqXnWi/shUn84MGTmQcjkW+KGL50XYsTid7tDSP OQL8Np2S4f9L3EYx2jm+CvYzxBWH/a9w3eAqrI3ELUE9uDVc9eHiVWVM+2hayBofImH6pbugKkPI vxaJx3JP3KQiKb5eEpL5tssa2X4CnI4IAGBdHOjwZdDMySeErILKnzea5ZRW2ma/N56qPoJu4suK pyOl59Pcg57S8BOsYG7sD/0N0/iIQN4gkEDDQtIXPf4PG+3XFrEEHpMDRJzVRhu/PLCcBx6e+SFS 8Chp44j99UE1HJ2cOJUi0ytG0HnfDB8RXCBxkTtgsAnQem0HE6o/1pjGhteBxeUTz/T8UQvYQy4Y aQz68sQui3VIJGjGBOiDph2FLAsajK+fOtZrvt7hn0bckln9psSZpwK4A4aWEZrnkMkaYIeFM45g 2VpHeaboUN8lLq4LBa060gR7LRHA88sRr2UKJ5ZxAoEvA052fuDY03dyx/cyPK1mvzcCsZ0/s82w CzQ41p5mgwPhGc7QwktO8f9U/cHVxQKmBk1mG/rcQe6MhzFyHCvUG4Q69Re3aupBXrMJV2T8/rUY rA57vyfdTPun56yo7R4+4WSXbH4iINdYZoqUuJdVJutGrejQijnUYrNMJGSVxYpwpiOYfBkNLxzo y0QlUKWkzbYeDCBprMWbVuafzlVYbwdWuFlsm2QFs4Mq8soErn1IUOPweITBuygQFIBv2r+HwGJz Ro9w8ZKY8LYewpQwbmpuZ1xLYzAERwZ6m4pRO9tWnK7ldNAMHE4JDILn8+cBzORzJQevBMCekv0x swuSlmxxpAJgbOFo5+1zF18vU7dvxnqkp1bm7XVNCG2e4WOeq/5hFLOrgIdcS52Ha7QjdQqemdf9 lYser5UXHlKr+30Mk8GAshPrUilyNmM0P02wsaRwHofHJ7Qu1QFp8RkYsMNkWZmazmZVEA50S7X/ 826+Bc9UBgNCt8DcXxnmhqFarKxTdFfT7iU5sy4rBIxcbIioRGVgCeqEzuUnkFRqxHFfaCGn7JyJ tAc9GGz4s4UmkJT9x6Z/qy8yn6s0zoR0UVnyxrUTOvvhoMilqL1q+fJ1C3UMfHZUiJ8QDDPwf6bz kT34UuHFGFJqqhBvp+wOOVCSPMeLaM5JKZgvUzZv1PUu2A1secZJWyuogh+3DqKJG9mVJMyfwOY2 F5SoRqlaXbv70UTinSDIoRh+BIqKwYxwnr6XrYa3oyqwftqPFaG74807Sq4fwMmgWlwWIPH4rtGI gppmimIYeBpebXx2Mc610uOwIvqqv6IfnPn5Nje97CVTbE6YntzW6BTYU0GhNJTTR1JqQi5BgvtS LYainSj1htTn9sDyoWY97+j5jJSTN7hSEHdQvhEmG2US7FAGpTV7QkXOcSI5HzxUBvmPfa/hZCPU J5C6MuD5ATxYOghe0CJ6P3Vxx+pzGmE09rh7wtN0+j9DntcW2uOfirdVl6oBd6z7Fnav9YpWJZVp CKfpsgC8dGk2sf1cLn1hg8o2FnG0nn152FV5zRw8J+V3tgcR4MRi+HUK01O0A7fG6vBzjpH5XErF UdNyAdDoD/5D+wNLuaLaXkNSIXRQ/nzyKlFUaYf/l5qgs+X5PiqpZPsCy0RqRmrTU40c8wjBZrta sB719zfdktrLGnBYYgYErd8xFPNeQ/8tALuKxb55rcPLR9/1vYqCzajq+l5LipuCfkOeoXpWvU42 /tKjzJWnwwpoMgP6wGSSi17D5raVhm9P2JYzVecuM2YgPFNUDtwDkDJ8t355RrZLwsOCKuZD9qZ/ 4UPNd7xwU4E3yFFrkyRoWKDCfApQpMb+6T3uGpxW3coZDLdu7x7bc8v4FQ47wYvHNVHyWA9lNvVA VH/1C5WrytxJ9rwj8G/mAuIqRty9nDL6ycN2UsWVKp6J6Uz2ZXtiMmnkILDVIxJvWADQs4qrNU1+ 5zM8lrBP/UbHyAbL4C6x596o7FdqMJqczViB14sZOPms90JtBkhOs5TUre8HZiVAWT3kz2Z434tx Mupj1Di3RA+Q6LubjBZ4RExBKzX/wc8RyMyFCMAE//9nLCUfApgeaeX03Sy7m5g2biWnWLZ6dKwb Eq5g5J4451afXiU8upgr6RVCGqn3/77VlRtjtTVkFidRXgChJNgNwPg19Q2zGr6BjIlSsKDq31ws esBvQA0i+tw94270Ww+Tp1qX1OCgOUKo9oUUdEuB8cjXfrVS89qiXm14OQkSAb6rCEoPaA1Jpdtd RU6eQkC0c3/CbmXadcVtYSIIMAj5eCk47GG+2D9PMw+AWmJUnuwBlI9/2tDq5kg9YhhI6UPfkclR 2g7Gw2i0/ZtOfvTZ03J99arpfk3UdI+/27ofsTguk+7WGx47asgGLoabR7s7fzuPlEch8pc4ybHZ vDrV5JoTVGhWTYKLJfMA163AmBur18Xt4e6P4QrCnpx43mDa+JtuRla+IRNXYK8I1vYdfRAD8NoF YA09f46SuBOlDmiBaQ6nvdZm7z+y3Wtk7jcmgiU0a1cM7UwVNLJVuWlHuFKi4C3MPSFCuKMUc5ik +SQd/jrTDCd9fH6ba8Ty5Ue8bluKSYqYAFpLJvtYIazlkSsCWiKt6Kj3xjl6iyh8sW45Tz9CD6ro h3S/o4Cre249HaGyURvyPvgTaS2slRwrMvkgRqZUcbKK+0dpuEMwqWTZ8JsdFhLgu0McVyjVhoc4 TXpXQlg8caVdjhJwWXFB8jl6Nhj+FTREDKQ1eFocUfFIDAMsl6G81JlaE6RFNAlYgahMzLwfmFVD PGnjfuS6UZZKoJPJ8xIx7M93SUXxpbmP/YmT53O3cDBCpw7d4vA+VA6biS6NegX6ENvcsNdwEJDa nMFBJ8/Kr0y6SQ5/139H16K8tA9+Ezpsq0/FrqLJLdguAs/kHFzEuIpP3CH3kiEO/HrKOoCIJXa1 GGtcDnlpsAwj3cCu9uH/QnTmu9RWL86pQfSV7a5zapPbk5zEE7f9XiDDwwIrFN60FhH72mwigdYG +9/WFV7Gq6jNNOlBPFm61cEhTQCiCw5sqpbkc8IGHYkStmkA00SQu00o0+gQPm6/820HRL/MBGoj Na7zVlfN0GnCPOYRE8SpcVSfGIDxCm6Ku5kWul9dOCFCwe8lWV8tlW9VapttaCZayM6Keg6GmP/2 lBz/On5r5W7zBxirWr5hPIjd8FMcPJC5CDr9+D5XvOGzAexcdRfHsnnMenRuDJ4OTuSP2YJWQqcT eyUKPn3NKyBF8Q087dEKjXPbUrqJloebJVnK1fvaYOWhBnbq9Xv5B1Kfq2AIPkuGsNF+gyocAgvf CeYv35KzeRJbiYPE/et/izzZXWnS2Y+BKfdrnRpbotVVwxr4kNCzvrUxz84IGH0gSKK+odd/b1E0 M38JtvCviejgRpjttaSSxxOtIoly4g0yq3oy9IQ8W1j6zAWSprl4F6ddJJtwuQVSF99u6CluMYdE xJ3JhJ3YJ72NJOrJbSKG4/mlkOnE1dsnjxyl9n0e5yQ6mebLpuOuqqQsiorgFzRo9VgksbJpYGiX Mt71bdsGWlcnVj02I1itvL3U96v9Z1seFFbRRcPrSGF9rjnP2j1AgNOoxQol0dqB7xb34GMk22Ox E435aOs3jptoulb+x7jhJmPPXsOnbCKB3Ms/UO9BM8SfJAu3wqUpjLaN7Y2BDh6efjZy8pzs4nCR WIutqyfuy8JrtSpWb4fY6fHosCHjme3siArEW3/bTEg/hozH/3kNz6gGihMWT+8obv/iCrE4Dk9j SZINRhf5Njjc1+Z/zoD6dqmC+01+687lFOxFNCXgN8TPXgphSHILx4rG3zZ42KzA1+DpXMwuX2o/ av9Uei6YMp931yObtHcIpWEG4hFcT3Q2exVVgHLwLN3vYbx1Ndc2rDV2nUY9sHRWOyUAlzH0WLxD UxMj9yjqCo+vaJSQCIBtNWxEbZzjulUmXkyGfAPSJqZiGAbeqcWFRt3kFCLJr3pHZ8wHDoxpBTLP kRzwAKso/OP9e+b50VyEu2Ypai5Via9IyyU7Z+PrdzuyBpI3AL4vOmA8fYrtioqxBSpPM5gf5Dvq VoG8kQpjkM26uZpDsyarPE0PSNV+LMnSeA4RotfYLLHsiMAWpGIECY6QhcAMOPjllfVVTv421Vga GuKoy9G5dGlztLC94RZ7nPgIRfD+v0j1yPBEwVEhdjWcIXjI9v/KjiMxcTAZ4TUPelZi6LfmzSsy 5kPxphaWY43LK6CKqUUrX4l9/DHQFxvWadHRQSlijeM+jWdtn5qHh/+9FqEWmCLM+ZOPGOvOMbgU G1TeKB4WE/UVpgDJOE+uOMf9ftk8Y+W2+GqdTvzwtjT2vxB5qYB32QogFV4kakNipNBSp43x7Bcb 4DbcTvu+4vQY0PHKCsB5MKpHkIvD8qlEmyWL2reQWMhZkgfq+bOYfyBhXi+t3QmXO/GgSy+Reta4 nPzSgHynKKpBGDQJf5NGJ6E0u5K07CkHi0yg+2TqSJeipZvrpgZZZFfCTz2X8COddqsU8BJf0+QR zb0ppGvDZhuPzZs0k+x+98g8v8P7eJmXv6BQSVlI/aC5MO4bw4/p1D0lML4zLi2h11PIPDMestlP AFTzuH82429AwR03hUgBM7ancvCEArJ2pNoQwLzJE9fLrRIcS4ZJoPt5ooq/VupvjpPyexYrQdht MjIPJAPyZS7xIzu0xP+JxqrLVpomg/hZL/W4iM/GZSFmDpHfICRJ50pnZ4g/OmPvGX+vASwPQZHL 668zd7zCh9GZmlujIRGz5OamCG2b0gUyB9Mrwtl3bR1/Lbo4ZWPwK/L22fW+7yxAGqvL1GUjcfMX bg6MCiWkqboHKpEX2Lx2Kb/l05djCF5r4aoJeGNZwufMlrxEIlJ4Nynictfbbs7Yj8K/0TdbHQk0 QyurjGuKMaKGsIP7cOrfMdk/H6iT9f37/4ZJxQ0PvDnDmcBxhhIgeergjf4sivm5pRgTkRRdWXMi VGN+pmxKYDY1MqlDWGQ8rkfwZdbrqIlbBJ00cgFMHTlNM4KlQGm9zpxVwFCLluPj2NbxXpPQP2aj 71oJxSf4/ie9RgMChnkz4h16I/xM5sacRD3ax21icxITrERYvPOhlnNiruURmgQbf0ODTBIvg0n0 QprBT8J/fwOqNO8fPEgA9BqCI0EyiBQsUt9jU+sAzjBSMlWmltyf9lhuiC7ss0qYMstuPTU+RbFG ou1MHGj/fjcxJPvzgaM8U8hjVPsCdku1q0QjP7Y5aBfHqLckeVDJRYxtO/XLHNTlAWxvc17KlsPw Q1EITVG22zl8kAa26y+tYJzQ2S6tzmeLMa/d9iWKzxKidfbQwVRtM+E01eaEHtKGzYcrzSmuyib1 sAyujlWcR5TBkFyqdQ6aRH7G1seHjt2ov3Ttgv5heSi+IJk5CjgMor1YjlYbNMcgYhgeVGWsxYog aEqml0vD1Pxvg4JTo+HTfmsbKIHXzCslVc4OupczkE024JAqsq9mIbyew5SwC+XU9DebDYQJxa7b DTIhlckn+x3SfQByQg57lZkymEUmvzvyeGhyP0ATjSLl0jeAg4Rh7bIojSfsq5PuXHDymn40uCtX A6XeP5mgfA5ScDgaA2OydIzpmII2SF/oX4TKQ5+jyqfzhb6lbA344NDNSDH17MTYBbm5xmq5GaZ+ jarA9NwC1JcVGlFzyqRipPpibjpOQQc0bqDpz0w5Xlx8860LinMWTS2+E0yPquEUMgD7p4dOIPQd iyDnwzv0+shX4kqPC8vyoPHSx9xYSEFbP3Fsu9Y8zy3XhuePzWOLISKfcegKG8Re5fmNfTQchIAS VzO7ej00VaZ8m/VsyNsQLsnxh88hvvVzpnAuLuZ2OrGowq58CMvsms/lboWwRLyv6x/AR6Imqfb7 0tuaPnvtpxAzow87V+k8LDZKKNGKVMsa95+wH5kvdXWooNmhxucoyRoc1q6aMMVb4QT6sce3fZa/ zOhLbYon8v82S7Ny/B54oWxk7vd1ueEoZi7ewpSwJ2MiMxSNQ2W0REzE0WzYlCJoFv4m14fYvtXY RHzwpz2DmISyN4K7yoisIzI0LB+rmP7IojTIugG56yXjistrC18G3eUuH75AqEJL1iKo2dos+VR6 ZepBsAKnplTCiGYqUzFqqma4Pc7cb4/umQsQ32AGoztcBrii9/WZrf04eWcsTJA8+8ImUeuePGPA 2qXAA30SKFRkj7zcW2nnFcFd5kSizma9J4RPnIttG0NN962hX6lhbltwcNqR92lKUJNMJEDn0JFV 08ap3Nnf8AjI743RZJy+Kvmi25vd/xrYurN9xwU6bhWTU1Q/BpnAqStgfRp4CB9CAVeq4rWPQw/p 7f/33Rz2BR0Jg5+jb0czXBvnlogF5kvY8rkMRaxdqHSUQCZstHBDxmlzXOSJIeDvZW9I5bo/IG1D p7a8VJrgzvValJXGMp4rY+COU5Dj5+Br7ufenNWow/iWwJBVFt2xqazT8rUVxO7ft5zskkm+Dtri cubVckFZqCXkmdmfWDoszozdq8VeFegBxinlJ9VknK2Yk9FHvydLg4yIeZDmunTZCHwdBzqf+k9z f5wBt68SmTXE60Wx/3fLeyIqVIG5akxbDanZd+mpEloLvCNBSPS7LKlH4v1ZNKjfZQMxHCOfzTXl Jzbgdz12uq35jU1yUtRxnIxMTP9INqWqxRejCni3MR1a6DxRlpdH2OiQ/Qp+nCKAQRfxCwXdYA2j /PxbCEGcfrUr4KifsjXeBX1g7w4suI/4pEg/b98ETm7Hpa5zCY8gmGuLg7CnyXGTYDXerqaEYHOF SDjdtATR5u0z6W1IdvuNOCK4LYZtBttVnJYFAhyxIj0M9AToYQwmVgZXA3WkLdW4bzjv+uziRXEq C+ZTcdRTqUL0Qn09UeQs3L/C4R+jBx6V3u3IOhKTrYEhyLBZ10yjDHJibAXLGwszSTZThXYlc0tc mkO4Doc6N3kzfbgeUg37hZdv4UX7laUDSS+BvGzzG82dwpNsBipopvdfKJ0Dahz6Zk6bHLWqgXew fPX75uXkT0tU5Ed3ysGOWwAjyx1d/PGbtLyETPUiYkdAYZN7/6UHVf7oLrbUUzMtCeqfxh78G8OF KLhIKZ9hVQgHaczvQya2gV046WVow9m+cAx0T/icS8aTI9DteD0MG2wOQv0irf7EfrOZfeOx9rrV UZRn3R/JSbXJkGP1V1qnpz2tEvAFJR47EVDQAx9hUuDu2XXo+e9u1qpj81m3JhUTaBw6hrfzLBPV 0yX58krvpzEBgFc+ubsYINVXJHxMc+O68bXE5cmFHh8l8U5d1NGT23gjKhSFRWsnzPxGZHPCxdmI fMeSpWl9SbOFhtWnyOQfah6KtJMBLsE3TKFjdC0Jf0SoOC7mMAeLhrV3Wpx8ldIf6JG1ZksUmjj8 GfZcoHMHt1F0Rdn/ufDbbDSPUqCTQnkIclMq2lFOUQyhD5O3HKMh+xFe2jtVFyAHDhZs0xuueIlT j5kjsx2rY4RiB24o7287iTvDlmc/3w5lLik+CCw99RWk5iE5T3avh9Z7paAP6QGNmiVDeHKu1TPK XXHTUGGCTRKLG7nOQiuSblrVdrGJYYzTqxp5VlgWcEIqOQUkZdqNxBic7hMNLGAR2B8ngdA0Ulmx Kpw/zoxOO20IKCy2TPcW5yiGWAdAi7s1+93iOurVIojRxwhXx2pF86T/erQUygr7u5bhw7fgedU5 4vA96azhwnnvyvuvRHMv5OPoWZr6+xPZ1AFjKD9KZoJ3KJfezEaM/QdEgSG4xNjTjOGzzIkI30z/ Tl/D5b9fHJu/CpcfK2Trjb92Li7HCw1Xmz9KSEt2m5/9sA3gUPzRqmKMvDkUfuaZcUrPr6TVCiZA Citi8n+dqUN8P3vQrMApendkC/6qBik/n/1X498sf0IZmWOKQcroQOntpBCXGwDujEqszGE4K6+y +QFf8kS5t+3yfWPPeumxGUPyXJImWzi7x4vTOK56Bl5alEcNa1vLy0uemX33uHVVc39NXD+W5Mm6 MK/uTvNq22LkuFTaFfOX4eIXiJYrrxdaFGHsU8F8ZWpgDUvsccWBlKaYlQ0sPVvF/kIX6p3KhAEl vI2veQpaO20lq4xMiqJ7swbbZnN4G2cudp8/YE+qMSwLjUvOtwyORttHAElEZAqC2UyurT22Vqxv sqytH/XKBLVYNaLDSqnxDm/o+D1mITEVa7J/KnhISYhcghvO+hSvBSVwtIHB+utuoQUl4K915Pv7 ZLTaCa/2OZMKu6QrVmFmaJosl/j9fH1geSBNg114/sJ05wJey7DrTQ7emcqMMWoH5Dw4uz+F08mO 1tIPVyqDcxz43u1kFxlQWzCXIiozHo4GgduGJWAMnF9GfgRUWFty+wap1rD28zZeRIkxN31fK088 6H0IzyGHM4hfCKNIEx/2fk0/P7rURI+2JGrxOxmXfaeP0ptKptotj2uRV09dL8iIcxS/VfWAiD25 pkmHJ4TRTf7dkXXn/yrc1g/Aj80kVCyZxcmeG2l8942gXXSytclZdIuG+rncKHCgWnwhXAVenYps toFEpLrWSh+8U/+7Uf2AzWQDhelPNvYcxP+Q4ZzZsBTrBNzmSCT33d2WVevYYUIeDhns6HzRSoOP o21QMtA+yH98u4ybkrsinwzbEyGwslnTJJeN/9D+NMs7Z7LNHssVVltZhHvjDv0yE+dcLibhW3u7 5502ok4z6VRXHxBqxfSH/QUzSk0VCYiV86pgejUhGEgk3CTGt30CV9NylYKofa73zt1iK5upAqVV QITY4RYC3LQtrF3L2ns272W5LyAb3aSDp/bQy9/i0nO3hFsM46nhclwjnoEI7eIFERiLeUBveGON cIcFlkiJrt5/Ko8nFCXDM3FXJszCb+nkD+43hVxO6A2nZ3wI01pZb/83Qo+JKL/YzIIURIvvuobd 5IMLoY0XCoNW5alarWkrmrEya0V7+jIk/FElCCI+vmPO2Y93qeE+WjGZzSwzGIUnTsHGQqStV+DM eBz7rsdzK9IHUPZPel8tWFXfNINbFlS78HHwtOVTucUy9JpTV3LkIQFCYExroNyeAprisi+vf6U9 GUa3Brg5z4+1XcJ2ETfPX2xrj8Mw3Vo9IEbj3tyxoXcJr031JSOqpUrV8Tu5eZ0fkjgS3/dpgs11 AaluGeS4DK7QT1fBsHUBdamRmszhCpiC5DivGrKC+THakM63YshgffjjYxxAnOXSHCwtUrebSizP jG7RaM/fXiitdn8z2a5q0H/MKG0aKJhZhhgUH2gyYqCFyHN60gbipFuUWF8p0zbbby7fInOvQSvT SVaEaXYD130T5rsdwYplEEsvEredeTKHo29ZZDan/8a2aVC71404PzyOb8HetlOIK0EwlivvTdPx PGGOYGeJsKqKN66N24wtYOM5XAkP/Db31UiKgvvxE51J9cLvrtd9nXUMMo5d9b0ngie4XLHYlJ6R v8O2Rvu1CMRNXSn8HSNNI7qIbwb+Khs1dvdkUArTdQlJB3kZfbRr69vKGitw6QoH4dR9XxitgrGj 4jHPcOTzCEp8AZXgIqkzjVxHkAIdlyeZtr7pE6eDo0CX10YyulKGqH1CUcoCNu+ggO+T5ibgdt9T tQ6pGAzGvSlSJAmj038XJ7qcC2hKQ2eQ3fgzNH24HG7DU3GPRt9cuhaikh8L68Yx6lsf4DqlW2ug UtToEDdUyiaGnVrt3mbS5VCZRgg3g9D3eqchv5h+AV03ekrvRSW1ADzp6XPC1N3BxDo1Gk4mVZ7u 7PijeNqY6kjIuKkbvweFtLWCVQhR8T92PQIjrqf1VesLL0sdDFuSQkYcLOvLF7FASvIewRCilGM5 VVhpREyQthOUVpF+y+8B0xj1eAIh7I5Mb7QP+Eqx6+0uDOWTjXoEGLV/VO8OzmyiuemY43Exhxth 68ndd1qYONOrJqSJnd6Lww2LO4JRUx4FIc82u36U/EJNxIXFdCy+DBrSZ/Fw7Rjj7T/2mStw+x2x C5faN2vmrrIeVycfNU9wTzNxBlLpBbCux4GKwfMLBFmAIPwee+H+o16tGvHM62sfhNpyWXggXA/f XzeSUn815WKZNKUmvpvwasUGL3FU8OjdCWuKM/Z0WxMKTYsKImk/LhQgsDhAL5fLurj5lXot5U9g o0oWIth2THxVQWHIaP1hG+g/BcSL8qbAnCX++sleTAz00UMQUIM1O9ZYq/Ok2M0I5ClJvcK3/O5X IwEkAYyjH2ekqyPfmFW0EVNS6+Fqbb9/5jbEFxpSLAnrb+21vY9HXB1rxhn2wkOH731XSv+V2Zjq 6y+nSCVl/7kT/6BFpik18MIdXFdaE61lnXH0lPIjpXHNH6QxYDU/zpFeddnVj+l9MNWgY6S8Xd/d LRPpSm5Ge+t7lMv0Jhgot1mjncKAW48ZMLW3KXC1kJ7IRJ/ZqkRyS6PApDk9kU1ub+Nf/oABqi2u cUwI+DjGzev2qvKs09ERPtxWgr8V2AEzuxUyS7t8XeVvzKg6VQY0rUCF8Wbq5z58hkQ1g2cXGLPm RUM6nGbIAMJtwpgvCq5zSlBtdnwE9V968lleU/ood36abDGLEg/w6bK9Ad+3qziH9pNuoLm35k2x GpHsICrOCRw57rKYKgX8LhEVCsZ8MlapktbBFKQVstx+GDart/7sOw5D6Z+Eus4Yp1wdGHaXaJbH HOKQiWPBkMTZnU0o1Af+gge9IcD1XEgiZencoS3fDsPVrGvycysJPWwC6YsIKmVk+FJF6k66/SYw C3h7+0nBqaMSCI6UI0Q/O22VF3qg/ag2Z3+JG5y9/HaXKjbqPuABZhzd1o/Pj47tt3GVFpl6EQyQ w/QJRdZsig9HON2tC0YDpXR86uZHuQa1+T0XROmksP+yHCGUTBeJtM4hYU8A/SErX8xb9n9zmUFj wleWQAnxEdyn70he4CrjZM2p7+Kv5kICk57RFfXu7HZOzYyqDw7vDrZgyj07+MZbyRl492ni5QWW C7V2X/mx0nhtAL6PkP39edKvLuoqe77VPXLrnKEWNxlI06THIByRJem0qpXqSWLzD+AviayIgPfG Fftf2EQ+VPsLvDDf2eXXsJAXxd83Sw5LBFVHkoBbaSaJy1G0/GwpS8SLe5n5Ida/6Px02sV9wQQN yoOaUhjx3T2gqp5ySjrxpB4wqHrelk5HvVRKWMAa1UU+a0XpawZ7OB8oHFVLYZDATummJjoDb/E8 0YZBCDH2nDHuaLuT88I7FuYe9G15PV4b6gpDtbgJk5Lf5XsnFOy+a4qEcbaCG/kdqfrKEKiWzG1T 5rf+/594ERWi9du/7IAMxtC/dWF1z86p9iXW2waL4tg/ytaFWrcmQ8NGi/hFVvwLLTumhZX+2ir3 aKOcmTfTqqpIyFVOAKlHRedxYcUfP1oD9vMO9LseMsgyyvCA2xMYsanRayUd4uS+QefuoWrXp7EY BRUf0od9Ay6ghwbXBNw+ope2hnyAexxcKeZxqe39hclx6X2nStn07f5e8I3U0p7OlG41tGGPdgXj qJOx/Cj23+JHzEvLcKuTKzTr4NXhK1XSP1HQIScF07ar6cjklkjNODDb0KmXUc47ikXYV5Dosjsg eRz7EOU4Oau4W+zGumLJ/kiNugiitZjh/BzmPiSEaBoVCwbT4ATs3mmqyu8ZnLFqKH32VvhCKAfQ eCOTy1rG6Ms9MQ0xbLmaLQM2lBtadQiuI4vygvcMTXlTcITO4d+gKQ5ONHSEJEM1cO6veLwHtdl7 FqudGM2jGVEuw5Av4cYLnEgiNtLgOnE0L3DJp0z0nm2/M7OVHrFPHGPSLyA+S/DlSDNvLr8vxNkt dCr1c3lKU2INYYP/RZIlKqRT7PjUfyZjAr7wrZc/oLT+LEYRm/EON+tGRDIk8CAWynwX9A9YuZ4i aZzhDRnvLdlk4YF/KafPupwGTButywEsepoU8v4pqo8P2keEY3ZxzPdgOtUyxPUCyONOWUBOuNrD oJbTgUV7WA9dLdETPSO0HE2cgDQ6t6YlJpWEgeB8sWWjmwiYNd5UoGNFkZfIQ/aOzOHDii0pLkL1 6oMK7EZUbz63HzT1NmgWIih5j5+P1LW+Rzuvr+AbR18zQcInmNSEaMVynkcNuVI0TNCvtICRNTY8 2bPi5zxbRgbyjvDK/IGCJU6CJDMvsezAJ8ij21nudHZyZ00wG3Kr6A9mmuW0HFuUlDGVxDKmpXlL Z3siglCZ2unyDd0QZNZSjdg9KJCW6fhWGZtQqe4YME172NfVev5BGkFdCt1taOPsBW7JAQ01v6Xn P0UHj5SX9Nfvx5ffzW/IEyce3EHdFnrkzza6BSfbY9kwDmR8o4cWmhlVI8CvdRnE3tm3DZJlKy0j ZIcaOBnCTM+IdCapKgRPoDr0fOOUA49WrTEGavqNq85T/i5JKh6rR8tcXPoM9awVAAeVHS4LIwy4 jzEDgLX/wQmtut5i6q14WsRoB3sYtfv8QsAk4nKcVwEcQeLAQc5CHbE/j+865lHqb9NGEewED2KL wDBMidy+14HYuFa+oM5Ep9MmEOf/KAwh9TzB9TtuA73HrEckhCM4xnSI68omYv61zl4g7LzSz+M1 n7HFw0RiLjk2prOcvXVdCU3z2+Fmwjp6hhdVb4SofIABfsTeYmGZWUeJ23j81oqjam0MkAs1Z9xa E/pt5MAB0KOfqQwXqFIHX9ydEtygQkzosnhbgkcuHp5H21yK6ffkz3zvXQpKVYkiOeBawHsgKkeS pthYivoP3mej0NPX0iyaAdQkuc+TW30Q0IDxrgGedDqlmcd0P2za5DJyYaZAuSTvJxzxKW+TKMUl ygGvzBJo77wW2CWID90ytn9UCIxIiGg/0IpZfm7uwQeFUz3o2jye96f4bCfPHslC42Za2fwZ6CZe MEqjtkEGlwFCsQcVZzt32sA35IYo0kLGMGTO4ovLmryHWumDX8IbdCqnVRaRc5+oGcbxhXyzDSfG ktw5NDDPe9uRs0RxMWpPNBLPwb8K/dXktfQC3O6Y9ewXBVLISbXLoD+NAbU83Yt/pCGbwHJMQxj1 5pZrqzxuIXJwzdEICf7blvufb2mn7GofzVyzH+DkrabH1gnRfjDHQdQ/yeEPDYziXigC5A8bgEDs grkLLMDgdAmWhsb5Yb3xxp1D/HLkUToQIJhXFvuCjEpur/dBgHKbARii0fPzF3FyhM0i2U9o4PS7 S+esieRsWH/zOLIX33PEpn4AQLzUYk3Q26zrAPiQCaHC52DseFmGY15GmyDcJpMg2WnIV5jIq0O6 TBOyohh2ODjL6lpSqU9vwwQdVjcWjlzR1KYcSND9flx9Y3dizARMlCVPRL0UKOtmRO5kPFQjHj3F Uz36MGnpaT4KcrUfh3vy48ujUyzLKkaiCpBQuqPwOB6yW6nQ5ls7GT1y/3CyFGpjWqra7tfhpGjD 8QWUNrxyqZn4XNJ3XxRM+Vy1r4oO5BfQoMNV3II+XTca7B10bOiNM+Ag1MqqGLTMpuMbYa9k/7e0 SQZAJ0pn52nuPNEwvOEds+soFDBW1QDWQbIZp32tmmK/cCRNhLcU8WYJGLrFWmi0JnlSGWzkOe4F X9B/EP/kw88gsNW3m8g2SOv8dsRtoa1JPhD+mwOnzpKwu8i690nbYYZ1a0CvS5h3oTvhwOp5Og8k D4f6TfACSIfqUg4mKLRc6M7J9SEzKbC+2zp+zgRVAOXxjAFhKrvf6NZ5sSH/x2Kl0GP8L/3z0uxn tagE2meO1avCbJRrlHoaboIvlzgO0XkHxKmNr1LzR3GldzEBi87gg5Yxbl5I8e6k+szrCIGbPCuy +QRqOaWg53+kAQaCUEktLJZOWmue9kaUMM40Q6/nhdhW1m7RtQaNNrrjxwU/6gCcQEtsaEZWdNie qR5GpmOsgD2OrHVZFevZbxaqFpj5ZEIDfNsG+XO8Fdy5iWZ2QqCX+T96Xhbia/g/pBtpPlU4euvK MufKFAQJJdwC2h0dL20ZNjs4RmzIht2aQn9FFXaVDztHdUBky68J5kpdnpqymuvBj89/f+nKMjIO 8/djIqqfhpQI8UeTLIMiA//OQXUN5jJBsMMwUnkoWCrNK8hsYWuTryoWJnE1iMf4G41O+zpNmi0G eRRhGSN2ze4UFTFfarNOHMExr2hNmKG3wa09iFg8UiErjz37mk0jpHCt0huNqUWQE9nWNnMd/bFL cZ6I3aO4VXqWGJo1mBA+1YUqURBZHXWN2+6ckMyPKnLxSY4jOufbEEgxAh3VuHGrcTDqE9bW+ifM NYVpSuyKMvRYGsYOqTyy+NkQUeVnJH54IKsXYhZpE0c/Mp5KdfMfS1geyRnoInXwhxJcs6i70JGY EFPrqQwbe4m7XKHXQfgDAEQ+SKUoUwBK2fBfjtI4zXQOi2zIpnPqF0jovp/WhLaNrEJZhSRIiaDF QUaDcV3IVLYT4pzXXR9DKVpxecVYfbPjJzO9+6Z0xz3kBvMTwgoi8goQA+qiOwCriY2BW8WJVUPB 5ZFeWYrPV8zk3gtGZGTpU+aGlvvUGER5sPgPBtlktVLN1kdtwk2MVzUqDsgcNgqaKBBjnfTqXeXC dO+S7NxraMi0TzjLI6IqiW3Ohrs/+WkCyjxo+xGY/5P509URkq8CZgaFtkYAbb4aoW2aFbqsfe68 HxTT86jz1IHV0DyhBC2z6jp7uTux4nayR9HGEoJx8OUdudo89VSuMDWAMFtVjd0Jb+s90HMtIL0k 72yXpyykeLT69zEICYNgTz1DphFaZJjXWWMHjiZZMZpU//XzseGmBiMXUWXZ3bZGwKRdsQQ/crAI NCpZnu0HBKufPcj4WSXxSwfmNTxOt6pVMbcybMhHUs7syrczdFlvoX9N/y4IvEzWO9gRObjEjTlZ WMZk/3UWyvZq3r0OBhaakbkXxVRiJcuZ+5fUmqWdHvRMmNPrcsRF0KsuEjSz7Ak6N+/deEAToe3e nIXHOhu+nraDKlWzEyxBVHIDU2SzD1ZThKuqXIl9OAbzk/pS5wtli5MwwH1QungsnCYggMj6vZjb z7sjV0hvs888GKqJ3KolBxBpYYX+K1MXkeRNPrWko2P6Zpkul7GeADvR6C4dP1K4/rn4hxYZCJSc LfDLEnihkB9IQUm2qrWTga5gPCXP9GuVBO3RX+Q1uaGstSeef9rA2NDcuS1la+tFB22EzFiwRTCj gjB+fwr7oFlk+ICNh2aVEhWeYcaF5ZbnS+cjUsVb5LJyRm1GQBwftf/ABSRIG1QVBpbqqj1b1cQ8 mw/yh572LE5adjTp/5Vl6qzwz5BecQZCULhHk6TB28VgBKqVF4rpRNx6m7C0PisUOHmx1e2keC04 IAJHWIFLZVr5jdEo/Yk8xsCJ2//lEr83xHJJ554hX3r6jS7gNiQQHqBvDJuY6w2GO2ch3uS/+FCi s12zu0WPADIQgL0l/tgs/X6/U0CaER8bDQAXGyQ74ttuF+vjOjEwxE9rOx4HhB+zoHDQJmEPbSVL oI0GVc/DcVxQQlgG9mEQxQQArhFYg4QBMpfyiJZONI28ae4pZLLV0VA10JsiulrqV67Kz2VdQ/Ox EbHf1k95DaoBnTXVpvLghEy2ZR12yRsw/j4tzABYvcCDlKSRURunl90PYmLmpzq7F9CgtXgc3vxc RgbDLfp0mY8NP46L7v9+FxS8Pi5qVyATA+DIZlFLLRHJFYDUathpoJ73cLKwLX2tLC4ej7RUInUP okXYWUFHSv5jBMB0usFvh7vdkMpdC/+Hd+Gu4EgEZx0er5A6+t0t2MW5w4DDpn2CG8eivJG+eU7T ugyg1Kza6MmCdkRkyG4kMDw2lq9ypThdAUIrS/gECABA/d19IHQEAuO3ACEhK5ljG+0gXmEuxIb2 JTMg9zXsWsrX6ld2f6JjpZl1NaJphyfDDEW3oiCEcS+WK1TutapBjPLMx3u6quqF2E2nBveqrz5F z9L2YPC5r069DQFq2+LIqKJH0fr9mqErKmT8/5NMwnxsi48wjt5GLvgIoaqi6Obt5ShPvSqFvtAC DCA+99I/jCV+F0/PNKA7005oUn4IjJhFU4zt4mWTxaswCkS2jVgRHhcd3h8490Uw1l+GZfPBcrML e9Qo74RgObpx+UziEH8JSU0rUHFlx/5WVwf3vtaUdwsj/afHCQDl0MUQDw3RIXHZIYhiCpaJP8og Oru/ZLkDsG9fWCiaRGqz650J0OYf3OI1puySC3Herct9hYRO/uW1CMR7POo3nrKAiLGrKZRUX9iV yetpk3JEx3FkweFvhYb1yUk1gctI3HpCjhKPPj85IQ8Tln4DH3sYhlXKdr/bw7iFJrQf51ys5QCe em2llsS6SgNSXocx45w14LONEgrFNGcNSahBlQ+4P2s3IjDR8S7JC7dw7chr6l+be73oKUYDG6Pm +pEuIh8Kd9EVlwuk8FS0z4CFCHW08ZhAPaRje6ABQZz6TUn9qodv08QSZW2kTZMOAWI9KdRwhoU1 Xg/TSeD/QoVadKuvCZLNa1PDSW6vHnY5Yk1vPHteq/SDGZ/u07baQ10dCqbnnJNmOzseaqGv1T+d o3hnhV1tP5rxPQjJFDNdZy1JfuCDAmp+VWVQqAhXaUYOvVNbsWKZHVOFhMCHU8uTXTh6AzoC0fEf mjGdbTmcKRpNx0bXO359Fw00/wHwmRdviFafhyiFuJnoJCppxNMTetE936QdmH79acHJxkCeWVVP ff0vAkH1t2TdUrUAWVENW8aAX5ZNIm+3L/EpzGufM7zRrHeMVg3jbAzFX2DHCISNnuZKUJniQR3K +E6BCIsRH0XkwIwi6up2eqlTHsXNVkQYslCtUcUUgCt4d/5XccG/TI2nyA4X5jpwtZTveV00hbYn RTw8JRvvwc/eo1rDCxV9kGhKZ2UT5TZphkNo4d4lrZlt66LsGC8wBmKUs8xcsnzzW9ZvSaURPm7N xE0FcBXKOfXCqjrrj/V/jBrhKe6TLXKgGd412XACygH8aD947u/0yHyRoPwAhS+Ml2sBwrPgnXpc Jd+RS3gAS+cma1P1WCFOd2t+5volXYUd8wAtUrcpIhVY60kfmlRg2Pp5LxDxOeA+nVLNm6F6hGUN HsAobYdHkOrJz9qiLE1tELinGGx1Ha72XQPdRwcUTHOubO8vp4IruWu9z54lpwWCHgZXmlGWr0Nn lTZAbX2EGCZa9A22iJnL49cZqWG/fje5B+i8a/Wl4Kg9iN89dqFABOwblg4kztVXuysuIP7yyEZV b8k08st4rZYazy8Q6j07/Opj5xpfbcaV8sGs6rSIApIza+XlGI+7P7RJ3WTGN3v9EN13QHJUDXa0 /bnPsuMd4qAwvxPu5UCELHzOgVbTO7ReMrGbJVcBhiqlF4++kpUrXNwBFUJ9iFUR5cu7OYu7FAmq pjopn6Yn8M612rQRXRLxGXx5PiTX7K/icAyWf9263PETnn7Z+j3onsbXRIH74r6gBC5elPgVq6u6 80irdrBgF4VDWpou6sB0Ur/4666GUjR/DYTmoZKiNImp6XgPwJv4NG68ooUy/fk9AM2t87kmLJSU BJQkmWn617SbbSJJuOMQ9r4dyD/bHEtw0EWpL8MC/ns8hxyvMiyAg13afWkjZ9eN3G2z/H+yt6bC cJlcUxnlkU0nbFDf8lvKK6ufSwsaP/4CWo7sBBDFtODVgP+9eK+IwAi2m6/ItYhKGbvLOQDQKRW9 T5MCfWIfu5dTfoqxCIB8JNgCH6U+1RF01hN+y53d4epDDW5Ckd23DQCSJXJekq6RAYuiT4yzMdeO a6u9tz0MUFZEsQzmk6tfkalAsCBnIOTNwf+aL7wpw0dRXuZHbZ2X97kVSgwZYxYFS9OsISTu4qzm 2bet9D76q525hU/y7Su+FH+6HpqHJHtWT4m4I3begKyxwnI+/cfiFxmaxeEHfbovEM9r1+9vbw85 vOAoB0vQ8K9qkyyoN0qZr8q34cX8iPmo8iENagMx3+8bNKkf3RrfKABzDvAA1JMiIeor53W/5fB3 TI9CX9fYpNpoyEruLQs0HxF2sZ1tJNNtD7JReYbQnv4ftW4tgGUR+Qm4X7te8pHmaUc1X/rEQFch hUhbDmehHPjZqJyR33its8tDtglH1MsgCpayqAhABdpZxAYCAJNI5G40btyTEyTr//itVUNMml9n Biv7psEVL5+NpRhkzU4QdK8lJg/SPPyHIGfbWgYAheTmIaQZlk0zFbnpaqdaMBFT8i3G03o/hTVd cnLHh3Jpduoo++NUmeFOXY3fU/KXoSFamXlW3zaIkjyX75c+W3iv/W5lD6dvb8tAzXtSAXVW+IaO YP7YqOhkjMat6KOgn5+JIjV/HEXPeOULS1qAb5BeOm/N9s0DXcJXencJRUw4HRZSBB6YeCySW3F2 bcJCSOeehdU9msaEWtlyNnoUsrpTnwMVRZxGfN6j4avb9c9EMej1ACEcjo8m2aYzE42qji6RGZB0 j0vzBs3tyZ4cewKXxZx2nntVzvh7N3a6hARFye1jAfEJxm+rckCctEWPXswndPf7zX15rYbtiWJ2 c97ryloenjeGbe/UfzyzU0Z3r+ryW7ZdqfA5xzB2j78+YsJ3oN8Y/8JlclhlCsPNQx/kGLhHHvyp XVgvT6zwo/yNVt+tyrf/CsWWWlgRiJ+dqGK3KB6CLxGe5DJVVUbYBrDtEnpVOmQJAY9JDgRDDOUP 6KPA1hGOj6G8ijhhW59tlsTrl+B2DgzquZqwwxAj4Ud7HZMNU4Zd67LNiOndSyuyh8n4sFkbFYn5 LBd+7z3NjUgt/F1imitrkpiWEr5JTofvme4zMZf9lAWChZliIMhikIO089Tn/hVUiKsksp57+1eV f5XKtEjpVS59PHbOHhSN/rSA72jf8RGaNiBcAhnNsfuB3shFNSqsXrjmnn/N7jiCfv+9JrSdzSW4 bS8GSZN4OdNz9A+3EO90sEz9D/41SGqxrcsL4Wv9JLSZi1CMLdzOIaO3F3nqTrQZVWR9Z7/rIN2x oYgNfsl/0JcP1KNCxIxkYco8l/ZW215ppvp0OFhP6Gfg8HrSuytO8RJ4qzaIooAyAzCNvZK+JeVK ivWwCdLAeK1SyHnPQs0Mg/yMzZbMh9jvi3hkQE9NFyZ0Kv2W5IOESDx4kqrAaETpVaVXo0n4achV cgDe+voxeQEZp5A4ri6c4hekucS47DvD3K51jEvBELBJ6eBkwdIBgYa1AsWAE/0Dz8H5Htzo0cLr 6vDAvWjTTjj72SjW9FRpCM4ZxQvH0THrh/ZvG1uUnw6CIfyqq6ZRcmXMcIUZ9G3NncQMcvtTSbut SEEwHMRyUDA7MzG6ZBjCgnbCNmUBPDAyoOdpn0DRldh3YoROmTQgjQql3FXthUV4WLH1BGsrwiaj QrNu0BHCSqE0YcDNz97/DURTfOz2aiFt9UQ358L0Y5aTDwNU9NT4QFL5VxRCD8Y3b6n91/3/yp01 8V35hXLa3ZetRM9E50zjmYcjxm+on6f90w9f6J+m7QatyOdyEmHSnqNqOqcTHGR0/wdKImCGBd/P qyCQ46W5bZ/bNU7PSBE+wYW8OJFVbcnXoZQOMutstV/RnNs5d4krHcsvQrlMjvj4uvG53IxEzuOg eMwQ0NpAkNXPmvB5odnk5/mLifnhw2wBEHW8gPox/gNAk1e150S/oB+cYQT/YX6rHSXnuekZRDQR yVBgLrED4YJp8lS69AwXAZwyrAVi4bWKhEKDbRSG5bWO7BVGo2aPwz/XOEuD1z/7ZyNIFLZm+QMJ tf3nIG2qOVIRxutadRBHXjNI2M8NiIMjzFhkOxeEy1QsumS3SLWFQXp7aFbNbWfhfIpY23lgDpSv huB+Vs1GbPdl6P/xSjhHdk6ZA4WzLPOVxkgmMDgsLYlXd4wzoGIwpJF068tovZpnBzSH0FpZtmf2 h7z/R+pmiPrpgWcC1BbI1QBIiLyihyXghgoFgJXB518bpSAzRJF82MlLU2Vmid6AE0L2Hd8xM+0e 2jweA1gCVYAE4mpJdlNBL+kspnkQ09s2x7sYlqYKYPI+4E1vNHMylJckWJGbux94FK9dOInEGfCI bHeTnydJykqxT8BWbeTVYNA7memFQFOGU5/JufjFZIbotCOoKrL3OnW04UhwbGtCYiJBJ9AvZbZT c3YSx28zzQs8n+88S2igz9xOMQiLmqQbcJzjcXOGf/YwSruqjENbditlKl1TzNUEl02ZxU730LeV Dq4qH39LILgY6MV1dOvFKwZS7ooR68Cd99EPfDLd0IILzNvVIgcf4fxhxZu9KvE/8BPpcV1/r/Rv rSJ+778n6/84ss5xFwBkDelsxwadGdjniEaDIO0Je0D8CMG4jNnL2Qjs3PO7XdvVmX2tVxEmOfeO JdtS+Syh9Nri4GWqh1lQiCySZYZkoozDF8wGH/+DsuHIKFfy13u6/qsE3a9/3LD56N5gh+J4rQ4C EACvQZGeQRJUFKcwISHa2Jh2Y3hNM5vLYJ3IijcKP5x8bLva0MAKJNEhugUv3as4GnFAG9eGZkZ6 3F+QR0cJAFy3DTNw30fYPCF7T+5OtZO8m4eHXk4UzJo7DfTKX4YZWQ31BxLgtA7jAteGV82869rB uzS2WMaTWnU6tFRUbb8w8l7mfv1MCq4Q3t1rSB6SJAcVo5r9YB1cc+caOEZyZKMayUZk93Fj9Yw5 u5UPfhy5H/5BK+sQJQpgl4kx69XOl8itkh/fPchovvo1ILZx4Vrjv4878aIixY83hRW9oZOLuzZI uWGvjUTeWLXRZwZu/6i/TM/PShhZABHir8AGuG0i25qRClI3E+sUmwPdjExvzFKR3G8bE830HYiE Gfdr+UvS8Gj4E7LuEN/nPP0/0CNl/WpP1ddckS5mV8ddyzheyELST7ezYfO2k36F6MkiL44Cllri C/LmnicO/DBxCTZY41JX21JDdTtRTcqEblPgh1B9a7dxm1KVPIQEOu+D/Wi6lj9Qea2mLoguhnV/ 3l3KXSKGbOilh7IPZq88OwNCBZahFzDQI5/QlTpirvdGimrDJP8rdLLfQqMMPtBY5eVVt0K20rGV V+qBB8duBVAMbGAjnqPSOIZsx6sbJffGAKAnLzdpgVmIal0XFvwigIg2u3YN0I9J+aW3dfFmaRIB tp6MoCKGiLiMHYvWgHIbOiJJwRCpOpC9BP1hhFSzIMhAJ7dWLZaZyQL36JKVJ+QdDq3YnwskC4ux 7+oTyO/7czK5J7dQe7in8hUMm8qcL1JpBWz1Hfmw2A5Qg0Rw+c5ccwIwg3tVjAIR6WspezcjFIhe CO6tRZGniJV1uaY/kSZ3OayLwZo6U9MfxLnAs8BtmqFb/eyFMgl4Xch1YOL4gRK0Go5zG+kFn3qG 5Z+7J1DOL9Bxw8aZryI8yHiOcc2TgDgucyrlEocXVuRUlCwMlqOE8oqWql31zMuFYiUQaTnYxvUD guTFbg8+pUd0uiTKCpaRhWvrelpzz3rtSrywKLgMmVbcrh0rC7tGe2PnnciRIombVEwilnPqaxFl W6HWPD41q/zW5McdMEEWKtZUS2zjoXzJIb1wo7vm8RcOmyOchWCgWYhp/tJw8qLTbfFRYht94MCE LZCSGYFARVXG/xwmFVdthj9YWSPHkk1Z7lWPUyWZ/j6BVkjiazFguAl0WB+gzUgI54uyKvsrIeHe RM/2Fz+YwDtZd1vRingWJvp/Tpm59qWQFjs/5ewUTgf9uk5SFPadyugLlXwJggF/+Fdyy7c7LEe0 VEtj4z6PgvrLXjIegLq6SJd5wQgxOakYWHXHtCFR51hZL0OrqKeJUb99/9yHHLrwCeKoc1XsuNUM qaPxjZ9mgzlSRKXU7OX+2xOzPiOOdubsFUtcDYM8Z3oXvZ3jhfzl/sT9QyeLNYoOwOM1srBnGR6q w2MHQJjWoIKle3onUtBz+DdQ+lvH62YXoQG6rko56CIYtVdry4xPZs5LUi/rFKKwnuDEG9Vqbs8f rgzz65+56zMERmLMTvGpqgfEIcPi+58TD9IlnHERu7fLP0oy5YzpAEkj28o4P/yOy3liumr0IA3S 5zjbQG3S3zwmlXElYF3r+9zYRhqGM8PHM5oAI3o4iB5Q73Kg6A4UDJLVG8s6nO2FzgNAa/nfwihl weHtYw1eog1nr492NIEplZcZQgfgsinbJwInVRwnycW9wqUP+LYDJ54isaQFc+r/rnhJXjh3gdF8 4AOIunK6s179TwANv9jybovHGpTnJfcfEugdFTxjjVEG3RQPfLj5oQVWHmi6GTGhaMkccUEPoyVL 2g96jJ2Bx6OtqlSO8DMN+A9nPglsv6yrvd5JvjWwqWWlkkyx20RD2J3pQYsBImyfw8Y8+N8eWMFN GPuuq8HOha56kTPGjv9F4DRjXq16HIdtxeR43oWcjYHTjz83NU7RUgD9dIrPiPCp2f2nyhxVxVun JqSHXh7OGlPs1OEsux/p/8+j0MLgA/JuTwhXXWHc5gbCtgBm5wFeL9bopCCevTSy+G8Ef//y3+Gp dGJLsyAj0yAEszgkwnlbaSeMgqAYGBSVGwLEA/LSLgpgvtdTd9nU3OQHVnSi+A91yAj/O+/e/ywp vIZRKxHl/mPhZ4x5NjCFd5VIRpgiGvl+2ME5ekksxd8IDMFZj5b/I+Vtecl8CML+EDzfG0OqEJ7C L4Xv/05oc+gVTmoD4Pcy/NVgTFnS/jRMfYGtn2IVd7wj1/xBslAGQt/k0AwnePRzryZD+uvi+1xK S5Pz/cvgcPnNr3gds4kj5pnW8ktbdnFx88DkCBK7nyz1XnKjmW30ymRRvYQPPxYOqGzoKfIb4Rlj RuMAdTAd7VjAogJ9P8GS/O3BSePBI+0RyEexKZH73xurUZpA09GvHjTYkiL/NylQhGe3vyIEBmX9 qMV/qkcULqN0A/thEOlXBS4/P5myUsENSap10ILMrKjkktxhAhflj8xOy1VwcBGu51GbJOtQ/dD7 3nuCmN3pGLHzeAxTozzw2z67Ds5YZ3l72m0GLvZXnTEUW8NVsw5cEtHAaGhM5KeUB+ipcHTjzuhE juXgjgrDQu56T03pK0uwsFyeR4qm1kd22ACXEw7YdsMHbIIKB4Y0q8C+1AvSlcN3j+KXbwRFrO3w +lpZ/6yH5VTuIB9uczusDSyBTl6vVhV/8zeOcxWkacWdV0M9sPJ/RpA+OfkL42MVsW6DQAaoBzO+ yyNhXqkiYMRST90EJA9lXOrGw5qlTamr/9MY2qKAIoHWT3JqIiQtl7KCi51gLckTEfeYLA36EbA+ Nvag5HHkNm2eYNmXXhndqOKV1HEp6cvQ/YVttcb+d034yxHljlzdb1VaM2gOG6J9DdgCm8fWgqjy t+6k2l60CLWZfAj4FVWJoG36aNteZBcpzNpkhdrRxjoKjh6LPoXMssF6LdZk3cuJSzsjglrjn53F 674GQD8AwFGaSiKxOMZ0mryA3ZPU4fGVO/N/8S03X3bzgretFpe4BNHz5kKUEtB38Dn3FtCLiEZR rIRFToDzWL/PChvYrX/tnR41Gl3YkjcObH25PMpM5r2EigMi0xqUOit+JAbuAMMF5NUVaXEz8gDw p0YkcsX1Mwf6StjW5vXC0q3Uh1GcMpQ3ouKNl6CqXJN3F/dBbPqWh6X7/+GxMZiPKAsiofm/6WBT Y5wGadh/aLXLkuQ6WU3PZLPWSNtJaKpttDBiBA32LAjZhMqVTYL95GczNNlCWEz/RhHcITDiqNUb q3yelp1DX0ukN8WYc6wF07NxqRm58tax8/2cZW22tfJvY2yO+0bv4UBZuRrEfSaWFZ81JRgib45b n0WwRbwjRFRmXskodKL9xgTXDYRpkwHMrH4JqSZu0/ypj+yRn/AyzJfibw41fkoonEEisUYrJ6ca bR7lCali1tSrvJDzZocmDEgrXAp+4zqwif7ijivpoCFBd4YEb9dWyIZc2CSWJiGuqpynhVvBo2jT 35Pka+0k9ZgdRjf8eBV8nx7plyWitqOMEnV7dhFRMQ7Q9Czf9ZcnTZrqDHy4gK5R1+jj5pawN8D0 ns6eheI1mYJUuEA/sN6cmzuFqMyWyavpP8W7eqwQiOt1Vvh24yT9oE/febnGZod43NUdJoDNDthY XFa84uCzEFaBvZp9UaORujJsjHFbLnTjAQWe5jPzK/1lcIvRYG14Ie5V4FNzaTfqu8QPRDVU90LJ 0P9MIJvnJkP1g0lH7l08vKvGz5VxmyeP0hgjPnJsze5mtC0HIISchMuAFK7eoRGdwjtrDZTGi7by 2uH6k24Ej1v/v9591PP2zoGG//yRHQEfg1fD0EScpLguiyDSNYp+K4U9zIsnM5QCsMwsQh9uEabe ESnQUVoFj5rvnN5rSKij/kKDjsCbsYJGzuJiiH/iFxs1z2VdzEyH/O7tkuoq51QE3ntS/SjiN6Ph f2Y1HRhkdc7bhjXoJkQ3v0cPl/e2mtqzFH9WEePGM44xw+2mzxLf9eO6KV7fS48nTmog849k1Dm1 MyxGh7OaVH8lRlEhHMBOeWdlPwJRuW5dk1wk7wulA4fHlaT7jZAKUQnyfcCApipctbTkO9kq+pWw gvf3lGyj6EBKco0QpiVazdCK9gk0IsGTdamKNHfNS0mCkqPILIA+JLJdllCRj2icMNlXIOO3r/37 21ugEu7t4HqThMIkXEj9PTGSevKp0Lxelg2h1JVS0TmalcnPhxvDWhqNXY8G5r1X6ayR5t88iSZ2 x0DM2ykzjXzQ46VILshZed4pck1ohHROMDvtkvrYb8m1tMlrmDmMkPN/TLNTjLEM23svMkMIfJjc oRTkHuKCwW0t8gVoRwZj52kSjRR+266Q2apeLMr1ClamsiYUxM+Ml1fXmm6Q5qfN0c1bfAPD4FMs Qv0WB7DlQYDNa7AohTJQeShhaBdqcXmpoQN/myrHZCJTWT+hGA74QygsQ/jBbfgjxj6YSeiRT66k eBU0WOg0byIuI+ofPjXWo+C0XH35wABoMK8511pbz8IBZB7GdWFpvYG8DvIQSDfjnW0ve53MbwOt HfmSxRwfdpWc1YJgVBksoTXoFxbe4vvEe4Cjw6OgMRgQN1j1LlEjhuN1/q2gUPZQ5dcHrG7Dlzwr rNkf0o++g6BOSKtr4NP9B6n3HeQpZLLXBqzhn8S+tuh0mPougqM1K/T/vHNyfoGYlbwGgo7zrZJw S3PiwEaGcyFqzp+krqe+kJvZ2RmNP1L5LGu2AzeMs7jQew8Of+iYdpO8rsId5eho73nQMdDvIgiW nQGkdoJg7s1d2SykEQaMIEkF5e5/65azb/wUTR/utlDmGGQAA/43arz/3cXr+RKuQ6FPDQbHy9Lq Tj0SgpT9qZOFFjCb9YNs0FwiSBRZVoKaC3dfaS/0sWlx4+oG2Ve0AHojjyAp44jI9GooJqDDN1RC 6+g+E3NrCOTfZVGJ1gzPEI9xhNNkM5AYAjm0noRBgPDebA5vaKeW45qkQAhqXPg0N0U3cw0wTdF9 TaSfUHnN8E1cplWrZr3xene5oeWwu1soA91wERhibYJaytdJUT6i+lRF+5dEiufm0CZg2DVkjzCI QE5E8En3ONQ1iEC787bnhXgD7WHgvx8qV8VPJI/lKsJNJbBirNdUAwjYn15jS5VVjbcgHTrlLs6Y ozN5souF6ESDE+2pFMrb796pcOL438pLyfG+7j2ZPlzB1fFY3EVXNd4dE0Mj7K+v/jHOV6FJY/KA XR6VHfETWHtr+GJFnlHB/nyqO8pX0tGsXSjZdIAR0JjwTLmtwricTLW6+oMFPUq6Ez4jYzQKdAbt T7eObQHhJHIl4QHU4TzZi1cTyQAsF3J+hHGD+B+mzgZAB+YJ735VuwkjOxEmx29oHZZzS9TZ45p9 Zj38kmN5TsZmQpED6Rog2wzAX3bJNy+a/w6m6NRDZ2YTQ4K3+lFaaLWv0Uzb4WWLwDr/lYmqa3yu H++P6bTU4Tg1RO3XqIkX1Zp2lOKXCJMg1ckT4J4KfvZjuag7C2fpXFAjBJQ4klT/m298SRrD8mZr A6Wg9Jt85JiuQYBZxOvDB3pLiuNLfgLB6MTJG2LQyGRLD2Rk5FlbDuuxXZAwbwjx4B8MV1QKQDYn TEx4vu1HgJ8jA8v8XyjyADumuy3IAHLez5CgEeRXrja1M/2K6yNo4jzp+Pvf+wV+DXIlHOiCsB12 CPsr6NpMB9sbiJURIiVgGWwG4nYpOrLvTKsRPtvDOoAAlRuHZaA1VhZCrwBsIjh2T60Y198qdzGb BSNRIGolPN9xtgsSYwaI/J1JeFOcvw+OZyYsO0VxjoieYbPBWnZZnKm6jYecrbAxb/dJ1Sl3ET+0 muJpD2F1CaIpjR0kjEU3OcIvPWYYH5FBe6IBzmFb5DdEZCX69X2huXe+vFCJsV+PI2q1XzqL/B0F RU+8r1a/rDDqKgvdGwdtzs7s82Y64lcmQNCS51Vvq1PLI5BGvwVsxBtGp3Wbz0eJJ0xwsjDBHuvZ FPWx93CrcsaXn28LIA7JaYjzdjR1DtJBnE6lyno2dbb0WJ9BiDH8WWISn6M/Uj4/bhSFt7/UUwV0 wWlIMn9WkhErCDJrDGuFnYcWKk6BuD2uVoYPo3oWXc+wYsKflQZdcCWGCE6ZQB+d8/DJaRKaT38x CQYD2ooRic9LpIYamV6Pc/n3TX6czw4pgmmysSyjDv6Y8cGXYMcxHR99UmG+7bvrpy11iNP1F1AQ KeYWCG0MsuXaan4xirDfFG53kc3qomXjA+KI0+X9WX73e7620Sp6yk3EUii6g16PKZVsD4MlSUzO wa5t5M7YwMp7XuWJ91IPbKoaJl/25E5T9iWoZ+GvBKkaxVcKXi7irVZOzGrd+oOU3yuNC25waXP8 LNYXr2iE5k4wDrOZChCdmoLxCsQ6n1b27BqncoLil49o5idLdXG0WwuLO2caEaA5pTO8WKo07xx8 Cgh75npSpYkcQU40xktblDCYvgrOV8dr481hNBzu1kd+LGR32hhHmtSiNtN8rgLBXhS9aBvOjol9 n0cTZKjcJgX34iBNP4z3g2mUdPIjLDWq5OcTPdSDB2G4bNyGdbcQpzFBwuMKjsR8vwr8kuN9emyY YAGGDLYCyyUzt/DbtasECjbKKrn3ZTbrdoo+P3Gpq5HJRWZetaXn97LtQ2FDNT7AoYj0Y9AVoHjo Y1q+HaFiRKrjMu7rNmUcGioGO5iqePsW9EHHG6Do46H+hphrY9ZXZLdRm184eg+qIYdAHIESruky CpADmuZ79ByZsKB2EBIt2fjVAdS/0etQuGHWByd7XWv9eISMgE6guUXciTtRNfaEz10wRVSUr7RC BKcjJMMRk5ET7keYiUj9mXnztTU8NquAGMs431eG2qKWmsY9UkwVJ43dD0EOaVsX/v3KAKgV+Vlk lfGvKzldkFlj9W++0QLrHNndWkrosxeZ71SmD/CPbZg5As7G37TKBGMfc/srSeWfzX1jajm+t5M0 AOTiIC+QKBVQGY+9P6a1zb9S50p0ejjZ7z7ZdZkrhEdSPWoQcNQQQ8XLJUogKkzFC2z3+6tM8c7F /0PCZTMC8ajaRy4hGPnjy+hDnzmEnKbTuYSM1D/npfMl1wVjbcCncjOtsXob7Htt9tWpjRRig7sa lpAN2ajEY9r83RUzukdcf5QmeSLX6B3/Ezx54B9qjkS+M36g+6diI50n/PsAkfn/GXXV8JQmkLSI rQBAg9yfEg9T9C6tAvB52LjWqBCooDInIt/8fvkTdwk7drCX5cc9tgN6CYbSE/IXAJLxaqU7usyE wDmKEi4i5fcQ0UR2IkZCwbS/hLgyUezgT6JiXD5pdHewPDbQenw9B8FVsc2OccdweRnjY2NTXk2A EiqFtt49j7lGeHlBvsIqy0z6+jv2KBqKGGKpI0jxsX4Dtg3dKV72U9QkZHu+We+LoImzPEumzwH9 SS8hcjlJk+7BYTmAbIc/G4yrn+nf3RILjFM95/rrw1mFP12i1AYKJWwEx8NhqEo5CyD+KeS0huCT BRpK6cB9S6b5+Cu7nfb6JsrOT2d+i1XynmmFU3kke+Bl9PP3oWXy514NtTzKinwK+aHFlKhOuoBK tnoBVdru4KG1MRm6d4CFJfoDkMMuSFoZSBz9WLYtDJEXILna9hooiDmT2WozzH6/rVB9KAmlo8fE P5/8S2pVATKYIvImgZYhjDyj/9/1nTRYCABk2OpJ8Xn4S0DltnABHiaWMqseEMordnE9nDPlX4ae fw4ESo2IzTsC6/RFyzwqvrxUqYVPIhXW3R7UhgwMtqSVkVEw0HZHBJ4P/CXU74eP6l9Icectaeqo vagX36zK0tt6V5GmYo0kiTCKBLdC4UdQHyltYRj8TcNQxlQGqSOF4Sn9VPUQToJ3UzSujy208zwL vZUZ51h0spE0RK06/lS5y6sNO0CkNf8ZXLzZkKhFGV48PU8O29SaaPhnq/1lGzDES7OvOO7ORqVZ FtyZYQZWmdomZTX4YF6bBHPwthT7U2Ab+LfWuwud/1mKwDCb/6+9ApU6S8gFIaPoSGkr+3Sqh1Nu 2qWE1HeC6L26WCJxckjLnVQ5d+Ew6kAi5pUlzF53YKlJmyuyepYyo5oJpTA9VZviqLImXdCzc2n/ Y95UF/niVNTbCVeNJ7MsvaRZ6Pmo+NKpFeA/iWQ8ePunr7yG9E5d5pArREVmfNzQmGqUozPbSElg SU1eKiX9lL9V96WCdWoFGBDZdqtpOPonk5sT4UC3oQDccWevyjIpZ7QsPbEvGsC4IMnroBPZ7RWJ 478VHULF3HTmjgVxA0s2stv6TgzBqSG0geTwa1jzU51up9g+dlTUQNxI1NUH4AWFz+KRDDbCeIs0 nd1vLESKbbCPgbHaDhQxnwJ/p/aZHesf+2vr8iG3iBcRMAvZoQbrzJUdGyrsH9Jtk6hCnKFWb62y 92xxzxGWOJGY4UL1gDbr/eI1Bobp6dbrAV0LZf1KUuTla89pvw4ZjCtAFUrhQ7JL6mRScYqnF9RA ncZL9FupXhvd+HvdhxttfrdJZ7e1yReE6dow1S/+XeOeJ/osPAlUzFsMey32fkwuHghqDlhrrRpj xi9Sq3YmUDg655ztjSP4HjULT3y3YFV8+YsaZ7cBXhmoK86buSanS08uks5svi8Sat4cHusRAo6Q q3Ix3FD58yUbTOes0g6Ee042J6dlBsMS7aCykWIVhSfWYOhFpKS9DphKMdIlu2iJrfasx5AeCbGS QyiaIj1aJhxTgVdgplGwzwVQraTWa/FkUY5Jolvo6tjh49jJJSwRdhUYKWRocCDxrKRo78mcKxtW snRrY0y1jdJV9nOaAx73/YeJndxpotKfTTXegq7mcSu5UYE0n6SLveMMNXyO7orEtVDwOerz70Jc bRCMSYOPkiehK4pEmsF+GFBbB2WwhNu5wZzMStGW/IBDpHTEyfHAQjWJkMdojqZmOajStfgBePpt PmSFu1YDekakHJoDR49BSXfHeGsUCCQr3jqH8shDoyr7ZWyykRUj4HL7BQ0AoPebzXAn5AO1aByi H8BtbNk/Z5889kGDo+ILu7q9EQgyfv3gyH/3cNyaxKSTFljynaQTP9TyHJBXfeuXhDxSdB0r4+Ao hM6G54t31lXeFgKX2NCRmD2HI+3jL+TbWmEPl6qjR/vZyqbikJt/Xyti4voeUmlzugR8HE4m1nvI P+nksv02ix43eG5jJcg/oVsqXidmBBnAh7EwguY0EUtA5ZirOFgtq5t55HsnoGN1ZYH2NyV60zCB iPUff5dI6B6emkFK1nR8SK0Gr1XjFzn1D9BQs23GCSE0IdtOnb4+fMDkqY8mUlp27t74ajrIudhA G4yATONdPnA+ruGt4zcEN5HMtnxXnNX70Z2HLIdQJuda4Eji1BQrojiukM+xtRtLLit2o/hkegKx RgMAQrWjx85o2ue/PRnzaR/wTD/aKPm6KCITdCT3de5vAPjTRdvcCWu9g8+5APH09+yHrVtiL1MV 0fcP5kRHg7k5/vS58E+Oc2hjrftOA8FeNoFuTz4t+YQxpwgd6qDFbScxIP5vlYZQdc77DszHfXMu 9qi1EQFKJQ5OyylBGVv5JGkhq9DVzx6/jYAIFoK7pi6qdp4LTzJLlT1q26W/t5j8rJmLodHpn8+s 2YOeMEbonjIbuvnhYyXIAhaLTGI0pdQUYfOL/U7AOlt8SaShc3CPDAOlWe54+I5mevSSdMegvsG8 YquDeYzKkBicOHswX6CuOUKtGc3m4FTo6EPfbynpXVfKxG6l9XD1R3WKflWzW4/H64dhy6KhmF4v dxAez4aP33zLK2I/nSBo2PVCBKFHMFeiW6Oygl6IxY+PORSlbl/E8mk7DefzbiF7cvzj1d8Ron0X Nc1v4qSqb8JMkooC3C3oKMnBnRQQ5xoComTNs4sFxAxF4M6AcFIGepr39sVnyJXoZtfODTpQebW9 dI6XcK1G+c1418nnzxUEie84//J44qJHVbZq1nzQk+dkMMNtQm6hfa5ftnBqEW3D0TNPdhWAsjyq iZiSdz9GnsATcf0e9FpLUZUNIdGL5HO9wk/NHB4THFxfknz2LVGXu2wZf1vIsprS/vXUwlOsO4Lh 1XvsEOMEYoiNjkLcDxwG9SqAAoRxNcScRB63K5tB1luXMT64WLuNUQvibvb9P7U0PgbUznig6vAK lvdzvYtmXAklO6Acs2c3Ue9gYEwtvfWqFr1EzbHN/equGOuFCngpmp9wS1n2jRPa33FCLIP6dKYj en1f6B3cbPi08aS5AY4zZYaFUqD4GH3wmdkouc7ElMzW46h8s0GAD6L3Iv8tpH0QIa+zQK2L+Teh toFwgfQBO+V8M1BtDxwpS36O3MI0qcEq91qdU11dHK+NFpxQauOeL3ZNqe+i0aDgMh+gi6ECjVv3 mEp0e3fuQqjX6GDnHdE8T6/mQpE/xREiKEqSyEz9wke7dQ1KBwUY4W+Hmh+1b2xiNdL/znx1UCh3 MxNI0A8wim9+oXlSqKWyzk0JR0KhPfPqPVl7BJrinMZOLn/pt5t57TvwgJw9lGPQjlBIT0rpJ0NX nWH51Uu4ipHoPwWGBUiNsZNdCqdXIDkrBE+m+9tUm0hUYuhQGjPUUpdXYs54C2kLwYCCbwLERek2 bRU2CePcRtk8eMRrE5qHT+YNUIJYX263E6GKOx0pXZYB/eOhLumO+O4WcJw4Yq50GM13wEhc0n68 VNe5gXpBU81070pAYX9w0RKMw83WXRUbj1DZWXO+/2vf1aXu8IekOJ6fBppnTZ7NFr/RG+pOLVG3 9KzgRxLrH2I4st7dmTrJTWALEnqOfob2ZVgzrx4nldz6/Y0m3zVnN5RhnDDa2Y/qsSvhWJrOfw4/ m/tYbRCN1DxkZyGKzb5c/RF66F54A9rZedMCQgu7KGW7n0ny3btucSkLx3PCKxl3BFvLMrXSczZy a3gZz3nYUGvvA8uNbwqmuB8v3PwUXuDIurtYlNWqWMf1bbf1uv0qUKeR7oEotPbys5pPozo7ZvcN Ub1iG0iCFYxj7RePuBGKmJVffovo+wXfzJIfXh9PAws38IxtGRQr5Cwg0o5ZvijU/WotyRdAICFV vgggsuCzF1CiJimvl/xoI1LCxag6eiyzg5UgaMjAEvWGXlcm5AoxjIc2ZZlThfCoecZWpZGdT05b yeDLhBg/P4lJHieCEdDmeEJgL+DoR3fOUw9OzjWB+H69TIWRbT1YSHjDxFwVeFhwchrY0IKyGBMQ Zl+5ahCrXL7GE4HydN6lKtBDjQXHrmQC7zMbo7VZeK2qn95KjFFbS3CuXoUZsQ+catMOgR5Isirr 6ZgP2QJwy5iZ771dcIfO4cu8xkC0KkUIn5Ck4POEF7lf2tFAQGw2AWOOkn4pxAy0Ilk0CdGNMclg WirvAu0Bedow8lgi+eOzYoniCO27prxTE6O4RB9LlYzJZftVtTvbJHt9CTboalyk7stJQlqDTovj Oi7Qv7pLTravXfD8tQddM5Z1kmNWCJYAZu13x6NvuC4r5LhgpN4OSv7NUyI4LIrG6w9zzMUdarjJ qwt5SRzDe0RX55DoWGClda5M8Wko/mH6+sU361R+s8FaE3236Zz8NXg7rfazuyqiQT5IT2g8IcGs jOd/qwhssd8d+Oo2TnQ/dXFP3YaY/oo37VuzjG9UIgqYA5nPK6Wxv6/nlsfK215+Ky+v78PNw0pa utyeFHHNMNmFSFbzYSL1HtSzepQQp/iIGHeHpxbx3NkcQUKp/qK1TvLiQ7UKIwHC97LkD+cMHvYG ouYr+ir4Cap66sis4FiVV5rSYWPZapV1ZuNEcrj1MLroFpwVbBhYxADBV7mD8i9Cm7bHrxEWg6n6 JQx2ltzQBjB51Z9LKFIRXjs0RBv6+kyp0Z3Lm2Y+4btuttJfWayct0jhzblOamoJHyLabLdndiFv qWqDGengoCPYJ1YEnxJVaPt0CgNraMKpKPvOO1TenANgzTl/9fhnkL9RoET9/9EPRDZLicbbCfYg Ut4RYYdQmnxNYeDZTD10W4CcfgizidEHOVg/TpIBvbmgAomaB2qf5haWPn46fHW4snvqPPYG5Dsx ERccrvVZFHBmR7gpKUyOGLjfxdECYc1b61oM3Wbd11eYhownpRmk7YlmLovyNkeXW18ZItcjREEa lIEO4ZaWp65XYIamKFTzJZMpfXFvq3JrP5TR0cTBZb+RR3ugX6XpLjaJ3dCE/egr3m6FuYpmk6OJ +EU3OIGJnxEKDZDAGHg7Ua72pAWJIeTPY4YqpYGan0QdAIKCi5wYlfqCn9gFgyrAlEoFgUHQ/kAV gouExqQyMYkiQtWS06qAr5kTdCSkrJvXKh9av7krLs5VftzH5QVSswCRm4YPolj+VHddKrf9MOla A3STqzz0GbGLEOQn23Rz2Q1xsp6cQi1PY7FucF6/jGDMFLJUV6HjTh4YIdsOJYtvQWmHBNH5rI4D NN7NJG11hmclvXetrT15tqBP3hcRpaIMmjitjibQC4yFqzC72scym85T+J7k1pnTzqMeTZ9XkRN3 04OfpIEi6169UrpyEfHdTrApnibWeib4S277PtwoqkIkq4NbpDfUELr/YGQIsXGFGAuERnIxCkjW Wb5UhnbXP9q/bMsb+GhzmTAY7Y1OJUIpyTaYQheF6GZ3MfvDkC4ySzsIJFtUSBmnv4exdD5KHG4+ 3P6Km6fejeHBBiS1GjVtsayKEzRVrrBV3XzC117ZCtXizBXlKLt6hGk7p6H2JlrTPG706Z7B68j6 2F6khHvoYFP71WJ70JNL4KJ3yjWsR0oPV4v9o36W258pb8EFa+nUIp43xUCdM8PbFBooCcohrGAh W2V4vWLkltWmYFJ3SR9/Ix3shDa8gBBoL+99VUJ96qcpQsDg9sLEh9IL67EAWXM0auWc0fopfVlx zpSIO5TeDQSOnuEenYpK6g8SAjkKn9vdqIH86e0+iKJzjzywv0rM5Qy1exw1KIQspwj3iFRrdc9I zZ0s4d4nKoAI1VDwaA5Fwr560nKQDULLI5wzev9+bC6YnPkRPY2uwe0CSYSeRaDtXN5BY0r/Z5D4 fIQTKM3Gsaw3o8nFdMsl4c+rcU9goeQbGHw3Gx2bHA2HsXAjbVL8EFBXBrYxJWuFgRRo962ZI44/ 22cRBC8bqcv2pUarFJaZPFHWImVyxuqVdsAxidckfJqD7aSorBqLtOutmbEkuPyPLbzZoihGqflD 5ROFayCNDw6/2Wdqi7PZtoOwPMsAZMD/5bmjPK0TqvylEwDUqofIAdEGjavJg5WxT9wj5H9QI4zm eJJu1RS4mR70Av/wOiNrVAEd7FHESDm0rC1vNpLkORnePYWlXn3CsvELWSHcoN/lvQFHWG4/RMpe UNaz70TAtllBYxaK/QqxOOQ+P62Lsgn9w6HPcKprEPyEafdp19XQACWU1nletPBcA+FYNmWbIsPn I5bc3uwHxa3SirqNpIYpoSnVgBygRuCOKzixH1xEKEbineHPPOcErD0FsF5Zwq2jfEIri1yH90pU OA+tgA4dQ9BADarXn0QRmM5w8lG45QbLGqxeKMTlRrpUr559C1TUDRen9NIC23wo6iXc6Hd9eU0J l7pz4XujAwTSUNaVBDVK2Bk+aOTOW39yZsne7FCsEval+jEaBeNJLaP5MJmVZC/1UtJpEmvMnvXG 6oeZWfn0zudt6BemkoidObePMZZhIR/1tjDO+3MgQJQC/UFprNUrSsy69nxO7NmlksD2OrUSvSVV ZsNL/7D1vZ6OJ2SjzMhvgcsP5mSOVq0LlXyEzW/PaGK1womoPBgjoBoLn2uxAmFPMhW2KSOwOJnF P7PNn7tS7qzqnyNNFd9X+NXWO/ltnINMYuKHVP+bBhiTTXEebTbihtgRK6EfgndNdGjcu/51ItF8 addKJrPalQLZo81XYGMOPUmyl/mTwx7IdeplRhE5WK2W+WjBLQGiUBMCTjy+mgn1tSF3Qg67GjIk fRsqZ5DjzKg6WjEd92yyL7UHeDvJ8Z9iWateYVk0nexsRg8CJfoUwufjmpe3fEwaQiMgsMiDXRFE iXQyc4Ovd/BTta8CdL+yHMcFHHubhRv3TVHZLmvrCayga5tI6N2vQo2B0xNZ5UstcYMUctIEQo71 cYrwx/J6v4qxEYcvpbNGsRclnLyvHsZmzmEuudhuNHX2D2eBRcArhdlnCcyBjM/Md8Sry58NiDYR BhZTNNjnQTydPo3GeWqBSVXOolGRdb9CQ1j9cNmGor12t4ZmVr4RbfX/p1qTThbJjy7JXaRwQG0v 0iqt8nwET2q7R2mVyqqRCkevHOod+ijweRuiBE73ScXfsIYMcRmA1qQ38wpctcWDL9hgc73wVy1g jgCq70eiQSjoJbfBbGZvDe2yMdjfI4EOHi38hye1uhz68JwvqLmNtwxhUTCId10LFM4M+nJnQPQh vzl1HT9jJFpPyYljQ0JyJ5ShPhEtcE6VTYoIHk37C8zKCLFtKIXlNUX4Oml6S0HrpxxSFNLeJv5/ UN4kbLYjA/SpvAZJJmeKF5L35DstRwrQ2uz5VW335+XNkBtaLnR+24LnHpStCT0kQB+zqJTOBF/T RXyOR6zKJrd1/MsQFrFXJicKY87Fs5x9ZRdl1PQ2axBUaG7lQF65P8p7/7fMgq2RGCljZuO6IVK4 IA+z4EgY1iPEH2s5wZ9Q2m5xjUnWq69gXTfX76WKG/ZDiCbw3X3iJ00JcxumL98KBGSsbHrAGCZe MRFp6rv7kvrhiYEtsJWqZ6CK3heUsZ6BgueIle5crNO1oW3E7WSXuDP74OClt8ozkrhaoLIygmR/ aCMtewUAM1jSxHyqFB+DqZO1Gr2XgKMtQzIVi3XK8oH+SicL1FeOkgivrJ8VFLTGBOCrUwRabFOY Kwwqbkioq44wXivnXqCms7rz3MADa2Kw0v56Ppskegn6nogWCe20mRh74nanHCAOGPHQU7ivJz+E +7hLlyAXwOemMSntb61ACNnAEBQDcBQxQ5E3Mnu2+XeojYMndg15709MP5M7JmQPVv5y0BzKz5OW wdW3i2IFVio3lm5JQJlO82BdEJjrPunsco1qR+Nn3rokuUmaZ+W3RuJLXtyH4Ane/3lE4fO5Cqom cQfPs1NRemNvQlkyWA7R+W4KdVWCPHgrlm0TZCSMpKr/lYE2vAtQb08lAXZoaNB2tbNWuDk9OV/h D2AQfsBISxjR3pun8oytvW0AXcSO2gFtUVLcOgk08XkRwPayAfVH8tBnW/qKZR3FKqB0M7iQz+ZH /BN2gRiTMrCrRc9ZBeUU2YyztNQKuzeJu2m4ZZUgWRfZzoEqKWTT4bqY3qGDhEj3eFZ1sUFzhHpx K+/ShXZVPwlrZs1EXTxCg6s5UK2c4GhM9nZX7qRP6aSjaz/BI4BtzLihfNhw0EFkwv9HsY8b6fjc Bdt6S1hBcoJSow/EXTLrnWprjxVjgGbyJdXh6VZ32IuUUq66BxJdlrOEPe64VZSrhaWEt0Lx623R Ue+yw9lKChcfRbXbGmkNFX3L053VWgpmBhA9D/VsvJ7hxi4tXapYKzXuBmqfw+eO1hM6qFzNXhat fxCZlFuChKiNgj+Bpo6abMqfvECB2HSGprzAtdepbXzsKM40O8KUQTfQVtb+Y0c7Mr+ghEtgXkxj YKEE7pGVlnizdZwHqHz9uWtJa5kXDXQKCQjMXB3OaB1oeF2eWwzpzSDTKg4vMtBP85uWvai1z/zU /RUAPVqZ0JT7Eu1Zd8SxeZUgHUL8M4hiOXLWlW0jn1878d4HVJAQxqUlXmZsQ++y7k86lesZfbrp 5F/oNarvcLLGsL20tbxkalhosTrG60NItNay+jQ4Tf1DpfeY2wttJLqP2GIPyGM2x+9CpzjgxBVW xnAh9QltK6eDAEaaShV1gat5nsfBHv/nCIDo7V4tvBDg40ZnrOYSrpT/FGjw46va+1AwyLaZu5Mf yizL4SxZAS/mgptcYmWPGDZ70fTv5v5X35dkJmd5YSeNL2sf4oPJqeBm1VasILNsxi4zEcS7HqYO Nljw719JFEmnPs1miO/jK9a7KTgzj5PIYyOPy11GLsQ8uDgCuwTGgrj1TYRldrgh+XLXTUC6WqiE ZEWc5LMTocL6S0O/7+x7P5ymmQcGw8Bogr/7gzyRYicCuoniPWRKigNwyi+YpvKTrsBQ9Kqv0/vq qSPts69Ds7X8WnNNLYR6U1ORYerGOBaJIRtC0yPlM0LXZh3ey//aFvhGgWiUXNoOivumgtSIXoCs 4tO68feeXoe1Y6WlTVq7po5moqjpyZXTsMRFMqeAwHlTfAQDKx1YH8zjfE2qNrxNJmefKg0CJAMx UyFx4j9VbT+yxhkZ+pzFqH/Q3dAPqTFrPHGDF/fNu91tVTijzU+9tqIStE+pvYOp9PDEH5kA/H6V h1rDlis9RU1y2XLHFkiZWK3mo1VaBYhmgCpywJeVXXQDJCZgAQZozzcv/LIApvHuCkf0tQ+yPh7z WEPbCSWBOktsy4/Hc469GhtgtocXN5nYyjW6Zl0QC0YIugvFV0afSbYS0Ior+ZKDpSYbj2YfVxEd JUvppfGpZLG+Xw3DXLqgiAIw/hC7TxHyrq2ySVPIH9FVfqPNP5P6tzVlcG36Vtm1O2ww6cvsi33z 8nzBqx+nsT/ZdcXVrsG8hEbUgulmjyLyZVyGkTYDPffJxgeM1r30cW2DxxEht4QhgFKhl8nqWfrj 9YqDqLLPfQb/+DluEWikq8QsqoJb+fRkW4pb0N1qWB6sv/Hu90oRYvHOIHg/iHCmQtVP9ofbGpOj 2cEaSt1EjgeDLTy9MO0CcJEa8RzaxaxpE0Y3R1IlVB4/pVxuFzqFgLm6f7qbS71am4HlmVA9cTD8 T9b4r2pzPSslx3RDJbUVGswLpsdamM+UDWy2aHHSQ0Ajn3SJnaDCbAtnHDgPJWRZAS8YAvuvmPr1 sqH8IbLV4tV+Zk8VgrFgaPPHI4ESCVfgQb20bfW9MAMuGlg0Wxjm51eG+SPVlfKw7eSmubVxpMSu cVQG5GXLP9JaFeH7gVnhbqeIrYjZmMk4v/WzQiHx3/CRBriEbcH3hi6v/r/jqhE8qXZ6vNBNiWWZ k712IAayIYvm5J4j9tThrLOIfvt4mhCNEmduekQypmMIXgHJWdeLXaQwZZDjTNHwAzWyLPd/yZgZ 9SaXAE3WV33SNIhpiz0s8VDWw2nErbTp5c2Sic/Y4yv6jYuQy10ftacuUmq8QL+lDQbEC9Po9RQd mrQ0IKd/wNTCQRybz54mdqDYalj5hLoIPS7yeYTkouKv6vuEpk4mYlZCbQvBBioEeRjZuhismAeM x2SXrPqU/huz6HA6uUoaVqS6QOyy+SeUVW5BUrjay/nzS3LCl9MwIbjG2qn8RJ/RrbWkKuL/X6Pi d3Hlm1oZBr6vc/TSnaNK2kx6fc2RarWnSTBsOVromlUHyGodQaIGE3uYGAd3t5NEVXSz+CkJq6KL COnNAVXCgMr0cmLTwmTnfZPgMEJrmIPrJV8oLRshpOWG4SiKLcUVVCbSPIFo23UFv+NP+o63zvRl UVvgtkS/xdENcgZh3n9DERW1DvGHJjfo4zoEe2SIOGrBo8QacCO6aufK5oT6fUqQJLRKg3ItZgXF zfLmXeiIrjONU8ykOKRMG+vLBFuiivxfCZET8pmAyZso7uTuyjDGIwmaY/1XQ0TagF+RbRwDuKtD aOsx/C8Bu+zT0wxKWoriisOLPFo613V3QrNKN39uafBQSFNh7OLEQ8w0FXOfHLCCaQv6ULeO5RIu acugNm1J8ZmKQstFx8OpfnvVSQf90maBypIwNhD9ZlZ8nwOsSnsihMRLvjPC1v0sDohWAYpNZEQM aLnh+GUy01qhLyc5b6LtyfHScO8QdANvpkRfdlrJN34UFbh+hdTjYBIJyi7IC7x03GSVvzxjIE5w unyQHFb9EvkMq+sU3+Vt780SeJ1+a4MfVRBbelL6z0uvOyo/dktzYCqdr4lAzkIltXK3xukmdLrG s3cYUmal+z0Icx5wGsjhnXVqR5u+2Lmi2NcJ9A502nqtWyvWCeO2C08bX9wHCV2a3v9oo0Qg1Z9B dqgzvVR+H865t/soYhbR4OVVV1/lafDMp6QU9BlphVHRR73NuNl0L/gKL77FTI4IWxbmqXYk3J8Y 9WTuDsJv08of0RGPacAO1CWOt345YA2gp8hwipNA4xwZ3iJGsBuWr2N3QbMT6Cvs72222+iNtIl9 qSXxjWQoqcyxVhnDqi3IEjitPOV475qikqAbwfqwSBBNrcCftOFoiX/46E473teCRg6UWaq3LXxT 5yOXWcc5X0SPbjXpgqSZvBlZ4oyCZF1xPzHqYEMDpJ38lJfnIox65sskgJyWOiRd7C2KMimHUkf8 c/qojlpbCxXAJRIkVNK6ZJvRuOb7bcFsYhyo6MP1HSMVQDycZeX0eeMSsNnKCZHXCA0ghlpCry3Q GZivdvSt8j3M4sVa9faRpX5G4Q65I/t3kZzFlm3fKUL/droTQ57YI0v8cFph97lJJZcGy9GtGtF3 +sCxic5oBsoRCIV/C0zWE9yZM7f3YITZIwwW5rWHm5Pj+ThYCUUzP68GgXBMtoFszDD8nzJgO8tZ LWS41oVMWuwmm3LySy5URhQP+uQ0eJJAZg1SgcRmzKNX8orf4AC8RFtOPZK6KhKp2t1l725jeJvm c007At+Ij3EoNUrmaELAmpkJ5IMDrhVTXRivHQDOPHRvWiBuKhRyofYczpnjpCd5Zct38tnZZ+pH 1d1JhcX0wR50lkvWkumntwrxHmAf76cIcAGVx3WqvxWxkw0unvk/gl7Pg2QarqQZ/qVE/QEjrewr xys/GWHe1MbHLVBWOQU3xvNb0ImlXNe7YATgadL8DUhR8eul069NWsdryartT3tDi5fhtHKTYDys aSR+esQXypUGRvdilH12Yn+c6y4WRXqJqQ4SjK5zyjT+hN8t/GM/JtzxMwKWF8vxw7NYH9AZ2hN1 KDJA5TQtsrymUay8JkgImZNcQTTv1obT+8ruJZjXoWG65CebQRCZ1FQ/EMir5xB4ZKEbn7yl7eAM f+8L/yCRbiE3ci9jr4eRaXziPajhrxqzXqJGN6tycM25js9i+7bgdOm/DtO9pSlQS2rrlZaccEZO pdlvajwUub1t8dlI7fi1Ekrv9sMh8Oy9lvTRp7FFlPqWOjplqpyqtOXr/fQEC1E+et8bOzj17Jlf YOeR+ANBcfK7EdPV/UcC5GOSYKWFxOY2q/Ne+nB3Ni0ucWfNZRC66Ts5YfuHjCAn1DXuI+m012qV pVyWhGGv8HkmKg0pwA1lGI8BqC7iqHYI8hZt9WxKtzYtihus+HB48mrh979JFCTeY0AQAp2gocjM tI2gnWs9MjpDJ7EHK/0RhwTW4Dr48RLEn0z+GFu76kmzj1L64U3Y/fkqf/P2Zx3+0Go2pKCN32LV R1bm0op1s2/8ryZW0+Dkf/JJBRFuR9r1DjJ4/4d0mJTl/zAbaTw/H8V7upXJZig40bkTvg6HHqA9 pFLLXkZhBvMUx4N9PA+bOo+F0o3+a1NXDF9lUx5/fk/t03rPqw2caSMR5rQoFAn9ErUv8AMqQecS oadxpI9hTXO3inxXSj3h5JHftaA3UbC6au8CrQzacICyxkeFaLA/KZy6Rbx7zegKNNxgE+LKdEkd 6CDB683RdBcLrwxlBb8aGoDM/WU9r/tjxUwvvfb0LrCkyGkm+FysPf3pg+OHYAuCGr3gQNVhR+6l GjOpt5BGhgtQpLvuZQfYFd5RTm9wIECz5nj9byY6k1pAD2a26jRkKifuRMAy9I1BZBw//le0iXrk tHj5vvmsTToaLGoeCecBzs+IDcuNxxpFwlmvAl4o6tiNWEHmDyq5tdFmZ+C+nPVT8+/yylmHPh7k ykUFu6irs93c0slNQJ1LN+h+U27v0Siq4IpLs6tzYC8UkFAPoJZf6WFEW1dtH883EmCJew8Or5n6 Q7Jpce/7zfWIwvaG1sZIdF7C8k0EOts7oAIyRzEFLVomURARU9liIDyCN2bFUQP3iBDxx2uWVKo0 rPP8l1ZQ6VHXyg3B8JSRoW9EWiF3/h/iVAD5k9ZeebJzqucqPm15HoIBwGO4rAbnP1PXcVko/NvA u6OP23oDDlgfne6xCUYEfyxb76sECSnYpTlZtkmFZonbONHzk/3EIkS3QaK3Cr7okywRdp3g9tz1 yX2tMD+pnnlQ3dUCsHYtEatTvPelTsYejJCNyClkG5Q5s/wjIHX4jjX9X0MjLPxgUQnHu1U5vrsx j1Tep6Zqs0mGeG8CmbyppzUyWDv9/m0DdU2d+G5nQhXvxh9ag0xForlRCSbSv6h6rrhRdZzpTJ/c NNwKvoJXpx4fGkItSMmj3XRqJVCPBxr33tHOwIBSBHBYik5O9AxC683jtCaxj+XlzI2ujPY11SGR cWxLMA58JXRT2Awr41GiUY2AvUeCuZgJoCf4LdKUoI69JgK7uVIo/eMJc/VsfSs0Hw0n52Z1P7nU S/w7XN7t5ErLdRUnZARwAxrk0DaHN6dwkqAn5ndimCosNS9sw0lsaPdv9RDdutTrvtKyuqFqL1Fi Mjr75UK3CEq0u9kw5EzELiauU7Pyr3z3V6mNHzopEK6ob+kEZAQqJUp1s9fmWk2pieMpYtRyxUBF bcwAE51VD8Q7DiwRNdXFknEwQA/r9ZLKR1Ih4emVLW/3ShSP6U1JceoZgNjEmVWeXB7q/sLfQrgS 6tJwV1VMOTiKdEzwQJiGicjs3r36BJ1gDKsEM9nLdKXW9ppBF2PzXrcv56U2xsW1vZNa0WwUqrmv 3thb2rTK2whvOtyA9jIT6lm+QrJCjTgcHBy/ItnIAkSJj1E7T0KauVPw4Vn6hp4doqA8J3xbruzx n49M0wWkqcZfsAedCLiqW2u+I67GwIogMnBFd7jEH1Rw+hNEQUSH8E2NuYlbKxIM20ZIhNqIfNTw LLe9gOWDAfDiPCJ7UrZP9HvQPnjZ8Wp/R8NLT8k/aCnVSveQZ21hH/MoyV13VslUgS+7qH63yzX/ dMEa4XkYyCpZgpzh0TNK7FpcgFWAPO0ilpei/eUhco/UBoQ5SU7WIb4fQD3Vi56YnU0FJQvRsP1Z jrl+uMkCpUcjQL6gueV7fGzRNIU6DHaEBMwFNcfT1jBwUfcQcbYm0aBA/tFsdnouuYN36g1sXJ3K HAr21AFhIaQ+OIGYHaNVa6495o9KTln0D/QVb6AY8B4/CteLFFI4w5L8IN59RiTMaZDuJde4cMa6 KLf+7n+My3o2oxe4hoTLLslR2/tmW3ESew8RhuWnRrE/QWFRdnudMIXBNziXI3NR6/6y/GEBGjl9 3PGZeaSGfeVyU/Av2Y/sQzdqKLbIOOT3RSWNKzKQetGZdFjFFi0aJAx90XLg8X06UuO8FYsPVpHb C7wBWcSXZBctKn2OkRr9G2Z5vaajZLnartjhQ5q7ZU/L8Wr/E0Pi/hKgzVV2YwDHNleNfFLBy8YB d9TFySiebnWFk4cNmUqA6UTXW+GPKvQqdQnAhDxxhvRmm5h4LHJTZb6jlFZgm0TXM8YN/yAPK1HN syF3gt46+GJ9I4EacJFXCSd6uOXHaVMPq8kucwg6WDEq00Ip1XMXy011OOC8ghptgwKX8KIgWZxp P3JkRxQ+TYXmJN5yDpc0UJb2lt8wjmPjOtDPq8nFRpKjRbtT0llWG6svRzPdO7JPHMDIAW1+tP+t aaLRHF0C/qut3QwBDXRlFCTu4IwRl5sEIaxUqS+rmJMDP1P0qjEOgelwS9Zu8DROdPODUU8LYjzP pUMcKhBowtcKNNUooezioiakU7q52KvLsP2oFYJa7Mq2Ny292hDFoAUcatwr9NXMjSRlGc48dWef gglvqVajqKY15CJyYg+4d4tUJdc66LBGmZOrbhFMloRt1DbEVeq629el4owkPLmwnQenf7FKkb25 xIL+l2ZwUkNqNSH/r34v44EpF0qDtr5D8r8tKaF2JxT/FuvAe8p+uqtZ++mQy9rBI3F/bftRZWfp ozfSq17nMXY7lZBBZfhlNusJrB/caSTBVOksyjE1qpcdNPYJUcvkCh4THWxuPT4AkqH51P7A6mQU 4V0f/TxFIunOI48guNGnKL44BVi6zPC0yq8DE8ih0doD7A6W4jVBIcL+5NEjpo0DDr3Bi+051BW0 x+yj01SinkL6z7DOaLLQF+YHvrxGZFiiyap3qwmVilDLY3mgnS+nS2/3FjeLMQ5fA8agL50fNpSh jukkKhNLzcQa0hBBLdsauqQDg1pBHus1ge0aK112rOOgApwIUhyJwXh0/soCApUELkEIdOyvZtfB cWYsD665dxsipZJ86UTA6jY51uNa0tmoTJ0JHZoVxBlNXOvxKz29T86D3wusPWXvVLVPdEaeJm2z JqbFR2fN7a8n3OUn4aSsx+x4PQr6gzEKzd1CIyk51CRqGvynrr6zGJ1ogvPiDH+CLT8ie4WtSklJ m2Fbc1fDvtS+nh98qdw4duZKeXoRYvqlCz9oge44GmAfdJ7m4zvqfHhl225AuRryFWiFkSr2+Dy9 LLrPI7OFo7U9MMbe1spWIHOLGNuN/UK3c8A63wfWti7JwfB1ADoW2mIK98G6BzBgi6yrRI6N4zJN tLhgieY3nyGx0foMc76m+Fr7pRpBNs+F4zFV+Hkc65oSWENRHw1ZHxhtxGXqsspT9VCEpUAmV7tt w6bkMtZUSa+Qaq2ydawqVZzMmRwSHoCCl5biImP6cLDfu3wuE8xLek/BJiqAz22fnL54m+rNQDP2 6AsaqkjxVScF/EDywHN05iApYwxQw7/kk05qEB7wJnCmaVGcEpEN+6oXjijYh6ODwDzed+rOLOYu l9b36c6OstUtqEHpAzM1M6RFjXfLHTYfR5u1idGNOe5SxAwxTq+WW/Rd1/mBmUHc6pAGn32U3oG8 ZSQOnr3jcAk6xEjoPeKMEFTo1wNqd3u4itU8jSKi0hfDPTt16sI4Q9C/yMlcK4hni/QVWZVNGYKX HmU5s3zK1ekghK8cvNisi3MGC/jfBF9ra7o59XM05ZStZwWOFwOSnq09PInRQdYa93btgEku3MVU Wv2Be/FPvvqqnOUSbHJiSnP2A5h6MLLn81aZtszsHbEjqyvWI/w0PzRA3tjPtH9GQUXNPCIPWx4B j/1KeCX/Uc5ltH8unFh1TwRNqUctWBB7916LrnRrWRgTdXr+gnV6CXEUaufcZDA+6g55+5LkJcVR lhehFhyHIgvRpQCq75Ao77vj9HtTJ94dpdj8v3t/1XKVcO3rJ46LzdzTMbSvpwEtw7KL6YOqlKGF S+8DwnD2je6m1k504X+TT5LdQyYu+fpcPlPPY/Dog6AxzS5ZhFJDcJMNzr3WVeBnbBbfn1iVOWpi M39YhUUk/FQxDdDt09igg60lD1GLWhbGlXaXxdmepSBsSMuatTs2C7SMWftC7p7S9wfKqqyz0oNj +OogvGCgiDAL5QTnseg1VYES/tdAtzysMdeJl0Epm50CRR2a54NDqIgpl6Tm+usV2df3+mZrLtCM Fa5+MiKudUCwsSGOaA9PCNGBz0WBIVtcBQAPac8yh680TIAHAmUoaS2SH+xiR9yoSwkaXFQFCVAB 9aI2rx5Kcv6Cack2MF79roL9xMdMrY16hQ5JYSYluo5cgldt6yeZ+HEvcdSJMfO0vL+gmro/NXF8 xAg6oFptl92ctTdyMMcDAnfCufrgMqk9qUhuMlSiE6UuNDRfVTpFEzS5T0oGyQJ0rPlUpclXQjww S4qjysmV3tx1PyiLypa/+73JGyFOumAfsPcFTKlQp0LUvorc50mcx07o15SWGPe26WS6i/jF/Eye XTrjT9vy4KtTTvmBgtn4sIKvH+S0oPD3gPbit7Q3M1/6o5J5K9NBoid8BJGyBN2CT1kd3vr0I7iP Paz9l/fH1d08LfIqk6RzUCpGAyRRDp6MUcTccEkOiw8jsoZdXNlHFH1NCogMhTyzF2Kb5v55NdO0 JqUL15gYhopQw97muzBkIDRieQdYi5rNn4CKRkoZUXFX0bRORVjdc7DctvacRgKwMGAg8rANdzqQ Hj5ZJ17E+Bg24Gsb0tWpZS5nZerDJShK4ZHyM1qpMgk8xolaIhohhyR5iaU7RSirZ79Zm8kp7RcF uFmg4Bc3nQYrzozGHTFxNtssUaWfFzMBVoEa26U+33kltS5ac+yDi3D13NlHotvreujRe4vhHtTO ijFgToDaC77BHCHgAWCGUxSxgIkz/Ufz1LPp2zlysHU8gYtqlhGmwroL80KftwAlAH58zCsHcxYz mVKOjNFWE7T2VvgR64WJZV7ypfu4QLYhFSB+MYWcGEMsvJM9UZGIxQZJsL6f4Z4MYdmekRn628x1 /r3MzbRCIIS3VWbZzg+cVEYxxn7QZXLly/6WujAckpLhW4vNWT5T94KZB74bxfXO9woEgFj/wF+A E5t5+v6qKGRhajIYqpL0onhvcWSq4ME7vv1VUfFHlkxlmFCNVqW0JoLuupFny5IbJMVeM238oZai 2YqBe/5xABmL8GrjzDFbA5l9XzpTQtpzieN01nqxQP4zgM4PstaqW7lI//rrxLG6TouNIjkTZ8dr Wo7ZBVwxojtP17SzvHBU17ZpxyvhzGPUS8021pk2Rqhql+/Or5omxFWiMS0kuYIBqwxW7lm8UIAy ID+v3bwa5sLsg7615R/C2lfA67ZjVdoPnUs0m9LJ3BbNJS7AjGwaTHJPxQcuhhcZNtRLTC9daEWJ KSMct39ArEW29FTAEkMRCsRn7vbO0i6ahIs/iu3lCt9duilyhUZEyD8WN+kj5OF8X7QW44kmHiKx e8FXscr/9Aq+Vhr1JnCXUKgLUXVKdRXAlkorB0vuoggMGXNuVZFGH08ewzaT0u0ksYy64lo8SuOU gli5EbItf+j+x9qfzyx/YdVxOrKuUqXTjiiThaFIaXhDEVg7j1GzTHa0mILsLot1HwLP7zKQwsBc Ab+arF0oDuN1rdHu2ULXszS67JgktZPznUYOWwTs5+ay3l4w3Aps/PKhSJ9xcvyslRldWb5MdQcb 32wS7bGn3K0r5pwycgNarhd3ClmOinKwl+P754QqPXUcMo6AMVCS0BkhQmIfTzYVGj1y5zwXSfEy 4OEb2+v6UMnIDz+312YP+TDPKsFxNDZcEM7H69+6PXivhXKhnGVBUWWsTH3Gcwb3eN3cw7imGsWk ZOJeUUDiGRc0agNbOz2TILs9ZS4+OsIKCHETCecO49tt4NnAWNk1WfJeFEXHc0+mZQNg803xH2sP ib2kDgzJn1NGzNOev+dZvKQCOEx6lTEyUHyZY14RI76yVEyny0BNKfoxmQOHHTiI2LfHfrIpBx3V O9S5lEo7eKHJSizXhhHP24USTLw06uxawCfUvgU2++0Gr0CCGD0owA/Y6fh4N542iUSWygxaCMxb HaP3vVz5p8GVvigoFg6tVvc5G/IJu24471ZI9UzhhPSs8TKd0quAFOuhQ/5EF6AliXSdAAj0ndIF +8EA4VUIYw+6FYowXVrRXqgby9+7ql2LvudIRIi9WhsoZkhrRb/upWHEYTV8b8E6LL+FyvihLGaW QXj2BkhiRAwH/MSKAK81jj4NHQw4P2CAhi7l680jH9Z6r8ZUwlNx520rXNvkBMswvykfQE/uihHg JTl24aJ1qUDM6TpV05UZ7f+O5ZsuKSSygWAdCn1tsQCtpX5KiGrwx6nxBPtqEruyeBZA5Wf/geWY Mi4fWzlefikwcHpWj6BSWfad4Hpx2y8AamkEBYhgQCVs3YiCEYil60FUPBaRiTKMt2jSCSRerz8B ygV+o264DCeqyDzzNYSAQgj0NAUZAfRKdyssZukid5v9LC6hVuZjbI0Hfigvw+sYEzscaT1tkowV H/H6jMSbtri80IvBNj4676GAa1zozjJKwhzOhMOpIwW807wYkTitgFgsbiX9ogUn48QSHedziZXX RKnhV2oSIGLSvDLHtPuehfHmOz+R2JjBz2MnqsXgIimcefiPdLvxWo4fvaa4JPag+H1bbKLoE4iq GtXbRJHI1pxZ/+oakt2N/1r+1NJfRmfim0elT7J3V6k2CTYt6+lUWXi12YqMiAqdxtw9HQ4R5//p 92C+gIJ1rHpd/aNnm6u16WHhmlBycAu+7MJlEiF/tYLnC9ouwDqI7hZNig/AgVB0SU9mDLeaysjj umvUBDcHtSPfM9SpU+9LWo6oa3T3DdeAFncRmpUzmNrR/RpMd8RPTMpiViuOyaI7Zd8i3Iu1Xc9o Fq5cecENJalalSNrZn5uib05hF9iaNMGAh5oQLSh2dHqMvLZA8KGG53K6svQfPv3piLPI7h5UIiV H3ZUgVc47vlWOY2hCebqft4vPCOwNG9OcR9LMQVV1QYu7cSrUIgP7TXDd5ZURzkriZ/2iTvuC1TO xe1v44VFNRkrcRpfsI2wv5GaHWbs2y90n2YXjxrkbC6dRL+xqkoWRUE83tj3HfU+vV65/YEzdS3v qK/LAoqI5eO6IHrWypJEQtqpf4wyhW0XkHEXR/azYW1SA6sJCh8GPVJvqozGwAQoioy3SpL8BPZ1 u7s0CGrdcY//ALc6vbd7mTe8IqSR2FRFADMVKBxRd+XNA8fz8zvT0xr3GVcuJxYbdvXBi/+p2CcF 4tQJfeFgUnicAWDciSaPUwqH1j80yiWiKqoT+cKdHwMuCCG20VdW/BRztOH6g0mgzKLL7oHiQ5VY qjJhY+y6TP2wbFsW7W5g8puqD2ihW+PNQXdeJd/QV41xobDoXi1kCN1i+8esPSBZGKGwYnb0dfok fh30yWSwL2+aYbz3Ku1RRzZrS4mNtUBGs2aZgjeSj98cg4OUJnvdIxWPrmR3hQ3HCq9qEP+rCJj4 pgKCMYcf1S/euM41lYcJIGIfVOB2fxX2o23auOKwEMOCXN4AhLYfcqsWsj643OUPxIZ4ojhqpoLK 3hAjoAgdfRAKmcZXm/smaGQGfM0tiOX6VmPtdBemsrSfbcrVWBTXJeYGmU1PZUwfZ5N2SWVc5/oN 6YB99bJcBkyQ5AAaLpbMD4Gn4GeAZ8kxZvRZUryWLJgZfJFWbLKP2G7aLfn2tVoWEwdc/TcWyNK5 ku+A1jcpi4OmMv3B1V0pjPQdXfeQbnJ1sLsKKeKkpfVHWKs97ng96GswJTocKAgNFdLvfu4x8Ibn uLcLFGHvyizPULenUllZMWDHZ8SsM7u4FNhJYz9ayNqCaE62+nLXl7omaVju/HheF4aNNIdEw4fo HtYhSeEKaAfHEoohxOKF9BjPKEep0r0sqfKpEHpWjc0xQCz/6qijk/KsLv9Pfy7NaxVQ1kHzVteW eWgRxQuvOpp+838kS+wYyUIpCXR7pS2iXUsONg8zJGz90REhtmf8Cm6E9bCMsQq7jxkcGQ7GDZ9M t6IuQehRMn4nKWoKj5JraRcb/mzVtpJqAx1XiidXHuJ1ZLlb3uZfG6IB4utUyJYFfkya2LzGKo1a AQz15hBvnJDgh+na0ZK/vh2E+vXap5G1l3fGp6ID7655eSx3mbppM40SIiqzQ3Z44DrYm5JPHzCC U7XDQPTS1iRlSq78Vojv6SS0W2JDQ6ufU7vRKGpZPSTq77p6ZvJlgzCvRE+G+3uGMWxrR7nyag3c fZO2On/SBqM1MTyUvVkghwvO/7tG7JnAzuevBJi2dV5ct6hnrH/78lkH11Bx1j686AbYz7BCPhbE dKwQfIFh1B/80/QsqAm8DwLjqeg3vrKR87TtroRldg9PJGJFvLeS9OmKx9CGUvyfPH3YZXOHfhN4 7na9Ik9wx9NHcRXyBA+A/ez2388+9eV4OAHUsJ//vFnjaR5qHiZsaDfeqh72sHIHtkhyksefwz4L SK3d/lwMB+k0BrZuO80j1KsQRMS8h/Bn7RBALhY0wKha3kaAS0g+syHaR7Ot4/0Fm7aJvhZ6jD4R lBeUZRvU8Yqrl+YeAjXEdBpiSNd3O3QT5osuR/Uv/19Ea9m++iBxIm7NLxU4/smLuUzUiYkDLuH3 /7LPhosM+rqzOqpMN0g8tJ0bqqVkPwbwFt54I2KJolJczC1O+3otObNmlUrUqn7plKvvP21aVRs8 9BF/Sudhx6+uSwDbTQVcB5CWS0XOsrzT72bsO5CUhUzBt9AFRG0XWsPlw13o/fJlrjPOwbyBao6N 5+p3/ffTFJH54p8qukjTC/z4i7ER4LIpReKmxpcs5E9oZDikil63h4YSg64fQiQjjUJfMAurRbM6 eTMod/MfsxPLIwlee1raMiZugqWsYrKWA62IeMVFd1bXatvLVlw4Ubj3ayMHmGZD5sHO3xsBDENo rjm1H5glToQlVO47GtO1kDjQg7E86NJHURC8n6ZFfHjgRzRiDcxAJJc5O+X14/lbDXoVB8P1OW+s uE06asuWn1onBarCsCnVqjLNBvhQrGT5lYz26YEBiA8bEk4VW3Begm/oD031fSA+79w4mQxhjnSj 1pDUlwI9pQEXOfkmnDs+jwZuDfpkMuRRdaQ1oVE99obZGo4QGpnPuwp0oRdwAQcNhFaZQgDdFRRE MjHG0XT/XMJxSOVhxGkgkM3/m0ODQBuTLHLYTMQfn+EqPCp4C6YC1/0+CrCSjWGj8RGZRDBrvRb3 uV1rBX/xeg+YRhEL1IKYz9WhZZnxgGLhUqYvq4o33rINpRNHevQWj+sGdWLOKngsUQCbmt71xIVy oL2Yo5oWKO3viCNpXHUDlr/efP2dg2XDZ7CSrTwzBoX52y3Rb/5oRDCLbWWcgB187/egiTM3mWs9 rYQHHzSKEVXQaSUAwQhMFKiqqVJbyYHawcKl2ebxREaSZQBDUTQ6E0lIINomtkCr0FA+FHjlMR1S g899BFqrLpTnjdDVpRb0q6F7pJMHFAD52HG4LzShHOJ0R03Sg22D2Q4C6vkCTvHfHerarwlVzxKU v1zED6tXza6uIQyYvJLESEPwQ/MA9qIwZNpJQslT6aE2yjY13youQ8RIXCSo+u+39QIbCoryXmT7 Hwm2mOHHemk8sc94n9Bs3BqPQB/6Yzzn1DoB/79ADtmoZcXZm5lCs54TpicknKy1+gZCP9hYBFKS 2H3z+izCEZz2Zl+/iLARNAD/dUe8JB1Z/BqS5/eNRmyfEGnZG2RtLNvTfA7UcqBTc0cSs4+oObJI N1ylTtHfmF+zDI/nRUJjlHSLFyabPmweJ06fQNQOtDHXea8uzaqyhdffvuSfQxwQZ660VT5Nv8A1 rNm+YXZrMcZA1a1Vbm+r2eOut90He8t/8vGI35PRnOmjjWMlIJ9QVLhwnMWMZPQwhnGmBoj2o2YU LaMFbvfBMizZZb8G+QMnjasgTBD8riorACe9OcHEejPnH6U+Q9dGc3BK1uoIHQN2gYD8cMpujsqd A6axOrJHC84QNd+UCIKf+Z8sC8Sx+jBfdNfjevxMtDXECS6bUUB0lfHSZUrrzC3BP22e4s99XQMw zb0p4s17iZUGLkU8NW8POH6TGUGBBR8p01i5NNDrf522m9N/GBGRqzPU0h9x/fI/qkQzMxcJMmnC ThqNf7motuoUBlp+QPJEIYeH3P84x57apJh6TNQM8+YHmfXsH+x7k9dl1PtBun8TfRlS4CEhWY4Q V5XDoqa+H3S9e/OHzLaPL1/8+UOYq2Q3h0+4GqkiFc0ckhvxBP8timq5yBFYJThnqmExp1iHjLr2 Tcg9FIeLT0Hkz5JRu8mv1n1pxAhOtHK9Zc3eZDHCYdOcfUr5WgHR0jGiY2Oh0bHOAHDLzVqbNftv U9QIUJYOnnN4kQHISWnihta4rRhfQRq818ITyuSoPdPok+1uxTWr70M9k5ri+qdvKJX/3rfRci3K RtSUsw5gvse7anlVineL/+Qvwlhv3uwxwRfdHtjNy1E+BcpV2GQct555Z3m1RFH3S2ahSlq4DVgu g03aQS0xo+kdAEPqdJDZD2lLY92cbU4eltWlcgwOmlhUhphLSk0dDYEfWaGBDv/vpLvlm6zwxc7O FjV3vxeo7irXeqnJC+R5H5eeZliPOFdvsMV5d8Ks0djHfrWvxqthnvMK8siyQ4zBjKS2bPUJN8Y6 JoMAUldLxdz4nVOObCPaWfhkvWIrBgV0C0tWHOdUWCu7739tNSrXbwuczo0gF7pa1tCmNFHgRFBt mIuFYHkXx3GUBYYb0lXjjxupxtt4dvd8ZZiop/qxgA41/5PLDAOxIiDE1SshXl0wnhbLEn4ZjeDI H2kVyZku1o0NSLYOy28/08+xA84oEwOkwqMaJnD1icrXqsms63Uofoix5nNaP7a8D36NRDKvsOgL 6tEfx7myWagSN5lon8Ppk+c5RNDKK4VtaRVUmoUepzqU0ZOTJ6+8xGj+4iQSF0u8lcllw5TulNPq Gmea9lQwLKDnBtoDVBit93Ppat2FZYcvmyrDW79ayVrthK5xkPtVGQv9AX5R3h+uKW5/BLx6Y18Z zkftFFwRRWjd3ahdIe6W/Ft5T1UdIHFBYbU0Cc6ZhddU2KMtMOMOnO8rre92mFUZbdPzOXravc3u F/MLphW3whhOuUs11x8BA38ynaqNVakxoQ8n6szLWGiK7Ick5DDmn5VOiXsaVPSaCGzB3KoEeRB6 GVXRxfUuLEVXCBC/7Tj7vvCgIOmCz3Ec2WD4xbwlxzE5OW7GFzLL8RqPD/H3V2oEUvUe/fkHdq8p Ty5BTgKsB3noHhvzIZ2eLnWNzVBdvlf3/mY0KpDkU3yPuD3+/0O1n1YWUyXOy96Mg/p5PkW3FScW 0EMEWUo7gxmMB02FFKpQdfFdfL335yjeC+Udwl3dGu2KCeIz8sgSwJa8bXcnEJeVQccYHcI86Fqf 9ur0UKlahShgabmDHlc6faAEWxLXjYDcqMl4OzFrJth3mxpf7oSjz5jp2NDagrxmJYqmfCkko2q4 4KYRffKDA12P1vYZ0QOlOAr7j8f6t84v9iTZJixU4q/ylvsDzob+1FOUaPt8PqpYOp0oaFP1Ivip 6lElj9mO1Kd0/0HtssVQnDWamEzoDOpQiYBb/4nbVvhiPszCfyS51q2b5K8Ei9V6PAarXe6A33Gi eGbpw818GryAwgVQddPjyuKq+UQYfVNApzCb/6qY8+IjnQDfPlKw+QELPFKOIoKeohjaP+LgnKLD ChxxLEKczWULa95BFZYgDT8xoQGbZi0PhENDuEfexLjZChdPKHC0yI+hjm3vKCVpU3lpDjL7obTi oWNBVGtmNfRspUJrDwnaZqLMWABY04jB/Ci7TAXSf69gUZ9HQ10CaCFaPkhHETZvzVx24GM5ZZak VQQ8ypfBxnGSjsUt+C9MEus8WY8ZG6nOTZ4kN1PvEad4kZbypOxM2//ShLdCQwOtdLPeB5+oB3sP XAvPNBY1l0NsiP0DbSSp/kC8AQtNR2VCRbxS7Mwzk3d7YAeDbeXq2nBtXjSU4ZoRYEI1kJs/1Ibv FQjy7AKV9lNX6VyHYARjk0sQakRL0lDCH+YwoH7b1sJnlSYrF0rXwzBA8RmkjYd8AqBzDGBuWx45 VICAS8EdFZQPuc0G7YCIntrpX0DfoePTTcSzNmhd4Q8Jl40g9A/I9pwKRmbTVf5YqcrBxdmzgJpQ h5BkIgvbx43XfcYjxB0QlM25CgUnlL7ximmYiykmcxT9CsSaDYk4s/ElUnFEiMEbWd2t9083flyf PkOZM3NPz8pjI6WVm+8mixWk8S5+KFxN8b8SfyLWzbg2rct9l9fnB0DfTJ379ZyiKXcZM34lBxVy tWDNAgjnLedro18VPTEydij8KajQFczEn6x9V3bSuyecuxEut8spR3OI74HkcbV67xJOmlkZWEvk 8AYmj8YtXH6wUciSEoHonjSgwMfq3EG+aFbIYGb787+XPDzh/0l9HoqGp+ZStMq3GOP8brYMsk7W LF05hJm3LHdGjbD8MDkUC85L7xlaFRqLfvghNDT4/RvXrVcL09kbnD8xsi3TmgQ6B4e6r9Wwg7L6 w3iADVlAxXybIBDaLN2/Wf+qbgXfmqMNOoNyekSJYfphJwY01le86S0CNgNaV4bw8AyGPhk2FmIL O2C3bePlleJBv8isn/HWcE8OM6oOS61WTMvhluLf2bdb2pj1KL+j1PGXyRvmd6vFVID3Ngk0gEOi IU5rIqURbLZ3h/8cUjQKeUxLOjRO0rSjJa28vjy7lBcdgtONqLaJrWgx6cd7BHNZpLIcgOj3EumL nykI+IWROYFgZXgPuExn6B+yOv/bSdv2S37tNnsx0iMbczLHsmTCbwvcmetLmvJ00b27MrXPjFU1 fegwLQcWWbPYqbtBKB9kkC+VNkoFs3zN2prBt8RLYkgimTL+1Cl1LE63EsqbbvfSh3FL/1ObdSrz ayJX84adQmVWhEgm0Z3vrDLNtkVO3fOcvchORdVdJTGfBFfaQdbmkZ0st6QTQuFfjEW1wPrkL4b6 2B9y3WXovkVpnykmEJlmBNbHk1q1wiv1fTCvkVHLgHG7e+WAd/CuJYvnfrSlTVefI4A9nJ1vZtxF /Re5Ts2nlX5Q3/sfrjYvo1NZKSkd5WytArZTCdYDswrF82PhOxRAW0yDKlodx9EnOIriOrIVL+/s MUDWmjf+1kmNiPAykBvFUwqnpU7HErDPtU3mJFgJ79nMCf/TJ9ArmIf9RhcgDxuSO/obkcOqtRAb B84qY2ouetlYgyB942xU/tP24GrZGGz1m2whZZpwjsUzVY6wMXUCor8dgmIjPnGjYDLdYNQYbSDA oMeZw2VRYis1s3sOo+4zrM9KNd6iWciIzhHJzr9rfrS2knRhXmN7wBPT1JGdCXLfZmgN0OwYZDuh ovBooPKH0mCgjw05pVJfS0xWuqrfduS3kroFDRVBIvFerP4R890HP88nTuz1a8W4xhDIJ6j9WCp5 vT9ucffoDP4pAK4zA9fqMXfvY3EprSUkFKCYI7ElFoGTp8DGsK1mvX6IOns89yAPsGZiF4vGiZRG rAbqy3pvLiDctm5skFdeyhSDIsB0bJFUXitomjHwiDLOlK3TcE8Sz2s4zeEX6c/SKuqLlfafC9Zu JnMgITP9qHww64u6bTrmW+yvB2rtC5nGrkJzjH4DlNJO3NYi4W/xzumGGw17N9VWwz6MUeRTkeIC dBNJdTLVkU7bz5EGNuNirRUgZFArNIz8Tqy0Y3ZUc92k/DS5bOZsDZtTj7/5XyXjJb+rm6/pAvu5 rxSEJXpZUAqHrttxqDO9DZWh6RIMhkIAZamR7CEsFt7DwTXvrbZ8RdgfFcsXFPxfBeCcdTHaKTsH xHgC/lDydtok9I/BWp34hrDID2c5zBMkXnewj6nD7vsfY9Y6t2pHL4rL/8qnat1bNBJdXs27s0Tl jjgtkP/LyS2ATizCX/DkxQxMJa5cCNE/vwiZ0wh6gY5pXJslC1UaB7tcsqQDAyxoD8p016sV5VTs rbQHxnCxLlR/wJlnn8Gi8H4HnCJgRrWmVIuyVJo1as3E5zl3K39HaVdh7eS1XCw6GjpOTr/tCwOn icUy8qg061DUWkgrSR942XvEePp4spa+0K++ckeF1jTUIq+P8b+92vhNpAuc3KLiA2tTXaETkbmO C9oT4d2O44tE9RLFaCdyy+4+uey3419rhLnKT6+nCwBYlj7djc4wrjxYxeQXauBIPm0OVsC0EKln pXKv4isjY4OiPJKTzg3oAY6E4+8ya2cG1it1vFiVHE5tU114EGuKXd8vqrAJVcV+5qCHcZP9p6vM nkd7Pho5wlRnATGzCha4Dip0LMNHQoAnUBLNoy14oGSn54VqGPy1QmzxTF0R8vAhoAON2MCJdJHh SwW+yA/xG875bFBFLugTIpqCg9KG3pdFhErJgvUzJBLSBfsIzF8aPU7KN/UFq7rydQoRN4X3w8nA 3bLVWWjHjkPs17MfA74m533bYHzG36gbreYvQRlEopFT5AHADh/wHwmQympo8VKhUDOzT8Cs/USK fD7N0sNKE3NhG0B9/3tX3hD4yht3mKn1E4X9smArIuLIcH6c7PcIZfkwT8L3v1Sa35d1U8kQR5aS JHbyibLwTMFj5qbls1oOMNoIq0W7QQiMQo04uGm4yhNtBsyphoaKtJcAGBRDCq94ojcXjhO4akLx chTCNJZZe3+Q9fZnA97U3ugZ/W6MgI9E6zYzSdkXGdZ6cb3XN968wzoX1ZPdKihiyuGTwnsgJiw4 5dfc1OTez1INdyL0Xb5AmtriUHXOPdIbIPbpzRKNNMDMEOlvvB43/NMlTELfFk3zVX7K6VHPeFOK jARnULW8Tfm810IFWPM0EE8bJdiXi4O5NJxvdAp8n4Hva/3tncV8ipDoiS0L7GdauBFGQc3m5n/P 9LvZ1BdDPS13/5jNtH9H8q0MCXqSHiatbMxdXAxBGgRR3L12w8X5RKwzr+luoYnTTnbhvitbiZLV ofg2fo7rWoLwqSFeg3KQr+IVfyqu1/GpEVMs64UyLLqNueXPMK38wXOoANw64QRt1pZRwrjk276X kVpIQiR8QZRbEgjPehH5B4Ub8XtrezUcGQXuWOfA8w2JB9CTSUGRR7nc1Nnp6QBieqeXNbz15bCH 2tc6RmXIXCKQVS6QNuYsxDtbQjnOkRVt0n444VSO2dEe5YOXBNZGJAkFdvRVf3XOaIcREE0gM1Vt m3Q4xr0pLT9Q9gDvuJ1L4IxdrPT6iB8PLy11M7ozmhjr1mdiBsqAQkRZVantHFmNNFdu8Ylk8Xu2 g8qcKH7jzS9OzpEigkZXqBQInvR4/DfD+/YLigf2wdr6D/8PWnsYW9kiPILaz9i7fYUf0shJRZq8 nTVXw8fQCFJxn1piEI56bY5+SppSfNiuMEwq4eYC9RZ6d3AmbVh63DlJX82FQ8QOdiL46424HKpF 98hbYsOJydKT7rNxRcdg7fZN5IGzu5pgQSngZXVke+4QTDMe42SUIBsqjIpVKs3u5Dwbvk222lzi aB0BUfWC66nzrZGUbrG08tb4Qzm/MNv5O5FXPkagVNvA4d+Rd/xILhmdo+tbh8JaeLO1ja+9zbAJ /k8enFrfdFpH86F1N6g8nfuNppaQOF0JnzBR5hE1n4uAPndgJ/309YgHWTzqbnUfrxDGc0OURvbH zEdNHbdqO4VZTvIET0Ye3d4cxeQJXrhSpCAcFjfY2XU7z5x+Mpu5nenvbXvTgWdLDFRS/n7OFfu/ TbzAOIPdyCioTitQHanWJlgivXgyDcLN0ME8rg7NIlFQO2HUv14aJCRdUHrwa3WcE+M5HiZSpmgA xyjdfD7ITDXo/UbR2IcWikDu6qGexnWWL26mtwgdMZDAvKiBt2g7zLDoLUvNTZ+zi5a9xkwitHP5 5ZEYfyPYBbbLcc7uu2Mf7JQAvOloTbe9+KPMkPLsqr1EAuw6PSIfSvW8hlEWj76MHtc/4m3O947F y9DHTJsG/11snvTiz2zZSUKmoYfD2tfPKcBOXv3ZnUS/fBxUCMfk6p7NKko7VeSXHBiSGdfHaeQH W6sq/VBNb90xu8FI3yvxeD49fJ+ZvdQ/uiekRNDYnqrntgT9UEi7VJn542/GKvMyqktDEyI9b5Rg CUsQrcmhFvMj0TuNEPdjmzt3+w1AlJEgGgDcA+dO4JhGZwEiYeOsJ9DieSDpOiwYv9SEc4IJdsNh m1lqTn44PjqR9BhYV7MqHoxUl5eX5wwB/iuC5gbvottVl+GnkB5mNOXyTq5adkeTOwPRMeRNGDxo +iBio7aPsyYWvDcvplcmpUqdKpXWDkGd0A6Cvpl28p3z30XdoM3AApG61KzOoMAv47yIl0NBVHeS s29nGDmd5sGoPxPuCbjbi36ylHGDawlH4LlIYlBwTyhHHY3BY/dxeFgjq+Lbn41Cfw7X52gs+RmM vJ/22hqOvWyroH682qQSmfKG2aAyGaGmXvmPDNZ2v3+qjDeYw7BRm73J72etEdZmIF3w8FHg5ZXB gzpcrHoLA1Rxc+SDn8TTCS79Lt8dXKBqGsD9Exu4wXgy1myKdB8l4kyeAnj4CeaCFYa9Z3VNNtZc snMQ5vrn15/q41AMk2tvY/IpId2+dX/Kt50pU8NChddCo+ziKRgGVaAKg1znXUOEjV3C++vlGyFB XSYMCHFa6Dg6aeznD9TyTa/SbUALJ7hhS8axgk7AI4ORRVq0GvRmmuF2GteuSj/ZYPulmtD4GaKG ajVhYA6Zf7aKJMzmemLePuTz3l39w/pA8mHJJZXfE5xFjoWBhxq1u8r9iO+ARu+knjsswHP2SPt5 jXRwtl9j/Dpncy2mDGF+qem+cugqnOdK2DVgXhr2Y/Y8pafJjdqSZtmYk2QIOMqW7R3OvqDS8TSK za5L2AYuaallj/3tBO4iJ06A/LYnVrRrZyBFQ9ROmLA9dWzLRlhcduHQlLOiIz7avcmNyUJRGzFn +5dEKRF/FRJsrVwHKAi9tLor07ciWNGaprjBnm37paC17cfB4f2Pt485l2Qe7mESk56hf/hcoXQY 8sTE+Um4kUrlXNyJB/+inpT36ORD6x0FgvvidWCjfbTLkPApQIK3dTUHxQU7bHVLo+IW9ClAN84q IYMp9MhErHqNPVYkiXdaR9OjgVq7xDCQIFBxzzSdqXYioak/M41c+QGG5WKgxBz4gRVC+sVK3hL3 E5RGUuwZ30LP0nMgRHr7r5cLp6bbR7+8xcTlOyilJ2XklqQeERcVPe9jvLFkOk8Xm58Ty56OajUT 3Pb8rZMa2zrsbCSccnBuJnKeX5Ocb78E4EdQJTRTm2/VJbh/arWDp9LYxQCpiHs69wWierlxmUhu Ynv7D+YgTXuKHjAYgKsFNOK3se9ZTtfp37yBrgK9LBSTtoSB/uiHP3ERNFf5jImM4UNvCd5jcrPp apE5e28G1iaLzCoyRNE9H5Dfa9TgZfGnpU6ilTaCs/w9R0DUj39omgsx+3RLb3VxnxfCPxR3VvaV 4/AqHThOTWA+U0ovT50/8HwDQgEdMC3oBTyv1iMQm2s6Tz1WyXEeJeMJyWzzrhfckuiiSTm7qr6b 7BUO8AId+UvFnhu6RbzeASUIdjp+rExPvvEDJHFVzy1L6zEtkRH9bnHZbU6omjcQgb5zog21nlZ1 +IHSANC6YXK1SML+eqxDMJGYtLQvnJhelAIf7QrJTl1W8WBfaBEFfvY4mOeEPH95t1TUeam5of69 /qURQSvzcrwpYnpIq7EfEToiQKFMloZr17fyf6Gom81dePnOOfYGs4ZAG5GTlhmhf357e2pac2St /Xw2krxQSeyjazsMA0uWSFkDzUhD3wcxumh9IkYQXBwLuiZgJqfllAwJnhCOvr86S6hlxSi1ndvJ egwieFiPXv3HJI55bmDG0g8AzoXdh4hEBq6ttIatlVyJofi/xAssa12iqFVT4fo4NCJq80y5WGPB awFPCJdA6BW8g2r6+E4K+whUXRSh6Eil2n65mViyCh7Ug1f5bUDK9rKnN/F8qDDQoibK2B38Ruku 9+xx1UUvZhztxEGypbXnq4sEFg2+dbsPobBJ4ujQko6gdPvj7X3yeokj7j1ZCHlzf4LFYukwlPy/ XVEezQRDASrCbAMP0K0Ne+2PBBam1Hu1hibLQ/MhafNmK9F+GY4XzWeMrImjAi143paZ4Mzu+kWf hanKNUXFRVL2oW1UQ4c+6FTp+EvinpgHg64Gm3DOzwZ9oaSor98xd+XIFOy9AD2aPjWQIv3D9s61 caD5amrOUkgxnFvQSH811FrLl0hH5rzK8Pcu8FTwCWmfPpget2jYgxjAj9su1/bqTbUczrUzX9FJ Ha53pM7doRP5tUbp9521BW32q0nA8+lEtA8M5xvEUK+qM+fM//kQ63GbceQOLBV/IxoSCNiqlOhR oVbjxMxuS8nIgyGb41K+/UxH5U+tF2w6XRaMYZMy93/iGA6Ku8PLoZhxmKjZjoVVV84/L25izeUJ K2BUvNA8tC+l682f08iQjt6/PtuDB3Kf5IzaFrzxZup8uRuZQbeoGaxvIqDIWPgaTtBwJmi/DjsV 1rzx3eA8l1jEWd4PhjLxFuF9d3cp8Vz7teaQzYGFk2lClBleAEKowBSaIqQjwZ8RVBxwMTkEJ2Of H9by+JCtoyg7wy43+R+NuET/Ktbiy2HMUQXIIaEmdsdTMnQCAp/mm43GLeo+x7QMkGIXX6mEEU1P 3qYJBfh28Gogv15yoVHkU9UA/fBJiiy5YsVh9M3ZYa+8RVI1aIoYtwry7eprB8h9UZYIK2SbB50H 1dvXb/TVHKSzJGUo3qvKoVnlcYlOfkslzEODVUU0xxwvcnsFMEf/EyJFGXfmze4UgprQvkMqgm2h YU8zen7n8iTllU1z71gG5Ncuxs0tqtMXrz+YYu+VPx3vMIuMCosxzSOSwwadZznen5Yih72tqBJv FH1eGwfc0e9agkVulwXECwrwes9dUQOoQvQ71RIYHIlj3GIEj85cP6g3Mm6bxrJcpL8qNIYrMJRb mFrndV+RHZFWNJfL2RO6J+sAU/tYfIy4fCOUYUu+i1qkmz2vmvV9QyC2qDwqEAuvAOvgv2XFc4ej wWZMXWp65qiHzBxH5Bk6ni5/0DExOuhQ1f07P1pk3OqFjh3TtheFpd5iyrwe1Kge1etheZBfp8vo wqxdRwqy+ycfAzdsvreboepZwla5uKTtLlxoq2uUvFc/tLA6H05Gsy8oJZruTQt5gdM4rOOdh1Pk 5lOM5LsDZJQDZO2Pe/wID3XnVWAkb/MCJjne7UA9nFyPBK354Gnmys6R6CogvL1GMHMQHiuNKy5w NCe+czMBYJq6A13efHJeU+spptBRgmqIznOwKzWn8WJJMfa/PweBIZyWpvlq1QKfi8WfbmmnSk9U ZcDvDW0TZAunyYNt1Pxy0Lmlemnvqwrx4SCC9ERAcbGCmP9vVPZZK0CR2HUsrgCxByqhT1yB9/7Y wyxEUqt/xdAI0fXbNKIcVWlbxhmejDdK0dHCnhicfoxhe4GxH4QDOgfH1kvdEcoiFb9CFceAf+vl gEcUo0n2eRqFlrr5fLb9iLLau5E6/L+VCnzb1l2kpvvziLw1ckvs8A/pOPaEgWGiNEt3WPJCaByd F7jGFIvscRmSB5Vjn2IClidQ8K7zxewXPvhAHJ8EnKd4mD55r3eU0FlWPsho2wT+H11J6cvphNez k9hGrZjNgro209Wt+NrmpfEixRzQiCnv/Hd1+ZwQv6s8xp/idIxEL+KUDhL3oU9hMKdv+HjYDitT iIPEz2oywsGUn8QRT47YRXsvNNd6FRsyAR+D6P0gA+YRqVPHpfga8m/vE5aBi2/wzXvqb8OjJcER GRZ7EHzQYm+yx01mAs8IxeLcfsrKi+dE84xhjFaaDV7E7F8LvojV+2qtyYrT2iNtG1AgflP8+LjZ CuPKPRTQtlMrTgArEAZZ1UkJKtJCT1wDQPuP8NMrbhtGE6rZ1n7u1CMj/g9fvxEU4XTqor3OIT+g 7tLSozDZakB8Uvmitl/wcYYVTvBkjrFDBVIQFi1Pz99gHlvYQGRibyr0hjX7LSynCuEnJ7q1ofFF gU2N4mvIm4zb6cAOFif2KEXoduilnexJA7bocZVfMhPDSTBGKKg0mAM4vSujC5zqCbruSRPQhoQf YHVsXmPqs99wvnviMoPDt/Lv+T79BB5QeXjW7+pBPRM0i6JxxKQIep9M5jYtRyzuqSL3duWSxqkJ xce23U6HWcyRgGufxVJePgM63aiPhU9XfB96HusA5LAUls2KEO4UZBSurtebU4HyD0HgDM88EPP+ /yiQf5pawtlHbWGJa773/FxUqk+3PE3DOip/vNnKgk5i5x9AO7RXXy0rYy4EcAwYBWvcTRU7ySXd HeicPMLjBgXaAknwskcZeWTcF5Astldn7qVLTUFwV0BtmryE/eXxrJrUhFX+8GDVBmt0ygdrSrTu EuQjAgUTJSL59jUZwm+BFGVnkHRwujrxo0J+AnNkzNp6bngHS1VTz4Du3XKKGxZjFEqdhCcyfsKT H1MKS7KRmtdCs+vay/rU8FmTphblMAXIwgtU95JGA8WLy7a0mjK1fwjq7gCSLdL+dWp1YcTUyM7n NJAN9yB7MqELNGpGXkgcqYDqq6JWCBFQ5E7i6dElOYuStzEwONOZc6k4ItbXIngScu7yZM/0KLLd nJs7PygbsNrcK+8rgtCI2fSTskfwTRO8qD7Qf0QWxadqebOGuK2MxbW9/r9xYzFYtl8cdEiFURZS LKvRwa1G6lMprkx3oyCFCZ3lTDD++QOglx6weupXW81lLJe22snhB9PtJrvO4CYlgLIurdNKfty0 xh2sDku9KpK13TK9fTuLaUT86YMuuRUe29xzZIWC4b+RGXJAqK4CMsupPbK96ObqlRvjRsJs+PtI nkOWxDkCdX5ASmRjyNlAhulRr+FbmNy9d9i9e0Eigzgs7HaaC/HBxpsR8JjDpx0Dvr3RKi5XdJNF Ixw8RQWygYLqbLIcnm02WVpCL+R0YdU3zASA+XHjIjjjJhQmxeHuLvKB8pyBogdLgAMDEW3mkbez zAQlQbt5bv+uAgqdT5vmxsHgwsjnF7jEgTIT6p7tZNiYuAdeE95bAgf63WztAP/ziaMLo94DN9kd zW7hl+u/jWLOueajAcO/YzIAM8wWPeOSuxI0jjmKig8SVC13VoyJXa1ChegL3SeeRQjOwGIajTWU OwZLNMDCOc4cAkQ1JIc89FauRE9klg3eGmNV/1uRau/ff6guyxhnVTVEtW2NI+izTP9XLpLxXM3U pmWpYUB8SoRUeGz33psMiT0LGGKEU27AXVaq4Z5w5mO+tHRX+cVtXngiuQCmpmkaT/zpBJ4ZGD9s Kn8a/l2ERI4Oq51reMlo2LdEHZOPyqFXujDE3VD6/C2QMI32B8qOqa1Cuj2DXJltrE3Z+dsjmGG5 y3hvc3ruROok6sdmj43q09quOrxWHWCVAvNjE6OdbLbeeBI07q4uEs04Cm2zD9D3mpdGywaEulI/ KLXfmlEv+7pfWRrKfjprwsrQh+y4AAcf0PjppVR7U1CytfjapybMSki4myFwgEvzxe56dO7gIIjH 7xAJRgUSsj0vtUPd2UdSNn5WFLFhG7Wi0TXJa52ZM/M59Y6CU75X1xOC3AS7qaA7MycL8DJxoz+x 0kRyfy/nw3s4OJv3Rbjigzqb4pfM3NysiERNPeB0gWu66+3JD94k7kvtlRr+matU6r4hDWuXlUKE PRiwlxZuPfxcJug62LlKDC7G8uYvXm41b4XHGTiOn13EYAOwxXd+gH5yXtdlhD407GUFXdgfDXcD ugOPgVUz5YhA2RZa6Aksk0pZYig6UULOTpLC1iTNCFPpezBwB5mDB/Pqte4f+UmBQMNtUX3RQ7Xa ELB+NAufX/VefMpAp9vbsXBpHKAN15gSyHCI61kqmLL9L/rqbfXxxbvptqfPmqg+NCR0BViFa0UH oNugUBDM0+AJ9fvlbnhCmW8jHSae6OptBtcLbvxlcMSnDHnjyMZd5F3SGer37Fbz71ilLwzSDS7h 9f9Xp4LkaRcDHABcixtiLNvzaa5ohwDuhLFnzxmIjxsZ2/VFUrGZnLlvyqgSZH1Sm18lg0nlXJXU mAKJlmPlp6r1EoWGjkNgklQLq+EM/AWJfI7fSeCAed5AEDTdYsUlJcgzLDU31bMUSlQk3AcMfZv8 +JonRU2D9OMBp02HlNbsvtz2sOOqEyxqvltQnSmOHK9LrfR4/9ZjoxJuwlH/YZtuiVaIJtw4TTK1 5svLTydsvJEWOZWk5Fh/26swhl9RK5DkKwNZ6EBhzcTwkvXmF9XCWLZdiCwnO2UnoCiwOqOtpfwI RfEJfBJYmVHmgijeDoBYQs64O8hc59xn4hgKpYRemlEVpR6K4dVU8Z0X5PYwr3ABSTlO/Qi+kIoJ LZ1D0vtOaW79VtKZU9fySqhIt+yWIw/D52Qrx+S6Dc9IiJbMWoNZjzoDVJu5hmF+YJUGubDPpFgh 2US4ERb9VGE3tnsHesiN5JZnUriV0v/ytmnnwito8Zg6TXLzU1fJH/pAiUOIc4PBv4tGI/dOqzW8 JwAykKcsa/c4VkaC7fGMmkyp+dU9lqIlPmIRcvgYw/cFKbv6xq7kD5C8BzXS5X9NtYtC8DP2I4sw q2eCjWf+o+YMJJm2kUbBpvMNLJzcJitJLhRCi+TCyK6jtj4O3WieaYtwrw/rsEpDDk+GDGI4zsFq qoEFqB3vpRfdPISN8wtRC15kUgDtX/OjSxXHIPszbREfHhmJDV7Dw6Aiv0G4ABDp0tPsrBWsTB5K OZX/LOArcG74NoPKhyfV0xprvzHbry7JMYisY09F4jDK0R8dYxbfTRFw2vEca2ILJxeVH5qOiFFZ y5wh2TarFv41Rm16A0CFtXLiaRVZ5Zh56MZEUoG/LiGdaMGHfxA0Cqgs/+xhvUP+r5ACYGGHRKYk +nErt1nei0ktuGq78HyttTJwOG04wjpbmsiQmwQjRBN31UR0swf0qVp50gL3cPzWG390U0KC2pqs 8aMZMnvK8AqXgYJ5ZsVFjluY4kgnnlge2ZWxb14Z9sMf9uIUJ8aXDKwzgn8IvlQ1pX5ndJZYXsrI YMjiqGuDqjQwDP+AcVTR/ZoJS2t2UwoRkQYA0KLzmgo8KvIAXppRJX16Yn/UdHdfiCnfKDM3/cNd L0vzRGSGAQEWMdUE2xz20AcDPlZm0V6veDdZpwdj7M+sjNozA6+iVRHmuUYaSjwHqJYkNQGxMOZW 5NyIJAaJmB+yJ4I94LdV5vN320a/Bcc0wcQCOWpE4Ayh9LPHPVj2+IsYzFbFMOfl1c2UG2Qhiq0N 6OApDiAM0Bb9Tb5KA+nHOSevBwPiNUckJrQ3d4OzeiJQKuzSkyMiFH9Q3rm3lvCRSposYu361Bd5 JSSQQpjDfCo+pemYogoJ6CQdgl6yey0zBF55eXHoWqwBMS/0S4WfoyM8EdvOrlBxtozS1grEo0rX utoLD0hXOgyF7pM73LK3esdBRd8SJs25TodT0a0sDLHVXrGzYOBJoAs+uTR3EvwEzgbBZ/0PFf2a TQTzNgTQ8O8sQec8cb1yYvcbXYftAtZm0tAL6W4/JWSZryd6fXlJa6qtTao5TpWemt8oAjuXRNzq A9vbciibReksf9CdI5nrFqC2Ftg+cd5f2WF6PY/G1kkHc4CrYGlr6fnlmxk/WaKH1u/dnNIU8g97 svvQdqR/vclQBg3Nu8nvBFpKKMEwjuK00xKJpK6F42EQ7wY9LMaevMK6we7RmmGBmXed/orQzWsc nJ/l6pwK3kVdwL445jZZX06avkusJOUPTCRXbZSEowAzOX9ZAwc8WGHHoxl5qXi+fhU56HVKzNc1 YReiMG/MMUTriX/Sw9oa4BTMruLf96+3qGPqzmM+mYapBbV+lsoGnV7VdTrgt+r9BN73h1U6omFJ pFiU2Rky7sn9fRj/n2g0JJggadJo7mGse31aSDR0EjGWzfoRYFo9/GgBSJB/yN7DjywT5WY2ULjO CSrukOaR9VunLbv13cCzlfODJwkuvMOWwRlCelAPv/ec4Sn+ReEwqYoKW9sDa0pwEFAiOvuOrvxY K2E+a0rTz77Vc6sM3VTDSp3tCzwK76hZJdWZt3rlKU+/OlxYaiSc4gtJEEeCLx6JDsb0VpRHWbPO tkuiJ54cIW2CTyXs90tdfi+MlgnIFPdxTEk0GdiTus/ErK+Tj5+4I9gfiXdSTnlt+7D2ZoM6Bc0m 19qP/Pu9aMBiOe4PBvzue0ibrTZp0zLdEQqwj2+KLGKaZhIoS6MK6W5mHBgjcdf0KmHbWUaBTLJI 5UGOBSGawbuxEJ2bsOoiCv4s5pB+LBprrdiO64VXO60W1rC8fahZYloQJr5ErAxcljVEtSlsUJxY 08AZlvdGtea238ahQL0Wcq1r2qyhL1yaCUcs+s8M+hXoqImNdS3NAh68itmdSHzqBB728ad+Hqmm i3foxRtQOdXkbc2Awowr5FPfYZ1PBLGYJ7R9004tLOtQUY3MJcv+tfmBcPpth9qEmL1qx0lTFQ+J iT24cuOVSHj8YsoEQRTCUs+mqDTGUvPjhyY8M7yG4Hxj7soDF3L8QbqpHT3J4wvei+i9UFCr5FD4 tiBMcbDfkEEgQqxnivHfbeYuzhZFMMAkgZ4PYV8u3Hb0/iOXzda0qEsn9lb8TI87enxdVMKY+bjb zFt2HLri+zQAiLyfawEWMSWL8N3C/GLzz1pkkshXzIk/k9DnDLkS54Le3JA/A71oaieey4RZXENQ DSMlSmgD7DMI8NoQA9jcH4i5mQ54SaBbz9uyCk+N+GX2dgWKIei0nZKoyf4TXnj+6z6yA33uNVqi b8uD1dqzxkiEgz0aWoTCEl0Pthsv2zlTQGoZrIy/QCxKqCbEmhzv7Nh1npdCyg/UT3e/WMMpVjy8 2kKu2hyhYIjPhtRlKDoxRxkyRkewRjGeKXbA6MTeR2qD/hFvrY56STbT5BtRSXIhJ10on9xzP0n4 5M94heuF/ogaiq0/xe2pY1ogrCye2h3YtoBFgTzYB0drpuPENblMXIbiD+OVpSpwTxyOYr0d+cAR YDuQPrsvoXW+zSrM+NcnECEUjpBkZR3JZEci9Qws+NUCVEuaORzEPCGzFESmOKcJvDotmy8/92Kl dGA2jW2ZiUrKcbQf1UvMsipoHedfQe2iVosej34b0vdmrdxXwtL2gzKVvd/kKAgv43yr+TvLpfdp yij+Ve0LKV2qVtpUIwM0z9fq7ZAEa4qwlumXlGwOyQ73Tb2P0Gsf3iC7Q8yInWdNfmx9YehGV4eI QV6hXajtNUAci1AhVFIONAusUbG+Og7wIC3BB+pZAZ56A1rCtVuIYNpIhk2ENp2C26bWtffyDewR HFQlNELbNPMRlipQxPsv8jz+MXp/8au6Ja3scs/JQXEn3Kx+m0ng7qNAuO/sQlxVHmkZG/F6wwsQ BzrVK/ph0oXrhO8XjumcCpQOxcZL76IJJCntJklKxhzw9ij1tY8iRndxyqsrbpCJQrerOwU0UCO1 tJp8zX/4MnhIjvSlPeownkJmpxy4zDMljLJoCvTj6i/3UuVxp9TOeVhMVwzMjVPJx4kbzIY6Vc2Z 3eBhlRFacCSdaqWzxK5ErLTKjs2KG+LeZI1SVYM12P7kcCz+T6OtrmmbKDGEPOaqx++3fMsOIeVj BhgDaX1nG6QEAXhKVwkOvcO9kMZ4Nam8mWU9QPIkpwhabdmLykN+EElUiqeZ6Htl44hGJALqzyJS Sf9meZmp1kZ5dGvIEwFG7eIjA8+AcM8ahV/ILY/kHgMC7qst5EAQMWv2/BJYjb3Ev+8ZdXsXBeon ulxWphLf1KwSicfS+kXRwo0PyW8eXSO852mruG1+NwBBajQLNckuRQOq503P8jv4tvIkn9CgOLn0 PDpRR7kMtCBGQtGvK8ZSocTb9TwEHfIo99kJR0H0WhIbcynR68xSCrRHVE1vK5NIn8KDHq7cZlm5 QGoL5LaOgLaMsqiLSdG70NYhYeqKRSLs6CZ2BTXyGEzLGpfh3TixurQvd5PqkX6r+7CP5CfyEj9k +nszW9WaaL9poY9hHev6wPb+hNETFA4LS3hbofyxBuiQtq++obm6pBVi/Ucb47FMsetVuk5YUGnn rJ1fQsFJPbF4Fohg4UO5/84dYwnPX0BAu8SALQ1cX+jdZwIJGtVlcMG+ZoQ3soSlHCtezwli/4CQ iVW56P24MNVZXo7J2H5ALWXTOGuo30u2SQOhbsulenxttXBZtMsQDQyFIepz4pLO/0rpI/6aO74i 90wmwQ5sg6339+b4nI36aM4NZ1slpo5jTp2lD8qZL9HuODVRfHsHKop5udjU8pydId23AJxJHw/5 haHxWGgJB5Xg6QdB95bXAPWzEHWwqJD278mwu6URmfyCU6el9X2HGDpqNkWdYrWjsIS0i+w+pkLW uNKVwfih2kIaCqx6JGLWk1liTettdK73rBaalG2shlqnZQ+Dkwr+KswRj16xgbB8oQLNio4qBh25 GFwQLckBncsNeVTFz8ipQU3s+x1lu3MgKUEy5Klu2MstQ72gnNg6CglcyfAWlzQCd590bD0qXfwi Zquq8XRl4XYOUtyQFvm3LV8cqs/gW0/NX9EWvWwXRFDBipMYJtwufb29qd2C6DLm9SSw0SudVqgA e6Qw0bPypt/20i7uzZ2iTpt/wJ9ZyppDRo4moLwTHHZlThH4w8BXarGLCkSZ12C+fivYY6MkaNCm ziWU70qbm7EqBwZqzIrX59WSCrpxKOzMDDLBCwVucOBTv6XJno3zpF5fNlHujgcwdfY2nYz236UM baiJYOE1UYVZQWEfI77WOZBPPiFVvoHbMdKbrvMahYuutA+JwLo2MhW1Phpn+ZinBAF7DsGIagF0 SnsMnF5rdd1xNCAmFVGjsG4IGNkXqxvP8QFqtNB5N9LshOu7rpuZ/0dOjN41nL4fpTQ73/GcwkoE ikslqe+dfDwabTwu69jjOUVv1bVDixmoGUkY2V5Vr798eO4wpLfd+/KGeXv5EvyHtAbeB9ZX+xs4 t00Rc44yvQnz8lbirOc35KFQBg5ypL5LA0Wx+7UWp9blnVatlwP5y3Pb7aKs6eA4hRFX2Cn8UAFx bmqahDVqtLHgIc/trnsjeTJVX/J3yPOtrrfw5ZLX3mLx5j4m9kmL4no9N99w99NPBcaw53KTlRbZ qkPeXnQP6bv6ev4sBegCv4FVd9pmQ1Qife4Zbrd46+ag8+oxmJdCrAcHNnt/760CDZIAhicPnrF8 WHOFsKTVEoKrxGLe96vFsiK1nI6Du5vbdNGBGM2hjfVpFRL7mzlsuV+wkq86j1uJR91G2I/OdRDZ Ye3EdG2NwVMf2jTW778LeMzi3cmDdrHpHk7/IIvh7QBT0//IDk+4xzauU+vWXGXCPm2A8NT01naH X707ikbHQ8St/lO9chJHrc4B0qZOZsX7SgzLiX+ttyCYV8VEdBooc5n5iXZWqTVXd206wFNypqum 20b3rYgmUDfQ0oCrVzyPsYlSeQ0FfUDoSuW2S00DcEryhmsm2E0ZZFMmPYm6xRdi8ZYvtiA0AdSf qBZPIm3uQKbqHk7zDHnMQHzYid2XjdJOps+sVpzN3arEyMNV6SXpglzEL9FPT8Ytx73xToP6IFML 4aAeywPGcxJ0lW46a0WRCCeOfqnupOR+QJCLd+rMVfJYtm7u+ZLl89153mq90Sntcl1MGdbxIAHO 22cwl0nJCJF9VsD6ZlTS3lifhmqJtQ6ThfUTzYfmqLjGqjRoFMbbCaQWWO9aryV4XJJiofYl4nB2 V2Pn7sIqzrS0/wOhPmrYp9MKugAyP4uuqDq5lC7m5emzIXgbBm56/3ocOLfq7rq0Kr9OgNUTv9ou /+g/cVR/zHVmh1qPbjdwFVb4aGTnjh7chaJstb4AQjnx5MmXlRkuwe16NhbLwzUWwMmuyLyjjDdS 4JTc/VJNECganmnHr9+8P7KG1ntQFY81oWAcE8NXudUlNtAuNdSNadnmTiODczvgzuBsJQlCGcRC or3ZHNImWw1RnPtYMoAJ93SM4NgkWKZ0mR6/peoAfr6783FeHDVX8RYe2dWu4CmH3gGg19pI8e+t 3XTH4ECoqETJ8CfxxUHyd/Y+sBnjyHUFKjTSECEeyrCyqe/LkB2lgawrxfcwZA7MqF9ub3RhLdzo cm0lH9k5NWjXKgXyVeA1JTWuy/RzND4Z7mNRmVyciYjQaXLcB95viiFBZb7deipRxtS9lw2o1pSB hFWql+W6O3P1jD9qRbTZdAtcq/rvoE5iX76c7aFVyzt6zmWJlFxJ7+aK9EXhu4RFKTqy+xsMSdyd 7TSw01VW4+ovGLNy2fB61ObpAazuUtWdiEiQJQr/bYmhogrliJlgT18PlKVCyZ2FmiC8rc2i5XSk mKY4F0UXzSRc0FhC0uj02G0DNyVo6e1QqetA8ZMHPILbuyNiljKn57LjcD6CMJJipJa/5lim3kuM 8Hl/F9hbPiaO/4CsL9eU3jt9VMqJsPuJQkqHanfezdvlE2BKZwQzu2BgngCH48Mu3QcKp5Qlf0Za +1q9PGrtfbF1EHkdSAfolMaEuAfvLMyC9AU5VR5/p4tFNun3lJx0hR4B8Yt7xBMeYz3fBCpmQWhK qkUQllFoOx+EiGwsTPzKn55o39JVYEM6CuW1XbVvMpvMJ3XXI7tGOU3ntTqY+7DDpweXop5WPLw6 38epJbbwwKEuA4kl0vU6nY3SxYgCn3tyQbsPHXh2xoK04H/2OBhmlJas43hJcElGwNAEEdQDFDsC 2LiWKZU5edOfcm5CvHk16Rg/Ej4TVrOgnxsvsXmQZVA1jjIKc7VcdzYWrrD2aIqne3+a1A71WNRa DpBePAz0SXGhAaKpUtiCdjph5Q14UFDRI2kmtmXaRZ/XTwlzkjGFuXWoSHjEOGol6OGer5Vl5naV ySAxqXIG6z8GPEs+7QEfeDkWdarg9Q5fiKftcORO4ZrVcry6FlYh3nRWiHUFfpNqQFVCxTOs8hku YAMtvGOYI+t3ecyQM6C1Tglv9ihi0zuOClIKIeNZmohF7LAP9u1wa1yER42Ius4THk+1/vfM7aBe QF9paAyud42fN7Sh8Rxw2sVm2V2BVx8WQyPEQh1TqArNrtjsayVvGv4HlLGZyg+C3w9WQgcCWk7h iWRPAJ54BnWDy81IpCqx838RMAqtD3SEqTvlWqQUly20VyyaqfTmCDfRJOuw/iclRB0RRUaKU4Qh eNMNrWU6j8LtDqXND4iKoWY2LrOH2b+xzsvZn8Zhyyu8ihSgOt6yoQx+z7QTYlg5Un5DBdRyPGFW zlTNrAPpS+R3NQJ/dp7M1aiLcffL1bmOm+2FyINuMq+NMHEtCHh9Svcms+8W0JLWAG2LOyFuvkZ8 ePQoUh9oT+WJ30OJOQGjmZ9o35v/yiedVzc3CtGkNxakVxRm89Q/W6khYkS9UFOgg2CTBRHgO3qy XCKePUU4ZrEY4D13owfB/qlp7cwyDlrwNA5CVdF2TP7aldSEnOFBrCwfKj3uXMOtRPCovdhQMulS m1Emo6BecoFyc9Je8j0aJCkD5F2428hfH+UtcqJchkAsqw2/X1CKQQpbjXZhUaT4QpGQFANYwd0r oRqPpk5XkNKsrGIcOPlprWw6XLh38XyZhowlUcvmT8MZFJWGadYXeZLM7urQ0FNCmONMJBmc1I+J iIFj4J8W1KsKSN28OVHWxBuIOvRuZPrmhcER62+kiSQNMXGmLzmoLYXGr9hv9jZisZNFfMvsTGYK 67GNk0Mfvxdgw8SH8uhWLwxIt8lYhrR8i77z/AW0TK445nE6P9LhDiBnC+Mnti11MHcAivmBY1FW byEC59lPiUnWigAACL9QdpqAdZtZjlJIAxCb0lB/9HQkq6BQWTKEoXIOdqnnLUz1MGXdIZN852/t RRA2CBhfZOjLYYqfYYAu6snsdBC0VyP7gpDvLK1DKC2lPUfbTZvtyc2Zirjy80Fpy62JeyZ5mNaS ZpPfMMeFqKlInNUXSlUZ2HVNSndO+25DAX26OGrp8qiLuekUEItsHnGrs4Y8rHGOdciLiGjamDXB kGMpxYPzfjPwI594Dv+yowK4uH+Ya/BH5k+N2dyn1DTbALDx5DikCjRXFX0FJ56G4MchC/G9+LYc ku4ebVLy8JYAAYbCM9iwFjH+L2V5MRlyQk6aaxmZ9k7rfkDx1JJyJvsEoU7Qp4rDXGdww3EHv1d8 nDoRgke6lUHYQCrjhSNDc8j+iZe1t8lP+bCtLnqt3HwhdOAp9pWRHBOHskS6A2H84VdBii8/i5Iv +m2kXZO8F0GDkLauKFe9Opf37rxGYFGF+rTqlRdNoXiPUwQ0Ns575upXj+f5mOXjKsOk8hkvAru8 hnwbRtiiD9G+AHMMZBR6duAFYMU3yYdfswALh7Uus9c7+TfwXCMqZigFNq1pU5BmSkm69P0+e3HB kq30cQwm0G/rmyX3RvkSqVtf4hceq4djOxC/s7n9rOlF6KjAVh+gz8d1rDWN972+LWTRwORnllZY ZzvGpQwNAD+gEkeOLhCSmx9zPSTDy9PYTs7DnchgT4mLef3jZkCSy8R276aHW64Hn7U5lgUEKEat mPfPkN+bFJ967JL9awHmC7NIu0WEnzaKewCTwOomOqjMpEEyzdKc/qNc1VSN4Ekf7OJqyFb2IvCt xlASY+NbO/J1m2/gk+UJUNwYbYpaSHQ5bHccRD0JhPDM2NvnUEMR4caefQHddISJytANx+5DNmQL N7/QzvmwUczXpI4PgxbSiZps/m36tqQy4ao+tBWITeelkLmqc8wce9frGXURVxLRXsrsvgbsVgKG qi4ifWv2RlGZvKrFmx4VjYpK59xtus5ucN7tUfpGOTuqydSepR+R3rKY4mzQiDIn9XNK2XrwjrMb VZ4bGc2V809XDtUzF94kV2F27fIDnWtihUL4EWj9bwgSc7e+PMklF9YQgoByYT8rvqd6gFcKAILS 1EBaROy2zcAaF8oDOLLBqYB7d8AxPOE8z6FU+XeqznfTQnBeqA3M0gTlvpdKZ+RNREN9zyGkBcSU Ev02zvFrKH7mYwahC6OT0w9KB2m29XcgFVfyBbHw5+RH4UJ9I5aXx9lwC9bo7VHKay2Qne4Lp1c8 ZVSI6bKRtZwQCaIgpTfNjaFpuu8yDraxQ5MGyg91kNu6/qoWg8eqPl1E7Gz/97H/lRrzVLFlI5i1 9przIn91o3QVfdBUCx5KeBLIzJAqNnuQ+ctSmVxqKrKs0BGNsqpj/pntpFqMfXWCRawXahN2IrqQ DBXdjBQ23ZbDXTTR0Cq+SRnqk/tBCZWB9AfqwZK3EWcUB8VGXvaDE4YjJps+SBPTi3uRq5B3iLov LV3hsLkGZSl28/qXo6lRQ0LOa0f/UQYeUjX47ZKlmNY9rIcDyu1UlQ04Ohdlful2DuQE2QBFCWCm HXlq2OqTyiqXdF3EBYTOtMbKIreVC2YpzYCMOilXRT6TK4e8bb5EtbUSVbx8szuE0hplZuHSy84z XyL4Toc4EAsad67DYW+5aKuf4cKtSFelzP+pzCduA2dY4PH/Nx9Is/+qw1yUPdlbQ9rn1ejn13Sy h5A9S18dn5SJRM5DUzHshKLfbY+7U0+h8glqj/W4Eh4y2mpYF+ObXFD/3HVfsOzCTLQgbcy1vtAW j2ApQtpKPId0TICW28K5eBBOq11pNOT0Z7icw9AZGP2rnKQgeinAh9w1I6VImjxiv0gi2kYiwttg 7LYJqGzTxHfNS9W9gjy3dmNkwtfbzr801H/6EgPNsv8e+COL+E2sJWbGrluUCaLlU8wq3TGv5GdF zk7tGSM2OiQffYWntNLsrBYTTIuuIcX6ro6LyPawR4aBrPdgnsCaVrWyp3Y3C5UcZOK+tBuYC1hK 0e/jPOv6t/yvz2Qr6asJzPtNCfyxE+B1AY/Id4p+ZDROVMTYIZl+djKAbQxHdgez7mMl3LcS+Pif el5YaxTXf/jFntluuuqVgrUdQw+zfvVtcaKCYAA51XTFSATKE5QDKvzDSmep7Nnw0E8iuvcl4IwQ rJl7mAkT6QDGQe6EZYStkWHAgfEF8InR9b6CWAC8fyX0w/wHUzCCj72MXn0/knAXT2JdATl0Oj1K F2xkOMQNmSMJegXex4YjCHpJoBOkTkfub/YhTPuZdw3H7Xf1/fkszJ0vCHnfWrsgD+K6qdLtNfqc lW06RDyhy5jFV52NCVGrX/KFIJS17GkrJKrTIgYNN21yH9Zk7luaNiJvBBf8hx3HoHmMwg2pG17H azx5KzewuykED4w0/w+QVwfBBrytiZHgVf+pBE5bpfIMPsG0ooiNOZI+ymJXYkmSDPYf3Fxh/qVe lWOpyulWk+fAIDRPJuLZU9Qd+MvVLNM/5rwa5+6/87BsrH+pq70e/TZdZ7q4lnx5APTeSCbOLiiU XSUoxxrS/Kgk6eFi5cDoPFCeLfDNtVRAt78jw5bz6HV/p6LD+iiBBO6xfnLC1Pu6j8TBtO4ZRvRE fLGL39oQ1/rt7+sNzaoF7xymedzF+/rpKI2Vi4ylqeyxzp2l49eIBUuq7L3L/ZACOzw52A42Ntco TJEaGMh89MyrtlpA15iLeokXH1TiLyXXXVhZ6bn1oChtX3xx1XbTCAlZAaKkExlT52gUws2dqYef Q1c3FGykpRp6j0NYJ5ZTMnGCZiFvZ7CzShckUpEJMu8inV/1mBE1jLF42flCEPHurN76ctFQL4O2 2+9K8DWa41RKJfgSQbFfJ7vKiFOElVzAfqdVgiWWmh/lXHKnleOL6wCGzK2RVKQtggzfRw23Ghdq dvzcdYSa0x4nVLzMeinkgvgsFtvi5GEODgfp8Dxd0R8sC0pFxhdjJOixbgUfes1mrA5s5n2Io35k dV04t9tYuzGlkJldfrZ+0s3/cPMnJ7dVGV5pCri7lDeGygTpRxcHPSafZpeKxa/uTih9fVBUJ3Gy Y46daGsthuA9i/KgY1W1Ujkzmc+91tNRfUhnH9XAIsag8hntlWBHk4ZU91d7leaDxV2JdZ1KEGvy JxgioMp9kEQRvnWEO7bP568YAJtJZjIlySsPgIob0cm6nYnjcmCZsGiyLzd+PWZDlV/6aXM0ZM/U Fyp7jBv9UU5CXVmSGDxU1Xtw+iRoxRPXSuJWNLKhU+JH+GPC3mp2lZEyUutEAVzG2l868eDyYwuV tGIt1kpqLdyeJFhvAqDAcUHgeN5klApumSOUi7uInfc5YZFBhsbY3ZXpELG1LfALlL+baCymHNTR YYwUcOLMDX4zSPYDEHlvnBsKrdmvEsRAUCXWG3fOeKGOP9O5Jv6i67wa1VbEQsm2+2ewnbv5lEGm S3E5mlCRJLww7m6qBPbOVoJq+jW1/2+MvNFz1LCwjAV8qnhPGeqgJmKrusCD7lpmbAjvnbpFjNYZ xxVBqLceaTPMWWBHl1/aRiprgtq7PBmu3QbWnC0sciMj0S8NKsm6/2KnNJsxkGX+bkKoKTO+1UhV gWMXyN9ireP7bRAavWo8ubRt0Hpp/P7ty/E82F5WvFjfXAtKiEdLWhb1BkcopcBF12N7XLKtHqoB ggKZaHpnuEh3PfQ+b4Zx8l7vSHi0j6DkUjx/zRqMD/IAyJkM0MCcmToi8+uLLj16QIvvxjCGSlGY elhxHEjrF8ZYa941R4H1hCVHiIVyNWz9dVGBuy3Mi+tEFxoGpe1gvViCaBJDvW4TkKL5EdoUp2dU gLwV5sCSy3liA7qpLMG2pWBDDzr8fEX8u74AJUS1w2NIqSJmj8wvEptzwoPIEiOIYjCGMh5XqVHw 395D7Sp+UCmUs6JiuGmaAAGzNePDh7eKCK/D77CBFNBAeZulN22tXJNb+MbDwQ40fND+X1dArZma prWyt3Askp+d4pQ1UfibCOyZKjzOegFf1vD7go/s5QAcF/biHNW1QS8gZZjaJ0ScvM0ENbn5FsCB jYlxiRjSnnx8Z9kCpBGRyGJBk9Q/0KELSHi9VwxBuL3jggpla2EpmI7Gtbtc9t7Xa24H/Gb648R8 qLsXgtn3wFjVXd9AKsscQA/jUYO5QUKYWEuaCoVBsTtIDwWieRcHgn2cK+zge20A+i3gcB8U3MtD y0XLAFkpqXRGfjozkKfbpvHudKeaeJYqS5szo4/tK6oUkdm1yzFaVpamm0p37+jo69sbY6XtOa7r F8/M3EdodYOEi+9NRph28xXOHvbOPXDIcUk0N54b4sFBvonIqLr9+XtGRBfl5kBnNiR6BCHkkbp4 2aoyWST0kx1mZR4Dtl9/xxxD+EQQvAhAbqvyl9pDUl4zUNE98vIDQYiIh3yeOXFydx5fb6O1KCNo sfFMpCoWRVIFxhjOZPOWe3cljgdubUg23GnhGYoR9bLvZynW9OtTlChX2ub9Bd4/qPzEC6V8WYOc 3LZsxO4uZhINfVNsS7CW0yPq4857KpQ732W6s1085Fm9HH2JWArZMbW/CN+aTZ/nQayhhk8rvBhT MYoIBSdYew/Hv48/4El5XQK19+Tmn1fblqfkPdPU2yShmU0yD8V4YCdkLZbeR5ob0TlRn50nAHey gXiqfaG/fQkaU0lmd6iws4G0XcG4E9PrL0OW23Rtwv4iBKMcs4m1twS1sG6CWlVfs11WT9GWeaQi V5FCvv61pHByLq63E170QDDohmo6+YhmUgkzQb8Cb8lYERfsBmj+6EyDY7XcdlvyndsjEJo6KDrG zu1/9eP8Ky7iPQhU28dgWgT4jwZp0EwmOa0SWKUVWSaQZnn5rSQ6Ypl1m4Rmw+QXfP/g7KpH+D6E kSXaXVKeSbDLfFdNeHvBgY3lFIYapiDSZ5a+hispJ9QDO121wavrmqZE2Lc1skix/D4Hq+kEEpU9 tbURkcsvbGXXqC1dOHyEG4mRN9z8R1lnc6VE2zREHhx2vKI788HPEmOVipYsJAzlZ3+ngL/rNQSF DZj6nxDExH/HjctKOV8AtypJpTaA9wtg7mL2FaS45wf66kRqBdW/sV4uvgUFJRWb8w9kCgXqVp6h I57e5c6tm0r5LCTF5y9mSIEZVBfbLUqt/Lt+Bu8lSUR1GMX/VW4U5+kR6ctrdiqMh6Ui29Gbz3vy V4Ff4PfPBCmdgXOSoTK3pbTBUg7HvuAp/50y3nDT3CX71DbCkDaft21m8yeKdcku9tqntWk57BAE aCLB3SakwETeWNzOYRTEzOQb1YXS67TUzHRIiXDZ6GXzcx8+d++oEhMUx1CusFYxmdMS8kyUG8W/ fkZgEXICwHzRSdAVQUNGGeivgQ0so/jA8L5AM88LJdKEdNtbHUX4MMk+Q54gglA7Gpgj0ZJrLks1 DzH1txHEaMUGfFk7D+kdcpr5rpRe/rkD4Ucz1okw4VAkEtVbZ9zwaaCAhSKSvA1PcczfAHaigZs3 kFSc6IyTqRpQfadBXUN2PdF60N98vN/Vu9yNWJ9WQh1ghvbpqNV1gSOMUSx27tYX6okRtHwRLxZq QXDJVllSwOUFPFgNcgyj1BwSJVwiov52mjMVkjKqQQbO0o8mRI2rF63zxFjb+00H9WRTn3rWzNPY 4UvlXlFokz0R6XnJ4ciuF9LU+5RW0QwlX2MHW8cD3X/yiAbY0NfAedoEDc+VQauzEDy3zf4pFxUB n1aXiK1w0QM79DG2+wuYojJ8OVvxRBDzgtO3lDydq2V5i5eUB9aUOXtuF7auiXv0arg3n3VhvhEY kQIBXXZowOhgGFGhWFeiohi1k555JEDpDTS9KkuT4XR8+hSCCFFI3N+dyfvT46nYQWc7bfqHguN3 DiPzh7f5HLCXTLFVCv9lOd9h4R5oz73LKIMZ7rUVubzBav0Usf+bMuc3SZXfvHk/TQKSgGIVQFeq MRxxlAg73XkIfRvtXpvhOIe0YzUJM7ZHaAUpBfnpRXBiN2mF1WmfenwMA2RqrlK/vzx8lNpurcMC qPndyA3hCfUTBjzFHpeB79lC/YBUudA0H8anfyDkxerY+7oqcOBnCoRjhBuuQgt0nuH1+bfomb8r 0sQ2Axu7NcQ19pRHescg5TSq22cjLlLBpBjIrxsqq3mauJhXryInZX4O2cF8wfbETlwv6FSXcn+/ sr+DVP+thu6Y5CsbPftv1y1oUtiUy7aYgPKD3AEcBdP4OixvqniWt384Ucb4TcFGs3oHuhApJRUf s2UyHHsNOZYuaCkzr/Ewf1DUQl7B6et7oRAb9Uh8ja26AzYDHm0dvhYEVQROAZCDAM3svrnTR6c3 Kai8wHMz2cP8GhwQL5AfZLU/5eY7o9GNZaVnicFhMrQFcN2fakEk+l1ghikvMUf+DU8Ewx/2hNIW panp/uCh0IhYYxOuAa7QB/7yznvs0dGxveoeQCi6ubWT44iCSgdbtdbOyOAtPamn15E0ZCpPkloV kSQKr+15Ul9LocvDOlANB7Q+EszuiIQDiSJY+fGBH6BnnCQuhXXsl7HnphR5sgWPzJxCmgH9tnmj +FK3jh4GH6MUjs8s0B7a8Lv3hmLeTinfKsIxbayI4vQ20ZUw7/zFrXun3qkNWD8h6VB7+RcoxIJf 047ZWW2k5SwEyEulFtTijqFhSPShQrAP7FA48Ns/sxQHu6ZTu1mwNLIHVMJcmlwYBzYNMkelqBHY Dpb3+XFbe2MKRrpXsnTyLD26PIomvJeE9ETI/nT6kVre9MDrWrDq/zbf3vXpa3UJRVPIWnDJ287b aK/1L8ka+fxhrxZNwSrjvjDh+FrAHlABYnZ5hpMtqubOPllR74OFYMdlnIxtVkwKOQre6gXYtDsJ JONG3Xf7FA9yv/8/dIENHRW3yx6Ta5BVni+JXaUuXR7tVu7jYNZn53biCtLgre9K1u5/KrhktVaC Tk5Tl8XUSV+hoKTTbtd49TniwT6+AyUYl3bcI2eVMMfJ8cbAtj0HxneNEjF2VepTGsk8qTd190NO mXaJmqF1d4x4vrj3ZkXL/Pt2IsAlo2oQ7X1GOsHll44YYua0XGF707H8D7JFsKZ02o/nh/UGaVec Z1R6DNpSqfZoTfWHhcvJWpddVogRUyBzMISb3FPfRCjeqgwx41/t+AXZmP+axJLnwZl3YlczHred yIHhopsHkyzSe14rpZVC7RKhfd9ymgXdHzhKBZqG09Rd9X0EMFQ5ulkkknELro5Ni7tryY/rXLJ4 LdbbeBpXlxuqyLr2787uNH9qbcBl6PO1WLhlXyuSAGWlqh3lQsiHCS89b3JcP8G+ZrnxZ1podaEm 02YYC8KyOR2mV/cEzuejEhd1x9fowXMOCv3dvYi++WtmFLqV/ZQV2zYC+/i94N1diB5QylPlzBQB Pdy39lQ45Nibs6WMFABpxvQ56VNjbQP7KVjUD/kV+tPrESht18mruBdpe/ijwZ+5HykILT3qxMiN Jtsu/UQXuSAvMA/8BFFVLlB6JmoZZxkHVxutIlaqI9JVfAd2qqhyeJvKKHC10cML2Lpn1N9oh7He c6jOXz/HSqU9hoklbgHnTeudIa6XkuBkNwGx9TpwIIeJPhC3IHdGOU6FDsDYEScCE9zkdeuesw9S 9GpFhZ39/4vX8/eJ3YrP7Qitx1D8C9EowGwMocu+7uZJ0mu47w9xZNl/KJq9HnkycxYofBU5qWTp O+b8Y0d0XaU8E6Ea1jP4bReIjrAdepNBElmXfTBfZ0o04w4N4kAxHA/vuFYoYfZY5JSA0FJZa9wf otZVa+N9T7j5iRW0CTs0aE4Vrjq66nJGPALJj7Cto2DD4miyTaBe3EgYKGnVWr7gGqReRHw0Re9G Qbbpb6f3j+laHEr8jbDduu3inCBNm0xPXY1/5ef4WXha9hTxoRaJMReenvDClon8xm58IlsRHlXZ dq+/SycquOdPNcJbxcHabgmWW6COMLOXjLfkK5uFfoVbvRFig2tZJ1Xdc8eE0J7AtwoJCVOMdtd2 s3JzNkKnQOzxXru17cOybyVewsua99p+JB8hOcXb+BRdGMWCOXKloBXp9g13Gi5qN2hcajnUMjSm 3M2kgn3PjSFPx2uZ2HH3DcHUs5EL0v9wG4niPUZqGsEr78U+C6RAvfpmcqSbY73Kj+Tc5H0YwZby cewFYlQqd3UTe5QVDeSDnJdbWYwU8W9yHGP0oBte+AiakWb6YKEJoqoAvCYsBrP9CEPC8w2ugKQb YGGkFNuXY1WShWgsANuu+Io8fz2R1UPmcO1aXYCyeNbFT9ucin/+4i6zQV/yZ6whbHQYKN6qoV2v SNQIw4nl+1EcZvYgRMotCiJg87C1Z7LF1L3dKCRuluUUGVKd11dnTQrSNaD3n7xXemg1kY5We6aZ jlxr1oUtUpdJTKfhBUBitArHnxI4vUMuc6fo7kyuZbm6azl7rmYl1+Q0k35hTx1nylH7HAsQ4XyV jSp2EHB3N1j9tQpK+Vc44OcJ+tvOP//EZqIC4IJTTNvcqjSlwkbeuztdfIgZqoNGn6eEwwUBJp7J sgSTMm6i1dMTuYMe/KkF6+YxBTepRywEoxcilLcoMX768Y7Dv6olUg0EB+wOZ5wnE1uk6skvtIoA 6nY5bv6/djwPSZVbjdqNrIQlmJLtIpeX5zbFZoxu/Bv4pz7y2HN8xl290Mwe9Y4BblC3dJyfibS+ nylDA1NWdf4GUUhH3jFKJ6w35GVz8TESE5uJFZRT4Xcq6iBfofMv8IVWUjByZNk4lxIHhb8HeKzm OcwWt0ZkmPNDSkjdZiXHhuY7WA1Nw53KdQ0eEHy2MI1U23wkliGvfbj46IOr5Rx5gMOtpXxUHpy4 zpXKZKJ7jA+Ci4dE3kRjohUFDxw8s7uUUWZ0OnYmpogJCEfAp+jEbGv5d1sTWpWOcydevAWl6J9v lMFLT7Ks6WhnzmSzDs8TcaqQMLj1zzSO3le+T1n7oBQGY1oEYJPnueXZCHbBnT6/p2lbq+BFYDkL ldC3RaLE8hN2gRzXzMotAIo24gdMfaJZhmS9mWuSDLAeucBh7fdCwyiJSkDtGr9WwVZvbV+XhM+Y VlSOjZ+YFP+T9CKOKe1f8FJZiuEcFfAUROgTWtpq90TsFkrSY02BEivzEyL4WcxHXObqFHRc3G4J 18zLSw24N+BIemqJtVSAIc2NxZdpTh2YM+j9ZgJjmiV57rH6m8oaeRxYl46xzQkHarmOmEeh+xeI ci4FGnhwPD6CaA6UAfoDGoygdHAPZWOQ2p+a3GATZPvWrZXb5jkmgzRemQg4E1SBoL2YwqMan+QO ruymOZHs0Pcw/EivWE3shi9dyy5hxOqQ0U5RE8dpEhipm65HfzmmS/iqzkGmsR3DHqYWHMnj8JOr JaMRprXNyH1+IeN+toH02jlqyZ55h2MLXpYUZFfhPbm2QzeOqyQpmQps19maDCSBoPBrgPVZgNkK m2IpFMHFuabdopmlTlv4RFbyPSJfLK/DntjPuDhe1r6h9b6CJipZb8ORBTbCTx0zuDreeQRGPmJP JcS15F50q9y4W0WycdaP7J4FXu+2gUnG1EXDJi1tADLX65p3BaQxXVNcC5HsZtN8lZ9VXWUeVZax i/ApkKULSYQrEP/oTB0boEicqvVps2IZQ6Jn4wPEyEgFW+fRBWsavPBvGiD6easOnH7E2dTpuPbQ OoXHJ+g6DIWdy3DZbwOp6lkutEeaqk5fGPlbeOlr+nt26+csa1iUTHLthJjWmxS5tVd0IeAmZgi6 WGtEvDYeyxNeChH/08nr4mkZYTaVE8DXIAI9oZqZwJFgc54Ai9mJe57haiznhvadxexBeEEM7PVu FWBYVOhGFe4XnGLSBsC1HcMl6FSproL0u3mXAT7XQelV27vj2EqNC9dsgom929mTYLwzSxzca2S8 atjA+OT3KOE51tlMLvB7qW34M5ZUzoKac3SSrgdEn9dkoCnNrBNrXz2Q95blDqtQPklos8HFjNsE 7jumBeM6c3sVOSQKfoYyeYVBu5SFEy9KgPuL544NJCaLz2WdDvRziNoJqMi0OvCTiNCRNsGkUDwn hF1avn4OkriO44YoN86Fw9m/VpD1q/D6LRWY/Vq5ZJjBqwIqUDYfVxPy7yLb2lUY8G5CT000IGVs MXWybRenvQvGRgm+j1bx9eSjdGIaC1FoezbfSIJJ4cfz3Da3NvXdAP+v8gQMObZIslOdNmIL3wKx uBDYUAQck5U3aNBF5NawkFs6+lk9B0BpyARSLBcsnU3IKcKdxSV/8Y+39g08JrcviEEIupB0sDOR jmam9Q7U5ASnIqUsScog/2ElXsX5aSjyzFAZAEeowTI7cFuFy4mpEEi9dgfpaMs6yNf5xxZBK/Cs h1VKvmxh8RcIjq2YOp7I+4RFEa0/7iMLJa/A8MWaZdQqfcO13QNrI9alSw1Jjmfy+xZlIL6JNKXV C9cENXXY+t3KXxNCdXpsPEJOv/5pRIVlI383mhT25WzBtSCzhzGnnsszE49Dg6PALyCt6pobu48B Imx4u/AG9iKlu8Mrnd0dWVahcgCfZyEPAe7qU7EpOnPWTlm2Z3H3bRM++H/pMYe/H+jH9OgAk6ef wo+m4UJmXTan+2977pADtmAzerWtx8JYjmLfOFVLT8fimLUg1mgX9ZazNj8tz29OFrOjTEjlfphT ifrKKibaXpM7TQa6vSA8i8oOp06qy407IG/CVF3No+ibhNg+0ntcr+cDUd0o1pbIwHJ9kL7e5tSK sTSYAw5bfj5pqEId8wgWZcD4tsFaP8bzSS8+GPji3nUCq3KSrvcmhxtmnsOeZ0sGc50VicUcQ2Fp +vHhGw9XPUGK5qauEu+XQVIHFN0ysIar+amoP9AGx+esRj6gBBD9rs+muAEDtdPmNOcx326Ct0D9 E67KwMmqIj51zjpuoltSKyh/zTHOa4tlWdP6A0Y6xHqFjPhIcOf0jOMWTlh99DlI1StK3HWcftP0 I4nhxrSxsxujHMzHNGEMlIilT97Z+8tsjrp7Qgfc16FVRls6V9rA4ZZo7fNVGzU0cfAHslNgOaAn CAy1QCnB5vIJhU2N2O0tFzn+4Si0o9jKpSFTAcCzyrQGHcm7Z03WK/ybUlo9TDtj9J94aEO9xEF4 fz8O75PjNfVnNz9aJXJJZPF7tLqetXziwx4lvuMAwdRfu0vbbC4jaYv/zaNbOCx+E1g2pzGxKg0a 87ocKlEafRtfDbm1BfESg5REqJr5xoor0bCyv+feDLVDQk1Lk49ujjuVssKMeECRwch6YrKSKp82 mXbo0+TtacWRQm+ZPzZX8koM2cOY1SO5WQFzhzMnSxmoUMT3GEQZYXl6/y8IjcDdVerd8tZkmJB6 WxDdU/G6+TkXI0LktCkutUmq8byt5O+6XDLqocmXCXEohysRL2PQSLuwzBniqS9ihLSIoR3jFk+S djwyPUokyxVcTX93morNXVgU7h1OqJKq8/nHQ/yakP2xAS99hz4CaO0II73loyQNzzF7HqWfwq2r Y3Req6FnKknxKh8I7mbWf5vUU/EzlYjA1shvJ7ilxR3TWxdS00MvlLwYto9pmx3H378QDitQQpUL DmEfxxPB+fpI6rZpfy7/Vi+QLSJPO/GkEjaQPMZIeHe7/mzkZmwguNnXQnJpdVy/cW53hl5yJIma aZHQN5UkF9+7F3f88/9qksHly+/vIaGyiV4SxYFrtQ3MB3MYnfSr/L+iMgOiU05qtSHvxILVLECb v1jiRyYjFcTVH+BGaC9f3C6UKY8PfDvL4LlDgbto5tVY8zw+ljkwceOEDvHKIHCPYUsbYVcVdCKO trQnzMJPRrerGJoMXJ1xF17nPSLk0cqSdPgUiAP1B1mnhBYJHQ/lQyYigFL5NbQkJSe2PxQ5wccE Bhge6rdf7k6EZkTnSl7NJF4aItmWQAucwx3BgFVxWisd2CiiGQi3hVQV35Sfm5Lc353KlotnQg8R meRrsrcAJ/tdlhvwr2tZS++5SdoGss/3VmKpCiyhRc8Bc5omSkdhsRC1KMp/oJWjLWjGxtGSekOU VuPCZZoCoJ+rILoN+isTjJ9C0zOSj/+9aP818/Un/urSTVAQ1cMjCaeD2+yvYTReuKp3lNQB85FH E5hd0g2KTu+Sm4jmxwcQGMW6Hnd8TRzxvBFC6yKHwaJDrOtRRjjxDBAq3w5gFqNEjTTu02GqpT5q Mj/zDzRXBzJ/NfEMaEwZ6gaIRdW8kmQaaHiqH4egEtrYqyK9w5cmcLI9rIFwuqE+CtAXLuw/z5wE vMaNHdr1Qda7DVf9HY7kdRWtQTYwRSXvbOIIdla/OIMryCzG58boK1wwLkGu58PiFNq7y0E1FxFQ /IlMxWttOuakW0w6lbUUtFFONP7Tvd/DKCC+eiMlr1ZvQirNpW/5p7tfIO5LqiDFVSrH6zUYYs0k sqoSaHZYxEEfcWb5h334sFROxhsPueYvszb2iTE+21SUCLwikbzPyGWo5coMFihmWV4QMWMSkgiR 5iy+yYsw5PMlfLY1HvGjXIw7knLIUhfYQlR1IBHm77NPiT5MUeywfaJCML0jd1Prv3vY+jxwNgm9 4rInZyLvv2+pV9ChLR/E/oW0MrqkdhBwP//As4YsmVQS9XS5ONPMq6fsAYN1etXjG28ANvka4irH yCpj8FJ+GG38MlnTKHuA9LMSQww2CMcp9ybdQaWlKgbkt5DJTVSV3nrZwdTYIVh3GS/HAagfzubs 65NWA41AeqCJ/pwic/29RjofZwy/5dlCX88CZPxIrUwK9Ju+vcelD5uGLtzftrXMLYBUsIChXSVr 2CLxVvOGKFr3wurfJPm94frcEZ4O0yhXweJzrxw/PE0rF+j+U9JaHRw6UlM4djc2tZKFDFoB42v9 Mx7svy7MloDlbN+BAshZbcPEjv5Ck+S4Ft9vSnT4badyuHr2QPHLx00cDpUqQrfaXMVckhi3aPC4 TafVjfDW7BOhUvufsBQPv7BPjUiBvmor65te09p7wx4z19BCp0DKoqe4Kh7CT4FTZUnO8t9l5R2L POU3xuYh04dH0lztIYwHxlX3Zvn7/au0gInBDmPlNDAUky6/+Y/b0jqkHXYjFSb+vaEkbP29z3Vg HgaeCA4zno5H9l9gJPVIvJp6ZSzdHaFUYnCv6yN8IZA1xUBegcSnNYba5j+rn3zButfZHx9mZwzZ 8KL6PFyrI7+OjSGMEixH8214UQW5/QQc0/w7XPM0+QkFdZUMKek0eDWtTv8EkWU031pL1fKhNNMc 62vLnI0P81muiQFcEkzu/YsarSR7yS66ZvLcRr04KiU/6jZManYuZVHe09HlGQQ6G/ZIx5Q4cb19 SB1qAuEOfJpmwAheq43GT7jmtDBOOtp1MIoQVbE4hCIPokGn9wJW2m2DwEufnA/5hmbfkeBUKYgO NKMV6xDl7Nhy8cLXo3O0bN89VQxGEa7duXNrtNZFW61Kusglt16NIGfIBDdtTGv0byewCxm4vbaU 4Ik3XeoCgVgwKshqUtzAulWNGt5xybOmEeNik7Vf5tDTWHBHVCAoF1Fi+yCQdjQXH9gHSaxv5+v3 EmKrLl9DW+7KleTdfeEtYWMxISE3xGOLvUwjgyTrxC9f6LsxB+u9N/7Mw7E4UpotmlZnmVJXMwwe +959Zvhss+1wpu9YVNA47r8S+s0Dtz5yXPzWGLJGbZ3WAXqgrSi9evXMq0PvEor+VWxpIrsFOblQ aKTYcZUrNIWYF/9JI4utx0OmVR/TZUYnnX72JvO2ZYzKUeMTuA9LnTnRM5VQm7n9md9GZJvuyJX+ bCSLqzXHcvQANJJ0Ueu13ksxYfbgpeGrRnLaM3kxaybhUWVqdTFtG2p1u3waVVZQLh/f9iJ0Ybnf GpXnnsSL5Cx/87ug8XzaC1u0gGM5xz1Y9DVamVg+NDZvEF6RpoGodeksJusjTq1wX11CFT+ItfWg noONtk/by5UQqF7i9MNnld+D8GvJRHaccyRuNbDLAT8GNomjzZBiPx0PMQkV1S/X+zx5STEn8Nbv m8GMuR7fl+CB/ZXcovN2BPIPtvzPaQONNufrhGsDbfnrzgYoVhBE6JvLcWlv/puVJVBqQiwXsZiu P17arLyfXqWzbC7fETroNvRF9hRRQKmZvW6bmx7ltVMfeRJjAifPw7bGicu1Uavq34553d0D6WIc UQTJVRPQRdM8u0ThGfV8vmCW5lDM41Jtgqv66Vc/RxiqVe3KkQrKpsHAkcO4z8Aa++UBytXTne+p qVyLUyrxFEwJwp974QkxupN1ir8SdrcmcdL0vrpdLgUKRKH+F7Zmjz9VDYBPKQSm4DV7gr8RcIRw crBYgWUsRQO1H6ZzNNUUsk2rK+bTQRNuhftcLq5fwZx7VSxDgJa3j9tf2vJ4fzi83d7FC78nG133 7kXUsP2T5KH1lIEMbuc5VROn+yPXkP4Xdgrf/cLsJ/vo+NvpemIYXn9nBltf4nJkmO47qrEjj3Fr rC8IcyvJ+6YczgxozHIKPkFrGPdDmrT6AwveaevzG0SBm4oRWMMUpTaJAq8puvqpgXXCd/tugofN mnqHuBbo/CcEu5sncOBBda3h/DNyP1HtdI5tI3G9N6PUQRNfItyvbDqESKw4HRHvtcFnreqU+kHz 1w8I4EyLMelfkAaG1VuuYo5JDym+1d2y021rnYHBfEGeEUdkXtHi3Rg5LyykAQ18YNWGUHQ2EOoL BF/u2rV2ZPTF63SrOmJq9YVcGv4mTQ/uvmvQ+c0+kMAZ0+Y8BU1DjS27Pn1J3BTz6RfTt/yL3y7k DKtQMur64uSWqYuU1DR/M7rCZchV8obL7LcEvZ1pgaMbF2FDRbvNOoEhic/Hlw1m3bQMh2gUSshk d2zEuVOB8mDhrDryn19PqqJSkotnjtoQv0d1psJm5/rkW1wzzODw8p8eMukb1tUM5Hjsgl+ikw3I lI2vqOjwSPRCum/yq9wbE/mse0bz8po7l9NlNSp6gz3kVOg0aba0j5AFA+s/LJb8gRPa8IFWrY2P DKNXB7MWGEimMALswIzlsR6QbwSrLIubOjogrYkdrC4xhNyth8tALyI9OsXkv/wZWFp1fcR7+ode WTR+aSApaLyqhYiYpvqSsWDDwrkB7x8A3uobLhxooUCQjeByjiXNXNmgAEHw0QJqswFCWsSizErm f+4s3swHt1fHv79XD/watQ303cbQoi/1tTphueZxLR5P4GCJ/he8m95jfJz80UBVPeeoYmVf0LgL rOLXp42Mb7eN++nqGxH3XTcJ3W3Yy1MMiT4oAH7tcNaaTf5GFQp3QCixjDs+1slyeF9LtD+lvOKV EYmQGC1vhq6CEViL0r6isxxiSk7Sum2IWVGHkRVdJi7BbPiYOCylE/tg+f7yUp6s7d67G8GpdOPM zUmZTl1z/4+jZja0RTsY3LZ9FzMiRPYZ5JAi5UBzVvbFokH9iWzhZ7+6aZSaPD2QNg/jQ1J5zSI1 /v0yE/pAojD6WpbDVlhJ1lRW8o6TLWiYqY3PWJMVT6gVKBJHXyjwTl1FV+FXuTR17dFZXXqPpsap jl8qQgDTgnF+9YxYgRWo7vYKXY24aAW3jGHYntyrU6UHNegFpWIqF33XPkGv0A197CuJD9XcRGZ4 M8x8A4OBr3hmgCsziO+B2sgQEwvtkdidtizGNbzl7ngpkrV68/ogSzOH0LbnfFdH9KtDdisBaywb oq/vWMfTSvSOe3l3xfaDx44dEOeShgGgEvthD5uuo/2Vz2YYUHl48yCveECMQXsRD5P4btoknvzt fGvCdlAZSBcheGVmdJxZKhh9IejndNwhGAOa3o5MNRFbLxeFWEWfWl5xz/agoKvWGiUemS2UPxsG +QmUqBDlBGSKr+TDGfDxFvCdluJ4ES7e/RmtvSHAl4BuEiJlR8vOxxtDBd+0JlK633bhOfLIZq+1 hmB9MAez4Paj1xvXexd90Y49PfwAhP/SMoHTuThjynAy+tc19tilMPW6VgsRc3kVRanOzhA/ifKP B37B+7C4fmqKphf4uL4NNxXLxCN/dPd8ShbS1XygUlVc0lOSECxx2SsSxy9BogZVxGA9K2ZR5ShC VyTWVCAo53/K9IoEvTcQbtfp4p1vr7rEhcShUDQqG4QH0x4iXfHpQsi8Uq68iD43FoGXaV+FWmdj 9jcNn2xCyhYhXD8BTUa10Qerpw+wkiF5jYUC1QHrcKgNlvLoy2xBAJAWxFVnFyzN3YatJbxodt8c YB/SjjjCVFBSPNrFt9dg3ehyPzkFVfGhrWk3cMDMVtAnqly6zQ7eYyFH3SAQ00bF2Wf1rqn/pEYD 78sbULCKZESXtbCTjdyYGSy+F43PE9mFPms3YNqtaE35Hwy2Zft8Z8VRADbioXn9N8PnLKbG0OIl 9a9LF88N3wlKkBXukDSisiLSBuUm4BIiHQIjaHGfs7B5fXdoa8DHIqDSzwscyE45rvxW0/35S5Jt qQRP77+Xw7jn8yPw0fIXQSexkUlb2P5OzAmiyQ0TWU/QCnzoYALXV0YuFLRLNK2iPcHZRbZs2I+S 5kHiILuJARedOCo+A99qSOJjUhT6ATxaQYUHP2z1g+LxoajhgqS8zliaGCv5smytoEekbT4k5Zi+ 3dd9DfEPU+AL1KBdQweqbEe99eKPj4CgYQC7jjArewXxN3hSISFz+py3+Z2ocSNrzF/736kQrvgt 0iVeTfTu4c3ABURRL4O4H7uB1pBzaSXNfBMbiga94OyH6ultGwCbsZkma5UGmrITalr++UIRQgtL ZocXPHevfBIYM3vxVUDV8cTohGc5ahyB4fXDG5pijyMojKnp2OSMIOLYq2t9h4NjFc2tGYZ/RhNI RcQMZWh1SVj6BGGAiA/x5zdQV8SGwDWEbmgtU28yS/AlP2Z9wSSrogPt9EtFy7djfcHvSGPcs0f3 vfzK6mslwJbs5kjnoYaGEuN1m192e9fZXB6BPZICm+wS8Qg9U7ycRIow8dzuK7UZ/VemTiygk4+h 9dbOFKqoikBdcfEzsJkE75La0H1G2vmcaYrMLze6gh24aiCrdnJ+nSbfQyMwwyUFSv4TS8EkxY16 Co8ABT00JMaV0fGL2S5nF+Q1pCiuqX+48o+GAQGgew3KOTKvGHsgFTtRIgvHBUywmPuzVh3eKpGR uyUbHbmcepfAymUZVFoglu8gnWawK8WyFgjazhiIcH4azl30hX2vY2i4Ii7Vn7mAfOygSUBQv5s0 rJ7QlBmb3UUa4DChf2epro5A/+zOEKNU8dKPy/YBwWeEVIBJm1SuJaVsem5DMs+bxGFwNWmsG3ag 0aOwEzGF3MQiKBM02XiPE6MglCfWjU/XQgdGkqmtk9Z2NrGX0SF4r9+nXZdhWPW9FMMcuHEWrXTq SsKKR0Ji91VpGkScjB2HYfnUPLgU3GuBmjL21AHZs9zU1bYmX2ysmIyTvayJFRmQM6kARQXI70yd lKYuJElVAxa0W5OVKRMFIQF7QdmM9iZWDjyX83JYCh/gm5WVT7cF4v6bwuptKZGcE6oMIrCS8BnG 9M0x1mIHGcZ4Da4fAo46HnmxI66HmpY98KavLWCPVtiapxU+ZdyDnGu/vHe+WpUbR9lyAVuUn31s znAsnd0mYXD32KWSouhycyckE4tUCG6ViEs8Lg1cmwCEF0J6budeb9yhJ/I3KeP0YVUXKxuCZW63 OATjfAbTRf2+7aNu2ADma+vwBpwAdkSCOfDBmD8Qvs/otCZ3klJIxHZOHBtpejjf63ohkygyLrDe 0H1kLL9ETg61k3ya7LXi7utipgoBb3P5C+LXA7aToqPKDPl/Wj5iI+vJnlhA5h85CVytpbAktlkv UFD70tNNvqPdvi7t8ue0EzMMc9zkPcyt4Hdkzk7FvLdT5kvqKw6R/Orc0BI9zFluqr+WE146uOR6 C6fwBZfGrKy6Y11R0SXPbmLIqqd7kr5j5Bjs5wV0RNLgdZnZsVEsjzrlhMhkyBxpN7tgdyjdfpUp pXySkRb6FDKpaPCVZDo5AXjhzOitjyPVN3g60NjM44zG7yLelDw0ns0oq/AZcnC9+NBTXF1K2j+2 3qd4GI6Z/zp8SD/2Hn7criUrEJG0c2KaWb2Lp9CmVlIbCkNmA2fKDQPwhUYf+0Umdm8cUvFN3wdB FRkbol6YL5tu3ekzGSdwyIWmpDVeU7aBTbk+Q5QvxNjrqnMltC7WDbyEnT/5ff1OCI4FkxSft7DM UYrJajte124DgjfLjQZc0xFJJikvq/uBRdnn0+vfZyppx9XSWPVvSkRGOCYo/mSrKH32nFMty4fR az4DMTzTcQpKrnGCzf+jPRUSrWfPlS33KUrWA7Y6uNjnxyVwaQL5dOu2XrdARLQbcsuw9nl0tq8l GRYsomFAvlH9lCd0oUs3Z213M4dHefBbyZj9jQMKrcwjrIQ1aRfa2JIKDUCipwFTL8MzhKIUKG8z HntLd/4UKdy6Y14EyMAG84WMzxU+EQmDwTYoNsCW13GXHBlJNIGYyIeCkgm7a32MolIFHHqB3Kvp iPSkC4hsUvLN9O18OFNLxuNClFizQ/ZSIs4QOhGgaGNkDrOc9QFgCO46yrRx5uRpGwcXDMMWqUpp 8rf2L8b0vcrKujTqINqpshqxcCZ9alhRiB3wwVbQeMGOBMXTXMnRCQJx6bS6TuWpVkrictVb0Y+4 p8oHc3uumertYUp6WcOPaAXpCblD/wv64e6cghRS7kkFQqOxkBqzRCghiY7zn62EHqiYV8B250MS qAqzLhKBL368mRtfV/Sa6OO35ID/qdbIR46aSs9eReFJGkCWMBTW+61J9sKB14pNbDUgTd7pGgYv jLL2ZMQ3qwouAE4XESkg6yFKCbU9vBLgsY6Hq0UeG76WnjJzJIadDSQ2Z/l0602u/igOcJ4TB0rx kshObjoikszA8y8D+2Yhosu0jcjgT6DiQvi0qbACnaIaQ0PQKxYAkRGHWTHujTZZq1yUQGCa61ga Q8KDwQPRrzdRolP1jRtAdlN2jQsA2iWGEhhMe5FISnGDcvpUt4j+sERY34gycjjzSINvQey7yQVP LFeT8oDDuxtptg9F8me8APJTjjT2bTzzx0aB9a9UAdybFi1Yey8mgkTs+0ciiVkUZNEh6Td5wX5m RopCE18d6mmAqGWhaoOVbfQOky342RVfnXQcxEtmVRcBYoA299xNh9W2cmPzTXUHQGOGs/soUYb8 6vQyBPpzgGPOOkeKlpYPUfMP1/tjWz0OMLMMcj9mqD3alD/ZaOo43uhrOWrXdvC+Qe7J0yEg/GDh L3KXIn37bpmETn0QZbvaHlokfBUiwLmhQiktfetYCEECAzn5j85S+ytm0Q+81WY4GD+WagAq+rYr SZpN5h7C7BuxwH8kRhTV629SHf/iAYnf5yk7n33eESVKnz/PpAzX3Yn2IYaj/mB5N6qdw/+zy8GR w5QvB/Z8BPSJFagFGbcwJ53YVStaGKxF3VPj9lrQtvZqIIhjorH0KwB1V6mbRJpgnQwRY6oBfLXS wfgRSFE5YNUFRdsh8o4Dz/m9A4tQOVvOtD0AEMpJHK5ANvlN02GiLUtxLxACyisDMdAghVI/jaM3 FzTA5YefKGI/7CAqeYbdfM0oEL/fUAVqSwh1sYwN3iDuICFiT43eK5NqyiFFTXbLTEBeVxMcehlD Ak97xoCsW/4Rm1N/wQ3fQ68cOdL9usyjhUSoJatF1HWmc3xu8TSMYqf095DB8CtX77WcaCP/aQRk HL66gjX5FAk5brqHgiR1oOF9HiBgATqantWCWTzLNDM7WIoscvFhLGLGQKNrpKsMzeXa0YtORCls kQv7KMYXFBVFs/6z0LF7fNPJIUWrQNwf05jTksrNLgz/i8cS1uoIejV5c3lN43v8+z+5RtA9izms fUDhODlmxKDjJZqjzoB95jDdKfFExi2M9iVkjUwqRtoxm3a4dTiyqGmHXp8kYaz8hhrrau3hO7w1 vMkfBKt/yuetvJXcIyaKp1eOX87Zgdmfa1f7pGvtZ/qN7MGQ96VvuTBcZpmFSvbINZFJXXRaAuB7 mcIcFs8+O+Y5OXIQHIJkjMtIoKjHvWbAa/t/N7vyB2TW1DjHOIi5yTTb/utoj+kB/FqQmYMBG+yL 7aoLgxnvNGBC5LctfMm+b0PSKUS2VO/OAfcWMh4C5KSREJdqfKq0VgMTcaK4QkKfrZo1kmwe6xf8 aQWt0BfAjIHM0zAeL+KgsY2AlvfCpuZAcao023XbqUuHHFr175UIf6WZHOaHL879A9kC5+s/shxp pK9SPyyNpbQASASA0OvQsjZ4O8nuUIHVWWjS8A/27QzqlC8sBbqk/Fqv3WDuYQF85vbHhE2vQKJr x+Lp4ThyFUjsf9Lc8sgtTbW9MGjZv3MQ+mfdQHsbNGWy8t8J8wvJx1TkDuqpWmPltgOXzp3EEXYA cE7/n9M6yX5GLvjOgkQ6IYjOYdCFJmnzFxkjJxSLCAQ5eo7BisfzL9bzP7uy1Ni+oGf35aMEKjPg Am9CQbJS65BnV1CW6O7npzHDu6etEKPoE7DPG8FmZEJiXLfB+14DuQ/56GyWK/kf+nGMwplux0q+ 5r61CLiB797A5hXZ+VJ2xdgqHUy7Qs9lK9tVZAzzun/WY3ar4coHlwAIuK/+E7f+bhlVxOE9Li6g 1DwAK3u3ImaWgC9+ENROQB+o+gPaOnl2hTOtoPK7aU6dK55qs5QwUWMHx68IdtEf7Cn/tBWxULLC DjTKzxKjl3kGulUGSbRHrnp3uqB2S5ZRtPAR6OVuEVov4bygRz3HOvua94Y76JR9ekP5wxfFUWOM fUlo8Q71QTVid2QECSkoMfBoqwbsrYzUFamlc/skY3DzHtrZh6gHbQUVs220u276ZtDw3ZZ8DsRK 6IQhDQ43ggOC5KtwbrF6u11hqcjva4SihMLxSxmAvwHK42xUir5I+07qPgyYLX+O2HJudeyRbIwN 6NBCwclUnFckdxLrhuDXNQTnqEnFzj8oEcThfxEeMJizjgauqXP6BCYyzWVNAXZGsUmMOgduBhsx AfQY15gx0wWNv6s014KSUT3HDV8QPOSdMiWd/MyqN3TfGnr2v6wX5A0AFT4sd0pjVey+4Lci44Vy v0a730z7fN81HTGwguMQ3/dpR1JG1FL/v+9iSe23R8CJef/Hn8zIK2YmKcG5LKgnyl49emEQyX5Q eNBy/lMKj7O4VCAxIf/HmoFqL/X5eaviCvPQHJQjOBCpBRvM4O2duiQQj0KHok+hfMS15pItHpiG teyYSxUCRNTwKuzE55YE1pL4kbRGdkEGejcOBHjMH+rQXOgw/eTHFTlC0xQnKe3KPYBRgQ/pn3Ny MSRD3+tWNdLSPTsYu+P32rF+arzjSeJcVC4VU1FFdND0Z3GPsEb/nUFKPfLU62d+H96ToJb0Ywro NylPHD19GRqVgagWlptmuYhn4kclf2Ftyycp7uyCwu0fQPGCUEYUS83YWDAPn9JatcQgS0PVAHBF MxrSWX3wtM7NjWQGd+aMq6JV5ET/hSvitNvaSJffcmIOjLJEj68iLR+/uHEwOay/XucgPuvzAW7x gp9vKbzByT9HOpQrkjXGBammMzlwNgvMDbEeBNBi7dl+SK4ghTy3clkd7GLp+ho5UJK5JJOyA0Pn TX5Vqoc1geAJcGXanXwIuoePAPIsQG7mH3fP3eMgzyvvt3atg1N6AShqk7umzeUz4Ml5mRFfxdX1 oBXTtiFDWoxHYsMCOrtKjoPaHc7/XMRLKIU4iAw8pSpfvixh3rlJnBC3N6OXPqIPgJnpJmqYS8yx v+Kn34GxvvUCJKyHrBt63OHhEg4Gld14s0nwlwmMqTWaEvCsesMloIxTL3JZMTao08cYB53BabOo oRAamKz0m3bJSnsbbEjmVBndZoXsc8oDSm12vKpsXMTdAVBNOeDXh9t9MjQKARhd6GGUiCzMuIv0 s36/R67IpO9mtFvoDzffNd+yCfE+tTqwactHYF1vLc1VuqwpOz/eJIUuUtqX4/6uhhXtD+kKdk54 ZxoGI24vSblW0NljZXpqDIgBDhbjMCcSt+8TtOtw6P1hVY1CGqtnUPXh5yjoQGsLKZz1aVkw5jws cY57eG3YPtfIOwJV+CT5ZFf021Up8UDflaCJQU1mGx5KqCEogVtbA8nkEzW9YvOag7QTXBCg7uFu C4oGs/vraqycAvrXdJA/mwg94pDUgKUoZF8Mo1Iwnayf03yoVAzSdg34uRAOZNpIoEROHyvjkbMG w6WHmFNIMBLuthWbkpVLRKMCC/9WOwPNhUrrIvwSdbpxd/eEcCYcuwP95hQIOOLM44pXwZgy0U54 /FyHOXBPZPVO+bX/9eStraTtVuEVfasl74uwW3zdslBLIZl++/U+4P2tIjJ99iVBGHFgtTpYqj8L oYNUvazYa2c54r7xKhvPX+BmOYNx99pvz1FDN7/pAO2rHfHTciGz5BxhMe7xm/bXsT1PX0DTfaJW uDEA3oi9zlqb5siHlRp2LE7xNWKj5bNgOvadarXRL/7Po0JetpdvabA4ORoc5XAm/QL/EP7ewg/j A3Lrf8r0ICGUWCNcj0mJ2pJtZD2PXR1PKlzSKYvhFmrVaJ/OIX6Y1dYo3JylkEPCN6YKfp8NyL1L joQmYqjlykwgEHDbFIbdcm2zPXF3Tqizuehnkk84BTJxJXU8rAb/fkyY8oLcL8J+DIjDteVOHa96 lZws933VIRIdxxQ9jJqJiXyVfyIW3zk7zN08tYUzsl6vR9gQmzeCeDLVewLU2GHtBv+ZojQNa4vr B/GIWg23Nn/QcSQN1YSTnlRgQSblHluMHXNuZIrIcVM7fsWMBbYSxP6RJbJTbtHN7sUCADRx4uN8 +MVQ3GE7SYRPF0DHr/up3CIuu2BMv1vZ2rFkb1lLO5vbjcu6GNdlIoFHtvNmEfSUuXAxKL9bzLrS 2kd+r00xopm1ad+XJUzezueZFY6PwrdPI3+AkUgosdY+kr48RvwShQB/pZu3BAGXrVfwP29af9AV lfXZNIsox+2neOcdUGVe+iU6ZNr6mEBK4ec9OIQxuM6VVww22PojJgwzbPE9A/sP7giBwP2j9mjG 1mpye85eVPQKWl+bbkV4NvQBNLZr2A6wMYk+FwbJrJBq9tWD74v5YbhPgOAImQ2YVNkPAhBLYt9d em4HdwHqShfiWQS4IQlMP1rigbzGwkLvzTOQX46FBz0E1kk7KTj9f8uGL7GBazeiw61WWcUhRaJW yc6rVKU20InGTL8yRGYXwW+Q3YWa396eepUnHaw2Z2KZ3XENor5inrxmAjhVnTUjHV60EAihFbum BNoifNkXslC6ZjsoljuMakalqP7Rtfy3ASQgFdU7wciGoViAW4hV9Gd5dBqGwEYN/qRYgEgzbnId vhX1eE1wjNg89GYl10nw9VoXveS/AxKpVCgwTZkZfid6tSaEL5ihUFfj3ByG9Qw5r5QSDWNaN19I 8ZU7wvn1kQakHbrgz7k2+TuPRpb+t1Bqiol/MvKTUgsBx0Sa48wPECkUzXIySIbuEdV4lZ062RXR 7l/G+QBS29eoiGOrv6n12JpuumYKkGoUOmnrnmy+WbbmlHDZ34X5NnqRp/GwmPLwaILMtQWIeTdY Iht/YztOdLUSWFD21pOFwLbnwSUUp56xRp2UpYTeYAy6JLhCQ/65B0RdSc+XTmYE5CeaF7Zk0LE2 dN+cx7KJPsVHlxtuMnJYxZFimB7ve6DNz89ah1bxDAW6MLmhyzWNMWEvMA5KDsMg73IG+pE6EC9H QKlcLRJeCuIZsslCBdYKh8LRZ2yGiSdbRiKQnuamh6og+zJ1B2gIrBxIFvC8r/r1llXu9U8q5ppT 9/Rjw6Xt+UNdOG6OZN2rCotPhDaPdggCQha9iX6gktoTUvAOR2j1+RmzzVlyLaucGtOghBPG0+q7 +p018d3dTecK9DBdTyl/B9wynesqUWoySMhD424iWmjvdGMS05rL24aRvqJQUlYpAxPzXDEI2mts yETqxjXBs+/RZA3TMkcI9oIzipyvz6ujUNbdYSYQun1VgMHc5Brkj/LiAZHcYam9z+2U4ocz+s2N 047ArZHDIfs1IwrNNW2CRxvmTOTZhHVZmGRBhjcEvDbE9DI7jlaTGeLPxH0xe+Igj0+DA7uNCDMH 0+EmMX3aiFW2QFU+Vcv4aiMar5lEzfWUVGG61uO1UFOhiftCd74u35GBlLJsU54BkGI6y20mlAWR 81DdcmdRXouYsw6Uox+weNCN8QIYHz7de5gKRmuD887p+yPQYrq3/hcjp/+xVL+4nzpy3OuOli7B /ATXvscRiFWi5ufkPeF/wlg8tOTaGeS+0xwSuAaIDO6UFQ66uI1OXFAitfwScMB5yFGmmSDmquPw 2Q77tyVR4ZiOJQFABkfTWpimTC8oZzTL20wBzuTQQZBHIIsK+xrEHzUT66dnno8UxW84XT1UlC1A MSajX+rzlXmQr3BPRZEopqWfTewzy7+Ymeu/vwhR/xhZjYy41+ySFKkV0D5v3TyTK/2Q08mONOQf DfFHYGx1ZzBP6YSHxnbticzQ4W3O8ed4ry8GyrWP1hOnARuFzCIAz83axDvKQSiwKIxpjojq/F1y QXgKkFbvLIXeGlFYFqY1fbADBLjo3McYpdffkgVH5NgwF6MTmDmuh4aLPF7b7cRHjq9/G/cgos7o OS+WQmArKqfClgaUm/165KNKMWqSABBdjWAvlsF0sUd3d1wBj77Z/s7KGqLVB6G2+gvC3ywTa7kW wLbuxnFfCXSfQpD7OtE3qnHdblZCEX9ejgpLohSWIQU9sFNzjcDr47irSHhu3+4FibA++8Pu/84U gkVHgrgA4BUe+PKDy+GOmEkwtBz9UUPXS+I9xGMOX5OibfA8JeFD+w8V/AYsFi8dKvH0MmDZlgyW dUcJH1NFEu2OyZdoqLtzclUOttwdjTzQSzB5ZjKskYqe6Kb8HRg1isXeHJUjSbyhIGu1oVvQaJa2 6zO12z8CbRwbCI9923Wc46j2GLeQuntitNV5T1x3TIzcqwYwhsVjb3wvwC6WIDt9Syxa6gT94jeH 6j0NSr5TXxqaboke0BE4ScuCLwh4+yeG3Rid5agNEKmIrmncNMiX5h4q2EJ0HFp7LXZOtk1fKTcK gBgXX9HD4Y+febhkosTWlBB2SwFu5uJRseUR2ifchP0BwbqjsCn/QR86y6CxJ3tJ+paL4P/Gu/L2 SylKALxkTBQsD1HTeW53Z4GnTRRkrvKTicN9/RuaSMqHLtjoKdcAkDt0DCSmg6oo2MS7/h/zWceB ZaJJzvxHqtZTSBf+/TLOo+d8oQo20gQFsZtMKgvPsCB0/RFMIYnprEdqATK1bI9YYrUrWnNp03F5 jiyvWGoAF5blW1KEYk4wrAQJh5t0OEWkdLX/U/QrH52t9TvQ2nT1SbUL80Wat+/Rc3j/LvOvA5Zg mSyc3PQxYn9xvFhQN6kHh8gzeEubayQLs5yzexL8wgRqzl+jfqVz3fFaNpLdorJxWE7pU9az1L6t P4RlZJcAdoG0uhEAWhZ7iF3p8PpGLwC6PUsIE163iRKfLNunuSK2yB1woC/Jbo5uryOLl7RW4Tbe re2szlKh600hCe+xbdbsbvqt8U06vRg/d3TUFjmsjCJfUkLOKab1n68Namo7K6zcYUsRF+WAYp8Q NxaGbcZ+Ggf/+lp/a3upqE2gIT0P0y7KEnfoCqBoxnEzhwng91kRZL8nno4p/wj/iPj7orrS7L8o 8kF5hjWusXf5oi0vQ/oVSziAZ/inbyeySJl408/3CZMXvZxWfI9wpMy0LOHtfwSxAf3Jhra7MJAc iZkgVAos9GUexlzZ0MnZQTrQnaUzicDUflHfRU+iUMX4GUKPG2WTJ6/Sw5z9YVKDLzP+Ag2Z2bI3 OYnch8c3KsHR4OztyExi/Pr1rOymvskJNvkS0mKpvlLJA4CSlV14UCm4MhOROdN4qUeQvTcCCvug DnrWt3jwxH9TeLlAFMMq/9O+Ilc9fVrgM/wN6zRHUUPVfQNZ2BLFRxIsEa3ZoiPv7oeliP7drmLg 0W7+SywVEwaXQyhTGrpAvazizmDQ1OS7RYUVbeCBY4rgfwF0Ecb8bi8NYoR5P3/9F4eU7WPnoPAj s55L3qFN8DGSkupizl6rDnDUatunKPv19/4H6gMKLnCR1vHG9vPFHLMdlD8J4F5BKsvbV3ItyPu1 L5mWszfhJVSdMl/sfzo8lS8PMmnfU4mPXZMgSLNctalHEuh/fBqw5XxGe3mMppzdCfx3wkzZfyay Mb/LUp4pABBNDcELRDKorx3+WlqGPds9FZal11rhg6PnX94Hgva1LolomL1vYTq6E4daP9ZfBiOI hlI/tD5Z28+FlnG6Wsq6UV3I9CUt9my3R7WS5FzZwuT9Jg/6y6U8CMsf4mGL2IvM5BJsjH25QNcz xrK+LwFRvOfpWYixRO9bGxbmSwfwTS6FweARfkmq5NvH3aXq2nu3+NOtf1UIzNzQgTqQFVvvXL1A PBtePXbBfgM1+sGryfUoRkgG/jMr2cRFZP2oANSwyAJ20Hn+y7+QQlxT9j9IplcqIa2GDvpEmacU woEw6HThvqxMrPIgUTuiplR4fVLJxy1NmO2jKNG4JrQuiSqE9nih4TtEP5uuI+61fBp/BYiIVrwU pfBiWoACb5nkLqo6Tvwi3MJ39rCDLnThTmMZ5u7aEfgOMQ5riSLj8RP0bD9bW18fdse5sC2gUEz2 fFYcpIn1tSqQ/ik+JpJI3NzKBFDbz/bLhbxzSQ8XJqehca0RKWIXCZdgh9Zmz8nezmeFY0fZYJms ZHDLIKqF6hDMKVT6YOcXCzIPJue7+5AyArDyGgDHdvmjAbCFlIC0ktEboa8/p9xSqU9DB4iM2c32 SjMQLua1IMQqy16KhOvBhbyDk0X48kc2MQz0+V3N/qG1ug1v1iW0X6f3umEBgV6Nw3QTEnaNBnVJ O/NeE747o6jE3plVrb8vhkDpj9lh7f1ekSnZXp9vJqB7WlgynyCCAXWhbHctvBzOLLstAVjEpM8h Qej1SsAduHxW8jeFwAnFmSlBMqHDYMLDZE4XM9xKx/qlby0nwuuN98KvwwqQ/5me+XgSkXvM59Yj hFgdUpLl4uY4WpkF4izhnA2T5XTlkawlA7x7KYzwzzINlcHCdeuft1pez1Fy4gji6eb1jkjwMKmP EIg1py0HTh+dRPW8HsaakAfDl57KAxq8pNQo2szrfmBpjNjuz5x/nwq/ayHP/DTlpbHKtNE8DxIz UfIzYZq1RHX1P3HS/2jh6+CyQbBnGW/eSu31cG25uILO6rldYGly1DF2F112Lc1hztLnpLAiBKUd W++iNaddySFx7yn9F3bKQn7lMXUbArr5kmLNmRBiN8B+V9CogATW9NjPrcxIWaCH504d1UOIZKla TYzg4kweKtczy2pw9mfKaf7kIFuZ1a5kmAAJRb0dNMPzYzPvAwftPxKwyxxFHojvIYIdY+9ImkS8 vDgAOddoNBQhqII1ACRNOE/MXHna+eJw/ZOWZSxo4+q9meODwMaIC08P4+Hv8pQzCpSjVYDRaxZp 3xUwwOILcMIXTOTEaWf6aJGI5Ab4EYEf+IMDExfU8G6mt0CB8y1oFMcSbckA144WYAnIf3IUB/v/ 6fojm5GVy4G1wZMGOUGOpCJkup615BZhC2o3ZFIM3qdgnSlEsbTObRDeHK8gbqjGDOJiC2JUG4KE pqe/PzR16d/6Zh3XsDRlColVdHsf2PiMgLFZykhg10DOaS21o6ebPRjD8jUxX4d2ttUYrdsDjwBR E/xR9mVwYCI8X051pE8YoCtqWTkcOrzJsW1GCJTfb6k6Yn4zwoyZFMgeU4XkLeYp2o/MBVP2m3pJ 1jJ+H3FF1IlNHVO5alSOPi6xAj7+Zw2pZkGEqqzAGFmCftm0EMmE6loNQSSkYfWEGa2UHCUwZYlI FB5jGPCkyUxYvl1OeBA4kuW/VIr28q2jIhqsAr9mZKY6vg6FLwV5PKsJYkLxOZqY6/fKHpUvqFyJ NqtBTBmm+Dp692ZxI7BJJGnGTjCe2Q+PrG1eXiREaRbjF8mtoyZhtjlvr+cAuFG8A6LjjdV9BZ2U jwgvzUEpcTIPSwGFEUuBn2cMeTlTMd7Dr7qiDSp5yi4+l4P78B27RSDBFUvtjil3vCZic9/mWN12 0UpETpFMmT/RN2PXBc7mVz4N3xFixnZyUFgTWbvBEcMHJ+3wHq9WZV+M12bRP0/l2QoTsAGpwCtC 64OGTa2WagTM/qOMuymZsl7Vbj/T0hoDhNJkou+JczkIBUqPr64OiaIPRAiPuklwvz2GRTNisLAr +9XpeFufoANlhkj+UNIK6QtP6+9z194I7VW36bLodcuFYp8GdDEd2D+XS6e8+Q05TICs79eWAMrn ErjZEVQBR/o3Soj8coSyJbrcRkESklsWTGUjGLJvcVTHxuFiFV403f5Ajij4a3A541Oe3GHhkT38 bB/hicRTHYKXG6+0O8GEDK67KRY9yahv8L8GF//un2ZWjEC0up6d+VuehpTLe//SsuwWoibqiQC/ sGicR2+KPMhm8i3/RXwh0svTZPJUJ2jhOkfDl4IlRNVYzoE2XJN5a7XRzfmn9H9os49/mboAc3uq O7a6csifxPmWCLWPnxRwqpzZLCm1q1BXvVkH+/c/3voirB/YImVunkLB5g1/8oXXsiLBzDkLZx7b 2cdARJ5fmT2zLZRLNT8xvqHuxmNgws4MyY0fO0+El3+BSW1EFHMI2ZnGDc2KQqIporCE7tD/cA+5 l6h8Rem6yhihSVt2Q1MzIi/F8RVCkiuals2GtWTcNtND1n5vTU/Tg6OlF3HZv8DLbn4ua7nAws4j ipByMYWnanz93qXS6PoMaWI7btpg5F1gWD8jTGGYRduXSdO2rWMtgiD1gtiGpWeM2HP5MBB2JG+b whQrRdqCkKAktwFO3VX8ueTwPGAg+xrw1pdALqshohZ7cK0V9z7xedprsA03+DupFaXGHRSywpgh guFb82LnQ2ZIvubSLDpLJAPQmNXYZH/mxJeGqN9MYEA1vwYs4wN1pMkQvWgim8oOocbKmpPwyykT A5bCYkDjZ+g5Qs1nTycOLBn5Z/of+xhr56TKJ5fQ2fmEt53t4/vHi/39pRH4xxAb9i/X3aw+5N/6 n1dJ0en4nhfa4rdJHkkQIvtsycmqOsZ3xtk0XdbK+AWDq5buPyBwcUn7febZx6GJf+7Bzmq/yzQX AeWwUNFn6xQ3YRQBNhHWYbNGwPB/4mS2Qj11EbUBwxJdwdvI+XS8+4HqJAj2cOblWpSnR7w8lRv+ PVwUkB2XBfLkwtzV6pDQ0Zh0ZNXJYPeNSOgdbb4rz3axCHw2clljDI4WK9Nn663zwhyjMaz059VG 8d1wDFra5TvgDCoReOFiP6GtSA6xdHrm79O75Iu/2QC4KVSFw453PQZUfran/fhKhw71vMLb+Wl0 OOI5Sr5VVQokEPcOHafZauEoPMOJnPwvBbz6cH4wrtvbkzQ7KCZI9U2RcnDnT+HuKIARUVU8161k f8Tlr19KMXxN7NCw2pVjwj5vsSMP+LrYbsilu8aGPm++NhPJD0nMlgUE5SargC4j8s9gegTkJH7b Ttkr+gAhdGM64oIeoCyYmRG9OdlIe+sSXNgy6U8yvg0pPtt8xu+v6np3CuJTa/w1Qg/cEtMpuiRv iMv41wY1qTwG6BT5HeZ2p28DM9GNi2//gDNYaVEr8/EJLl40n/NkQYSbaYe48pA/Lr/WQY6xQ68f jrJc96sDJ0o2cs2b7yLMEaf9xlafPUxE5LR4XxEjGS+HLehp4zhJ+NSLKZkWh5EpckcnkJew7/Xf qKp47TQ6mqVT5+pfdEN8jVivHzFACy7LmbfHnoLCzPS77mE5idMQ0gdr3n5h4itHP4UOuJUKXeD3 Cc2K4Nn4FCMkNHQMaapZ895SbwVDFLSOexbnuGwkZsZVbL6jv9wkhNOp13juYYqrFpYglEb0M1t/ 8RqpcTePxV3JrvbhcKQKsG7/+0aYRH3Or3ayIUC51d+QUi5IHgZ4gD4m+HjTkTqYTPN7yuNSEMed xScoQ8C3cSc97K+bDB0O5eEwPAkYHEIGcZxTLYyGjKV/eLDcvM5IbIU512T4G2F68awSSUyYrHuk /AH++8fx7p4NZ+4nqS9HbTMMvGvd7LCzOye9taglMJaMhU/Gcf82JEeENJxokSd10HrRmMeLT6Hq 3G3dDXJ9h83pFf2JaKg3+8quCpe5E3RcYhSxSU8XoRB4dOQ5WW5N3t3SFUCTn56zCFTC4DpRnRpy Nw06DFSfk7K9lTesBFm/V/p7EQkuyZhs/FJ8I+BrxTq8V375jDsKtPopMnjLvMn5dFhXvE2kLoJk l+njUTpYb/Fou7YBy51YBgIENro0zMJMTz0PpFVIASvhPydHiHCAd0OoRsuNVXN97RjxMr/SK20w YQsA/CmbqkXZATni/+5UYBw/MqbAthdYWx4yawYba4DehQI76yg9rd0eadsOarNiW1kIMSAQdzPC X5wudZcxW62iJFZPpdrTLLShoE9s4hbp8nxjU2g1zttr3wgq0dHvzVhGG828spMFgrmoKdLi63Bm yrykMk6Z/KDQlSrOWQi64umOJLiK+m7WTQZHlOopa+p+CCIAg7F25ftrkrqMT4fqT9GCtmXjYNGn nrpyrPbYTrS4XBSWeGPvsSjv9fvcJ4nmoANiYX6mMHAnlFZnVdZMpXo/rhFNvQLR1xWX0TtaU0lJ Dqg39EcSq9a9CJmQkxJ+jInWqsk8rbiesFpxHTgI2JaEiwAh+sqTOCYDvaAwq0oNjeZhgvZZV7D4 83yKH6Nc96UXJ6+YeiS+TkuyU/sJMkXWHKZVSTdCLjBxpM2Z8zakhQEF/Uo4ywVHhRK1PlD2Kdpv ikQOCa5deP6aHr6XykdALJAOWBq3rlDqw7Js2rsRQohalRvdX+OUlk3XewvziaQAcUZNWuUE6EXM YEDz4mJ8+EHSnShrAoGgphFlMRSQOmqJqypchkMh34KUf5EUQxZKOXo3W/hE+nXGhZjuigGMCB8O NFXjF2RM2b1+bOsXsQJHD2gBrsvfk+6lzguCqqHB+kRnBi1k5/cbIgjl7zdmVbnClsD2ikohvce6 x5iSYXshtBdrep9EzP0Xn8ZS4pCnKC/CPOil+X+CODgvl27f/G6D0IkAKia0IJwg4ARUkdpGpxec rPx/D3cdCKf02Wb/41Qouj5bu0cZSC7XqMWctb5TO3ByI4bTRC6uUPSUuj66LG57GpfnL611ukio B6IQ9zfFGoHAyob+DI6lmZCX2k5lBSR1cukdnkMZPGeMye0boEdc/y0PRx3FsMz2wLmqeaAt54uE qZFQ3LhPs7tfviyml0YnbjeaFZl3EqFw634KD0LPgg/5wYsWCTXeOyxQJSZ7pwgglapmYjFu22a1 /AgNvvXKwT00d0IhpJC4VxAbGq3LceAeNsLhgQIOrxd5+oTN+mu9gRAX5mhlmt2Z52zCuHuZW9ne 3pfnRTJrIWmtaQ4uVff/u190g8JtgFnpc/lQgp0RGOO8rrRfQkaV9SbvtH44sdzYr5VXcAiC+SIi jmIgS5r6Kfsx6vH8SAvRrryr70HOQC+at212Fn37wpETKVObnspmtoEDMminJ6pO1sLtPFCNsoiD Edei5Zj4mCO4S1gaXwXg3COJ3GB/7z/XCZ6Gkvx0jDSnEMTDLnX3ZU7gYOL6AgspEtMXM3yxc0OI 1FTlSrgPjEdTCzHDxRTFAanYynK8QwuXvmvbO0j7QwIT+TpIBpNwD6tTDrBIQgVgerGoOPI+Sd0F DqLXXsMG6EkkTIspWj1c2D68GJi+osb4J6K/etq5A/8MZNMfaA2DeFw92pVbnzEc6bm1VOhA3aTJ l1wCyjcUjbZJbz4L5O1g9l+ganA56HlKSo6KS1927n9IF3f3uDtGb/kqjXVcVVbvUSTVqG44QKeg gyiyS6ZhK5q2f3odMHe1gII3MCUIkF12VdaKjaLSI9EGbtwIEseGfY0tryVkU7zBwr8vCHWxhu1K KRqU8YANV0NHsSMvcoFZhop2JOFsrQdefjR+NjUbhgUHI0tZaqSbJN3NRx4pdj0uMf5cAjI+JH7I fMViIYmPvjRNY3KiFETeQuK6jhmOlg+0fa9CxDrgvq9O9+YTyni2y0U+C1M3b6zJbU/83D8uMe/6 u7pJEF4Ix/OoIypR7PfKQfFhgZ5mcSZ8AxsO/X0wEXhp8JAEswRBZcP0dKJLF9rMUO2oPPxBIfgY RBmiCAcWuWVCWRcrzI7seTXATCZQjHSKo7roH3gHS820qb/5Scm9cZwlf6AFWkbUNvCe6NbvWFTB RIRieXfsGUE8CejOKSFUfk64J+IWi1i+tj5VxDnhOy3II+rFXTRn+/3N9UQLaWleTB4mGD4L5bJZ gRVlUrBbdfX4+8j6ZZKfXUR/vW/D38RBVa3hppKpIxPHoTE5RSyFhhH1SAcG2NnvMgf+htHvZK1v 0xm6tjln2I7+YEDOZqIK67tm37QdUVqqQo1a+PR5QMU6K7Fq6M+e/q5UCSNdS5cQTCVYAFLQhVXI rqqALLVqB7aHAibksa867+o4K0mJQedlQw0H9v8zKdMYmpIvch6Fu4cxiLzleuSWQBHTfdwE7vFd W98JVQW74LHm7QJlEeEXJVUSSmhGn4ujCeYIRyodyqRDSdN0fSkyjk4ADeMXOihWyfcBccKg6Ze/ 9/Mk5nVwP89MqzU5HhSTV8Pg6gsKz+dYQpIwuv2nyh/lrzk/WRO/ldUfHAWoR1WlP+njcnkiY8TX CPfjxnlidzR2DEzCdVTFfQ7YoVI5XomWCAMyvOfWIVKRnC//nNLR52/N/XlHc1/qW2j4yo2vWz+Y MD90sfM1pmq/PKCMtyuSLWSxCvGaq/lpoAHnAi3rQlE25hSb9KkWjs5sRA7wiR01QmU9tddAi06R tCCSJz5/E2uPcrWO7cdwwUyJP/YNUiXGPzIv887vQx/2O88ZiHMsyMkh2ENGWFF9hK86VHhtHSKC 4yo27u4klQcH0vRxOsdl2TEeYfSvVPjyXWQWfyzo6uYTovzgKnG4QjCwNyPTaq0WYzLVUn035HBa AcJ0PtWyC+c745YTUAWBvqEnWMxY1T8GM28IExswXUMvvI20XS/eFMaWD14oeV/v0uP1PsdPvulO IeuJc/ORFkfWvnx/wsEqgw1hoIgqJFh0rUTSpDrMs4Pz5dGUyYMd/rKGEGhqciWpAiY0vbkgdmGl otKbm6X4Z7Owg+LUEhTtCsxQPG7VS5OrlhHStELag+cEsNLX/sgXb2jcHG5eQqiywSpp2d6Yl13z uu3bxWhguKmQn0xE5YHlY2+rpTLBDQQEfjR85mo53unZBv5Kkyr1mx9dzRBgyi3CNgnKcn8kpI+2 jUR+0L7KPFoFmoHKwAj1LtDAsw7mggomxsmRZsl/95ANxl69FlLput7WNujPClPhDgdVz8kDowEl Me/GwmyMEXxZvVWGIrZCGQ212nfPUhXR7as4JE2sOhqJHyEd2kDGHHkkzcI0l6uy/qBS7GP+Nsfx tF1FDgiE2lFEdII7p1IyOPULMty7gUCiB7EBAZFXA2neMQHuSaCTN4o1lc3gIVI0vZR0VjMsx8l8 OOqDaUYcdFinf/R07eGrewJfK9mE0j1/riGWEfL8CNZUgfzCzWowuyCgInof4rDFpjD6BMqLfB6w in3dTnEiKidpOBO6P6ufBguJ3Rfx1j73WI1SeLfje+mOBNGw5neWLS0BZiZR47uR3HbsRmLHU5mw 1Y8PjmYLdDvJItHm+FG8QTKgvfKDfhCwDkXHgPxivb4bAsAn2GIdWJOuNTNeIS2ewUGa88cn9yK8 KIvhONKqJTkSDmB7FZuXLahgbLL/ISjwuRVKBX5H/MYD55sb91EpXbiMhqEDGfKmfrxvs3aZp/ft pDxwK9EjZoUF2H4qYRvus3mnhE0frp13aGM66IyaU1Nn+13hQWeTNylPzOH3jBEAbHY/oV0X2NFa 0b1te9HBq+v+AHJpqk+4QbwooCtNFzhWiBO+2wv/7M0+gmNKtxpt50BvfUa9mmL2ZIPRQGMYSzy2 OFZVC1mMQZQBGfpscHq16hTeAxqjQ5hjO390FTBFQN7XgYEVChBSHTY3cRslZ2LJxQev9admFU6r g9NbyRLyV94N3VjsXJQwzbvu9mJn4KduIxoj9mwdN9NOo7SSzVGNg3vgkgfQfwigexxU8KWwo5x4 m0gkiMtbbCjgGcxAy40JvkHKzs13S7jyNJOIJeaLl7o1jiLsRqwc2J5PC0r/QXHJ5CJzhcwFXJBL ZblzDt63gB7vg674zL8xb4okBe9Vpj6RB371EqXRBHZGKjG0t5WQYAEl2P+F8cgyL8i7E8ZbqAR/ 58MNJntvUdyKDVh/iMFoAyrqCh6P1B3wUWvCOuz9jvRW8a0YkmtjSTq5Vz20tB4Tgo+fLUnpzRmQ q+tZzXz9O7go5om0KPcPL3Z7RC0oY7pqLUxtYHRJw9M46WsOE6ECK/ED0AShPNIyg1WjMV1NeP3b uZnsW30KfRaSkUofO6+rfSbVbyKEPShUCy7rBMh41ECKzDqHAqiEgBF/1LHFcJOtzKUOXCTyhuUd u6tsHXV+g7bqfjPCXqDs9XBSMISIZMVD1R/SncwCoVx74bYaF2x3uHZ+sWSBwag8sQTOXTnnmNK5 p0KSWCRKtVvRsQV62b4oX9pUdUliX6r2leo0RqSG+8v8Yvp+RQRZ/8ukKI+bgqLXFnXxTpvOmr/5 tfmanB4MRm/Vtsap09fyd7Ldg1q6jKqB46+a84LadZMrE35PtU6WOBcvIxyntmgygsnEM5gMMutv /sRGbSrDLExSg2hs71UPBQnWj3LCcqeQoYeDBj4OYmy3g8sJW3WS8FH1aaI2YLWzN6HxGGKZLaDO B+RwXXlAPdakTu5zHXfIZ86bb8A/Xb9PwJuRJxKZKpt9G/hMDHiny3vAswJPRv7g855f8WxFHsNh oBspjOH2s1PlyVjC/2HHyc+crKIE6Rzy6fHzZG8oLiKeRivzmBPD7lA2rXbUw7Oqb2gH9GomAQFF wGvoM8YQ79FXlxbfaFRq8FgJlt76iR+lmxC+3m1gu77Vf6FxV+egNCVyQ+R3ZySt2ZH4vGW6wCPW 9gw0kx22+1yk90Fy08CtVIo+BRQAnfV+DmOnkkFzvz3tIIcSOzKmcAAvI4gcIX0o9TYiUj4RjE+J lJy5+CY3gjqFykcsbE4/9FHeVyW0HM4ONPsZ+hP70YA+yNzHwB03IstuGAtZxkWirhdQInTPXzqo iIdT/NgTq7uolrF16RHaiZUeSv3IVeswKLmOCF54tBMvCNrNJ9iHNCIKWN2fhM4pgXr3UNnlEEtN Cc9HaW2EVw8hbfAHjOJpm3A6ZWgCOO0cSJ6JOrhIimJLud2yF51ZcpFhoEScbtQRC8CLHzunbbbA m33cUCCC/OtXXtFD6hcClpfqxEex13GEsJtKX9WPVn/hnw13KtmIsQIHGTMIOFEYNZr7dTwJD1ZK ZvT6sQEMCVCcBw5B37o/3A8Db/tjrDI+NhOsD0VI585MkhShM+6lG4j8BtkT3lNE+CTYutJ4TXJB jADebxwph6SkCaxXB8kBjMhuMNzy0donxb8gD303Lwkid0p1J9wvxFJnGW2vNJ923PUcF2ifG6He CEYqegGKd6jeV2HfEIDPrOuDLKy5kobofrYH7hnGxJ8ZCNdLkuIUV1aEKw+vN9m8naI0gA4pniX8 4+i9jqhk2nExXOhXUaj99roTzXcIy3O8hUV1gXo05GXKYDcXB+gboohWtzH3eJWDZwyhKhB0yLFY pO4FYcA8rgGEjJWfbcUhNaJSZXgFVDZRcIuEIW5A7qGuiEHq4pYHMAC3wS7Ek63oWYewecZhMXwk yIE8SE27TAFSP485vADN9G6mIK7ShKu7CEMRg7XGbdj8JNiYLL3xiRa2bUhvin7jen24sqB2Gi2T CxITH2+WO5UuPFpEAvsuPIHmNA7kNMCKMyFnPa4jfiKANbRKlkFjEz7yp4WIF1BpSya3GDl87KM0 4GFIEyL5CowE4dKoomRHUK5xmFEekfakvLmskXvTkMYqXpN6WHz6Iuuvy/pZuJJxaFfkHYwxjrx9 d0F5JEuaBllGd9lQ3ACBqyznThg+9IuA6Pg+i8B8FfLutj7RWXtb1ieU7RabM85+WS4yHZ2pRoVy se7FQBCSYk8yeB0+0dDYBeMe+P7wrEqt/PLuoRkbWo9A+l3INV1d5mYp+ET8cw4k811qc1b4395C Vnewk6A79bvH5SGSYn9Gcif5ZdIjlmTKfUd53WZiBk0d68u043OVY/hh8Wi/GdKYbfh/XHbNQMzZ scKIqB9g//NHPmD3tFdgmIMrTIUDgjyhEfq4wa2f9n9H9Y+rpD8WXp1XeWpPHySmiBC1l6tj3dTy uDUxj7Jpndrq6z3y90sjXi2yV+A2et/XHletZFp21k2jm37eKRmPPOqGoBbP4c/YtIAK7COPpAgn VspQHyMtewv7NkgyHqKVUTYpPuhzCVArOvE9h5IJxS8pMcCrPWzYNInCZygFgnm8ae5HddK8SFs1 ZgryHjeRLuHMHWxgkj+AYTw1UU+b9Gxn70rmJa9DiEY6b0DqB85EXRD28Kbk5yzrxdpaIUSj1sLE yzPXz8Ic+ZlRMmFbwiV3L6Bcq96JO8sxQOpsvAZ1qNzrvoqSZYi4FbUHB0QQNPRse/nRQo/puLO4 IX5bXvlZjFGD1frvcwjN651EWZUfIcclCn5YVZ2v17dFCGnjbX7rFv93ISKtdVHZQAv1zan0bYAR fLrEo2HZdUwApvSDVJsA5j9xeV2OiLdjGNPN5f+JHduDsRvXy+25u36c8Lrw5onrd0b70Y2h8Rdm tnugW3DI6XjfjLkCRVRfrnsFLRVQaqXwEwe9i+UwHxCSVNuf+ehEOUSlo2OLkiswHVxoa8zF38jU XOmZ3PdWL4jTkfRHuAY6mRhgsmq6xAeRzsbW1ifQBIDNpimGZSYlCp2usbZ2ypeOdpbQVrhF68Lo s4uM9zAy/0pnxNBkGUCqJWGkC/rugT9RlpcGgoGzfzefKaptcyjLnWxkfLs9KTbZyXF5SvsvY+VE fetNR5wOHT763cptg0zyB3lL4vrBcmfyfWvCJ3oq0YquxllZHyDUlW2mZJaFm/uHTsYS6xp60kOj 4DXMS7+8+7zfnWiGdAB4LjJ6DUEQh7DIJ8SShWPBkMXTqhQ7O7WBuZPJVlWUHMnISXNzEKsTlTEv L124RiA+6UddTzNhioQ+BAqbs7VND8qN3sFlWgXOqSKZldcTVu7ROOLSfEpPFABfN/2Xu/zPrDg2 zdpndTBMiVPi7vfP7o3aOGBXISwlxg4hkFWAgRgCFL5Yk+m9MYKKfo0Z77LtKSpnrkWSyvONZcxJ Ozf4GOvIcQKgZLsfxo//gH5F4kiQY1uE5ggarQ/Ou1sQMGi8GhIuTPvVzqIqtgZX1F2QTNVuTg85 0DFaZMYuSIvQD1sK5h5YsbDPsapmzsBIkhit3yliMJ9NXNaipzQ8sFCYlG4YZ/AvkAkhpsb6ilNk UoCc0jGkuNoHvKd3vazdtnNOPiyAiQHDJmAEetoMgru2SCC6gv5iO0d6xRwuWguYEW4hQRi/62XH 0d/PF24tClzGu0RP7G/h/vJnMtoqU/hF7rmXuuOoJDdZwQMf6bw/Tz2cicVFPNjoGOW3+YdByRsq UXZLZz+3VgP/c9JV+PX/HL9CUfOh3P0Jw8WyF11rOCVjH6i/ZT0qUSYR9A+HSPaIueGH3x5E6yu1 ORwzP+UG90Q+87ft3CUhREjFKO5QjDd/S6tgy1+rCu382kntBPZcBcDORd05CDNzWjdDMDf1X9rW WEVWdmRNUGIS3vaEjDw/879RyjGVpfkDUePdoO/0y0dHTjFphcgmoKQTVSQUsjDmWSQs0jcA/hUW lpMcWkhpVoGLmw3WmXc1tZdJtGCc7NYswuQ6rw1uLalsorn0IiriSxHx+fSDVmiW0aEFxgVq+5RQ Ljoio0mhQCFmn1eBrLaUxM+V/f3iI1dOutKtoWY2ffnH3g2AfE7vlUemZoTn5IGiUaodQrHoCQvS F9r3RKTWSVKWRIFryBz4fKPPdQkhptRDOe9gsuLNcw29G+aLSkFTK2qa6JJ9g6r56masbJoyP3X6 sjPznkfrDcLjid3ooHuEfZB2KqPIU1AzU+fIuS7/G9dOyj3/9DdxLLcvYHQXudiNRQN59aMZxONU wmK0pKGt4QW4TvlaoH/WyRhEooWshM/lcDFJkmEuhj+P4Aa8DAILhqMcGk65LZW8DvoiVMTupIkL qe3mUVnJtaq2IPYd2eCFql5/uwRH9h9e1GKAlXFXKGhCZHZXsRHuczczJ8nMdsvI6WkuPj4gfYTv gX/Thq31TeQYSqs85xWRr4ekzUHtOMfqrcfjbV64mb+RXfVbR7QSe7bLDARCPQhHkcwD+7TUy8MK /2wmWobtOTah2K8KgLEx2JdCCJ4SbvwkgwbHvKumFlj62+6TYt2Olv95bDyJ9ugG8a494c64BtdG AOW23HdxIOvZK/tdtvXUwAOkX3TzSY7HFXLeHGuIylFk2/TzDUjdkcpWxYnaZvBy3oqyOJr1dvnD Lz5gcNtTk55pEgFxlxbcinSQS+o72cPXpYCyEQwf5IWChAbMuTVS4kba9Y6N8/hG6yKMNnjvDd7E 6qTbhWYBaMw9RjqJoCoG2qEXAktzMpTLb4Fi95/+hoqQFyCLR3hyqTt9JPnhlfcFgmpP5rucHztj 5j8Ryi18dFA0bmE5DG0JGDNBDVMupszx67+TLtQ7navmNMr3sBlOwquErMPElYQiyWnj5viYUZz/ w7tmbM2bpqikeB1Unm4e7Zj6tdd8sOby8MayTAf4yzJ8xrXp+36c8lE1s8zYP0XGzX6bfzhOUh2u +0XcNJHhOUGboPHpCHDfR1yjNU/gfQ4GSE7xJK98zOiLXBDSbLJvzoTU3HminjDkqLpWzqWHZ3kX mxXAKTxdXDAs3PZESeYpN8ncwp9Bjp+HSZAciWfFe8xugscOKTODvh5Xog109LbtWe3V18+lKb3g B9Dz/Svz8KJqB/+7mlG3gwNYKEGXv6/hzFPdLywjQaVOIazcaOh1rHSUwIWv5V2gMOcn+uV55pkV iEmdlh5DUwZUz54bfsvireBpGnC8ZZlbvqLYGl0VgjlVfW0rybByYQHzfR1OeLx2t0BVIWkNzZoK 0KtEqqEtkooPyMkBXOa4b9TKef2yHY5qsWsErJpa0z3ihHcFwmb0NfKuH3rbFgFrBQa16RDOa19N oaIAoDSW8DEhk/Z0BpoheDiyJZsOIFE/AYL5txJa7pTlltXYJAF2A58o6RenvnJYv4d5xmUm3G+V ImGTuHagv8+4Gl0nzY75pK7nku8pU4EV5qmQXwfVeiBimw0BGNpBK6zMa6fJRZOctXfIvembfbJ6 HL4WGHRF77xph9COzwtDR3+nr+VYoheS5QKmRdp+VW9RR3ccOMpTEaWPc6jAUHkpcce1rLknZbvl EgmbkOkt0NxtnRygEotJEt+tR4btv2Hc1x+97hV/ejDsqQ/VmDfMAZVe/8a8NhLRSpocnx+glim4 sx6Q7t88/B9cFu1mvV2liu2tT/zx4TEbOePjc+dqZNFDoubZuevoIgOzgCoqPhNt2vSX7Gb88FaJ Ny4vdZSH+7rdAvHLcUqPwI7/mhfpk1OBHrgdqLEK4ZD86k8uggADze3a4VPK8qH4kpgRX6OiuRFx z+/Huhz0ai60S0AvyQqbpvoTMZnleKFlIWn0rR+vbTebztm5UQk4/Nm3gKnGyJ6lEv1aICiak+Yj Mf1kMe8Z9YVbfGKgwjP9sULUqv5H0srDRz+slw1+7mvk/WYkJmzyFuTrh0oMYrYNCh+OBX/U7eAJ ChnJsLXc9Kuf2uknO8h0B4fbw7HVrmyyQtWDh8bgHcQa7Z4oKzHxIt5zAxyBkts7tWb6lGuEVzvj DqNZQvhl11YcXoglygOcbh61URgC3c8CBoc/eKAo9cVbDFtuhLzDTlYpqTadajH2i4NYIElO8KVr kbkT5lkHuxTcQoiOJIIt8dBodUuURtiDJSVbHZnfqqTXezA8mCV3j696AkhN2i+ULuvqAdmTelHg Cdon+WK1dKujiJjEKknCgAcEiLx7JwDbg9Yv64PPozrdJKARIcy2l7bagZwcmpFP+wIp0+h9bcuF fal08nLw0BrcIW84ohormiSbC3VejhnTFuuewG7bo7WUdCpSozaz8XyK5IoR9aoNlESo4LZlD4DH m44ziDGeelXguXCx73LU1LqEHFVlDqEBi12TZtMi0wkal+mAZxvfAizPJCpaSF2nzWthLDXZ3tLn FUOZmG3zfwaDpoXx8uPAYCxlm/f/1KSIW9kG6RzVSZsz/ksL2Dq/EvKdfaegruTsVMO+SRQreDlr JsnqqytTLLmmRdQyomv8Bdxurytm9HIcJcuS8VmRPBE3j2Um3F+A0SASWuOWOmNujzhKdlYzmShD dGMIko/qi4gbX00y+14mGg1zGK2X+uAFwTr8K1SBChzSbU/VVCafE3qt0wYC9lgOnXNw+sSYnGUn LRcWMDPqwMKtcQBd9NRVjk80NaDnx8NBzEBpR/Td0k9uVaaZQjUAO7mFYFBpUniEADy5OQOY1J0r DkxsScY7Ke2/2Ib2eVWuMvWvS6fOEy1VBg145CwN/Tg/g2VvG8w5/QRESpRqjBXY6NfEghoR6sV4 aEJTEI10chW++vijqWJe9HNmrfNAZxaG3bw17BW2+M9xEgqwC8uJiYnQ/SOdmEOOkQAMvEBBpfAF tMh77xdvpihRBrgAxVHm7R/ydXEqaJL2cq2Xk9IVPu3y8wZYetJ15J55rYDELNbnaDSBU5FoOjZK mzU5V3umrAVV3Rt/XS+hHzCoaV8T8UnR/NBIdUU6ebIu8f1tyT472+OsGPMJyiYJ/1NPr/73n5/g WjGhk6p4Ls9QsMSFB4d5ju4bBwl9ftjmfWM1UumafanrKeeyXodY1wOQSG4PQ4tsNM5siFaxzG9+ tG+fSZpTXfthTKY9pn6IcC1nNvFVFo+EaEO6tdvfIq4XtYsZIeOlgWJUvKjqvgAkrQBk23kIJpDc pl66B84AtUPz8Buszo9cNLToED/L/6ePI6JW+W7OMZBmI5Tc2e0Z15dPM7fVo5l5u+uBbamLyXVt XxXqqhWLhCEcoVWnIN4ZgCNCU/lUFqq0tyY0Vbm/sol8DtEBnPjDsSNG5WgBrMR2c39+of92UnfV rj9zlfGq0Jl5gQBLxq495zaJCgHOTFGYcI346ffgpVE38cAbL5xFiyB1xx347fEm+GJ5bAWzOtA2 1KZ0qmiTFUfTXqsofmdlm1MloPmZ9UoB3gDTJNOlE6HzQ9TQUb+QcScnGu2bfA8JIVxfc4n5ZnI4 Z6LRq35jzGGofQPlXbekahjep0gmhRfjJXMrsyPb+WFhvOkrWXx7bQhmkm7ow5Z0uSSfMH9DI7Mn OZAPGDFgKGLNS5rRtOYjd+9/OqSyLWiVgfyeg2vgRxtbvP4LxitQ6h18bUZ6fIkVYrGboJsF4njM l0nVDX4/S+RxXnLjenc00DqdPxmJdVSnDpIo1uf/NyJcLQo05q07h1lNapV/o4ukpCF7/3V54sH+ omx3/b+T4uzJaiCg3pGFd8v2QddtFOyWqFzKBNQxnNFvsapj9i8pcUWhv+2ZSGjOhqaQd378azIc TvDoqS4Unr8sG4Y3R1gDKfWLtUbk28+Is2JfWcXxxIL8JIsbuTYeQthWSg6sbYgdZ5b7cbfGuCoF o5R7wnUpfaau94rnMf0vyWD9Zs9sv6tnOiBhVhIei5SlGCuAhMbABN8z3fjY+hTfD63PHrw2V5HG ZsuflVWHVF0M+6om6dVeR2KquCZcdc379OJ66tmCK2uWe11U8MWz9E0XlLjXZEtAY6C7ydJzE+lq 4MR2wycOq2hT/PsVuGdWcq7nS++abc9ZF5co18bcorPxrjeVTW41PQdRLrV33pKvCanKuEqX4zUR QllxoADaSKbvEOS1JMnSQoQ3pXICEYHxe3bmjrxASfs2oEp+TEPW0fx8DScF6XJeSYyxerP3973e WBVdlcBILDRci2xNJuzYPOIiZUmZ4FAi0Qxyt1XySz20DDoP01u0Y0w+idS1BHu4yMhzAazUr2Jx mKVF/0/2ey+G6V2gvhmpJ7G7YpAPu+EudQMIn1YQsmPNDthoUcMVnCtiDin8cCDNAl1YiZtASCk5 5nDcoB+ygwBSwdao/TFxAqW28ejHefmXiWVe4RxdR7kq1nVjnl62aVQJUIUEs7HrEA0sssPbcdaq Jii6/XjN9FMMDYy55d5aH/ug44eXB8NKk6iM8+hyCDel7GhP0mPMjiFinx87ux32m3qHt88pir5m X8pNzb7Dko43d8pdsbh9fMOf9XLDbrDfZtZSFla0Sx24j5br8an9U+U8Dkkc2XQI/l7MO0ri921C 8kGBZvkOQc/LcGVFVpUrirGUTV8hOdhT5s8snjqgqK8mVkZAYH/MVffpka4vwD46WLE+XvWbfirK k89dStd89mYmwSxjuvlcpDNVPF9Lyw18ca0SpoYw5KjxXLosVVekNKaVDLShjfy1HJMuXvtYam+q S/k2rc/qNGlEpbCUnvTUFj8ye/zGX5CP3ZZ/fnfLNCBvSGwS9wNweilceNdEHNY34h25TV/lNTf5 K8ST4J//PkIKCdPRZaoDHaCvcECt8Brl4ljTpqE43kJx8X/Y87cPDAZO+m5YyEkR+e/jO/wnhRLB etrAmrNUrq55bBLNwMQTKSMo1YTY10GlsTW3LP2YBMuwgLrXUf/J1t30BCFOzEPks8WsWxzYKO+p VJVmNlWzU3jAWU7w1SlY4hvqcucYSd3RuvlT+cc2Wqq6OqrOxZlblYMGOSuvHCu86/IHnoQX3yCI 97Ggiq2M+nfctQwZQ6y6MwJfqlQ7aWAPJrSAr4LEdSXZSonFJLN+RUy/U0RB71bItOkv8+eEtYIl dEPUI6pQU4vyLchKw9O/8wpgO9wO3Su84xdcgqCSos4z7f1kfkhGbj5Odlz1eizKEXARaMPAC7q7 TXAJ85bR70aYJ4wDKeUgD5ks2U3S4uXiDGAk1wWX2i3ZHQju+R/yaDPBkFxgOmXMGLdGNT9TVVJo vkhnnD80vPt3XGS1a7WK28eCWZfR84312i19uDqIvhLPW4fmfSihKxL3swe6+IPGh18fMqfGM9hd wfUQn6naBUC6f0ONKk3Oiudmz8E6LXhs51hNlvMOqSYNGuA6RqhVt/u+Hi/Xr5xV1JDX6V02/jly Fkm+DS+TVP1G+ZdeWFN/t2CgfuWB4bspML/JofY12wdnxGa5WW0i/bx9p5r0MNAgrMQ5yG9zysvJ 6yrIwLXWTG4IN5X2xLS0IersuNeClnqS4zZhS9R0DbDeS2scUqz2KixTnFDaOQDqNwU0yJ5HWGw8 arb47jMtu8fQR5NI3wa0E0s/+juYpGKEQXPmxqG07TIVopObZ3CZU54nMXWbEZcignxouElBLbPh EPhziouUhiT52vPCNHVcqV5diNxFH3B9dZptkRmnZkwb0ChV1HlGO6Wv4vY4G1DpNkgsGOd5QxHb r5lGle901EGvpaKHYKPMF34A3XfuwcIMgQWSXlGMVR+Hozv43HfvLgv4JiV7zNpzEEkGCc2H2vm+ JFryX0QhcwJJVGKNyGheHZtcIUNY49fX5NnOYWQCpvDAtbaBySTRqah9vgjs4BeIqsrnV1UIS7Vb ZRhv21brl4vBS87XHbkHpqYL6VIHujzjrXKImrzzAwXqexlJjV/s4FsADSlSsPSm1NnJDpQeElvj rGA700Ti4ycvbSPXyyaAcJ7fqvzYdfleddOB6HD9QDGBINVCzU1I5GLWMaVQl/4s2lfDg33fHnFz q/rNfjWs0rF+a5l4e1TUVwaw5QKqy5ahQD7I9jp+8+SThjddfSmy3Qxzk90SF9wRTAXHQG6JKkxr UZYeaG+aeUKhx+JFlWK7aGj/DFEncWOI9F6SuKv4LOB6Bm6Ll4KXicLj7H0ATO0/kWiZRboZgO53 9VXOCzobSsdWPdojOQqoe864gjnuioiFaVgkCYWMOGgvCfG3TIP/QoBjBIOU54AL9019K8ZSDt5i qsCq8cN5FCaStyAkXXQOXMGTsZX3p+YxYO9GvYDApv0r3IDkXIdZid1fV5D7cG/bKjjEN+ttWL8k lyRNzP1aswjTdQNeeq60eBGIJi1RKHs23Z31z5rNGSzW2axZYIpzZ25Q5kSyuF5GoCiHTKMlOUnt LAn6ih2V/FaOeQFASzMcGInwUT66nDQ13SYghgAPjGO7R4FnR08g+7nf1EFBvfsr7PSl0DGFHAuP FPZBxZXYUw5XZeWTB1LPkVoZfO+0p2mk93/fST80iI30d789nJjAUjiTk0YTcE1xvXY0GVj79pA9 kqpT8XVEtO4Yv59/vrLolF4zazSgLqXghkRorbj0bqLeQTOGPPCGB9zCxJIyXuZOo3Klp9xwUaAQ vKeWBmyAamPEiFNQvFwRPxM/6oYDZUFRTC0/cEbxcC2ffUZ+L7wMoeIRJ1xE6tafe43h4lFYf1+S Uu5eooGtyCUdEXhCXaMq92YRAOHTKfNmTI+/ES6t2JYF1hhkSiGbFVtbRXdBtoqiUJoQsaY4kak3 8J1zDr4axHgiUQBPqgKmpDcB92Pk6Qb2P5flsW8YVCy+D5ykm3TXg6WvXRtUuqFd6JsLkjQkDPCk cD6a/7e7RKzkA44CgbpeniWBWtTXsiqjLRX+kXMqwUpJKWEzf7T7P0n0oOTfnKzRKZvPFPEQ2ZF7 +PTTgBEXdnwqM3y11qS7FJE6CDfiRwdjWxbUUKqDWSd21m9jMz8mlrjDvLjs8IaXewwiazHXBPor nne5dcWn9orR+/x25AyFtR+Ge92HmmgXsgrhqhRqnS/OdwxzTiGtQjAaZxJMZHwmFEd3pxgTqdqJ /aspPm4LU8ghWtPpY9DCfjlpleKuwNWXFRlXqgRrL5c+OGposzl08Qk3DmmcPW9yJHRTxdi2LUri TiQuGk4iLxeRbptjrrDsDX3YUW86X9Dfi2RwEqo3BKVDL9Ng+yHM3zAztLxBFeZNYcSpv/gQEJ6x 3X2Ulwp7vagv8tRlA/lXyp8/kg2daOhWY4BW1EDDu6DJ/aGCFJpIJUJpqlRWQYInXZm7OISz568M 4j82oM+2a7WpDgSKN6uYcClcl8hXdwAC9704IVhvXPZt67mT91HkLyIV84xTi+uICjGNGljnvu97 kOvKJgGS4I6R2bFqqK+KTxN53WkNysnMlpVUfsMm8+7jK+6fPGdEUmjGnWlucqNOU7fAyipP2EPy iF4wWFWxEUehQ1lH0zJvR4XJWBk8YImu8ZLq1xDx4O/AlgPbgUJxNLdRk0FxAc/Xv5OdbSQPEpmY 9CY0ABADb0CZ1ylv99n05FlJ6sJKshaUIYtBx5dGImosi0E2AsnSHfrJuC1eRcT1KRVITrz+Bcmm RE+IzvPkyu6Kfe5jDV1BFq2gylg0RX0m7vEsgMHMNEgz8iG3MQk4JpHl4gzeqcUn5GyXdj40Bmws D086j1aQun807Onl4orGEPRxTtew9/eaMsu1zy+v+gnBqqPmQPsUqWMEas8KTzwFE2ICgDtaYlfy zOFM10vCDZ023I6iDBnZj51ZxbQRfeU/bfTFauI6dnzARV8NgR6m78sD01O4HwTP9e8er9GUF2Qs Y/NqhtbLMo0BA/dKYaANZD3Zy5bRdRKnyxvoW6sjDLYesvESkuaHqRd7tv70gNxzj3JygRgQXpil LsSrXpzLkVdGTBy+75jf7IyWBhnJic9AN6XygNQ22qDnnI+vBKFwpQipTiO5f/jx/nue0R3/o2j2 MnQ9afUW9FfMFHw6aDXIbk6mM7aCrPG1N7iAZhGJg3Q9UrSZ2q48nXAATlaUkKC805RAi+lVji+b rNt8JRt5gmExjI8mROA/DaqcxV30sSSv5eoCapACIIa7k59eaT85UZ7d15BTxIUArwYdaPus8/5w xSRWd9EvEx9Mj5rb7asZiZoos6cQiH9+iylZWveJYHt2OWl2upoKtibvOo9iHlCirXXsvNHfzF8y Ud5wLhqa2Q5Jt/DetIS3HpT3EwYK4/nI5qzEcdENsr1nXLF/fzePq5FxAJvpHOFwJCYDsmwMn5Iw Ng54TzntjsBMSkhxH3bicUZ3gFLfVlfIlsbZtsDj5Wibwg5IKA6wDrTzCgiYyWYGBArT8XxHEDAV 73BRLfi8LlYWeeiyHQVq10x715vv1DXhqHNgdlvsokI7tmmK+iw4Jm2CDCPuwf7y41jz4/gqyE3/ NjMRNYzrqC91Gcy7TvgxKV8CK/+QwkSkEbpzCe7gyOeT6AwYwYfpRqODtfs98d5B/xQUF9eKS5ZY pzXvJA5IKtAUNC1zsmHTQVPU46ywrxrhk4pyeHl51UvjXlxvCkaFWnIrhwccCAuHEnY3Lqal4aLt ra6jdAoHli3h43pzEWK4VFu/6Ot0/UFWu10+Tl66qnJr3xukzhRXGMB1LeD833vHfal+iTuGQL9z 3F6BX4jJHBVGzTeEgzezLVR+F+6TO00d+tbYJsFVcwKgC/4pwZXKYYXVN2Je7fGGGtWXD44fIb+u 3WyrRcs6yyk1jSMNJys3Fr8HuTtRVgIWYOqw+3ynC0HjiX9ifB2kSY9MXPlwQpoxgMBe2nUQfC+Q PVGhguCYsGpF/4OtNlFGXH3UzzUmKafZua0ErdAOfkQ5pPqjecDCT8TS5Vn389ziV2gwlxrAf6CD DxRz4WoVASC1ljKGEaxYRWYwY0APzWA5nadSZBwX24gcuA/PqwFk8+rltjBw8zykeA2j0aXoDQFv ZsvM9g+mqfpOTSxgc0KR8VQJR+bgZnJoQ1345HRAzPfZ8B5vPQpXAfKrt+IyHiFvAvbqMUI19Gsh eva+BAvN95yaDMh8fs1dU37DW1dd/gABwAStaf/2YBNuNkpTY8u1P4wjPIRnh54+HtjH7mNjTsrL foeWXYmOb3lLGn4U9OHKdgf8TBoYZ6cbcYBhTbSavJRPL3UpMn/M1kOZFOO7GwOtqtbDmQ3npMLE HQ4a/6jqbxnCJu2GayPlrbagPTq2ykYKaMTkvib3cbZKS/IMkMyRs3fy6pBzm2Cw9hS8pMI7vA7D fiwS0YdE+bYEuFpd73j8KDt1TyWydoyMQ7xornTVi9vxc0MytxPN6rKHyfho+u7LOqsnMPJwbAQd UszEmcD/av9Vn7sVEGyuL5KxHq3RHS2PoPCGNtNFaYhpgPmNixP/dQK5GYrHIOxOEDReh9p99ucW 2IsOPwoCXtctQlg/R1p0Ko+mxaabntF9+Kd7V5v4cfe4l51Bm6oXvKYxUsL8E9gf1/ysABtsZcy2 /pTfUGdcyGmP2eQnsVj9mrd8fl/6Lmm2r9FYrRWHbeo74Wydjr+ok0imoSLFlcZtOMGGGvd/zaSF X4bbS+stIhOhkKk8LkXfZrMLjC/VtcnFpleD0BD9y/3eEdG6P+49XLduohq04DWiD6HIpr3RG1oV 2l4EAHXNB85acrah9TtJz7wZEnL8mYvA4Neb52ILFt0dvGbyVfAbRnJug9BprPqqzxyVU2fMd7vj Pn4T7W5AzJF90z3OCKBDD+s7OxIjQM+lFJ6EqRS+GbRdJPkbPU6M6On7rNnIOfCaeGniRRv3/hHE KNMpwmDXaaSlQdd770F6LtLZm2iJdXoX9cDTK2rg3KQj0uUMv3+4dtH2mB+PcDCjoBjyDb8OAgDD 72S7p3ThIXh5atq1wS7g5Yvzm6eSBgzLN980oY36iRiSEpfzdpUm+X7Stmbspi2juqej4iwQNWg1 TzVE8sxD47/kUeHaXcm7r2GfxZxAUrRuCHf/4Z5UPM/fomBwLZPyfevDzw2S5sCOOiVUW8rEOi0c OvuOBwImdn4ljKcYRUGEK+//oevf9wSjEoVhKgLg65bWACXzrTsE/v9Akaq4qcnTJWT+iPLklgeV fHbN8uBrv0Q0G5xQVpe7TXM+0n+TJJWzALs89QqWhWHeyjXscfto/AtYZgfsMnXdCWNrAXQVCK9K 692HAPA35GQm6+2jl7E83hm+L0hQEUKkkU5YWZfGIiFUxMEREbNI4PTJ4Wk11oW6itbDzwMaSDYZ OtAGKBkjLN4j3oaaYq3CMTlc5scuHbA55V1mUsHv7eDvtuTHMylf/2CaKgdRtqoq5IwUGP5hk2uH H3uRIO5d+jKlXiIIaqC/7iqKn4DlxdCeMbO6LxWoRwHHS+cJOdnHIvXwPGFlGtrn/aL8lzlPWDM6 PioHki0ubYq8u3+4Hfw43DEezuFoq8qrfvHRDOXJCAI1bUjOX+vMIwuW9NqMxYdJnHiYg++jsUJS VPz2/65ql2mX0r1JMDATWwwIozRywqlnsb9CKkpiR0z8fbbThqXL0WPamv+8L4ludHrQTKWT8jaP Pq0JMnydYHJr60/deBy4nC3CLdxN5vgGYBPftVMZZ2XPDRw5ItQXSGRCAnwzz/cqyvRVBoCxnTBI hgfTGJp9YKzZjKBvKLon5O7/XX30/5/XhoF0I638osYVD0Kc5cOXpsR7l6CGYt3VdpJMAp+6Dwnc nH1v6H0JOZoSLX5SpUMwaYuQ2OtSmpL/RmupNcgv+0iNwldxzN6pfk3EN+WMKnGkp2QM1VEL8KF6 oreWmBMjCKVrh3DIFiTQMbr4k5Qg+AMGFbDr+U3jTYfaOYkmjNknnZ0hKrK7xwEnvNe2XVx9WygP bg3yLADnAPdAajp9KI0p5DQRvdf3WLyxBQguyKInTccjEc5GNUvG7NUDDZYnl+RqYkkMDUb32jlT H+UV3MLoKbcviTMMMKXVRGgO9R+qU6Z2TiUPRwzrmvtYvhzjoakEWWk6Uk8HamyHIB68zePfOEcf CWn7loeY1pkPEbcLiUIMX6/66eDz0Xf1EC+PDUUvMAdOFyEIGqLZEliqwgFxyNMPrUVD5QLgb4IW 2p9pcuEwPe2tIfQ/L0KlNYfeJjvEtFgSprylexeg30GSBHbbJTKD+tHoH8dcYKmuj0QVCNTOV1sx +iY1AaGzPLvv3d1ic7rkTid2CRy1rsmsiWhw27bA+Cl9Yl/9rW6N02mHmVxQeGpPxbQRubyBudMr VeFju4rzpcR+5E/6ZGyIpKLz8L98Mxm+pU1iuwuXMj1UcPaifSeE0CygBvxGHtI+MBtC7JtzpQhx lnffFOgghUGYT4Kq++wrw43Yx/a7WzqGFpCB24MFCTn6UJPypF3ifhaNlExtA8/xmhkx3/FE1qXX Re/wcYM3mUIBhX+o4mmOdOVZ2kxD7+XRwPxMit6RSFG73s8iF0fuwgm8UuYWRcLR6exJdkZac03A 0VJPdHFKbUdrowe/v2D1KLuWKqv5KeRZWHvICGahYo8SR0tyRq/0H6AuClhuVV3p8xR5khaVZK6C ubHASNt86X4eLo+sivkEMK9sdoGadmNN5d/8oN6sIItBmjx+alTO976Vehti7LLLy0wZqdFFi1XK 7ww34jNdwA3+zZTvqO3eiE8cEu+TEAzqXvajnXpqSr4nwliU9eOnpbp89pu8GGvhmbl2YOVD1jUp Bw/IcKsScs8fI+5iDV0QGqc8bRH1Qmat5rAbeMHfuaCbp17xTJ1U8UbjSKkYmRv4JfwYR8TC2ibf a7w/xXmC0r7VoyzPu+cg0bSRLfFzX8kgE3HpuQNW0jl7TorupjggEveXRmgBCMcinHzGK5ZZ5B47 xmZ2khf65voESz3g9Zg6TQ0dw3spqCs7OLpF564oTUC/n5UGyjF1Cjxwwm8UhAIL2baZuVe1som/ FhgZdKWsztSO4g55R2CLuay1X/a3WYqrURa3dnEf2zfCXVj2YrvEEP3ec/ONStCXL2PfIsSmu+i9 kvMfyfJiZ5EUIVk4xJTsx4w1fzhkubhMyBzrwe87vgijC65WURWeHb5IPimr/ehRVBkR3/m/mJN2 kcsbHfbzTSlFm16BmLGYQ8hqHow9lO07W+9XLvWB8w7sf3yQZDeeftgAcX/roT1T+a2FECZP/xtl Ik9BQvkeaOptCve2B2e7Renv6ng3CiIFSRQmwwAHJTHODiPPkncwCIA1urLlXXZaY6x+BdKZWqyN 0yoTlXRclFYMIPXXsNzvlxwF7u7hNO6WCEc7AgQNmO6xTwEOeK95dnLfYRP58bDfjDa3vhDjeyvJ LcIPuuCP9erK9zeyKeERUZyyvj5MyFPMnv2G31YAPIS2i1kj5KVX0H6U0pjnRLv1fqBpcuk6/DJ3 THfcunHt/cjjwBaUr0fQy1uwCgWFEGgx9H7QYIQJp6QUbydTfVwqbwlXAC7c3NX8i8iRn3tqF6XR EYk/yFfSbq+F1RqDKxAocXXyqjJng3VajDyqGrADp0NDh8mWGJ2wKLERC377yyZVCkgTp0v256gJ DKeXtD9GwBBXs6TKBqVsfm292NRVYxkB8ZsjGMkzwUKS4ApvHqyEK4eOzUdfM7LTAoP2dlsIYNTh WSApbS51wfOIvjIQoSGNgqE8UlGKxVAviWgAjwFnDG0h4O3GFMY7F4nWZpuYCgwRrUdYsVLejIcg 7J11by5AWn3UmFO1Afbh/7YOb/ocdctNSP20CXNwF6oySI9gCo6K1bTG9bBEkgIOTkOICvZVePXc FU3eC5JGDZZG73K7m3bA4cbaUex6fejHn3D53VJpci+7wS1omeINvFdD7K2yRmPLarz4XNMFaut2 hdShJp9x97l2a0n/jgnhmojs2TzlIk85as/dqVw+g5orSua1A40FmsZVYBd/I3h/p1SwKLHMaH36 R0Xufjf7heEwvzaUo2IcjGGIIz7m2bXP8vWwZB7JQvb9hvItpdZEznWpo6O4Jb9n9x0JBtVXp8TH TG+K3KlP/hPztFlcKdJscc+W3QbtchrCJY+NqsoLhFHAUqXPHlhNB5DkJFyn+WBjUMeA2eXIaY+R NV2dXQIXWToDqU8sJpPQ3kJEVOS+7oIEIXe3nR5hvU/M17rHeGFLw9vxp/lFHQol/ogLEhquoK7A ytWYQpdmuPbgX9Va2qMPez8lUqo/cHCfCXt8qaemFNhw2doMF5rLlvKgF7ieZupBjCriq8Hm4b6O mmbwQnRoGec5IT5l/ZrrlEtsYVB2/MeAmYMqi24FKY9LBlDdpO7FzYKkzlSfVgawGvsOs8Lm2LAJ mhICK271CXbZKOTOSmhL/OMYtat0WjcnuA5WWkCgv4UZiF9vmEublafJFVmsvNPYVVK1tUgtNTGv ypPMNuYGb44zNajsiY0fvSOgwF2Evjupoub1mc3ShogxU94vZo+12DtHzZ9yZcywxGhdNCGoN/U1 J+ernWRx9F6F93SyNsYHhGc28KSVrdbeG6LD/PpWB1AG95HDhuMlrKHqkUrDh+Fj30FsJAIWMyWB i1ULF234yfWzKdL5SN51zJ2Ic3MIrCsqZoLYXTNDYv8RenHBO2O6LeC/Uogc1nXoLOE8egMu9Qqx xrhkGY7o7iLhEFuIpMq+dBObIiJVWXMPtUHYn5A69z1PjCm2n+tUwHMTyJfxWnDVXfVOXC3KWqB+ Yi1qFIOCZWeI/4TmeQS2IU2DQF/1sUUI2kNF756IHSP6WiNE9w/z66R0gkBr5/qFxjcF9K0EaoUr tSh6DViFwD03UGIdbyNCMGXL6U9/oqTk3sAv678g80bG7o26mKiO+qKLzQFq/VeJmw/dj2Ch/J6B XpRp4Cc2OT9++D38RhbRnA1NBPe0my7H+tlw3zUH6h9m0F/uF2CvymyauFKXnez1vuoGIfw5iby2 vqC+y4IBhHcy4D7na0u1irldrWqFyA6B8E7GjD2z7OkFyEqHltQr6cxmO9yxEBCzTHUkCpT850oZ OxDx9JHXQnmcHDBxrh1BK1MGP8nL+QDunsa1WcbWfUfX1PAr9HoXiiTIWHcChd64dbDqGcNiEsgc ihj2E6q/FL6g4pW4MlKEiZH0Gr8cLQtOGF9YXd2jKqm5Lba4hVuc0fD9dz8aUYB831lcnsd1PLWi gjwpgdOFMv5ItIfxfulkM7xwGdmtSrU76NvQnCUT0R4Xe4qWFPd5HJ2j/kWplqKr5HDV+mh4tfkS spszG/VwRn8t4/e6MFh5IbkSWyDWxnjG5RZkQrl3H/YXQ4sJ3RI1hauSKb5xK23PoMHFFKYp+iPi i6yQUwNitz39A8e3fp4+RqqGPByMZJnp6bUs0srkQ2aRXG6b0Vju83r+RpZsSNgqs1db8/xtlDrr Wn2WFTpb3Vk+X7S8+9A/6p2uFKGJEJ8+kGbKi/y32SfYNKIzCoM1mqrDzE6WrF9Id9pO2cs+IBTB EbYDYKgHqq4isv1/aNe0oalbiNv8YlGch1ZYSFr9SXt0Rp++QMPcrV2u5iiD7gm5XeAFjrztFlrl bmDrPjp0gB20L/Vt0go76lbFFU5y8bpAWeTdHQxMCwlA5Rc8kohV/PmGCbvKO3EwsPNAs9DWcjVj WEU4O+b+3SssiXkX6dHviUrYRbwj6kJEGlVcfW1pjIrnHtPoO+N5QyTAl9/BMfispQ9O06JPiltu D+xKorQSZ2hh7IO49twOXHFtYds4CQTGqQCpvCETKbQVtS2Op0gaW5PjwkT9/t5NyMQ1BCla/LJ2 zZHZs0VeoAM5w23Yfng4lfeytXHvtiaWFc7m5CBeowBTGTEoC0sqdhjrXqdFZoQJZg+VPj4q9GBM wHtAUZMYk1WTtRG5f46gJlHF+eRaFUxBLyBwiL77ABTPR8/q7G0nZykMyYDnAfZvjU9R9Lba/Zq9 0XTu5u+Ne9VJftkVsqGg1VwZrg+K+gWM+aTRRfVXYX8SnbTm2oYcI9wxQzLz/1K7moENsxRWVdXo CCm+h1AParWhlEECZnEjdMa8Qcf55RaEHeJ2DKFByROj0I9MVFvHfb4oEpGqFpAPr1iaKojFQ+DK QCuCWkN4RBrDSX1F1Jh/9HD0zKQ0B7NjauIDHfbzDLew/azepEgSpv7MCPXMom0wOgsJnUb4SL9v 2Kk8mjrd41VTdBT70oJF3X/Cx+kux9+/Q8pSJK3Ca3QsfYARMym6gnTNfShSpVWmQwWKhJ+bZOgh 2BXLxN8LSse5OQBgcHEktSV9Wk5mYAgbYQ6Ds+S6lyGibN+AdS0kURFchxPHi0fSs17sgXuNoXLs 35QDCqI9ynSdsg1rbhIuUjBpHvejaKOhSCe/Wn0xgdruExYgDTgYUf9oHwXVTiTGOaoxQcicS3nt PFTUvmCtz/B9uyAOd5Wyr31Uh89UiACs0mxkekTDQU1DAWyE3gkeVvTeVzmEx7MPXw+HLsTrihtE CRoT4Vtzl5TyOPXpsG3F12NdPXBgrIcgiBAvlS3u0zjpQhGoMyxseYM+9lcpa7kJP6m3zrAo3Pnv kn4+N4qTinxgwk81HOhRBQivogIRfTZvSdCRTIvSValPjdTBubQTq/gIYN/9eHIKGo12Ozmkpwib zPvzH221QlRGuEms7nhMMLP8wTnKHmY3IECJa+FDShgL7YhY9GXlF669RPUZA4eumRpF/+ozejeG OswqDoYBiQIPUG6sW3feWM7JVS/Xzi5zkokSNh9upW1oT8LRI53NzG08SFmdd5fBDr/CCBcaSh7a /volfqT5XbAgqMXQP5B4RpiV0vQY6oney2VHM8OonxfAq1BaZzTb06pjOvyKXSQf+sAZwzsJQaTF oFnrD3HCSP+0SvTs09sITIcKNaR06WTXlB8eGdiOWVvUWALbzAe1DRGLZB2ccahZiyq/yK3IUOfu 04BqZ7cXVuXG7NPKPWJZ2Rwz1QPESvxPxs7EpZy8l6qMpvOLqmDvZYlZesaR/UQX/pDORvChhO5v jKtIWARtagMg/p3TJGnD6a3hfTPFSe2X9mvNr5hVDBR19yza0hAg6AxkGStOmtVDngaSXS+WlGSa nR7OcLxlQxS4xVuOzB9HiaY2c+I2F4p4tOC2vkrXpSwgurMW2ztgZ7H+xsG741482kT5biSoA/w+ 0KbqXwuIjCL3YiOrGeD/CljOenHlnNsCtil8CpBqdIvFmWm4UkmmiFWKl68wywrATZYf2QnKc7GJ FQcZnR0g1RPq/5A+2HpXuSF+UPApqZs1Z++zOPkxh2WGzDdStjuGTa31lDRnHOCdUWqrkHuiYhE+ iWle6lfks+wbfL1T5T01MnuZbqppLhmUQfRDfEowPwmlulEnITxjQDysWNIKczUe9DVEQR4bgRHY fRqL3VGfjLwVoRPTtXItuYRTK2AOCfQffJ/MFFMlp26IdGuVu/VIlwZW7M92C4qBw/lHp3MM7TsG ydjP7oYAbXa7R4agl0YxVfMzTDkN+fpyDok/2zStsxviDdWNOhrSCDwMWbGu61m0Buw8XmxBUUSx 9zgoVwDa5aZUjJAG6F45hHKUpSGhXGwaWIaABLqqZkJqP9izZIRQg/hKh6OmOsMy+grUYQxSP0HX Fxa8tbnL+c7BfLywGH5HIMGzMWva60pQ+IQwl/vf7etx+gaaHnM0QUpkuucAhUNS010OoNwD2WPs yNbFSn7zivbNw4X8vbpyRomU1ld31gyZL1ruXiX/xVegrghFZ0eVAYkeCfei8KLIWxGgPrhJPEhJ QQgs1Dv0ZN61IxluN+a5yD3Ra9DtS7r0fL29jEavzvrHl47lNuHw+iVTRekR2xfiaKDETVquO81j F8lqpyWYaxWUxJUbyAoyu+JKHB92BFo0AH26C7ddsGEt1JdWHLPfVxzRjx/HaoSe8wZV8c+dUWqr bT1QOz8HEaQ8HLu5pbC8j2HB3DN549kLgr/HXYA42jgT39uZ6g8073q08O0m9r4isr8z8t6A/3eo WgbBJbDImy1xWOb7XQt4rHlq6lQa5qbUBUnQrEe8i+Jg4gLScUE+1ukc/BzykiEhQF1OF3RCZY6a 3NstPRoZo75uvuzQGbb17nsvBoVkX56eDReSl3lDUrZwS29obyg048NieRGtUK0gyR4LOaAwsDL2 q8qAqaqqHRpiHBd/0TuSdkva8n1ES3GAIeNHHbgH78xWqjHB2Prqkc+96+q284XVLwb7nQ06og9B ukg0yK4D3LIU9QEpLZPYbHVG3sA7xuG5/lVftosfJpVfgiv3ba4wsM2pR+CQVF04N/GqKVZwjaR+ RlRen1w3Hwa1LCw0CJMd+FDmP4SjjDXYcEwitGOXd7nr2uxTFHBTQHsfvIXh0uW+CfitEzeNgvZo 8ks3rW4dm8mgoAkprgItLBDpKYTEoISW+r8vGlK7eHn9gdbMx3LYrJWRI9Qxa5a0cwUoXirkmb2g hSiThBQF7vfpaqVFbK9aYP8OXL+cYvAVNKZBRE5+3x0Kcp7o6d3uvA5Uc+mcQQm1qxy1SxbjSGX5 0tgetNyIY3lXv1feDJsKIO1ExRaPp0x6FalBF0sPJXeO/qol1TNUmCBioKgJYDblm69xnEOB4+7n Prulilt5Dga4XKDHtsjTzRLUhuJ2xrCYNPrU1rCTwIizCT1qmE0+GidtlPzy1UfxOscnO0mHLjrw dXgO62blxik6C4Vr0ZMsGXJg7XD6Nii0Rpr7kl7LiB6GeHsFb/s7dJllrcdkq1FT2jtWRlTk6fkr AHp05taBlyfGjYknItLk4Pr3J6RWIZ4o0VE5XkfQiJeZN2nlIOqiyaSjfhFMqcJRH967sIrevp5Q dQmu1eU9y7tREqNOJAzTJAVT1mTL7BG7ZYmK5FhI/bln17fLExwBf9EPAwQxUbf8PC2nWNxkAtNy lRwSYgS5jjjpmRDzVGnH39/dkkKWt12D7EAJPSlKpxrzQ00SazEakOcq2c8Kc1SaEw/1J7poQ3nt lVXatrP1qK1pAmonRWsmAgr/SRXond3Mlmg2e2CzZfp97lP45S9+B3xYPT0mqaeCtFXou8u4hfAU 615j//amjxrbo8qK/nPFsfQ4yQVqHFvNY4QEnMP7WFQ8zc1OMLVgRcTa8eGD7nI7c8i17EQ9pvTM 01Ooq2iZOcJUVNsOTML8X73CwvxsTQZPi3B9rZNViZj/s0PZOrR3wuCypxu7Xg7TD38930v6xkQG a7/4HXCqG1lSHdAK1x9/Ke0ywGB/nKZKeK6IeLDI7cMElUSMTp4AZ4tR3BD0SBypvFrSyNNFuxLF AdMhyCMDgb7X2TOUvj2UpUncesT8EHvsCs83dc9HIpKLeAhu6CX6ZtbjNoxZv3nP+lu1DGzM7DLf JXrekY83BOoAIxzUefdsHctxU6cwWOhudZ3D9IMH6UKBHkGHKoG/1ZCIEbi3NJ0pMVNLY39UFUG5 V4Koglr02yWsei5/GlCU7IeA2VXEGGJVzMDO+TQeq8cIFCTm8oGkDyODryitkuLxiqmrblWFePBa HvHsiiFJLn2d0lYbhm31fvHsktaUtHNskrOfMCaog5cVNcCFyT5bVKLbM1I4S8U7hwVdIWbubcF7 PzWDutHvWQaD7UcHslcdK3hEASdG6XWh4/xe5GUyu7VFVKqDqttTkeqa9GDV8GSfneT413DsBQ4o gYiB1FZ8+An/exaeOIKKI8i1y/I0SnoIHPTvcjWoZml2sfr4TT4CvsG72iGz/ki6Qyel1hMvhtXq 5ikSkhbxUKwg/UZJhhxO52QiO2+yi8ye2006aiTrJfO5+qIQgARcRr3OIyg2GsBnO7UJZ/3SxnP0 b4z6ejlZD282q5ORoA1m6V9zmo84/zLlzIcZ4ZvRqc4m7EPmHHci4RlH1XifXn10mPfEXsRqTfSO vozW5zDMI8asDN+zQy0sBSbL/yW2eadWa3Z1gWJnjFaC0gBen3Fs6sJvQA6r9dG/NCHbVaIYPMhq TfVyzlLAzYA98lrsZ81nkdYxi/X/m0hrV90g3RDlSGECMvsHXJkkpcjNhsNK3vznlB/YB0mgUcDQ MfG1oqPJ47T8qiDi9icEpJvxYeNOX64NOGmpP8KUgOX9WMxQ4He9Pb1c27tKrhj3B7UrsM3dQqs7 TvfaZnIZjPIzAroWwxzU3H3iqaH/IwEsuQsv9CC+YGcUdWeFPbKxGSyHv1hZIWM4UkX1+3F6lQg5 ktkYahC7o7wC1zXkEDHX/H2BthUOFEQ6D+zZC4W4RI1JRDGRJCNxp3OfuTfc6IvdmIC7gbtf0ZOt UeOaD6ZVDooYMyl3cqBuUwyISbSmTbOXaeLQ+rE/65vofraHZ6GakXqP1s8T7CMhKh6Q1/MaUDkB YiGliuZ4H2ciTEvFb95oNrHl5xkTla/aec5m6Tba9DSxiBQ8hScgaH3qS+c/gB56Y04LbgDq0/qG Ixf4HVXnuvk8yDQExwPjwZiCiUhkARDepDEpi8XPNJIBWKfrHjT8whYx9AlIn/Sw7aaO1COvi81H s1LcDEJNJVL/GQo+rH/A/fN/6RLPvLOYMRLHHdkTIwa8U9fp91tKyRwr3LUD1AIwhGzY3lvesGYg CJouAf8k5fP7Z2Z7QI/maG8J7VS5PdoLONJ10rc1wsDlps0EvDt3W61DMEOWdC4WoyhwLJLVJDWn Kab3QQJnd0VTSX5NUtz+JiYEjL2wubd3nfpkXJFoxu2JJiWrpiTGmxMZm6G067hPHaZ97cl9ZBew bddaGAC8vbFQflwJamDKojnB+/B+zEXDNUY7/1by070EmoLVO5RzSozk1Ol1XrqXQ/h8qOXC1Fzc VpR/L1mbce2V+FU/mZkACrZJXQe+J1bDkd7hV46HI7z9sm3eLbhDqzW6SnoYZQEZkg/aOq1nVx2N /FvcZL8xjEfG19Doz4H94cyqJmZrGWNlipe97khN3FgwDo0j32M5pnPIvK3d0nlcYgMFmGRu//Wh ZplW1xEePs257U5djaLazpQRh832mdzwCsPGvJyPV83QDgAyvRg5dUO350lvZUIjPxE3hiq+DPuy U4QB8oGs1TpLKexiaoUGvCnbaDJKeVtEltPJTyqcMq9stKh8wKJzhBArlGOM5sfGWpWBuH1wbgOi MIXIB2pcJ1/Bm2V9EpeNKuQgLL6ZgdFLk/3OKBTBt1uPH/o81LEj+GtPGzHfnxYaY4gBYrDLhzrQ usvFrk00zWAKPrZZcgbUcpekiy4js+CCpMrnR155aOZAn3jgPfDaT4W/9Uh0m1v8e8pzCMJeHl5X ELxYQJaTM21k2nsPkDBbmiIVaJM7Dp7qN3EswjQjGW5brHRpKZR9jc4bEzi7i6/Oo914lkdeubi9 LSB0fUtcIeu3/7y7Qrncd59CcywkfoIURlV0/lcsKHmBxqKwP8iyCo6CCzBuu1To62FhpP74MCyN eiu7uC1nfrEz4vAnx54lG/744g2aIcPuGmJR003GCG7/3iri358zvLO53wQAAezFETMy8gKfrYWS P6SND+a/y1BMH3wvdHUSkWTSbfjDa19sk6qKvkNSmxX71EEb6zW37Jo5l5LGWi0PFq43ldmGxHm+ zIS5Hqn949vawFhRGiPWvdkpYcppAkSM/VlA64Jdo5q4ORZS4v5sVRKYLL6gijVS9+wmDCDZX/xE LDXmqwgTzIYKzl/KrMhr0XQZ4KXmp1GBqmYOvXdtJJbFN1/fal6dNsI55UEJmh3uQWz8c6KiznyE OOcFDOvmgR05/0pP8Jf5wVSAukVDRNwbFEMuIlVFNHW3uQcoykSogXi6tuW5ngIne2TwQA4bn5V7 XNk1UMOPb8ezdmPIxjSNGCmIMscEN7slD1twX3JLspB+tWRkXzZCmWB6vgtvZbULpmC3l5CU5JeC ug+fTtmOyTj1KuPRv0adbIdC2KcquUwWnaqwGdFjRaexXDOFYCpSfeHOXbR5FyVBYq1uO0Ybrd0q apNfZwW05rukh1eLzNZcTOl1aBm/J5nWHb4fsqtoZF4mBOuNr60lpYc+zDAw6392fxKdITitttw+ u6Nf38jIbXIdynMdPxH7fYFawMfwYEVrbgtHWik5efUcUvmP3rsMeTcFjLwAmk4rq/Y30SoBoCBG 6Ln8dcHwdNQpoQp3pCWNggjUqkYFQko1snybwu98sAM6K0CMStqTsIro2M/altppu4sXGM7G6KZV 8IA4HCZgUbHZUt4xHzdc9I4/XXyRHkLyKL1BpiwLx+Ni30uA4/uObKftugZno36KDszw/ABWnbq3 GlYjvt9rfuQ71+fJIWY9BXoWX8puSOU3jLIAzG9OfZc1+cL83yuYeiUQAzrZdCfRyK3sK5PQ1eBv 9LqvtgqB/7xI0kKPRJOq2ozyMI9Jtpb7d6JhMv/zejNRc+mhc0vK2hPp4MqmWEgkDA0IiQnigEsq qRiqCfRBz2nVptNUQl/epDTWN4UkTWetYx45lwJYpUG9nC51xcNEC0WMDEDXIhySKXzpQJJiEb3V uReXXIMI8cHqWV8yltPEQnatMALRl0ft7ukJS1XNLQfYaabfBl7vN3omaImdyKod2nf//8qmqCjN l2WYyoHn46i0O5v8pDyboyY5ndn5O/Wj51DpknO+C+fhOwm8MFFrC//Zs+gkVXSIQeLwIdT6amwg PppQbCnE5+mkdOOqN7Zk2djsYPj8iO+1H3JrgbaCMnZDIDlxxBbLQ1d9rBV6e3rzWRP2l7MVlVli CoK9dBs0/X+5waVbpkVF1bAZykzxeZGiuxMuCBklEmPeyHmXzbPXcvURz6ghrcUwnZ0geewM2lkw 4LRFV/uua6iF5iJM56l3g11RLgVSyTvOch40sgA7roZusJ0SGqMu8npnqARiYjDqhRJKcCOAWxNO 1IhXQeVBoyi4I5jq72EvWdutUp4OQE7e5bBwsmBFgFLvWys+RfqlCMobGwqMbojSjBqY5IHKoYs8 goCVz2ZdMVsQvTJ41LuKH4XMBT94EFA+nZhD36fRNdBFO/EsXX8AJXyuK6VYHHAGI3mYWjV1QltK ROOM0t6JRxSTyxVhP2Qh3b6Zu0D3tQxFB2MlT/4/gE26icstWcmuMOl6T9VnvzV+M5mMwMcWbcq9 8ZvWJTG7maoyK+eJMxMdsmI+g2ASyJvyiv8zo5leNW3P6zNxf9MieLLVcDbh8WM0o/DvM9gkdVaP +yKNqh3DDSUf4hlPhstv0DlSCo976PC5mfMuUhwR2Q89I5usH1ukHLYBQ2TeDjyYcYxavQEiGsU4 nEUAEmM8dRERlkFWRwqJ9mBu/i2M5K7QLLSqtOueJztNZdHO5UshfI7infosYHlgX3fI6ksrrj8q 89gy25E5HDizlEa/tSFZ3EipLUrt2LMn0qkqPGfEmCgDIpZFEEQxE/jvpy518E5xOsKCvKzAIOB1 pt07bseP1/lIQYl/Ja/ZNp/NbRHDZTFaK/TIrPErFMuGpMTMwy8Ws8JIBaRUAWst1EPRXmq06qoG GJNd5GYsrW6v/I9a2UYHfhzebDSmBYrWOKSy727Cu9xgDEcTiS4gAIe85hrdxrBO15+LYvHX66G5 sR1YDGTps/zSfc3VzAybWHZptUx/9x9zx3hBSylC/sLMybu4qpKcoAYyC4fINjv3Ef5jCiQUD/cv mGPYZoY9ePzIRCreOLrr7zGtShr5TSQVYi5025fxBtNFIoHZBGjXYsEtLqsdnElRb/rVpAoptyaK tS5Nx+3RuW9/J7WMSaAQ5cTRc/T/DbzTh4Z2T3L1iGSLaBmRKeJA/uKR/+UpJNpaFyojzFM1yG06 TMsEZwME7xuTWq4zqm3Yl9w7jSBI9A517PjlkTfA9jlm/hzmMhp3mDBdEgTvulao6BtL5fuClJzs KUbpGq57FOtKfQFeqcG5w+TPFmc1P6Qo4Umc9KzSzgDPetI1imewz84b0UolJBTEA6Vds5TBPQUE a3RoUUjN5Li2EzV49CQ2qUiFKy16as2tXv2VSRbEl9R6jXy0XB/stj/3bajXAgv6Ta39qGa3wXk4 8lZtPFX1XdyViKFiR1wPokF0aMM1mdnnnaL2Y7Sp8qbtvvAq7XYSfWj6TTbK97DVg47pHCivE24D M2aF1X7ZzgRkqBiUEkT2rpGwMmuNst1mz+kc2/J03DSeF/3I4R9pMtJ/FQn4i6ywK8k678+dqkD9 LteO2hz7T6bubZXLpn35lDLN2ScNM+y2nHDSfWFJduhHhocd/VbJvApaXe1qI/PirUjPkka8qgPV 70KsEy2RunUICsuZv5R+nSzhomhourgZfj0aNGtv3W2COG6xt6pALlfyJ9U80/Xed/2vjVHG2cbC KZ+ivDwICEgcCeXzT/yV7a//YtDsyHJWT9vzrW5KMKok5da/4Hbu0To6zxwwwraRmXMhh4sFQSCV wbFM7uyyDd+l0EBDkt4yhiqfPZg4sOZ1xUNfepXQ/f/cz/nDwppFuAHC+cjSjKadkR6aOp7G1Drg SAUfn6mw8bmiL3FwXhm6RN+qVoH4z1xkNe1PrIuOWnOZNyqgl4vYOXc6QPM+5pAEmHETMROCX0B/ +ebUJM7RfAWFIaEjMSRORDbIikpAcW8Mdexi5+r+kGWP7yiLx0CWu44T8z3dyV+dVH73CQ4s2SPg 8SVaXs53LuZ/Zn0nGTPYupciNv+F5MPcgj2oscP5X14kKd2a2K7F+orBwvgY0/5FTNajXSHHxW7L CKe7Vhi8ZzQzcGmMk+WP6PLLfy/LsKAfrIvGs32BrAVB4cIJofqS+oqOSQpeglvBNlLz6pCKc3fg zPtxA/STzsbOVaIcWHsVwzeOLqwgaoOTz4+cgc4AifIXTxp2Yvq4him3GxvZfgX0xonfjKVXhmEW Rd+bB03x1LLplIzWHSNrv/GG8KzfoniL/AXpc1vq3vE0NRQbK79iCskogtBsrq0RlTF0LrQXaTpF Q5Uxfh0v2KU2kaXZqBcUMTIVfBWB9GwD8ivPLAB48z4cNbjjinCnhhkH3nDDR9ulK7ypsCnYNzlA kcXAGF6OT/a+q6HSY2M9loLZocbPjF/luYDLrjllnIauNK4+i+zHyrEzlMxDzFxIyIj//lFifFbZ 3CUhjQ9ySPAjNs+YJ4KqDo3lFBMW6KUWhLlxCFMmVIFVe0lHL3uQoJNpYlSzqyklj93LmQ1fnRSn BHfe/WujZzukQmMtTeOIxdGu7RG2JAoi/A+RudpgxEKdf1O5lwcy1oaWtEEBGWd9cj5ViXn6EBTB hmP4CbswgQO4sHAmDPFbGdQRARrE3EpMoXuvDSL/Cnfg43zMRSsFPFYgmTdlcBMfnuutyDQL6ZES bpFkRuF7H8nqmLbUu8yGRMvBAYGk3hqZg+McSYbEnxrorvHBJxWwUJOQKvhbm4F8p88B1sfxaUbH a73clSuPG8CBqQh9i8o+ZEoKyLy7GCFYFT2RYm8kHjQBCAMrtP4gC/5xhuO1TtWw8JqKUBAOJ+P3 DoxDwnxWItWTim2c2BMKtxOyhbUnKodsTzbkirEzMfd19WqVnUVqTzWMbZL8IwMFESzw5lu/3sD+ +6w/6SBpx5WMwMXza+CesGbiFeAioK+gsKUN8hIpmacgP8zqDfIIAw4kShY35iOw/2F52De287ia MA3a/OZVNKr9ACsiRFffHyDqh78yKzpwYmdf+1h/VSr7Ys0S/uYiU8nbS29NumFs10bRQTbvqR/1 GFcwOLe1z3mVNAJvglJxo2tVW8dXQG+ruoBZMmNDEtOHwPP1Wmntj8KqYxjmoXdOqTY74B+a64F2 MQeNXgJWpybB6zLI686Fo5trzzesiVjREie+FTpZxT1TOtDcgorfh9wroUvOMqiJwZRUmcm+JRaf qbRDRICLBhpEBmfSxndiAOcSCuWB5YLGlEBROEuGMQyzf4US0PLdjfQ05IyhnyPWA0q2X+B8+RUE ADiXzN3u+awT3P7e7yy+Wm0s3KTL/CWvF6vcHcOygOxNRl/NO4TKpWccE1/F6AUbpt47Ag2toWvv gsMbDQuNISTSYH1MrGcRTw02uJn1gP1ec1A9U9CZwW81u8MJbElY97pkRJZtqyuGwbGeWFjNein9 u7a1L0v+HvgtCukHRTZvaER3pBogXAzSlyXaGxm0myLH79twwv6M89+EsN1Xi2BbsGgm/BWT2ZSf RDXnCm+eT6ZFszmyyQkwHf2UMriDOf1CvCTs/5g+osxg6OpoEcYbc5wEqfdcCjvR38mfs62YWjdl bUe2FMCn39IQE6xTaVEJZlIggMTXSLnJN1tjBO5Cw7zRaPEwibh3upcWPZoRuTixS2fz8v5jgGin FD8uVbU0QUd9mt7GhqL5QQTiOWV6LwvllrEcxLsFxIfr17J/N9sM8w+O27+yySDusOW6SLdFKD4O 5X60WUa9w9jaDrfFmcavWGclVp1hyUiWysSuq4jj/yNvbwDrYhH90hhyjagX6kNe/VnZeZltDmRk 3f4qIAl16UhQpmNuZvsx1xeSMhoOGu6HLYOcvs3cyi+qVE+M9gFpHzc3QRvJO/FhF8gt1DJ3DGbC bAKu9j2jUJGRrJvJoSuA+3WVKX2TyBsSfdJj+i9fWkc6Uo+un9UF+zeU3r0+3kCSTB6Nc1MhwozB 3aE2Qpl57+oIgqPHzW13LapZBzn5/N01zGYBvZUBLx5Hzq98kIhvqaEF1VPWhgmNOiD4E1mba+VQ UwrTCgT/CT17ViJBWzb5LM5srcEHJWs+A1B6UaGngfY5CoGC7S7Dxyw4PeSgsV+wsaoHV7i4rTGX OvBZowuq+tWmDMj3tK+rF0gf1QMLk5fEUfL6ks4IbuA/DfRp/eLJs9zDJoJ7/I2LHra45MHCotaC qMLX9qkETbCHC+REUm7AZaR2HS2frXIHCZzFp3JpFxuQ+9VOArHUWcNl18k6Z0dhXFE9JRfbQLQH Gy7xf3w5/jdKA3h1ZahjDrOZ0UTqXkeNDXbirRqC8+KGxRdJX4ao+muoUpC/ULhYl7UHGamzSQel 91z+uW6edLAkPE2CkRBpamnnQZhSS+4Qy4DK1/lKt1rq9hyzc6kIgVMdu9+TgIGMvX5udxIBKF+r m6GvBsWdC41wk51l3QRkTwXlEbkX8L2gu4/EolXm/qKjMYmfAe+k4A3nEwdc3zqHoVX3E6PqOmiE 1sA++NOQSD1PUOwQmgxboFMmVwk1yZ9hqlxh4l8iHBlPQ+hnsohnfCom0bSQYb3CREB+fwRy5B8h SGpqzK/6qzg+zMKE6HYXp2SlhjB8DJ8/pzP3UrYQ/2Onj9AQXO//3KSAzqhWl4MfnJr1pEFiHJIB iw4LA2X/2gRX1NGMi6doH5kOPTnFbEJ7RQT7P5KUUZ7wnNKIhXLl+gR4f/CJm2U4ZeAtEjJc46Nb w6Ix7B+pxAhekqGYXcdu/aP6SoK34+77t0nYWnMDdZ9aOVi//MENweHsSdRpBd//uwT61cmO2po+ BqiL8nzAF1rGC59Hal9+TppgW2ZOriEruQuM6sjiBscbPe/IxEA7WscTrxnGkVs0Kjs+5I+Jf333 crdLB2g8ZuUzvQDuqRw/qwk04hBxatexVPZ9yIHFdmNLhh1xHvmUgvqJ9feqhbaEfjs6cowaRi41 Mf16s7zA/u3HAwgaPjvDZCQ19FdePSuo8hcGIVvWSGZYJjUMH3Ss1j2Se4Q5an1cwt39gCIxDmim if16cjozo+Chyvbq8hsa4KLId7GA7cATph/aVvRXavd7BgpK1Y2qpVzHYDtpUfordfEjJ14qQmeu n6ZCwIvGKJuBZ+D1btpNzwgpEOjKGdxzqLRJnX48x9JhV0Rs3vnJ+ZHdLEnsx2x4lLPZbbXS5Jti YwMq8VE793xpsCcFRo5yNlCd0qWWbaliIMHODcdDZ0oyiQN0CSUpJd9XTohC2UH54fEF9VY3ztM3 6etsdmmwAdpPPfvJhPx0ejdCGx/S2IkEJ7L1OC4DRwwRAlIYn8NbVU35l9Ep0VPxZn1Lp0eQbccs LC+XChJ/V83vt0ymSZIzWqVFR+bgZK+9GLj2m+quNyor7aSfc81usnpgcT3yidouGj5A5cnkj4Em FyKH3l2acj6YchHt8U5j1gxJ0rUkaFLOvZ0vJ73Q8Wv6vlxVYZeLhqaVYLGKblPNGdEFUr81CfBm VOlsUzhZyKx1BGRxqth3LC7RsJtnmh+vLQCtL2I6EnPzK+L62DCCIKEh2fsdLgmjzUz3zdKcCGoC +jOx6t+6ZaiygIHhamqFLQf9h8u+Au8NkxNrKXUo9xBlngSt4V5eNkwQ4jntNYQxpxEIcRQ4sx+6 vTp4t52ixAW82u9BqKWQPHEREXI6Af2F3sCUeE22xH/FWeD+RNpyaYf1biEwayuPEgSaHHSwyhvP 8A+6HChvNRVtSlBAmttW/jJr3xssRyUy1TfvB4St6o9H5Lw6mYQuPEoAFdXXTFVYRKMfbCD4bHBI nmfcoY32BwoOTcmrhdfehdqcZ0XLPsODshKP2dBI2k+PMo4+2/waXG2eGPoNP6V57kxm1DRC1k9P BOMxOdC4OuR4n9+i5Zy44N1Xtt7apfLToFho4NWeNOrPQbiqTpkSWvf5cwYY0Rc8TTICXRfTsY5b w8pHVpLtgoxsc6+Fc+EMGIBU81njq3+o91MaBBePoL+H5I94QQ1nkWSnKFuMFlJGsjMY2vzQlbQd kS/7jvtQsn0GLMoP5BC/di0Dvxmny/zI4eLuiCptQROBJgJEvW6ldx7sEUJluLJ2m0/iOTPa58Qn XvAClKkDQtCZ8UPVH3nJzwSONnB4OBebj4kxO2gXKxQrZpk6I2rOZPNRxi3kfzHCgzeWda97r1X2 BKFgxF2syGk4+iBgoming75NIeNzry1wcJ727POHJMm9CZsVtE64aplbcKKml1zLDj9LnFl1mi1H MbMcl2Y3clM+h+kFO4oI/JwrMQqpt8xHnds1Cu1muH6PqyDU6rYkBcMXnP6Jy/Qwl/6XT7f+vpUq RNk22o762H0q8j5jkcm5H5/KpZATY7kIKWMiNqA5mBqBWhJAKVOXviZMb4JGSNK47zrhjwtErwpE FxJr9mWK/JN9GHWtOMQYideRt5PEMYHd6kChtbaD/SM+AS3CPmsLoPXAT+wUX1l0DvLHfzX9N9DH KX5HjkX9jJJhIKPCub1ZjAbIE5wnUMaIzx2AHg5ohbzPYjAzNyW7/usqO0DzmyVi8VktQClyRM4V /YM7JLL0yT0JZOWP1xmK5yVlpfS0g1rqeXSIwdloN90/v9URTY0zpW9NtUs/tygIZLaN9DIWvVF/ PBr0LIKAJaH74fl8y3XixknCJ3DGzw4iV0pcQg/J/kz4LZ6W2HcED/7t9yPUtAnJWMvamMBMwGF6 haPUfVlt0W0Y8Ov72zR3lbBQ8kghXt4zTkfFj7JxUMkwQChmukltM6Oxs72XDqxa9b1QYkQpGZD0 I0o9xnYlKDt4hyDXRtNvdbAJgcXQ3SE2mZhag9AdxwkWgrHS0RNmvsOsfR04Cc8mCrQY1ri8/1ld ApFCHux73P6wp1YosqOt0Rn4iarxoKbr1L+ab7eUmpC+Gql5vj7+uirm+ia0w2qKK945aRnnGCLd JTCNGXzKq4hoo53xMOFYHlaGIJuPKzogRewGX2g5M8Q5PL3Z8BsxcqdblgPTAotF/mlwkIdRtdBY KSpJ4xjjxYtkkM7hkkg2uaBfUoeCWtiFDZgPuXkH3OyRfmuNDQlhlYinm54gK4n/CtkAn1x3/+A/ qd2boyaPJz8nijAprRyUPy9LyXYR5YvXNB3ltOALohjEQFmIthyp7JWMGD9yQEjoCnQYKQmW7yv7 MqjudkkxVXJHaasEwTpJRQGoCdKANVhXb2rO7zxh8gqEp9SAi5rGEfGZ69bDCPFQEjZGonZPqFzK Cq1L8ivrxgw+XkI2e5l9oxeRrpU/wSV1YylvA1mwSie229nl5nlk9PPVt8U/5XbcDBw2w8CK6tbM 4e0l0+SCKf5jhAGRvwCwVOgavZF3VKFZBXnv7M0m1J94+enKjv3syV2dRPIRcN0i91B1N0mYPBxI l4RDdCSTOYnIKZjVxPDCDENCpx1Yh7hNow87Ittw1Bt5ZMWZMW/nGT5kEopkfbEX0RQHs6kqWXXR hQo5dsF12LrpYRpt3fM4953Hl4WLDRizBTZBSMy4IzaB1OLMP7P6MxAuBMtk/WzM2R+pTNHoAKSm /dilP30OONjBv0DNHDdN/b2lSvWlU+xYWhDnqrDbLaeDH4dSb9s1NCMjdEqdmjTIaxlk0UrKw+XW lx9I5xWjpV3ERm180t+4OxRb7AIVfVWCFVQMmoY9b16LkwHbDO2xO0X6R5s1ms3AOHoQqHA7E10X GpG1WJdk56M9fQWyoO+PotGpOGV6z4jlyUJBStB9wF61OE5OP6DuEDgw0WNyTDQ9odd3TdqNXOUp RLfDbE0QvOBDEGFRwStDIkSJpKM1YVyzH6tmlpBJCn5mLU1M7EpKhFOneX3TELFojGzOZp/YrmAa WzuSrwSZEz4pJ5hVA6K4qtVGX5YeCWx3ETMhXYd4yOpTlM/yllV3p9uMq+fCijlpzxcNf79a6hZi AmKK04jyhBvvJ60buXHxgq2nHONOa1wrAXl25SZm3sE6HPKymnuCr3ukIpxRBqkQEZhqRfDLAcgd 8MI4df45hvpAX/cycCqdt9CV8lZ4t6BhI3FV9yS2dB6fTEqGn0LJy9syfYnDdxv/oIeP4c0nxJ38 LuMrgmpIurXGIN/tF8Kw8V5X4p61wklDQBX4tEh7LxBD1L1KfUCPg/d379mWxGHv3Hh80+kGiNLw LjY2XKoqupwyDWj4ROYQ5HLe5fc7A5pxDGbkP8fwUhw9cuXJcTmNIHPFNU5yAVTaOhXV9X5alFIe IyI2DjIaZwPwgrfWbY2xrnfPAR5p4kW0gBKb3MRaFiOxou523D2FCXZ2xFrflET0/ipAE9hdlV7C YRceiNOf5DzRDiAyfcLgLynlLighxBKqwiWuM3e3bBoZNeDiLg1rNq1j8cJiLP46KnkMaNCaeKdL s/GJdu6kTfFcAMFfa8iUKe9iMi7w7MKs4VxGz8LrCUExlc5gqQzGy9m3XOK5qnnIMifPUX+qLVQY XtFoAByXjfpOk6v0H67A+Y4wsece500Inctnz7wHTaPxk6xNr9nemEsLRSNDZCnMvGz3rWFtcXCO 5gtSv9zdoHYWrUVGu3WbJkNsLSYrmHh77sQ/lqYVW7CMUpzI/llZtHcM8jJEAT9raAIy0yxeZf1F 9Vt/rWwNM2hfSW+91IlQ3Bc7OjaVY+ee8GaajakvFOZNdLAjihgL/zgyQcFuMFteT7Ege23IkMs7 ftZrXK7BO/MqGoP8d1eGs4gdZ++euTia98WbYafSF3PC95Z9WaH7MdWs3+Jbd4PQQtX3xR5W9M44 5Nryg8NFAb+N5YFApXnZqWektzSzRVxQJ/ltxYmLE3WE38k9BMxY7J4gKIiyTvWEw/+HK7OncuqB afbmkyR9lAKFEDuyEWa6NGJDEJ9JYAWQ41wsvfYQVk8pL76pLqAPKBoKtlpx44/SWXdmWGtd4Ka4 Wqj05xFrFUyQCpWOceRaf1PmC5TGn7RFmMIRrslJtAnm+TNHCs1AQvhQNH4KEsfbkHKscBn8OeTW RvabqEtpb6BnwV0WcnYSEiwbeRj06/o/npl39fd0HmjZY+UWPYhZOH24ybzMhTawCLBMTYgGO9+i 4oBBSHy3O8UROKzSP4u+u8hWyq5JC8WZ1s2W1gv6MOSpvy9WfvxRaxlVtGT5HIApeoHOt3T/F5L0 oJZIVb+HH4V0ck5qfYnvVdg73KfwrQk6qYljPQZ8VHZxifKSXUa0S03l/fzbXdEjQK9FM7Vhmy8f 3gc6FajqMcGvl4noWskycW2fFsP2AiMr4RTPKZ1ER0w3TpYVxTmKrJ3TjsOxIysGEkPLWfE9Yb7d nGWwcm+y400H92RHV5KV6MI9swj8Ry0d+6SH4obVbErhl7NPEayDX8IWIrMcI5Lyefcy95Fqxd/g hsOK0qwqY6hRP1uLQ5vi61LSmQzMMuWnv3EHiAszqyd6Na6dBxDBYd3XV8sONIASOUyKJvOpe7VA Foj9DjCo1MAwC6GbBZf3rAM2Vy+I0/IcTlJlKcjBulAo3Zj5bIT0ZIxL/J/ajWuKqwbjqN/KYQxV mfpgc2GpI8VIIByIndUJbZ97WIk1hoaPnlPHDYuhzQUTTvIRyaOn0KKoluVR/nq3BDQaYhRS/knQ mhg871SZJkm2M4lsZN6qXQpIrKlhvuhjCVjqBirdC7XgdEGN9z2/1C2woTXrDj1wy6R2Xgqg1kLz AwtKpfoazL7rOG7aNdkYWvNT6v3lI9YJ8r8NC+fp+r3GAEvMiDrO/b32JlyXfMyMzXZ7X3TlDUyi sZU9yYOE92fhebvymImNGMR71YqRHKLAcVXxwn5wEkBpLRwj5EFRG/w7qT+8yDVYtU1pWFLZPKgn d3hMMke++67/mV2GYeUTconFnQv9MZsxtsXNdVhidLYOplJQGgLA2TwhZ2AitBztuNqFw3k4Kbsp KiItHwInm7HpXDotXk2onnuC5uSmAcHZAH2WR72YaSR3Ozh5hOYk7q9cryKT66dv5A1IKDC9oPWb LdG1rYwcxjsNLUEynU2H09Txsmd558jG3G6sOWCMByH7Q3PwrPIcp+IC/MVYVfYef2L4NCYHBoNj Dpu1TIKOcATJ6adQj94ylmeYDsVg49ygIWUz1Afq2qmJ2KJFroq6PNsdxbADjiEpnpXkxtGzvquO 6Pz3KYO4lkjBH/k30QuPdEqf0gbxDwsWaBKGoLQyL0sw2YadGbJM1BmuqkvB8Q9BMoOYT8ZGgHOP e1HZUEznsNrOqwW53dIeo5199hVs7iPmqinL7ZpS4vHHk20xTQwvkB26RoJGNsrtItkr+uIDCxNy qZq5NbsGuOPjS2Llwi1zE1cFQgunGQWJXxtAHNMDO8LfYqjkpkNLiDK7QmXOLQsS5OPHPCXekMR0 6f6jgJEP5ZHjTkWTn/ZE0tvz/UQQQLWUdunn0z7BA/0r0/UgmI8c8siD+NzP0MtOwKyOXx6En0nL VSJOSq5wPF/JZG4Nc/iPzcvjg+HkSW1GhOoX91SHsIYDdpfeESxm7W8k6j95tDp0VKJRAR5zRFo3 k3l4Ymt8i3Xf6L+qGm6N2TJ/I0dIwicy8o/Rha4MeIw0iwPsaP6QmzcTLLUsKd+UJXgF/IQzFP3g wva42FQraNAww3numm5zBU2adtENcTS5Hgq7NIsWQHOjoy0XKxRE/uen9akc3a92LVOR7DfA6SwZ jirfeIdWzHVESM1DvddOaMx53bVg0+ixt6I3gJyoteEC4h5tulZF+Wx8zDpgrg8LOb5kbS8blhzT 0RVxp9NiM3ru8lUVoYqCGlEq0Nkktte76ojd1Cvsyvd5M1NBr4MaB3e32uCKFYZH943iJD/4hzcD 6F8ZIdNULZcsvn3Yua7FkpChHHXG8NL0wOWo8VD9l9ver5nfxP+0DHjqVq8cbLc8tqqqnDpLat4Z pr+MSHnvJrup6api+Lg600KsFy67jOxcyLfquxZZix8edQUc/UrMyMnTmjz+OEWW2QsQx0CS8vF9 ZuL9HArscSroT0URAf0+J8InkAa0a7ps7KPvWQnkCrlJKHS2+Hs1Y9tjwukxvSWOYCuxn/MdVa+4 7ZeJr0y3jz58ZGMIXq4eCm9ze9AKs8nTJjxqURks1Vi3QRKh3ZXaQXQjOfSYhI2N8uit185gHAe8 JzWwOMQ1XW8JyZ+nYxe4Kxc0MNu+UDh+TR3HPBTQdkVbF/OIRpbUOdTZWMCK1nwtJbPpDofGwFoj p8ygf1is/YQhlcKWn1H07QyeJ2S2QC5H3x8+BiuZ3Nv8q5EfmpjoS4zXEpB/eZ4b5isVj6gvT4gw dg7stQPXW2HMiuQ5VBHWaP3frqpBMYHVXR63lCvKjloqUNbaHO1LiZEGt9Msy45jXYb8JhLMBpK6 Xdi2ZhTYu6JvQKObhUXjsrFwOpZ8QigwvbJxmvqaX2mrNH09v0crqetXqHoTO3SXTwPx/r7awp7y 9He2b9P7bFeg3bHsStkLrx6strFqpf2CZHW8mJppsPeVX9bBb5TXB5f3eRZz2FC6hackyjZy5izv ZVYtP0dDltMzvkPVM21jjtDHfuW24AsMUe9y1AebBYTckC7+W8tzOm7Gtl+jphdSgKDfx4Bo0L5z MEB15V+oLvn8tdiwh0B80eFX7YGsg7t1yWvELOoyWYgqiAuhtSz/x1KKh2TBt+GirtYSxBmdgVKF vmUHS9gcrZfarmYL38DrcQR7t3wCBdSYfwKuHq/cCPaPl7CURaLB0HPFlI+ukVOMJWSiHgP9uGcr lSfzC+8m+WBv1YcjA1laatDwG+uBK/24hLI8Q7RJJXO9vA7x+8b7dpUeapEfEOjZe4oZztiyM/Rv LURk3efw0fj3WRMJDZaKCWuWd37/lBwd3IHQ2rmff9+FDNf67VR3iVn+yfr3bSchp2v61HLPBoGh h8E4pKxImxyHsCxNlgpMSF6o1/jZCrkrVb9vSrUWnV2uhzwpp03uY+q7Mpx4U6vvPuuSAbrzPWtV kRWQYkdWvBlQcVLWYvmBoPsOJ+EAFMg5vaHCKLwUu0doPY6b7X2XEQcDyttSbcI+oVmLy7GR6DC3 k9qOh4+LCeWwxEkneXGm0H4wsh1DNhVpx8uovAjze6nCR4zOJfyvNYsKEYpIWFC6OUm5SOz5ARRQ HcLZog7iQYQ56+FH8aRNTYm2AVzuydwMq5vGUSE2SQtMRM1fhKpat3qseQBPhVQg6jsZNImsWwx/ Lrt4Iqcrfui9aGgEh5LrWC5Ui3x5KGOYsx7CTpaJoFBM9l3AlKwIcQYmk1lptAKP7aIZtA9NrAM7 dnyKRpiwzcEo84j3pg9YGtt8YfPs6R1UKguhUJ/DWsM/xkiqWKliQHfm/7uExCLrPXGoPfCWJkWP MEjPWKSpbQv62ur8FtIl5bk+Sj7Lb2LRdAgoSF19n5cPFBOU7mkj0rzS5kdzGf1C1DA4+IclzU1W qM5JRX+ZgLz5/7Gzjh/UzYREs+lAVjJwSGWzftBLnFdmnq1b2ce7ds50ES9Hk4kdo3M51kpnyYIA 2Np+gfqzRHATkoitGI0m+YWuevdvLHvcmbildkNoBng/orRars88KBxdGXWI3osWw9p5OE1qhC9M HnbF2/5PvLHQjZtHRtX26RutcWy/BeeOf5hpid9lRlkwt1eRgtVt/k+SdoTeNH3nDtnTYts9FLw6 Pe/goXUyYp1ieDMSli8yDertDNPp72qUXvedHGSp2wLUvI3dM49M/q7OPHvdWaH3e2ZzVhrNj3Ur Ivx6PtnU1p1AQJNZ6qiDnonCXcZmuQAIkDgQQ+UH4KwlQeqASPsLHYjru//cQAtWVosZ4hhp4ebw zkVKj1wSt8mt7d13R994uMcD9pgh94IDjSDVRgPnmFJg81N89bRftnlnuacp6kZ6u3HJqONhNrhJ KOa7lO7+YAsNfB20V1Qlzsc0WghF1ATQhmcRYlnOswHu8GvCSZEx0w8rIQsH+ZIo2HnUmBE9Vr+a cwapzG2lOgaOv9R6+pgT/upck4g7PzZ7V8qtcfTcGWN98+4QedcsFzAqHNJZUihRP3QcNMZL3gcW HuD3DgqDobjVcIO57OVgtoGAxmZ2+20FCsgZ52m2DkdYd7H7iN52Ve7f3seG9S/uolDG5Bwa12UD VWtS+WPm17M7/KntI0b4Eg7PxyFEZbvEo9eYFjWFA+ejNvclGeQS9hqvKaHV08lDX2a7EH0VBqC3 yCPAZjGb4QFD67QwnuUTfnZqlTSCpC2syHksYkJ4nNtbEqfF4HPjlgDnBt+WmQeBH4FgQEc5zAvZ 6tJntpKZT6454zKNgM9Ih9ZITGIz+3Ipdle9BO/qA0XJQVvH4/GU9+2fqNgALp1+dZWYB7yZ/oSz Z/v7G7kiylESz0fTPsoiN3C0sVgMraPdFqrx/r4EQM9A6JJDBd5VrHEPBXzXBGhGzwGJF4nlEt7G WhRhIejEGKDNyT4KSeHYmwqq5XhXZ6NeI0Qh10B7XOBk5irfAs1S3wYFw+6Uzv5crAA9OvIcN7P+ 5fuJZyhcKHssQvLjqZ5z5+T2HhEsGVQSNY/ZG+eq8AvloFycw/56P+Hj2goY5rl+N0ys4Ici87pT F2BKCXEQurCk07UXKIv7imWBwjs4PR7DIQM9Fr4axmedGnP2GC8glMXH6eMNaSA45ZwhMs9QSH+t L2AUzsQJvR/6/XUq6DsYbVHx1CaprudsxCbgkepWbbBe3nKt5PIwJVgAjeoatdxiFZijW+yP8nFy woCsECg4budKlDXIzgbasQ7mhX4io/6NL2HtdHwuMKKHrlTsrtRJ0ckloub1+HqVVvIPZUBzkrd+ pv8iAcl1El7JXpzIsorkRWUAbBPTs83tmkj6GC3GIV+erl5NUro59EPva+RLBKeGKRMfVvDmKhUJ MQS1JL4AccCCSWspfJZ4fxuOmYws2Nh3IWn5ozl0SfS9cw+2srWmH3bI33mL93UMKosSIUZGOrDb HeKQa9xgiJrMjqksL0wKcTKPftXxbqb1yNJNGIwx5B0F4SLhzUECrord6PC5iaA9F1nwQPCkwNiG ruPgeep6F9fyUe/KCygg5FPUyG/hQNqLUAM6DbfH55er865PrWgNzQCuddPxe2zp+HrPvQG6qJGV T75lzrchG9VTwJF7aSFpk7jCkuFY6wUCjpKHL6vi8hHFQQvImaXlQmQNZRMU/oK2BlrpbvPpF9hZ BVYz4jupvRJl3SUb7uoTustf+YcljWqrHm37cliQTTo5bYfHVjxT/EbbKbauYg5nnjcpwDKN3wFW xMWe7cTFH5+oAWReLVAAdOvAcQmp21mJPIfGYeI9uo4Mnt3ym0W35xCOkpOclnmW5ThmRZNeP3sF +wbwcIF060+nSFlL4aH46kPr55E2eyb9NAKd7fTY7FF0n6wV++fud1a+LuvQAO/RvaHHvwGd+5nE FLRV88MoeH5+bNOX6Sv7Rux0N7aoRXCHpP5UW7FBG4uB52Oy2kwbwi02Vamgruew6RpQweTevWiU CY0VK0kuUgkfbJkKvVa+SmzfFu/ZeUFqdCBMpJKLofi7P3/kyoLPjTIDBSRTfq57O1YUX7iyeA8k N3b2as7+NqBS/VpLrV6TcsUp5SPOMn2er5qyZdnPT4g4AxhBihBGtDhIOpmWL6HFD5AOB70YIKwb 3hsgPVS/lhD/CMSoIQ3ehvluJrPwrxcDFH1YvrKflB8O1Rfb/tGiDDHLJecorqndr6IO4F0husyL sjb+cDFL1RMMuB9XJXXG+RDRjy5Mde9hBkYC2DpaWefxl7xBPK5/f4WssTdom/7zoPJyuNiTGM3O 5XS0B6B6j3qFv9YgXkl09dIkzSGyDx9AdByIW1M/fG/KcqADhCF039Uke7GEcknSsxvvCt4rZrw1 IRx/UduwN8GyVadT2jxHRrnJWhACXitxlAB1SwnsEAaSrgS5M9OjQ9yW1ydP4cp3XtFIh4aFnel0 Xj5l56AKwyzZ09/FJm+PSY4y8XFpEHIpfkQ6xAOSLxramXey5IO8oNiPIvaVINu44I+SNA/mXffX ryUdDgBzf4rR6+hcvdz+vExStHWGmCdXeOlSGqtDsWShpsUbRNpl5SIbyEbeZHgMMW90KEFKgh2v oM25qLDux7/e+6EMyd3yoGEuJVnMeZ/dZEaQqNMFRrsiaA4t73t8nIt6BmMwGle3ATwa7Tsmowe7 4SX0ZxUGvFSeN6MFDyT9s4kNwqLnh6xw6/XnUJuV1+JKU2OgpGirEysDsAwpkQ8n2n+cBvS+QyYU oVSCJdMfLMDnuXRaUqvE+2YkLkSOVJdfluovK7UzNFQlkhsBfDrlOya3GbSBRpJmzNsuTJcr3K6v yg5DGlzPWoTq1xQClnru+K9W/f+go7QnoHGoA03hi2iNHBEqNyLWygiAKtjiSs5xm6lsEzMEWcAA iNbva+v4wpOcEF53s3/+WGUtH10dmb/NpgAb6FMZ2sF1kSjK5J967BopAZAPiCtcUm8JiC+juXzP /H5TSk1R1oQOCJNy6FWdUNJ+fBxildRf4J8gfD615pjEX6RdNAmw1MGamppH4/vv0Dw1+lgim1PV kLa/C6MYzBprnb6tFINReySFpsl7gctyEnmUEg27m27BVLezrf4yaAm6MO6RVZbzPi+OTcpV8WCo XXRMhXm/0wr3abF0YlNaHMS6rKT18xxSBFP2GtswfWUlewi7Q5zOI28jTjndno/l1YZlPomP/XqF C7owdcHrlJoh17499o+ZSJZJbdqpofm+8sX9rtZHvuiHxX+StX2G8fabe2v4EiTp6AlmqVbQPwGY K3KpJ/rJiMnLAiHl5CPKFF3JhDp7BvC99fFIZmXt138oY0GXgys4vsUcJ7pZk15reW0ow5cXHC6H YY0rXJ0WNxwSduBHnCSRjQ7IEqExfa4CATcWavlwPKeprhkwnczS7aHZiaVzAXpX0VFgm2lEdLXQ m7Vx/tYPhKOIxrjv7wxDvprHxzKr4ambtqJ8PrOXcE/GvCtSRqlA0D09hhAK9URIlNfYi8rw1TWa 2Ri4XbsBLdpSLzJrkDLuYEL7iEPPsyMK2eIiqBDx0OOehfBOpbKAciZZ5mXkTqcJq4TKY0p1Hem3 l4iosy5zsgv3wzONU4fNaUXBvDbxC+eqNFE6ZeL/LkyosGXQMPZ9A/pPbhpaLD6oh56wpD3laOAr /AmxcEVEdhqlbbbKQA9/Vp3qCXB2Aj64ySk+oG6rxqH/z5gU7R3zYttS5sAj1MffWfEHlZKp9N3+ NjpyBKBxhFPZZ7Kif2k3uh22zYbEXS676J69dLQplI8i59CQ7f9RiMKdi9tZlVYYcCGTpGJ4s5fC bm5IRCXdwucZAuT7LcYYmSfx+Q2nIv1HWAXdPbtqT/3+sq1q4Wu1XnftSVPacDU8QT2t/zg3boTL TCM8LkYzgLCsnJlFI7P4i7IYnvbvvwT2I8kMA3ynAVnYZmdmErxKlzTZMCADD9RGdbyPeSAb45WU wRt7dHoeyAJ0XLnOa+sZ0lee1eHU+CCgazjXfivd1ELAD3TSeEOJNxRTpztxmA0Y9rt/2zf9lD9L FB3XPR26aGzgqZuP3tXdN42b+bdwzizg2SY5WdYIgYecvfZqvShWRN+aJ8DuqFbVCnJ/McIEDvBK nbnAsrn2m+cl4v88+tuNzDfetEIaHsHYHBabnI45akXCVQfbxKPGcYOKtZ+Myon6jdv8XdaAuezx ikZwg8VWaoOWtAqOWBjc4R9JNnFKwU8e1oVR4gIsBIex6qrJZMw4hKXqNvSD5aM0krecFTzcJ2qc gdWHlcxoHM8pbO5IYF8KTHUEW9JclWJSXl7xXJafBN0ftg0x17u73M+iqEXDeCyHZtAP8HxA/mVN lrz54ZZcMMIOo3t7yy581y5JMx+8pFfN2bAQHsOU+yxuSEE9u1RPB8RoQNMweTk1RJSrhasJrZBg PH/O4jYBGvW4xRIQJHqszcKU9dCxXhamXs+FZwwPxx6SefmDghlvMsUt7ws0+KFHLLxyeZlsd4I/ poOkXiy3FykgrTDzLxjA9zixGDmDPJAdq167oX7F3jd/3EfglspDuIQxtb696+sIHkADgH4UMUfH +OVMnBapu3nB51HBs/4LH0RnSEjl7ZUeJit+zIErsCR//37PNksBxE9dd1TD3NRm5FXgzN0+kiOa jWieBOep4GZR/zciSNThRJGLgGMNQp2zJj0EHWX0oNJKMZFdL7//pMc8LjpICWrttbiIAg/Rg21c +3O3mNcP/RoLcKEKw8gy8R+ibiC8+9ICMcic/UblAXHvOSiV2Z++Y4SjSK+V9hCzVjAGQXrb652C eh57PUrnMxTuynGdpWihROZpEnx9FGqo65TPkXwrpcF44sT/6SL2BWP5uiEe2q82DCmN/4BDsKq9 bkv6GEMr9mto2aektKCr6DdcXHha8/6cSgz5NM3GdBmphqbXi246vuXepJ3XjKFXD5vwjupnJDQT 1YTZRkf4WC9808QmIzMnExui7dF1PosISJcf4DA0Eyek02vzFmXgwtdEWYgL73qzaz72fJtj2juO +UGmLyEWQ+TPP6sGfEPAZqBpVVZi+w7CF1m7dPYEQprI5qH6LTra/aPVLzI0y8trhD5QdEi6rRa0 ApHs0TEehFVFpTUxLiS4GJJRr08jistao8Dn/26b6ZZ0zcwZ46aOAsv30VcYsGAaM6ul8TRHk5Mq O9/t4pS6dPYnlqAUl7zSBlfOMOzGN0pizi2XqPeWVzX2VVAPOIfagd72ABUgWTCvTnLh5K1Xjpsk 2jJC1XS6JiObo11fv9khQhmMBGpnAgk1vyd8UxAh/6lQ6vuPdM9dR6cGi7rEfokdgTuEIXm27RRr HNBOG/P5tNyEcBC9OL+1hlK84+Er8ztZ6oRJRM4IktveBaZwP1/CJnYEo1LfpY0oPsZ13bjDaP6+ 43s+D4O5TJFNbfUz0NRJIJUE7s6wqyTdLwt28mGQrzUtYeF6/qg2C+6rsr0DRdWthy/LegPOEtB6 440OE9nmiKWVKPoteBBmgfNzQ2OrFmZ+IP4fcFKWQx/kh05rCCGg51Di5+3cMNx0+9cWfo5hG5pQ 9uvGu16ZIiCfRDxP1oSXXBGQZCPvzXRw/RDMh4a6TuwWlAGDB9lYZlBRYxrokUyuPBlNg5sWxgg+ 96gKVGMfPYy4/MHyizkryOmD0ss0rQXsTIf01gI6DmwnIhfXOvwM1b6jAlYCtGhShwjwP3YR/ygy eG0Rhx3iFEqzXqH+wFZMlxF/bzx9xjV7sgdNmB/cagKyuIhYHz7avImJKNDBwyVO68C71P2wXl8z gCyyrilo1Ninq9foCoPddtAeUqKArtXsTSNrvQ5rcSOnYhVqd3DQfXQynQRNaMiAkOA5RY3EbQNF RccqZWZDhG1uvt0ue5LzGzPwQbuCSpnI2KEipaVHJT8HbJ+JwmcbimpjtUp+avynkta8QINg88Gw dqAYh6hVRI1sYUaX6XRbupFuiuSzMXMcFOJUcS48glgam/I8+Wy5mWuILpJRGrIVFnw0PkfQOdAx DlbS9Xt02TV3FUqI8u1RsI2WVfLMi0EsvU02sfBT55pMtsjY9iqC1KMf3XR0o3gOhd7orx/eNu7F SaJqinPHYb1i9ygdSf0ldoJuqueYPBUxUCvLD7RMMWV1f89heu65O+lUQ7MiO1B1Syf2YlfiJRYZ 1keEbw/lazqUgAtE3BuypYPPfaE/m86WxPQVYnTUoF5mivVGrrV88AEutnKMTQFL1tXyL/n9h6s6 DVCn+hG53joBPpJPsIiTIm4+jf/o9WbNeM031b2Qn3QxqFl7EgY5Pr4b+hCSC1APkJOQzGCIkx5D QCQh5pxnOlzM3jAV+eOzCuCtN25PLJiQbTUjxGBhd0U4gMSMWCDlru/tndS5CBsl9W1BB1LLCd/F N4jawiwwfrqs/w55RKE6E9ggzI3aNupWOCPkzfc7fu7DgIaqryQmtUYdSRclZuLT4ht0HTR2Jd64 rPLC0wnl4Vxa8O882hXlpNevxhYD/nhk8arm8Y4TP3phGVanpbUw9JHWXrBEBi7sbXe8IMas7tcp ACWi8IjIOzO5JcqorNmexg7Nj74vWpYdop9ISiSf7nUcoLELHAcGcPZm6uaLp4XvtWDEoCrSoK6t gkAO+JbWHedkWsxz6L9qbaiBIwiZpbE1VlmOWJbxjB9XqaUfYeZ23wB60MU9RCl3MJc+knncYMBN oGSqrUVP6b6KNAl6NGwcwe3lFQDxluk4DcOF73cw+4M95RmHPJh59r8X7H4hIvEfrmdO+v2O4KvV f1PCCVADiaM5oVQ1MsYOyRlXQCjigSJnM5wMP13ZhevhmcuMn4nZiL4CxjhCYNUHIoRXTTBbW/2g 1vUk0gLU1vpLf1RHtTvOxe9SwgKCn3IWRYRde0J/4KXdTZ1lj3QYJpFsknJfCIoO0vOattbKUzzo IpNmLeS82kM6HHta+mnvdkHIq6HTSzndQx4U4pjbuDpgHpli830hlV8ZZWnezWixnHB+bXj8enHi Mxgq9DVrTD86SsnhFymae/HDUY1O7jSBjYTuw3Tcgt7UrQIVeFUkFFcm/qnHMAhV9QcaW45Wi8D7 RkWNw5bhWwO0sfQ2gwY+Dk3o+ZfikroiYqL38GEImZriwpK3o53elRqq5AMzqtqm117GjocoZXRK y2z9OhSmSZvjGsSWu1T3Gifmo2v32JMuwFDBSIHPFIxXCm8ZOzhBrO8d7lJvXdETDv5tqUzdRSIb aOhi2VMayH4mXZx3O31aCKh5BqlrEHU+PFAQht6ufqeP/+iyKemmKDUWl6hp1n//ym0kdIsFikih wq/Un/OcCpzO6zWkvjmBwTbPJD+UrWWrwNOzuGcmSFw2L+FfeCQkZdiKCL42hnB3Zq/r/QJIX7oI H35zuEFDD2n1W8cR+HHt+ZEzoqaocjTcf47MZRv2EaZEN5mPTeJhJzGElvjSgCBS5qpkxFIK+isU Zn3dn82isDjsnzezyYwlDltaEGilWGLVYiVExRA7gdnU1RtXLeZAMTnh0m+vyfUGd0ortsgwmMLb vVkOcyYAhuIBlCoaY1vqsNr+L0bu4OboIrgtPEHlG7eo5jUCSj/D0UirdvUCqVAivIjqFZ4y/9e2 Xeyer2Mrtqr3WV5yDIaK780VRr7jnvwvMmkaNgQ6QpHoSGIQnJUtjt+G5ocQDPDaT4nRJT7wYTnI NpHrWYw5R8vOrxo/weMgeFE4800VaMAxcb72DGTLBuaI+NVuL9lW5XhWPTShLdKHZNqcJAsMEVZf NkmneM4EVmU/dDYgVEoo0CDQ4H3NX0zbyKgEsBeWTEelvpV+CMOzZMP6hg7ThPK/GpWmygoZ46lR BXDExVkiI+1l+hNhgFZo4FafZQScapAz/2+gSIXvqz+x+eQNDFGGgyuxSaunxnz0JB69R55qTW5n rFY84Eh9jTwCY15X+8YPmF4H/k/V46rFhamoEfEBy6N+RY+zvie3cJNa7q9QVnYrG4RWMgZja91H G9O7KMSBwaaE5TUvU9AL3VKEC+CMoaZKd4EcztXlw7U42rauzWHFTufH6DU/SFVqdAlR5+vAdMV6 CqUGLAJxrYD1RARnXQYRnCG+8JYaurfZj47/cwLuWp4s2s92NNCTW6dXZ3J438BPjLxxek11nouB DtDEbXzD09VnIk8FzkPVE3O9WJttGOBP4LdoxJaVl6VzEoLHpbcVL2qgp9L/VV0nlHfHW6D+g94q +E/IYCgF96Iq/zukFcJjzmk2UiuSziuFDXVmiTq14DeZqblUBVRVY/3r2tCVHj1U5lQbVlryGvZW cjAzLyQaZgPb3pmQhJ+tuVD65W0XnMnn49tfORiU8e90ChfBHV+mDpZ+kD2DbUWnNj10WCGNfQoi rdFKxYsisYpl1UDiIeaDT+p97uKCXqmf6V7QEjEX734g4ApeeD/u28+e8fvTtKcT03PK5/VCME/q hX16aEmdbnQdXyAIzznE1NzGzx+ToWQIBhy91sWOIUyUqHpw+GkTgSq8Ywg0hdjcyTBD3ht6Uk9C +FbpLYYfr6rRGD/IQ2nKYT6triI4OsT2M4IG1FNVPRK/bqzWuGM96YXWbHZMXixTFC3UOC7Pp0ox edLxv4ayisgNfE7/NvOCmwUyEOFiF0sAEln4Q7re2RdUSZj5gPX2MVRtgz2xBx3Y4ueNI9nh7hHO iy1Y3fNuX2tiK2VYm9BcrTn3O7TfDTjGoLKyMnDaxwiQIZW1l3wqUVbcqPAO1fK1HXkKa1Ine1DM WFsrLjMfVfYYvEB7BEsA02zla0R82YCAY8KDjYZdnFMTjiZv5RTlhFPlRE6B4u4S/8Sqj2/Ljn3l fXBYjeB6033+WxtWaRimW/5jw+ZkxXE7/B7/PrK3a8m2secp49wVzvQvcBnA7mhviDUHqAmtkFAI XFjI7DYEzbH238x/Xws1IlXPeeHzctkGcAqAe5B8CVAGHHnhlBprroDSO3nGkrGkhN04WsBPyRYz LhSmjDcwci1IZdCYKUHi2oNCZG8aV8a6tigPoSWF+sfZwzKV7b5g+N0TgRXg+DwMfQ6m2iOlKI76 AeI2VQKM76obKBRi+333KQy51sHHs7SUzt+j2S5+Ir34kz2biaku64AcIWKsWB87aHERrOh9iVeh a/qppaNFiWtunxiSwaySX1iKl41rMlGp7JioML/DSBajegeA+Wk4pXtC8ZVwztboMEFKXvo+5Hoj VyVIDYPKqQHuRYttm3DOB7Ay6x80MYNjsX/FqskeCLgHcRBAULnbk5ksThhD2pYu5qhrXpZAWQOr +9Bb3rwvTDlnoa2Fu1LUG+lB8mxgZ2E8fTUYpd9Oyg+/xCvbNWWqK95vt1JwT/vIQhQFjcVEXXFH O0pBZh0c6udkBpdOOHZKWCA852ZJr9zibZhSHFGVa/rnDWOhSfkvVH37FuSFUeFQgi9lEyQEKpLL Qr3K4FztfwjeL6b/kyjpCdZSVGBtt0q6yVOL/UvDMbtpv3Cuuu0YCUE50/DF6kMOHv2njWhMVl9W EpbGDHbynsJezO5DLcjbGgFNBQJf/9CnDit1MEXKzmJZGPk0nfBVluGvc83rZZgnzAFRCzp9w5MT 1UOrCtPp690xdih8+ZveENPO/kAzZFM1ULPmbAyHMetEyOveJS3xYgLrQbr1rngM+p3INrkrVJOU L3Ay65wuJPmSliAfT/YWhoJeN623CN6ygn48Fq2yTRamygl5a+gSIcCViDI9pOIsi+XhgYoAR4+J 0dejDFXlqL48sgYWhuag8E53zbWvjf9eRp0SIwnwSLAJovzT/w6XjN70uO1oexA7jIv2pyb5FqXM caFo/MBbaZ6LoMp69Fo/UvgS6+JPXoRTxKIPY1sdjLSSV/5clbCpYKZ1NFhj010/244kM2C4HV7F 5Tvj49i7mtO6YhUtQX8huYhM2KYyRMimLSCM2aiBbF+tHtnI1uLgZ/aeU5bKyPN/0Y5xRVvrcVDn xpmQ/faRnSejYtpJbYRqcltH9U3BdkWd+5niv5eZU0Q/kLNU6ZVQQi8JCvHICoW4XjxIlNnbmJ5I LqydiGfHU9Ns29+3LLaYfA0fUJ9DqZEcagVhJi2PVv0MCQS1B30FvosEfPaRee/Bl5wWWlTVVEqr e1l70gnwZfxbcQXr+s5gPkGOCiZJGH1vGDzf3qyo7Lr5Oi9w3arwhDxVvoOhT09iI7Qa1WlHrTdu OcKJ3iSsib3LPD0BBh4SU0bIuX2en5YriNf9yIcYrf4geKGZWl4Ku+66NVRQhQDAuA8ohuVGqaJk mwUgBfMVRNKOy7MoaDto1c64cmM1ool5Ui5sOH2K0ArJBfuS2uq+IndZM++Wg8n0bhAXvAhGX79a o8BoVqXJi7lqSXNOxK8gnc5AH4iQpLyQ/Ea9Ivy1A6QALT7rEHJQxw8/DJGq/1QI3T2WWNW5ukkV HV4LCb4FA2HRfbxkjqoPG9KirJaHKwv0UI5Kt/hln60ag1Ung5unZEx1T3IdQZqILY3L2TUu7kKX Tn9DUjqata+qcYjJp2ghG7F4WKNOiVV5vDWreQI59i1fL69Nexcx2CmC/XeRFpZz9Rb9Ie5/4z7T hDrTRwWefkqpgT4YsY1OK34oaDWVv9nWicZdBL13IIIO4hq4PpevnzG4kRgG2m9QlhjX/mbG9yiJ Tt9TnMej3OM4UQO0wZM4fGiVNybdcVr3OhwOHTh8u/r+4Z4j/akgcoKCGlwsA6sjljYQe7X9UjZk UeqqtmG336dK/o4GY0/8y6XXYx+oUq7WGnb2tWQqkBIKUw1Ua62T3d9XRxotqoTS4+UiJmaT+tsn Q8zydDJV+CLqm2x5bc9nS49DpU5QfQUwP4ax3yxgqSa/7uIyPEnLzpPoJ9uutGlaE7ql20wDlfHM 1aLIjfhP8MNblHEZBIqnMJ9Us6hajtQXXWE/neM9RLPrNf5qq4TqqXT5eEg/OJy7ZKBDIV8QLaSr ziFmoW5/L+7c+VDnSRWKZGy2/xniZkebCBra4BD3JnyZsctpn65pNcMKC1PMuhLmgofTk8QX+Zcr SIBR9VvTpqdMj0GCJ9IKUdB73NKIRPYOxld/N8f3HT1a/jaXiGYEKWyo57OJ0E87kQol2Y2JFAAv w8ODZPj1UKRfqas3c/Xx9273sfc7Sd8JtCga6SP+aARLhpgr+SCOuPERVXs2CUS/zC9BzNWeSlX/ oKApjs5p17zbzfjpgjfuTSEHirDvlSevI6Lgf8VPxcNuYkgLv81DS+JHRo5s5h1PvbTPaoom3BIv Hrn6tOhJkeWG2uWl0LG5VVHjmOeB8s6luUKFG9bkxuaKzeNdOsxeVMvPXQBk0J3vH9mV6MSZGOsE 9+pMQ8D1h7VyQYQrufdw6G8tfoSCCE+2PLWaozkWEKvzzy4xIcvrpXT0mYnolP58bS5WG2wPd8GH ZfLLVxtZtsnKV3hiJywrdRmlGMw35bDqzPRil7gcDDydN6TDlTh4UI2vZpmwGb9nGJwBl2iL1H8X dkEY6LWefBO0c3YfwDF7yJfRYDqCZqaLQHpt9t+SDBDIlp6pcvHoS57ZZPu+B0NKKExutxABBxIQ /l5Q5EAH2mCrXHjcAwxov/MZh1SGSV60ntwYDIAIW2hLcPzbt6c3dfh+6b8pW01K3NrCQdPqxnCx R9d2T7K6RIFRmrMM+MPNPJaa3415y2n8IguM2biKO/c92yp7Z5mTOQjjU80cF+dwLURjYuW9wTey lvwb2jlCTsEh/w+/T+3PxLYu56bHZu+Sru1RV+fOM7d0KEmaopsFXBCoYquSRFCtIhXOJw7Pz4ud XA++3iOGDuazN+8ZRx11N6o5vfUOWPMdt6dFoR5JV3t4+2ATUDq5XA4tlRdKH1/c5vZkmW1n51Zf ehzwA2CUaQiPE0q6I7LRXSi/qfwmbq7y1VCJYHrPkxEgT6pKBZJTU5X2pmSpf4dsM35LYJ3+1n8J 2yGP+1xo4XTAQVYz/D6k0Qrk8WsPJNNdzm6KutzF6sTUHXLLGYOkCuhjXZ7fMJFISrjGCQ9TWux0 1aAJ57ZwpvKUSP+epqJSSxdqnMoM/pu6yT8XcUzgfrLiUAkQMcVF1fyS+pv5nZaCJvKJJwQUzAdh fyqS4eEEn/qNuBt/+mVxicRVes1Uz/hBN8QiqP3cCQj+4+derJyCqyfvsH6AfoCEJA6DNF94v9ek tVToImd1KHwhs538yjpHzx1Ok780qWLSoznQ1f1MY1hfTET4KMvUpopIWSxzpq1NVTyU16J2wb/4 fLD0WkvjHcZeSwcde2A9Mp0FlvTvIXyjSCSEBpg7N/mdvsXRS6p0HaoGyZZzAYaiF7lGctdd1P3w PWTcg0XE9LECVkXiG0RbpyvRJwrmII6i47S09oTsIrVUWU6SjxddQpJilLK4iiT2uhGDj+Sdm2Z1 tqcal/Jq2Zhb65UxwAlSb/lkmfoZwoJPnCxfzEEdPfNCRIfC5WYsb2sE8n+byRK7iXKYaErf06Kk nfaB0+EDwQ6GcN43MMC6vGmS9Ky2u220GAtlCnFFC1IcLvpVIRaOIRojBxIFAD8P4fCOOF4n1W0Z zaZbPZamfAfJWeD/cbr7ZkEfE7UdMQsDPYh2LPofNbj2kynNcCQDt1p3Qx5SKgqNomusbFB5jvqI j49invnGrlG7TWLu6cTqmvlYG4mkaKaurXtrfHvJQ/e7IJgusZZw/5DQUN6vmzSPpZLBTJ1O+Bru mUw4K/fgSxcFI/GQzVsH5ZjyI/q2u/kctTqu9cCtcUFiFzfh5liKDsKU4FnDSHUZIwd4n/lbvdLM L7Q5Vk8buMVpCIlCKmiWsiSnR1wEECKyouMhjLVZ0CPvy138xowmbsTpiSuE1O2matmZ+HrWywrx zFBe6aJdL6KDeHAxT2dZAtHbUxpT/7Uj92s4wg3beiESeO/KuoXu3VIl9kLPevF1TbSC7KoazzBC fXiFaM9TxNEvYte9cVFBxORupRgM0dtKgrd3HN01bUwXwZ9Hm55yXj8d1AlcbdEfBxwDPGQxt7Y5 21XzjJ/PMXjOaZ/1Ub1YmCEkk2T0XKSPoQA0+ldAbFdssaZNa9DCm6tNwGUvAKDmr5OsEvJr5VMq lDfs1BqpNPExam85ZzW5dRFhshe/yIyh/7stWXHLMbcQggz3zh1zlr3DPprKJmQ63yAMY6CSqagM rjrUnGbOkNCupmdGfd7hwAR2gXeRy6ohXNPtNdja8Oz5KnlZMT6DnAKnfdEOsfCVm1NlQ602XxRS TNPYfqtEZIP7pBQifjqO+RCmyoMtRwSmlkPr2bbVe7A2wt7XGbQNX+1NCdxHUg3q46gIAlxuSzNk Y+HOU6+kdzrDUKJl7GjV1gKcWfigxm2dKqqHtGL4yqOzfbjh1Zp91BbQ4nuqBzkQvpjXCpjkRtpA slXtJ6qu6iK1DO/CaD/0PleXv8JCInGwf7UUreSZFw8CcOTz7pxjlbyrfEmDagHhYOHyN85GBUb9 ifdGXFv99Rxuc68lI/cUoL6iszweHcSsemId4gBUDrUUr6HvDhLuI10VF3Fe5eQbEL0v68QUewS+ fC2lZ5rBfZzqrbBKiDE+6TgjHCgzPJIj5FTdaP5xgTYtJDKzr6WNhFOePh4pFpTnqRemMjSH2lv5 AmewXWeo8Yo1f7PPFmmvcMWb+C9k4erDlYyrkA7zk3DshTV0bGKvH94tdf4jNtCz1LUssa8Pt4Wz v5PP/zuwuUiSA6OfdgM3Cq1HFPF8bZpp5fvyHHiTyG0oyr+gOcwUjyCfYMr2ZF+eEVPCcXBLfCUr V2w3FgdQ5ARV7g8eVo7obTWa8MuZWs5HlKmPexe1E8w6+VmggM/HISc9XNMCAPipf59ocka27OAB r/TjuTEThD/l/BfBDDsxfh8OYvIrONh0CER1AFYWxO5ERYEGD6ctJfSfs2stz0VA/g4iRVaKfMXZ wMhrG+u0hsHXi5MY4Nr9D9ptUJ/mf5Y2Ob2W5TG01v4C34WzIDwA2OiwzrYhp51uKKxn/JBpGbeH 6RkCkfwH0uXcemLUGgAqIZFS1aZJlDQ39CkrFSIp+eu5C1kl3vZTauomFxj1c4L6QuPZvPTJtGFN JIP1YhPrPRRk4ZBGbNVYaRkW7993y/IuQoEd0nGrT6EvmKKjMER/XYlhHphW++XgNdTT5JqKm8sB 9lhobgStNnDI8JBC8OxsZ308WKRz+IeDMCnMUQGbFiCIMy/FZ5NOl8w5YGbBlicNVcsrZ4d3gfiw wrcKwE3KvV2E1hv55NAvOZcKk89BbMfdkCPMzAaaFrQBcHw1sSFgMFDlEp4TZ8X0027Pgq1xivnX cK19tIKBTRTtdafKa+O3Jlh4uFGdzfyBgSvSGLtRFzAJMopmZTyxwqfDZLeA3goHngRon20N5rSi 9ic7E2O/GrC7+4HmX9nD8Rgo9FX9N13AXDbB+2+PXO+d0mS1eMdWp8rV9ajQ2POnlE72MVPfEt5w zA+nsNrTK5o8zpzxOVoeuOfcYJAzGM8M+aQarKm6RCekNWR84khs3hRzRD8xIlWy5D6fTnTNtGFd pRpc1FmzoEEBxJN2UrzMGimC6YCfRceQ/Mmg8g9TQV7FxT66biH/gk1NwkFWdxPQxwE2QO/asKj0 HyP0shZBtCcoIDo7cPIsyjXKnjbgoyxSZuEMvAJZR/rnLoB3xAKNHhDSiSEJ6XCi5L14a9EloDoh cbDL/sQDGspilFNVOPZfKOKQo8+o0tSmH4AWwVRj8aTjvq6gYCb8LyI61+aLKaxMa/iaI+unJ+0Q JlB34lXg7iUS9Uy/zO9g9w31gTwyESgSDnjFFhkgl1YlSBiPA/xfU2nCVxV7fcdEFFMs7cnc/wbm o1fQ2VYJC9xw/Lsh+7yPp0idtBIJ5voMwDe461P9ZLOOYxYdVterOM3b0YOxHTole583SdavZ/zi LxfuIgupb+8AERE+7tFOkZdL3XuVe6krrPJLCTNEdlHhaMuH3ch3Gy+QcFS7hUtE9kzHM5l2215o 9BWrzWqp1Pagyqys2/v3Lb7lTq9ug2TC3DdtfRm1iyCA8IutFsEXX1WwVutO5wbjuV/CATv3rDhc UMkekTPVkIjWw3mlHqDcQo0aGDd4UOOy17F9udYdZpWl7wBrU4py/2oi7DItRStizzdfWWw2hLwY 1Md+sl96XzIuV3pd5Tsfw1yP7VtMkQLeeB7zjiyyGb04g9zQ15e6BDcOOPip7/URr4zNpp/mMoM0 GlUbvtikN15Z/YkVcA+tufQMCn3Qp0zfmK5km6FxN1TNt18Qk06dcEZ9FDs624U9Iweg6Bgs4FxO CrgXgtvfG58OBEXah1ZtFrGD93lns6LMpK+ymkZIpzFzPk+IWQnK2vIe3zlez3nYAit42Oxm53sr fxZjy20rqEX7tJdnglAy3l6UYptK5yPRX680zDorOtVwxJBfUgA1wQ7JQGPDBt8vidbTRRuyGC1T fRE7EtGEickJ86/SMY6aQS+BsRPJWkCteluPQo5bXcY2pnOkOKCLgcDdjnjFybxNkEjKcipEObmt JfaxLEhoKrKfAbO7KUewgjXi6n9ECDTZX1iE07Y2aKF7Q/DjjMGqpYiGk7Nek+Q2yVU2P8j6JWSq cLKG+oJJimDz3Iv03KfKwp834TQ9XtiUHBtN46cnXHjfQ0fHNqr6piRJ2SgJVmyUceDh95O2l+60 ym51OoJrdBScHLBJQjpbjJpviCLjvs2TX7ZDMWEOUM6R5YVhqiYRN4jXPLvM/wb1Rv4GSVbNCtd1 vrcmClDfbpU3M09WEqulsT7DlZAH72ZHMrqsmswLpHevDK240M2Dgwh6PafuPvGxbgqLAtIaWgVv wYcJ6ZdBm4sNg5nXPFdi5XWBg7Q40Jup86F70M1IGEXHRG4EpGNsUqMozB69J5dsNcmVbCxv5iRa BjvFMgnUhbmuiDhGR5VGaEqoFqJBIOj3RF181UDyNfFmPC4fGIKvY5NwhcHA1cMB/hZ/MAfhnCZe jmw9vF3ypGy/KxWChPEv6RjDENU9FBLtWizYbLHK9ko6rAva3AZ37K2gQZ0QkZSiLhe+J9P6Gyng UNmbLWPTvRf6Qmk6pywwmFDzaEvCi+XWPTNeNEOAvu7v3D/GEZhz24h5rAZOcdD18NryFPMluENs lH67eOcNnwp87x2QOHFfIJ7sYcn+30EangveinU6ROXkXFEzhrQlv3Pke5Cj7NQcmiFeFi7SvRbI ArwYlfqXjaqggskNflOFh+aLZnXzYFXF/385JodGvp5f9+Yy96kquyVBUFh9mc6WK69Nipzvllgw 0Kpk9nludfsXvdvxTB01t71Zh9f+Rh8KySd80dwd5kYoXaxbCQfc5Me0HZr1NDGaSyntDYNJ2kUk z0UpUICy4zqLoWm9HHl53tFbzOm4+V4EClFht1qpAhMRjjRCCwCiPbTjfjnLeUQnU9lLl8I+Cu2n 5mNUpMWPmfFVJkfAuqZLI+7kjVAERmgHGzKefKgN5yacNaYhlNXWkcM3+6Pv4mVBFTqrnQb/0us3 oxjMzaBdv4xYUsDMgh0mXgWXbYCSNY2y+qaVTW9pFv4idgFXTMy/U+/34UruSHvHFyJVcfWFQlE0 Iil8JN2e1IHnmC+tQkA0E1Eyt2JzSjnDMoY7jUP1Lo49xHP0iMrtDjIVSLByZytowKHjdh4+wC3W qQbgPJblKQwuXtuni5etfgY9D4uPWe15JCShtohyHnPnyQpuv5tCrvXMVROo6hJu/k23JwfADNNg 2N65BjfG+8alEVl0Yekxz7TkZj6Dlu0c4Dau8vL3b4yUx6NM6GVShLn7orzHhpJ0oazgyt2pDM4A I3bAsuwDImeIYrNeBh9BXf/K47V/qKtXpw6UluRVbEUGlDIzrLiGnIbLhYmsIm+xk6OJh12rb5ev 7AuBzZXdVHVMLcYBrPNi7jYD2p1UFDvuHQneiNJLmFej6LE7Eosh7c3z+ulefJtn+dqC8zaEkEWc wM6jqKR69Ljp6VD2DEhpgyCLr9xlEQ1qhE3NwuSvZK0kLqO2LR1/KXMWUy6LpZsRcUtCeu/3xos3 Vgt3+cOV5YpChyu0TxtPlhuOvbm5BoqWF9OTJXDxJ2420TKuv/SjVySh3mUEk+J6OxT8xGJ3/Z+z arzHb5MW+WC0ygrfQiv2wI5hPOqTAdSGC8fiXsxYpR+en6ZSga2be++nCeUhIi8c5jC0JJ80TWRD pon6md0miuCr2p5trI2JF1kVtxMa9PwV/4bYg7ZDvw/IvQ01ePUN08UmRF0AbMdO8askF17u3mYh Fu7OOWyhWjX0Wubi7g+b2H0pJcBJWX4D6IuXvjZSl8frtfUOjHDJGLD4wWa0tUE7Z42AFM+coNoz kfSReTF0Yj48LKNkxYt8F6G/ayhQnKSD8tvxd43kMVnS19JV/0PFscwNzDkc5BMh1Qlk5NC7WfX+ 7xbJ3dHk0q6FJ/gHQvJ5Uyz11y2V0qHt/WqmRMJUWV/ur3V7fyCqZBk1YrKH1I0iOJmOXZ4tC++O 3fumBc2h5ybF5Jtjklf5ceubWejpcbtSkchIt6jtApUzjml1dOgYLlht4NZLg7hUk2IlQydHRztd aAf1jygndLyvlhdu6ZwhxLwEWNuLZG7gk9I8ZG3c4qtw32+xqZkJoONZd/0G9wklj+bX5v57xkPA uRaV5j4gx1W2vyWmiDQd0XWpz6FPFrvKzoO/f4bnJXnEXMs5W/GN0edGfgAVrlPSIRe8vg6bYxA8 MyScH951B/Rl1YD6hmVGml7vKFgZeJalZocbzeaKJ8nnXghfbk6Mp4oAdO0g30ESeWp1QS32Rrdo tBgIHFbYOj43xvS8fjfX5o0Cx1PdQ+IpiP1X2GeFXbrBuaOhQFDT9J2EnjnqN65JtNw7FQcnChaw KdMDSns9hMj/YMv9q/pCJfAmDtMmNDhiT/oXKxyhHJUeZ/5O4+c+Hk4sloFOfd/wOuLR/CanIPtW qb7rmypBm8Thv7R0RT4C6mfrjQ3/MTFjVtqJNogXNvFNc3jU0NcNPzDygTIgnoxtNvJrF4a5ete2 CbDEMd6u2HH2+++ukZyhCdXzf2ssVo+UVrU3HGWBOGzsSuP7J5hF8EmMPaGgtoa8KWs0X0Pu2jgD G80OnYgVcfh7TUDh2xaX28bqDhQLDEqBXFiH5/0P0ybZ5H/LBKyeKuqTTa3PrhZ5FKdxYkUTQxR0 O6HqzmDlDvx5TtKUwk9i/EtCDxa1Pw8GFdzLvRR8FP0vYIjtoTULSB4k6duDupcKaeyk+gvnEbMu NW99arEIumn/VWKFJWLwvcZ7jCS4CvdNl7quW8qCtLSSpVas/e3mPca5EJqm/L0xjGWCtPstjVmO Gs4uH1WhZCuYtsiEsknTUUr65yVnZJdjwFtQG2B4RtyPRd/+p6XXqcJT3MoxkglbqZ2f9tiaPK7F 2WZRVjwXZK3NHnEr4z9fDHdnVdiXXHeG3uBNxHjJm5MYmWhpw2d7wz2Cy064/ebDt+1r8b7szYj/ L6nTyn4NzxmEtOVMoORzX2UdNOaBe9JCpfaBRZC+jscrCFvlnj6G9D0OOdKUZra5lYe0Kxc3eTu/ 5yPvPM398uUQVwVr3yixrIHTZAItb55V+v3R7GW9c5fLuSJUWRvaEHzq+8/KEpqfnntOgs7ZqL/Z p6rHcbVO3FltKB4B9hjPibSwtemfEjNQ30+LtZP7tFSgNu1ZjtMycuKtY08iFgyupFwkDApxGchF 8bf4DII2SGnuDjQU690yHq+Q8YVAYyMCWpjsVGl2YarQWPW3e/6juKh0jvYrcTLzfRDKsfd1TG8h Y8Cfw4mnIeBWXi2q5Kt3D+z1IUqPOGNNwCZHdvMkPOokCYwc1zuVGM22tHsvVmIVgxyat69gvnJV M56xHE9rPUo4p+Ls+f/oN+HHvfTHQsObjPZ9WL3Yxnr+O2bVL5cQobwkxt5nIe3Qy3udeiyt+c0n 8RWnsws0XkSaR4p67NcEsuF2JvNDInEhbhdogKyguExc1KvDEwKbOmQs+s6dLHYVJUqjWx7n9u6g JQDzanBltNuFof7Wi5Or2KeaqqyF1kDSAn2vH0NU3lb/SuvY+/meycJnACzAi+JK6Wa/lfwu9+L6 TLjRcZKmwTBgUSUhb/TgwctIAXzmWU+P3C1Hmb348c6uy5AGwQrf2KJcmyBm7pMEaj9sGwZuLkLS ANTFEKMPVdiH2iGlI7jDJb1EzgeRzXqqaJeqyMDnY7m526sx/wntyT6yppZtcVjje8RYncisTIMW ZsSJPlZRQ6U93PdqViIejY+NsPEbyQANos1pp6jbCrsRasyLw57IwSfevVzgpVcIpAz6a8fbZ2Fo 98NyLfoMwDQXm2JrNJewBHkF8KBnsfyd9l5jEDEYa4VO9bJAjjPd/aWQkyi0G2jgXAg1KZr1Ael7 vTAL8QHyNzjQvaR1lxGwVjq+xYJgbUoiPCXFQZQr9IItwxYnSE+d2diKauEPNh9upeJeFfCsHP83 Tvx7VQ2Mo8sP6hkqCqMFJ4CHV72Wim/Ah2hSw7SzuuP0sbBBOosWrc5VQos19nPEtb+L+wA9S0W0 OjJz44pdxDyBzL+sOzMBc8GLD3vcMva+AgQUG3j+ovNRJpwr3Wt7h3VdeqToAEBAw5hXy1rrZnYg rvn24Dwc5/rgKLAPVTaBISKUoFQ4J72fr3eaZ+j9AESbnuf772EP7it4MLBkvCv6fqKVSDeQJ+Cl NTkuFbLcZSWGCfO+AfRT4blM14CSPuubk6lJLbsG+W/aBjFKaa7WEaJT7h4Edvp5Ls+sTEhR2afI o0bGfGlyVON0/HtF+m9xn7ULu3vyDSlfaZjambCk+mTivC6zag4/z83cT9MR2CReNfZpwozOUvh2 mi2MZe8jpBiJbBpSyFPkqIaaB283qdnBmXsZ/z8UWvDY6JqfpbsilTFkPuiQRGaq1l7ozfq1TFeo kUUEdhWzZErdh9B7jansEgKRIrE/RdEc4KeNgJ2AGx5zkPn6cw/uECuoDNDMJRwo9UYZEESM1rYA lLsuDChxv16ifA1/W0E8osU8ZJ48XEbC9IMyZY+i4fxMcVh67hoaXttbI3sMZ3cpFmWeHjv5HpwL fcSWmXOHIpeJl4UP48l13174m01qInTWAnxVfMZjwxHDTdvoT2kEl9tjyacufn0Ck2CYa3Pdfrz4 tM2c6XdigztBV2akoSUf+VfnIcCLaMzfFa/ZOUcySAoxMMFuSewlLC7WzzmcFaloPwceCiWN6ifN 2H8gd4kcGLKA5F1M75hzER6y9FJVEBeuJDNgB3EUMt9HFLlKjRHuB/NhQHCHHbsrOnNyIp7J6bNG fqklmiGMRKk9NvLFuwp87bhg8Q6j0oC9X7lKSsGWHv5T2iRl7XqG6etD/zTc76rk7MlWGHuMYMi6 S5VWRLZcakjqYC4TTsjAxh9uotA+kdVAyCy0VceWp7GN43FPWBdnGBLTep+WEOVNwtGo7Uz2ogEz KJ1WKjBenKVR2Dc8IM1+p0XVkQtyr+8mQHoEkYqZJ8Hs23MMw+Zqr5iz5ccPn/Sk56Z6f6S8CUi/ OYRT5cgDZNOjje2UUuHatkCr2nhgIXr2F02Cv5j2hbB2zrqwGBVPskght4OBub9g18r5RPhZSWjh ksHvbMdeYgKOshlbYo6QhWOkFSVmRylAg+1XB+p4/2okwJyCX3+LRP8GfJTWAJ/LwAy0zX775W7P vUwJvzAc1tQm9yBIzzrhDA68xUbYV6MD2MK/xKkTBb7EWfXnokPnstoNmhW2P24u2IyapACp6eiV ZMwtfngcjxzjRnpfd8gat5WEMFsogfC5J2/epvsmwlTesN63Y3ru95QpZDG+im8y3ClNPjYt43KJ JKoV4qOJSMQonoxRECl8gBEfLwfn/3lmizzbAggThM+ttamWUPs4ABHS782TYHEictmwZ9N3tvXj C6dJXbck76PtyXziKDEkS6TdDVOjzXJSpzSs6D52k2mdmN1jvqRm8wTLx39MlHk7FuzCkwHuhNsV KLi5mYsCV7AHF/u30BXJ8TUxhUknWfMIG6V9S4Pijb5+sLffAX28uZLDVnD232m60P3uOxzlyVNT e9haKN9XZD7PAg7tHKDzNqwHkR0wOxHQc3wFZ6uqyF8+ZgwOTb7JuC6NYRWHuFbfhRcg4qg8qHHO ltvb3eSofh9DcD+bDX9ZPiik/iIn6H2URdUGitlplmgukET4Mfsw9As8ULO048NQ6BTqttK7V44T BwrEsxkKWKGHn3u09/jSW01UFgO7bPC8b9MiDo+tyiUbpSfJaVAz6X5nIqcdkNDbvAxojR+5EkpM lt+dRzOOpIAJc2+lnEgB3RMti5PTpbkR7hNS1lVgOrcnIC9T0p11UnswS/WFC2EHyDvnD62K12Us ctGP/u98C/UmvzZY3KGFteW5ftJkVs0dv0BHz475rmEKvLv7Y6MSyE35oyD9sSTHe0lSqlGTkL+x bY6RG7CKjOvwoHbuDao2A1L5STmk0tzCo4yg8QH826lwQ6n5VYxpRQRzWAF1uB+T7KqPUkiqBQl3 D6HP+UexRa//MTsOxR+xXN72xahGXiEgIA8EOntOQ/Eodg9ZpHXU2AOR+6FOULNXueQAJr+K0Ts7 Hb4n4dTFFLf3azMbAmJbUbSEQIoEOkGjp5zErkkLfqF/oRKcjCfuCpYfTjXINB57vbN/TIoY6ELN p6ZAq1KVTT6ny2ooYwM4m+ux/B9UVZcWnohDsPeT8e9MW64IO12gHKBvsVdyzYpep6tAZLHrsqbb tfYYDeicJ3Wy/NMaXkQ+N3dDxluej4OW5aPoIz5zjQJG6yDeqaJM6HwFtO3URRA2W1R7mMRWnNaW /0RPZH1cZ2tdwh3qwjsi4OIqZGNLxm16ak7LK8VHFQeZdJhEz+X9/WtIz+bNE24OyTL7yqWZvu2N QbSnOalkQAOhO/CMi9CVRc+aADvOVjLks+5/ZJYzrKmG4vj1JIoXPwDsXnhPhH1/5wcEecB+Y4dV RmmyzNfQzySPCaO2Xc9dnkQ1rfTNnTSvAgQ6YNv8GvqCg13L2pVqacF7jd1jNXUMm+zkPNTW8JjQ eoRoPEoIAtrtDKGPkm5iEUuHirX6nYxJcHskfIniWKj/KRGucIwYiHodSpRw7DFyYtcEAKcJP53K PodFPD0r2j+RKKmCxVeb1Al0tLBRes1MpUhGSjDyD5NzgfmUrhscGA/8MYhbIwx5V08I3R8B9dcg 0xQCXZemktM1Q/ab9/imVT/jJrFTZBsioFFy33VBfXQoMQFV/ujpzk5ghC95gGqE9AEZp1ybyaf5 CDrDTSFUZENLtuCmByv00svLXnXqD1fh3LIyjVBqcjHqCN2Rqsp1MWchfERwXC8T0QOyRb0aXahz +KRSZ6bXSwPkzdazB1RV7H9yrqsx1mTSbZa2xTdjwL0vMdZycIQXuX0EozgnzgmgZfuQWrTm+T9b FemyC41u9x9IDWSLDscloA1Au9u9lK+Drwm3q+Jzp7b6yPerCy0hea21yXXRpvzW4IDODvQtflyw 0UThIHZDZcryUvy89ZdaIbVsD+ijBF6dVD4xOc48q7v4Rz8WwOQKQQ3IAAydbQA7sUAENXw3arVl lGqj6nDihyrfihvk+jRsIB4K8+tWQTx8IVRwqC+unVBw64NNYYgDayvM1JNB0SbIlAxqa7VV+9tO 4Zzl7rmCskmYlLwhT9TDIAK+8d+oU679tY8FckHZO0lJfgKpvUMOPTEf/Yf0iYUm+DhXJETPF8o7 5yV07Gq4KhLAIxhEOFxQ6KbGKX2qiIr2H2LB8w5dRYJnp6gXrX4Jo+NqSFk5LOT3Zyk15lLaMb8Y Bnca7mYwX/xQ7Boo2WPSST531jZNjcrzz9jZJMJI0wltKjAq+9+3vSHFo3Uq4ZHws8V4v6OhphoR fOIKcL4y1WL7Yw0EhhtH+WTOfR4lSHBLWQZaa0yDlVqZ+bMhG7lvJoAE4Gh2pFPeTLviyKYPlp9c KbfnHddruhhyXzcnJFCvdAX2FTqR7zcgsoWd8a7yyXSzMDRiltcJGpKKO7Du44OgzT1PCXWG/E/2 u+DQOgUoM1J/Tvrc406ifTKLJU7cweftJqlBWyvtTLb1Uk6os3r5jF1UwodTU0DRJADtzuFFhKS4 z650wPFISamkE7OS1c7u6P5BmDSbr1lC85WSI+8JitFtHLB1LF9otid6Hk2WhYMRFcI8btRvR+w6 IrhUXW1aOAchl9SfynvMcnI764aSzZOjdmjTzHB1mu4i2UJCoI6k/HnQ88p8l6jqfJTbLEyClSWv kcKp3FbkD0mvpiUim+/sCJeMvG1nXE+1FzudPD3WhkVqWgUj7o+r3POwgmT53g9Gh0v9Gl3J2Ext jWUEzlVH4242sjVKF01CVyzBnpP7WmkX0NgA8bgrcXSAuEeb2LlnosuHYArCGQc/wB/r0fkogs78 P6B5AOwe8NrHHxzVR7k9vgW6HUx5GeSnqbPGtbEGnbwQbmPtMqkOBL6Ub8p8rYqrq7jL9wTBCRIY 4QDuD03i8bhrqX5DUz42FjHCugVQ7y8iqKBjlPQjFgG0ZGGNQs1uuNo3OOpacQQmsFL11Niu8aJI JoVEtdbbA7enEK3clvjkDAY3q3DKPD+3p9NbcuAfnLEEq8r/FP9guNqkleODHji6jCv8jhVOuHsp 3TJPTxzFfoG06eqwarGd9ai6bXxeuEllYWx3lR+XVJskWFJiKwpi7pZNEANkEcNjXewc72CRRDTx yFoW1+OiW4uLQNlzk1FGuH6bdF8dboom3Es+T5aWZ7E/enDPX+6kO+pi2lYSDnjcuaSN/mm+IWzy jeCoc1e4yRzqK9bSCnKtZ1Aj9XsN1H0hmDJ+x5kPcSrbyLxNgIdmRe+g9UqP4b1E81Lj69BW6Ovv nEH97lSd9hQSdMRjb3eu+6nyODCUzpiNTiul1Evwr52h2JLzuap91WT7EZoFr6TvaP0t00czYWWF +aaFTFIOUE9+6WyvHSF6gipL29KStg+Lsc9eLSec87+W8Qw6Zr5oRT1LSEMeEBtFQoCy9ZcR/da7 5vYIeujdSdRZTlraL1/UrjrG3LYDCp86OzX2QZvcXl+JYLRJcG6BuzGQhUwLXh1UYZzRFfhKLVSU 8kGxdnr2lGrECTW+Yl2oc94a4cinKcM5a5cO9mQz2v9TUMNuGLJAqlqAlhAJDhbKaSsOnALxZo3L 1QL/+7TPy20z9zXmRkwbuOWREy9GcnU/wF78NYxdAy+wv2nmqI1UdJmtW1NuKb+SGacvon1GJGcn tALlDOr/PLCMXvlH8UbsQMMxMCoYBWDJOpeES+cZDrvv6ADogd42Ec9RmKLbWKycJ9oG/s8ZLcfg ZMRH66wEM3f1OTMMoyyVowLtW0zVWuYbRaTZxQuOEb6ZTrzbnH/XwwFe5r9ynrcNt8/YOSpa62lG 8KjwwFIIp+7jT0aiDcnUGJsT3RmKEkuJpuKdhEZUcNJ7gseIQh4y0Ig9WtsjdIHmEeZh75iIkU1K l2sY1p0IX11Dbntgg7PU5dQoKbT/b7xiulRuHqZAsPh1BAOpzQGTxFNqMvuK0BgcEOSBk8KKq0MR 9WaRZEPbIhpcLBBrFYZfb270Apd2pbCPVduQqKkDuETaz4E+NCr9UPUnrf42/nVM2fH82Ly/X5P5 zl0gaRfIng3K2LfDwd/opcpN4QMwyP00GBgOuzQyJbpyB+b1Fe0dT2h5Zt6wL8+y+CiwiJbww5s6 wE+vMV1nQ51q+pg7ZKn8Os+5rY2i1t93qUwfjcY4eTjfNTbour16Js4JNEBNi8ABXZueT9pmVAFP bvvOU8RtoXIlk2lEnz2DEg13S4AVOJGumNA0Bzvk47/ThbadHTwTVGhByaUulZBDh6AN/Y5INYBd yMFic+jj/+Fiwaby4lDnSRh9XrXwYay1g7yTkDtVXvgMga51vX89lEWvdcIm/qI7VwvrFv0C9FmW vfC7UupIziMLfNGrBE8PjMMh5BEqpE8eLY5tyk3Rci1Z/aGo87KN6X2bQnz+GL2ZQWocig0OHviK cguREz23c+XV3ekClhu5pHaBvTqScN2aKDLV2KVjGzqPmewnE5MF8aOFsHMMepADF3D28LfYAHqN 6LQhJUb5Uhy2/qgwxqZexGlC7aXN3AJXrAPb/LRIphPZH7sykzS4xOmY4KmfG/LHDdeb7+QPzaS+ JDbPQipPaU38pgEZ0DgSBJsHyUkBhzkbkDTdHoHqk2crEbOz6Ko1vSSnUH+VglFckSPxxYc5ISVB IH/6Dx/7hhr/D27G0Ro4ODAx+RJXgrfssrLIXqTIofRZMx8zeFsdbq2S96J4bjihnVnjQFPEif0Y n5CJdgK94yrpb1xFuzbWWZVjkp3b1BQVZrO7fM3N9wOu9dQndz0PAxbBF0HB0MED6SAb7j7vs3Vw z+X8ji4q4I6FkubszsjKip1xzOff1Pvva/NlmxVno5381gq3ggqfGsPSeCDxRPGrNxXN65rKjDKp 8B8V0CzqnBoDt3v1kqul8cDossVGokmClRKRoPKwpVhdwVIx/lt7gLnUIQsgRcyHjppnTYflHLXW C1hCB8Jeib8QXc6Nn/TM1XbN+qmYkgOVaMffVmD3HFg/RfFgUdK6fqGZeUMHiewY8z61P70IFaVo l2E7KSuacR+3dMRm8hqhdqn5mFLXmvBqKIquJYPZV1hI6BNzZssc524aG4a8iPaRJBhCamt1/0MH nkUkNZE5WEuVq0HOVobCUPpfoh1rtmH5Hq0E3dtYYm86P6RJEQtT0fb9h+X+CpmgJ8w3/yzArKkd yHDHTo2FAHMNAHhntOkyayCUZehZUOPx/42V87V5R8Bc/KEIspH5B+ugdJiDD5KKnJVe7WkKMBd2 lk0Qw3iQoP6J+uqlhHC9x18pzgdMSgQi1ly1kqzW7L/NkhubbKx1EEmkG2gtS2IpAP352WRcY3wp j/EXHQa0bcQ4mIzhUlYCWUUmfa/2oPcpKCQnuLSCjPZv1HdqIosan2VbgOs4ra+udh5leqqNzXkb FLvuLoaAGxHuXJAbDMtUCiAzEgHH/9h+JXiEEoVzKorI7cXvoL1IETmitcf5vfpQE2yCeetPZyut 6GoqA7/Bn3QVi3R+3GJDZ8dy4HWm6t/wf1rsvGBPYymfmcCIfqqTj+wOkvfUYjpyCopjU4TpiUIb SMU3l7X8Ecrnjj6+6Ac+1cJjfbOrSy9eGWiLxJCSexjCNrUoYET0VVAv6+FmBrgIu51y1sCYsEfT kaM3ay576fjNc1chFzL+4oGBZfazwzd+owS/8d+dCv9GFpvN8+61WTbsFNqe1EkEWICy16oz4jVo INcvYVBFScxq5hbhNIrfTXYSYr0sO+uFq8j/wUFEv5azoKHgZtxG4YCjfxyz85zlB4CNjt5QgoOL 5KsbuM+5tMzbD96Y6uIE3MieyDYYHcG2BSQwWoLZgckvuEuXLjw7VEpWbAMACd/8S8DVgG60VTQK KrZhuz9ZNXB70cjG8wTSSdbLuJZeTqSa795KBb0sU7GN+iiwIObNjs7v72Qlkx6E3bsmVa48UjZB jMPLf9XRgGoEMHFQKTpfAN9KgAsmiwSGqiQS3Pf3IxgcwmvAwIzosVRUHxISKzxIAQsDS6zJvMFi vn9o9A4fu7So1nTl9l+eQiP1PbYsoo9MmiOtaSmv/ytYhQt+SDH7uEZaDpVZquk3YIdSeQg09Scr ZpujmPGD8KVrxK579H11zSTPUOhfGTtvRXxQF4Jkgr47V2b1IDB8DJCYW832n22M1GBkgT76cZWz QkZsri2CgNdszUhSexzA0rIOv95i1kdVxwQiQ2Hjve2JfUq75qM/T/7AL4gzoy6pR919ZO0Oa7Uq NygJst7seGxox1kZQ7PWtwz3PFTBKgEEjBe+bYwLw8Ln9LlG/5wEF3ljz3kiVghkqzzWv5mvmuyd ZpRye+Trc64FpVdUJjeEU21WVVMuTZYOC0QZktcz5vik4S331fUYncxLC/PCLH2LncKs038RTWh5 ZMRyxpqv40QRHQEdJK0t6+EI7mgRIRAg1H4k0JJd5r6rY9qiwtlMp16PRWMDLQEABEmGCFvk7JYU EHf2nDpyA+0jcxxPwnGAor6TOX+3r/Riebiv71Z1mZinQ3Rj4nt79elYkZiLqad83iYqxOdzov1E qm9BCAwk0xm4TO716Offx12OxMRKsQbhB5AStJOGsd3os8YmqjEQbGeUkJMXMyXoDmeEwjDW7ObQ hc0e/UrZw5szFf7JTO4pGd3eXliYQHChNK4xdgupgdfWLLt6QdG2MuuM+9zSV6vF2ndizN82rc99 GGNddvGNYx0nVYrew0seXZStwd2Qk11M3Mwf688Wk5ij8RmBFmmTy4EA0BFCN8fYNI41pd66X0h2 1otJk+6ipa0mHeJSvjUFkblZ/NdzfehIUmcX89MUNHNzvSJF2CgYzLqH3sZjTZtb2c3u/451HSTp 0CNrJK8d4tKcFjIh5rKMlbNpTnY1KsjZ8qa9WQKOMDMkmTDi2BJpUgAiRczEgZ3T+BMhovrP0w6J qrpw3z1djMU57dU6hBEWCPJvY7Hd1/97CGCuoGOjHeBo4Cle3iUs1jqUjQwmIL7Jz9AQcJ/B53pM ugMfPkyYT2PqWHAeFPlwA0utSqbvzClfbPfcCLVsAQnJhA4sqVB1LmCqReAQt1q8juAgPfrBSWy+ 10JkfHMX3ufezbRI5ox4xZ9qbFqzqqi071P8rpcQXav+eqT6mD71K0XBOT/+KaVky0KIAEJhv9jT XUprOtZibNfywe21bw9jLGoUUrKVgnibXyQ4Lb90j6Eqt6vXugtnc8b5a3JcfoWet/lN1RFfPnpH Dri4w3zcGVK30fOECT/ikgsY7rqhnqS7PZcGfen7Ug4rsIBOxptLTwUfMcHg/K1FN14DkrVJdYkw 2rxuN5d3IdC9fjiIAP2yBpeW4JYd5o3Fi9sUsHatpAU6ApH1f/giwfgM99OKE44vKGIkVRqeu7o5 TGJE7HRPuEh1tSRJBsTweA6+2xVF2FGi0Rn51U+6jVe9BvwwKwJS1hD/y53LjBnEal+x+fZasoX3 NzKj/OciJFpuotQxwT9ulzEQABpvrM/wJF9xH0PTxMsjyNvkUoS0ppcI9lsgHrlhDAlcxjqHHgy6 TLZzo5yxjZkFqpAq+/zNCOS45n6O5ckLULz9+DruHygamYutSCmU41u+xWf7mhZ4dztfjvrXEcRw 7Ql+uDzrDfSOPJy3XMBX1wEsfUf8URmJW5dopCZc8OC0zsaRDEgmp6YigroSX8miV2cMfRnTBrbg f69GfXrXxHFw4sYzBcYvus1cwYZKpRMbHT6p8FycG95me62nsco44MUYzbUGv/6nEHjbrMw5sja+ 0hnSZK1JqUIgMgGRpOo8yu+OUKuX7rHfgCuYnXzoCEqpZyh4IOrMxecf5Ru0YUcVSxC2j/qMLDMC +kQJfK+cJNNF3Ue2h+dEvdccwGOYqEkle3FibVVO5bUcofr+WKnJ3y5G/647xaDoF/gB3+Ug0mSq qzSkJSoY+gN/glzXRPa8Am0MHwpYkRVsd+1AznBvPBX2UJz5W0vUcMc1JWarFVdK1a2KfR/7yXpe XPvMF/wXhtXmkGYFcnHyDFlop3xb1tq8wlJilXRIIwQ9mpwGfPOHbF4x0sgBZUGfPMl0dlkgnnab /IpxHqUz1rSFZHq+SZazgtLS2P171uxLmleM8z4Rh0BOZtfzbi0oZ91igFdAfy6X2K6mvNKABlAu rlHV1Bn0q7DmBmeyWeHb6YF012efDSJFsU1e75xEe9bKlJ8Y64VIbUPjW6DusMCG2ruQavIP1JYv zYaTWrvPjNAhYFnquP5KOsAzIG+uh95/jHhIOP26OlF0JwFcTlilM5hTNgR6cAma+JwnYgj9rCBL S6K8GoxF1f53TJAFsD4kpCmGqcPctcqnSIBMoPRd5IKOV827pbaNUeyf0j830V5oC0Py9YJpefvg Ua64hjKVD5lOcrMb7/gw8Zw6fEKY75c6bJP+tXOGWbOU7VtSgkd61uxtE0asDPIZxaqaJXCVgDQp cs9rihDT9Su/OwlefB7kVM/ai8yMd/E7Rg3d8fDQsMHRUSG9CLT3swEigCDa1kWqrQFsPytZuSNP Fqm0tPogEtjO/jyj9nnDDRbAmdzia3Air6Ye8Q9zcAYc9UCw4JCJmsnDrR6GP5oszFHYL7nYdJxd DKmEpom3Rz5Gdy7Og94gOhhRSMfEmm7Logs8Ju30Q+N1g2JwPdgE8lHlSFt2zsYlFttHMuwQje7A hnfRpaiIJlvRAjniVFGsffrTyuh4nHcsElexNF+HAcZQO4i8kjT+Wyr/chpJhACswyKQWC1eQpDl kgN/fWi82QXPLS+vnct2EK9uzK2U2AYyZ14ycKNLnvLi9OxM6qsNI1rfaMzWwk5KQZ/YVomiXuIh ZfWPbypl7oGQdQn4MNWijhFrY88WCmF0n5IMToBxjQFB7TVIRGGj8BrHYrbcGCDdsRoVXiXCsCrG I6MZgSbGGxr80pLVGJKnu5vsvR67BwzzFygbJObmKMf9/bwU7JdtKRsix4VAW0CuPeOLz+xWbSyo 5V8MvV2mnFdq0Qx8e0hIbK1qF04TuxJhlioslHSLQEQ1z1TS/HyKpMAdX7sO4jGOZCpgY8Qa1xDH jtY3YiRor3qzA5i88bSkDC/+QIKppYK1V2IbSK6FF1He+XaKOE/mDMYN3KZHTW5XqJfTlBxhh8yu lowyi0EiGUjVwuRVZ12bB9MiBFbm3/Ff0GjaTzLUsHpfbj+MLZFiPf6z7u3zqObte6J1xzjJvkEu avUgzLGIjhSCzAIjnGXJSz9Xl11c8IT+8Wjm67qomaRWjMVazkMRMVzx2C7uzlPN9zMpu4xazgYp IEK/W1QAzDjkk7v7BmlSG2nsDCvdSxktALplDKmBXX9UixjXx0BXArm7eICEsLPeMQzL1Gzn8J8p vtxca26K4xhM5rUkyGUqXNiCT8ot3qLufcUUggp/J/1k2U4HmyK4fKmSfcvxZ/R40e1OLCMVEMDE jn+qk+X7xfapybfC+macKUmJtySmYfwXSVFrFmQeanBOutgHNPdppjulAAmASJSkcZMc/LyBNXep YvOpm0ZuU/2tpQ6MwcIBjZ1/2V7b059d1jrIYktuLJTA+O3lHye6ImDdVnwswEdwyogTI4+FNJx/ u8c2Ku2QYkV70f946qhWQ9ybR0Zx5M9Yht4OGkubs33pS/DtkCC02Bhl7yr+TnYpxmMWdvh0GciW jlJtD5L3xgEXl8nL4aVU5QzJ9oq8N/m+KSnx4Kcl1fSuw1bh58o19W0MVEqrInobMzmpbInTWtzP nB14rf0MuQyV+Duv6k4tkMUQbgvDkOmF4k584mQ3R47zbLGoiy+o3+uwWctGAVHvOcsYCLvcPpkp EZ7BC/T+Paw1MuLEXl5oOysiz/pFirA66ddUPYRXhdyP1fGaWF7yHuPMeimjHuJ0mhcsB6wv0CZN dI1QJLkyaFDiaGUGO6qW8eZaGrWkUHGvTyztrHfnYd3JGKvkj0TwNKLP/pju1UljMpb0HKNudSF5 eS8MkD8Xvv7vSsssaJqBoiZbN0idbAsnKOIWvSXTN+5sMnV9Z+BrbonfWfvBUO22B3kS2+SXPy5Q pRUth7QjOPvu8Qryy5wI7Oj2nDxGt7gYZbyKhEIQVfLV7wB5yhCeL1oWjnKa2Bjq9Gfyj8rVio2d KxSZs8EdTKB2b0Qk81DZrnRmVNY96iRDIxAuNd2LnBPIujNLisHqWtqa1lxz3KA8lU2AK11DPujk HrcVxQMi5AOevFKpJqbTm8aWxUi/evQpVdqej/hTpfDCJnYLRqFRhkKKpZ4oGsAaGVlTwUY9z5KF UPzzsAqjR5Ap6xgzB/gbOT4SdXTuCh/5m8jA6By9c1AhuopXW28yu/Fq0jM2k8qQaCPZWsekYIUN jEzqpAtNQBSz3Lk7GOXa77Jr+zPk0prON/v9uk59tyY5OVS0FsJeVUSQYaWzMTH2J7uK8kOcXays Jku/S5J6B+/kjpChW9jtkxub2OpCPZM+F/XmTCvaWgoThO2JOOdhOaaxc3GQM/7axYFg8W1H/Uae 0CP0tp7wlFzwx4dtOs5xdlWCHab56wYXCV264T8X4Kutvm/tdYu1SQbOMe8m0X8Mj8NvfQVuNJ9/ LILKTIZ8KlNES80S9uRO383fMa0tT4+iMwgV5KYPjjDgQCP27Izx1oMBXQ1Lq5Af++WPJUMuqGeb SS2MmCv1S+3ykkIxsMyWdAA9CAJ8UcqiCnA1SARWxImdPdfBVN54VXkyK14sumgzP+rkEuCPhh6y FXl757HjV76Zz4ISKF/o5fKHETMSXdtlTLQTnNbugLi8BSG3a7M1mmuwf+gILHEQDoQzuLggDswa PVHTFhu6iziiMXyJVafwcTUA6FGLYCeR3TMO7G5Z0Izn3eDeYAQumcN3ooczmu1UyXGzgeKYyJHp BqwOfe8kKqZB2G6c5M5TjywlFi68RI0hH7KAz0nGKypGNPxwvyvQZKM9tIF9yNWuOY1GHF+ODTzo Ad1vg9DiwZquKvZF2tCUYXQncQT1WOHPv20XY2phNXaMVAJ3I3IuJ0/Z7O3/PlemFtHbAYgw/P+c C6NzhWNCQztnPG11BOrmpr8UPIW0fjs62a1hp8ByoWzipaqQLgkp8TyyP4Is0CcicQkVlVujvXE3 mSfm4JYTPju+dHo4ThKzTOIHVWfD5cUQH3l5eGYyec6K1y+u6kkJj29ZsnK7vIwBrY2VeS64ZweF d9MuxYWTRjvKN6+jNrt+CZren0VvmRNWignZiYLKo2Em1B7oDdhTuiRFMl4sBt8+QjjMdasPeL8l i8ZCS/dej0XiLklY+u9Z6in0CHezVq+t/PGRDZ2Ni2etfmjpk0E4E5yZPs+O+Jo01ncOFDIa7aVB MzgrfZ+2ZvjJ5zdQ2NuOyVR+Y+/1DtRSiSmSFwX04sUTV1epTQ/HTZldFpu7DCoGc9Hyu4nRHGi7 uKjlMfYPyVg9OV7PqDxBogFv1g2O8DCNT4muO00iRPaCC06ZJSCK0N+MnQ/BkOBOV/TKo4FifdXp fAQZiQi01HzbjcnH9V2k0GuCf0kVSVdaw20bPOPLC+ABLsLddghgYjMSZiIkxBkDmN/g2E7wtYDV 1nSQI33v4zEYf3Pj/BnT70l3/N821bzHCe8Q1TG1xrb/hViIU4JaetWOJ6xz4XMF//H3M1RO6/ip K8PEGV0G/atr2zimPGwUiIWkIokgPwTDCmr4y7izFTyDdII7O+rQRCoEE/Xw8vPL+0M10944HMWM HDEoMUlkhdARTO1yCdBiCRVP9tqbuk218TrbwWDq1VdFLyx+CKujFY8PWFHkAqNcJgikKWP9qRbu fYFeVq++lhbu49Prc4NHQKXN7T5ACQDVAgrLYh9N0wg2F/nJC+lLvDsRzvSmjmQiti/2VrHAVwmg 3gFNPU2LXgp90R2Pr4vBEvkomT1/aNT1JPyFkt5DDuIQtajqQKL9XqfbnKp5ib5myG6T524Z6ieq Kv4MRzIqp13ReUnJJJrMw0Qxij8rf2gm/xyUNtRyDaHcr6tcTCvdfnbMrueQmrReNDigQLhGsoEn fgD3rRFaJtQce18rdT09HFVdFwVXe9ZJTlH3d97CGcz8Uj0Uv6Bxlqnm+uVX+I+SRLqVpb+KAxHG 5Wg05bnQURxGKqJ2V/pJPzRxbUNslPZclNh44ieCgTC2oBFpGVhAkhDC1Vm+KSA3vwELleAEj89K GytkYZBllmi7RDrNmv1od7cea3orytQzD2E/WFJ9x4POqFA1I+foB3Ww1Fbf0tJgWUQsuKI0x1wa um3gyaMxfbf7IX5ZAK05BMRKA7YDLk0jO7VABb1szNGhx90JabOFy52PvK/ia4qBsxMdRoF/90FF WTB6foeabTEMwwcIEj6C3jxWkMmGDip548XCXhteeGtFngedcEUAv0RJ0txRulbu5wxuNuE+C+tf K0TZsaosUEHjzC4urkpS5Btg5RZAHfBw3ro/E/BSzbx8jORB9xTrQ9VCRU5Jpgj9XcCSGoaL5kzX 3exa4LrBLNAjKVatsp0XQDsjc1IaWLq/DjJf/0To35iCVYDoDxDALXoje2elXqV3xh0vgtTJaukc VK8saxEGhiQeXKoaDj4XXCdWWYztfKkKL323l69kcK7WhvzdtaqkaX2j0MsaXxGSg9TrsgbU4ord 64gUWTmJXOF0H4muZioeKKiqjqSyRTCSLbvRErH8RChlYvISKNR+//OEAqDC9q+KpxPxtQiT7qJI sWhFLVZb1M+ku+Wo4HxKKZjO42Xs0ZCE7JW3RqO4okJ4K3Mzv7hH29yINYMD3p9HKxnxV3S7Pywh KIvEesQe/36NBfoJoXK/XaEQA8m8NppLzd8TmMF5mBp4JtQ01T2oatpcJ+Fdp4xQBFn5JBsh83hx Aa1zZpW1bYBcRep08Cwx6hJblL5UCN3hpR1aVWDFlqOJrz7Bhyk4U08O9TzRfc6UpuT3TjQegRzH vo7mPDQxQu+zhYRA1RXKkM+jbOJaMe3tlrqiqKDijwl6nx7OSMCdCI98IOW8tMVhAXd3hVa4pJ6C M/7J5WORgM9TAB9R+XdWavI+z7wphv2Z51A3WSSaZV4ZcwHaMxsX2SQiEb4dXLTXzbv0mKRmW2In UnoTwjlJK3w+iw1qwMv/0df0jiDd6ZtDgmXkFNFMmziIu1+zGmZanqo9fWkjNDPWmQ4WpVh0DtZx OhJoqUTQxi8/hFd6QGd/dmeVH05XqgXOKN2MNMCnoGhcbN7RS8pZcMLuxYJw4sVhqQdW8syvAEnc UEPN3slv175jOC6CZ0qP3bLi/Cv0PRRYK9BEGQsB48MBmMkwRJ0bJvSRqVmkT/arFMZvjNniwcaW qWdS1sMSFzkPTZzCJ4B4wjjB75lcUpmLlYNURCOTNpqlaxkeDaqKNcO5+wQM8U9DIQN/Antn4exD RGbe7ecSCMdJVfWTOUpf6sEkcp0OieHFVn1Y4izF9RRi6h1MghVTe/efRfC0zdWxAUIq3d1iTTfX w5ty1wKMCV6YSkQ8PMAAbLKdXJApn9kmxV4f1Mvn4sdBSwQ2x6tU/uFHeYK5+/mnoWB8F/FocR8I uFAnbRVMs1Kx8bUdhR3Qpd/d4ahACxr9yGUC2LNW4tFvveTYajzTYxTk+y4BAWb4kpK/63euxt7P ayNZ1ubkvARpskRsGVfCxLm2E/tVqsiJ0FkflXYwiO1HCu/bBjr+20gjvP/eGT6AyqjjrphitfZS rNRVDsniosvXxswgPVspPYbJFDJcLo3pZ+A2T6lSIV5UGnlOqzfxbXvKDdpF88IDNEWQV7zU6vkq q1VHyFnTK65HYB25F4oAiT5fAHt5oRQVO/qKFNZcIC/Bm9JktMHXiR0+u5GnxlguZXmwVJMLNC9/ 5wgkT42sEMD8skpIdduWwi+uOGBABUxKgR+iBXHh2UamZFmp2qyKCgVbkarf8Tq4ofcjs9cYj7hZ u6/+z/c09zUNBf2deQsRbT7djdZ+Mc6c9u4v61DwxruDVXeiivLQnD4xBtpP9xU0+1Rb7NZErVBm dyy2Y781hZGs8Kw58oKKanfXFXCZAXrOfcBq7zkRJ4KRya3Pqc7MMarM1z9tgPx4w0PPG2MBUObc Fa8FWVXHlgAuyiVQKWZtVfW5gAJGKfaHlDcVSjl98YL483iCUVLcpCrzAFXjM/MpdZmvJnhosI3z Aek3Yu85bnU5/t1uim0NMdJmk+QDSXb3ZOvdzUovIaTLaBi0YHPVYDyObBdCBf12reE6vHQHo7Zn oaYZLgakF/g4OGPBInVwQdz414kwRsJD/rzR1PKBQEy2EjESHBwk7JC8ROsSpgFA9Trxfj5XFoHB HLnUzVujDRDgVaxgLwJatMWTnsqlx5pAoYwIRrYyH9LQvYCBGqiNFyYYtOjC4U5kS4CBSVENcHjZ LOh/p2VYJHFazGaQzz8tUtDEWr8lkFDIYfGQ64azu+CtO11jSiwqJfIXtTTdRclGRAxvnLZbhfbC 4Rr39SrDkiqB/MapChiaHwyFG1lStL+KrIArnEoFSKnCdAz39W5h7cZKpfYIjzhKuVCeJb4Ep8WC O4VUFoaEJgtTXcvnQtLTgpD1oxeEC47sqQZgVHqbcs6HX1V3927wMx/s4DOw+ScYuq/YQnqhrNOA WnDi5DjtvrZDCk0Xw3m94Xg1AbyayfDCQK8KBPAJ0phGSF6G0yyC61tj9+eo9sbEemMR+h2wGiet RsNP1tl63C+dAh2729mdyA1+DM+AzthASMiPnUnH8KijbRekakiq5+S2sVaPI6X+ymv8Qe7/8LVg /UWyxqb9UiU+zRWfYOWJJ3aLuuN2GrCTqdR34y2rRBnk1xEwX2IknU2ariCmq7/1ksb4323vzlYw B0h010d6VanUI4RNMBTCGm0EcC0IsW5F680FoYs9KStwr8tIeyVMtpS80ztpB4zB9F0wanz0cnKY Gyds51rMzX2btwIVih1fZlL0kuufdZpNNlI+CcRu+Agk+L35tuV1/pN7VmQdKlvthnkJez76TBd4 HNqRf8Ln/Dqkn0VT7sn+TguYaGHFCOlXNiG9/mSYCJZMDDdlBq/LZ8feHDGbBoHaduY/Fn7bq5s7 beAejNq66w4jGvkQXUcinyaOn93Er3n5s9si0S/1Zuw2AhJPkmCCR3cxPCgL5Tg7kkmExJegDTpH OS2zqEsuD1pVdn6EBDdOFw/jKAIAaioAT0gaUfH1oW6Sf51YGuqX01B+Bt/lSE8RPngLgzCCYSsv 6U9U3Xh5aIu/Lt2OebzDKFoA1N95E5oNoXjwdyG0joe0FnTBCL57NNB11XJvnxE8YOh3P8whQwMX NMu1bbHzfTYpiCLqR8CSoAccr6B89E2M+EpgsXU+aqR+w9zr0zyThGqE1Lat7oggzqEzvRVgT/AI 5WAsRat0hxWo0QWwPgu2A/OvA7gozyiUO5zsbo2TvlESp90hKUothx/PePnjcGmQd9XUOkNu4BuD gtWv+ycDgNve2RAjy32CuuywzQKwhZQtgpKHhpG74AGI9B/zKQwAHRLj8V7V9CPNdg4Php5knS+1 F3xGBpujNT4WbZCJkHCKvFW7FsjvsjkSSW6ixFCrnxhxfAs9c3DdvzFFUvXoE8P3NOltipFaOdF0 QOkR0xTtc39C/hPbx6XbGpuMs9O3GRmKkAm4k6YxAnbcrqs7JotEkjyy/bc5MDWP/dUGhOj+VHDK KtB0GyQEG33so2G742U/kO0yUCnDFhpfBH4yvYh2FoK0L2Uqa3AyO+dfgEkf2XrrgX0q4TKhPwy3 umq5KWNQePhyasDtGIDr11nory6ol3xaP1lvZpvjUSo4kYefOQuTfzcsb0pfKzex0a8WeOFiYBgY /jpAU/XxjvTlE0SmY67r+VVreyB2q6JDfH3j74+VBWTrev12uj3o7/BcX/yQDddhovtuD1qh5G8m dDLrEJHj9VSQ+WJOSOiwBlRl04cIABUg4usUBl2xeaP4ywL1zkyCplP45N9aqSpFAArLwOCmSRKE rMwRvgfL7c6mQFt40sw6M6v+OEToZfjDNJD0/bBUP6zNFanHFBqbnj6ZgYmXYVXmnw3bobypK/nP ZaavLGiMtNhE8VhCQY48wTvywgzYqBpRhMclHx24fy4EDVHCh0bGbl2/HXzAO5CMJ8IS5iN+Arbm z0/Aq6N7BVLkjMNPKwGTju+khEEo1E70JCsCv55+8xdcQ+2op1SFyk3jht8VycF4neOIN9mPnjf8 phXwn1YLuL0Fb/gGo2Ci3mQzgp0ZfUjkAcVpDdijdyKwUCq/A2MWWBG5V+nD19d0l2SGbcIbZbcn m8BLRer8l7yFRvFt12pMTuoJjScB1FbWPIHDQJvZQX78IhT8A7t2lpMFZCSZX9HAk9UsXnbKqcEi hgPX9YQseplsRBkVxF9HKs6pLUpeCw6KuimrqNjZ9jm4gwzNcgXd31vH9vWc5DNpEl40E/zEhgz5 gpGFfDgeg3wmpOFNeNFiJKmt+Y0yTisptDtdWMXQC/WavNkUn0yhkYgDUDvDqZ6rrM2J+XbWeeeZ FMw0ySfKAXCMUWU0djh2Q2UWcRjDzlZLrZNRF+npQyFj7bFwXUYBA03pSbnFmCBv473GQHyxo4Jl fLxkaPxkhAodVBrf3IePZGwEa8/rgJB97JmEBsFmAMMAfEguDFjsGUxv/GC+1EcbdzgO5qWox/+r 4B+5BGNzP+lOdu9oiHnXVQTVqEqrtfLl/k6FvDtDDVl+XyEAcAdcgu91m6bDQaEUuwOv+PM5l1db 4s4K9C2M2KrqqrXa2Vvw8CKaBdZi5ugHbHHacbjrcOVBTmmYKCvpGheMefwKNf6W/Zq2KVz7YuTK WSF7Fns260PLrhTelLWJbcJYRrtT7aZOfQvM400Y0aOsZM4L6bvLu9Rjg714RT4TkVVdR/Eir2tv yB1ybQWvGQVj/lMUgtzZTfzy5aQrk7kxivHWdC+C+MdDvavsWzzcwh4mCmrldDW+H/IsA0kdKRpO PTCXJ1BdRAMbZWMuMD0kiyzg+vTu5+btPb/X8oo/FxOPQU/PcEuTO5ARdPRKSEv5GCZh6pVRLyRd H1NQ6R0kxC+eOYxQAWeW349Hs3jMH1IICtaMwWcueIALCXb+Rv1vEZ7D0G0HBPB6jFvEUW7ef6hw K8vIiDaoZhleyLrJlRzF1ONAYTXUKxy2pMYOcfgQKeDvBjllmcX9NwuKBiGZQp/qyW1YWhCDbo2p 1oz/5yeJwcLpcMhganlXsr3zajjarGJyRLBmGWkOcNDoR5+bcEFdXKSbIDrm60u+u6NhoJe5uh1/ XP1hnE5SFM7ktce+jkKnmRXUxfDXjEXCfpE744xFE2qpvY2+Me48xJHtrUy6auyrKNKlpeXwZrN7 k9he7etTV79h/S+ZUiVI34EBvx5ihgsrQv3GwP/YR0GieuTCFY4IirEru5+m2A6ENpDChQjxHwE+ 7S3GE3bzabCBPq9LLMsYzRChNJ8He5uBW1zkmTiIjSSPifWtRkkwr35NPgfpNV62JllWtVaXp2Vk 1ZLgrDmbsjUrm0z1MsNsrKlKQ8ZUD8st9j9tsGQAinzU7+wDgqxA+WJQ7WQMWHdN78CoMcoJfToI q/Soalcz9UohZqQR/OVA+IjkMJDFYGPnPMuBcHsa81+PkaVg6whCegV5n5iLE9cjPWUHUZZniF/h zw7nixZyFSr2rB5a3TJWd/gpTxm/vg43u2h5Dyx+Q/gH2w3bQDKydFXr0ZvEK5LNAspw2FBlbxdZ RSHCxc0dMFTXhxAWBxBFGucBPwVGW9oL8e9MgNNtyM1fvkpRMsBe+5vd1WDhESGL1gmw3l2Qt+Ps 3B7QXvMuc08+qgk8PTibDEUsY0h0RRcWZRIJ+pUdq6L4qqV2Cp/eU+1tFKRYjb/lGnS0Ar+/7g6T UuY+YYkqhM7S/tQtqrp3daV+IfAGGxxYMK4WvL8jwTxTT0auphbD937swsCsbaTpfjuKjER9Z892 VaCZq2wkYIzjxH5oeBrcsViGO6ZQzuynDdMj1DvraRFnhUeH+Zn3GffCEsU/4wgLKJwNIJMsl7GS raPw7Dn4jtBPk67dbEelXD0cySwbc262GuJM8vqFHmqbjuhabhhu9hQDQipseG+iTgRrzgz200bk WoWLk27QkObgjVhu/HJ2Lb+g0NBgY2G4FnYpHHPud7fjRw2XU41BmOq51BWdOfUGM9dXZUXWIJgS 4sU+3RgYQXh33HONbmrOQen+smgW+VLEuiE9dkwPYpfBbDV3F/kkBZOhRfYXEan8t41WpP7Q16oe NSiZRAz0RBxPGmpw10QBS8nt4D70N5joCjGwFfP4n4RlW/7i6Mc/V7I0S14OgK0AqHge3zVhdh1N g3smFLOGZe326ljbF2sUXIT7M5CrcYHnLnb+ydkdfj6hp0CUyWLb7lm/Nue9jn8UxQHzRC90TNoI n2clHglggGY472k64zCSghPxfPB6V6AxrZhnrkrsv5D5NjMBgn3zBRh5IjxJYgjOT8usCFpQGtNL Yz3B+PxjqD5AQ7wx77s2aA8qVH6BY22yJVtnK8P1i63PB2UeELJ+7626DtltuNHilP2hUCK9d+3t NocjYv7XBySCz24BqQnKm+9pPKvxw8Qq8cIGpPEmEbzsOUsscCxG2rS97vHbAPvWJxaq29B043JR IZzGoIbocEqF/6FmDv0CpOUtVzl8V31qSaT2bn9nEBXXxVTXiNPLi3nSzbamhXvBGb5t0HsKa42a i5GH8ZB88cM7PdrTnZPFVoABlF8G4Jmn4O0iCzmpSM1B0uc0FPtSqXeKOAPxxG/rIFEkWgz2IfzF JtErffEXW9gXNhmLLHknJ7KKe+/14bbdGPSfRZ4oU3m6alp+isLa75TLlPOKDJty9HQv5G08m4xw 3vrklsYxDQVHo29aeLce7WqTwat3nn/6GxI4l7s19sNUWDJT4jSUmgXcN6sQSO6E2fA1REhRa70T VEj5VJdGu9Y0w1omO/wpF4pxR2LGbSV+0FYLTOHWzBcAN38nX/UWEZtcqkdC7kl+dqhrc4pC3fij q5aKNUBiajzdff3sqWOakr64eUc97o7aoatvrUvhwZwzHebogkYxzKTctH5fXp7QL+LZTt7/gvZw nXL+DeEkyYqu+XNnNAhso7xNsWYQyFVCBM2XQJAv8IcRddgLx87OGMCKZR80VI+oKf5wQKKf3SJR dkJUj3J+kbJv7B9otLubd8Y2YbbI0hsq0jySTSM5rH1C8bW1U9C/XMys9Jvh1LQ92BMC+Nsd0rsC 24DWSgJMH3Ka8yWuxQ68cZWBCM/Yq2qsjS/5A+AamsQ08s1S9yVNnme+KYEq+BmtbwicNwS/T51/ 7sxW9zSI20GK9sFLnwZTczUyTA8TjJBOU+YA3+zyUeqHSxiVao20NV2zub1MRivnCswmCw59SFlN Kb6gKsam+ckzfwUDcvV/TcFuFhaUURSqK+1uZjDtGbaPX1+MXVH75Mi4rjZf3UWMQSsntz8PuxNg +LFp2bC9FUJi2yYdGvth6bTSOHjOXZgfpOJmBbMduSous/F/LDJSl7E6D6tMid91VLcU6f7O7z01 vNeyR97axEHiBtgqY7cnePZvogyUMy5k6DgULPAL2htRBVs1GiQkPzvYkxYV5fx4lgF5eCPax+Da vBbHUIq5Vw/VLc6986J6fk+eLJdPTZZZiAnhiL1jPHGErYU6rP0EQzChUSgo6AeHgRUOHYoqRjH3 cEXVB/qXUZQ8JE8ZW8rX+Abw5NWhetMlSMJPilHfqriDnTDEv1nRhN3L9lwBUXU5z3osh29xfl5n wB/k0LEkjat9jW1PpSW3EN8/zInQSB/ril6/IkA+ZKEH59uh/R13VmgwZ2543JTuoAZIaINlA/Kt zhgyvcyVs6wvBzNtI4/tgmRvF5nsGxc/Off/I70B6KQpzMzG7x/V9XyAtSsKpWdisnjyHL+ERbPU f/KgLecnuKKa6ob7zQvylU94WEHZixClWTvmNfIUTdxlqPrib+HQCQXTxQ1Ho+sfa0iEakbjMZxx Ro4vT1/YdJIL+1W65A/PJp5SMJ7IC28Slc2jidoaPm5bsjDwnSkDMoHKrCNHqo+pLofV+a5IF5/e mvrjpg95eccoWub5viEVxVb2GGXWeL83wO4cxCGjU8V6enNe0NUXYhIK4f/HNcgbXFVOdVox89fO f2pMFGYqIsodKxXMs4pKgK578xGhhUQheHob/OVDZHLvcMdBnIqzE4myI03R/V6ICpHwTA2G3jCJ AKg0TlYAfpG3r5qM84ecEWa0LyqnqQCR1HfZU7CD/wEP8cSguD7TKAJkMGelonU9DFRwMV4OtfHh QvmyLsNkURdGAm+p9DF7Tsw2eP1aNoiY+iBoQ0vmFEY7rYwqeAnS4CRQqL135K5ABeVlErDX2nBj N1s7ffIiS0qrVGQZqzrm3UrBha95cSg5gN8mWiWxatQDMTW9zhu6dNAaEwNcjYQERocGo2+9z8TV BRbl/bJKcXSpCu/lmgb2oyD6qWCGKaV9EnR1rO5XWPKkhXUWQvla6OqvderoA82babrN+EKeSFmi EkZcvab7+nUROSm+DcsM/ybjZ6UY3KLV7z7S7Wc+8wTcUVQjTsuLPE2bB9XuqeMcvpcxKtuuupLL t5qg3CnlThuyHcH46A1LxOunDkelYybgFFnyasd2DXxMSJxmgTM7Xh/0bfvpyNP4Aid0bcHyOR8y QT9pb9WQvOGMgi9DIVnWbIq68uTE63zqQmnd+S+6i02oarLnifSXLxFsmtr+KYW8PfXA44rnizsw WsoxN5UgXBU5xvZIaNpVbSwWkPfXoIkOdgtHWg+loCKDk1DbxAfPhNBva/S+8Prs6OT7WQslwHTj lPedZTeD6hZluzKxVD1VRBzL88JtNOfLSkUJDbZDzQzRkxMgkgCbEHcpbe3vEm8FueVXTVRgDuB7 i9BdIZYGiRRQdYjif110L/LlWJkKotWp2NMYbQkPcKLP992FOyO4K7MwmmMnih/09tUTvWFCFpvP ZPUKx58Y1X1ERpVpPbz0BseUYkz52f8FA37hF2NnCPey+odtY4av8Q9hdxPAHUtg8sBW8hi/FjLW oTsSBIs5HJO8tIDgYTWNI1qxsXEImiJE4ePuO/Na0b8KxXPnlY3UVli6mueeYFrlAohxL//LahoI mNS/qS7oozQiRRzRLp3gxUs7vndpwfGeBbbVhcyUUDi+Fkm9+pzz51k5e1Oj+locWGRF+wfZHfc9 D6GYZ/q4eK+Dv4+Trm0eIpDd9qkCru97tfKPvEVRmRmCq/Z3JAJ151Fzv2MuVDrnVlbxkQazzCXi 0dUA9RCC8jM2jPgj0BooXqjtYlr5EgS3ZyQUNGS5mc2+94pl9MdC5g6gPGFO8w3ebTtKgQ9f8CTl IpCdOrWg/nq3718gcSODZYRT3Mtu1NnZM4oWCVgUNlLXOL3f2S+H1oZeQRJyn6LLjDdW5NstuMr4 gVUbN9CwHdPfhjXbNAd3OhDMMPvuaeoTUIOwDFs9finRr1zKn4vgj4G6D+MIu8Nq+Wq1A5U8rSt2 8bIsMUpc0mI7s/qPcyHEqBKmb6K4Vk6bXzUQAnbtbGoW45ONvJOS/MwKrrq9pZ+izxP1LrlKxWdz TzhrLP4IiGjCvWm8aJzC2pirhTnGSn8E2Ub0YhdhCw9qARU0fvgPodsr/h83c33cbIa96gVd9yJZ iGVch3eSmdZ3FLC/VltX7XXtghku87XxiXZp+mFJi8fq5qlg3xMI4WoTcvMzO9DQyw9JipoE2m/6 zldC2IuIURgfomktULv31BlijjRHPVYDLuVZBZfN5/agM4twPqGKtz7IgO+VHUoJz3D9oEOx28nW TJMxBpTNWapCkjYwjB8ITjmWe3RBzoMcVl6ahytRWMyzmMb7RFQ25GwJvR7/zItW7ffaM6QbM6EH jcyGQ0fdWFuS/XhCK+YQepcibCN8INhtwt9PZEAKfZiPec5htvoEUN5CUGEK2vJixURQN7a5BKhI 1P1Dgvn+qIoqtb44NgYITeUVe00DH6u84cVSqKap3uGqcMT4AFAYH5bJZqPzIbRknSR/Xsg27ZlN wDoiad9RCe0UoNWunxl97oxX3z8XcKL1o9N2K9nRJI1sZWvSE3bEDmStbOzJKV1nYTExgnOeYSUp f7pljGZYvAseM8Kl/6uYKnOcaCd1FyitOOl0yY0/Y05zk2WqYq4xYzgeLmJwrczjwiYgEp2Ywum6 VuE23u5Q5owiXfqzQ83K60MofU6g/QXZ73MgbqPUcZWPZRc7HYx5a/BQm2PmjxMYJp8ohIPMmd8X VMphYuo6aBTbj7QBGUehueGAzLsXugAtTgDXxQlU6FX3laqHrlDrhFHncOMhoxQQfqYk2kYdaT6m LRh9/g5v6S494baP362NnH0OQGHcL/10OSWDXcHiWfnh48Ga/RPwCX1vavsyk6f/HS6Cv6g62D05 LQYPAmLduuJeo7F3Q7P5ioAP0N16BiCHeMS9YPpGPUBwwtIGlBZZjU8cyK7xG5mXbBZemdOr3vBN 44+rqpjSKlxLYvQvYKu3Wr8cMsW7MK87RBprjlDRYczGXtNjZP0LOAn7WBAzXY1uk7qEiMvvvAFZ TWYcXyeLZ2iiDJU+hH0W1671MxbJiTIVvh8kGWnGGGmF/QdH5C80CeBb5xJQ0nepKv8Qo9RsTm8u kKPH6XZLS68Gys87xTPfmqdfy/r8JNkmb6KA/eKCck3rrNKc674iEjNY92GQC4WQ8VLwX3FuRv1J HUDdvWiE8VIf5ca0I9o/7xpwVo2PAKC2u5PqphC0SSc+aF6B8j2MAVcIjVHpSv9jHj7EwsbqOdCp pYDHj1zkZ+CVWN48UeiAL1+SEVG/P5EySC41RFH+cXH4TUTxVG9K4wPqFDOJ8V/fGy++61e1n5Uu UyGmjL+cA28dgukABHNdb4TjE+FXHxM1FuYx4ovOPPkPh5uC81N79IgfpgU6FsbKryCzVl5AYrar VdNwMCNcaOoxZD7atjAaT8Yoxn+nzGz1cTNGrCQe9IXGj2Sv1oJ6/NsGUFt/pOC7ANqLd9/4IZRt mqwEA/UQOSPJRieEeHIWfoi/KvwnxJgQUZaqdm4LC+ZxT92mCis1zxHD9IrsaSDWvrbqPvMTTxCU lbbTUD/AN1sQabJA4DPBSmr7X9K0hLA+evSCHADR6xVUpSE6qCAJXsi4qbW5Vk8ZvWWRt0OZPcPX qnVt41oGHjuKhtEP3/1wA2aPz8E2G+AM0xnSdTj9R9VhZStS7RXnvSghR029/XlifmYvbazFfLiX 4mb5iHk38gbz4FgRlfo/E4zXmO2QCNu9657QcYp5d3a4H1hHSlLSTDHOCerW32FPcVheiZ060f7m kQK7cSUJ98mxDMwFfqLxfVBdr0CpbGpTULgVR3F6ST1NEBlfkIsh2Yj8yZYzfyOWo5RGnNK0si+T A/Dcbh8SW0+y1O/ZTRFDl65ThRwAaGYhtZplWsUjmi6rpSC84xbmyCOmBd6KEXH4ob0R3n0ZAzUk NLtkBE98mgGYYyMsRXZgT6zRB3kgfqQa47BT5jkFUNnbSKlXCh1r5NMVQOTbvvObi6TghrJ60txV AR6uDcJNWM4XCOwYP5UNj4O8jQt+eY8XlnQpZ37OWqGZh9m1fFwQTZX1/zr47/TV9H+TIoaZWk2A xLc+4wLmm2T81MQjKBHjLsYRLgftpyGdMiFvcvotfZ5np+sNLPj2P1vR8k/Aq3VzSv2cM2zMHh5u TeTHSXDBXvZnh5fosxxa05IeeGtqgV9gZo3lfiv3E24f6fxRYfxZqOumuRl+ItldnPS7nlffSbj0 vIwdAORdJht9uEg3RaCuISB8y2m/IPBZrv3jsCHSbSe0SXodzVYaHeXG0+7bdLgreUSNmnu2DMst MH3eNx2qy1E2g6cUMVTZGbjE1dFBEdYnYabBZCA5BrX5aZm0rxq0vQbEiES8ahgKytfxtBE6H+sm D4ismvgyF1Rv2qK8Rb+APkiyGRi2PmUZVpAXFkLu+ROXYJ6uAMb3bQ0CMgJz4S/6ZSy5saDfFJlu qHITrs1dFm0V13VpqUFoFlqisQ17Kle3ltCEP7z2V2r71C6+IyW6hs3oCD40hn/eLVVNyAW0kuiw lebolySRMa6OrwmCtow3zpd1Vc6p7GhW0Y/rEi6h4k1RTQgEIX2UyFArmS14BX83gUNMnVZ+nea3 RXmVx8hpbpaH1lGuu37WZ/J7mmkcgbw16wzm4x2Tu8eXuuKIKjvY7cftrnvAW2cKHyMXBfUbKUfb VXZCklcqPPU+Njet252JOmCG7Zu8n41cvwsTxV18+rsb8s4q9pMcFhksQ+fohplrBrGrrzJsotvN KXO7od0i+5HJoLF1nzuuqqe1HxG+Lo4j4+1nbZv8OcD7lc45u2WONOAKwAU1O2zlCY9Q3PPPBZEt fLFkdp8Vw3UPSpBSOi1LF7n4Ag4b5/dFhCFYHqrHWu2pDChiRaphsEfUWp4DVV/oHFkSD9LOd7KJ 42guxM3n48AwhfEE4lGoFrV8IjUzQtv4W0GpYOSswhgQKv2cZgINd96W19uUL3O3QlW5UypC56J5 ISxIta221UkkpURws5Vnrq+p5DM/0HMTSQeSxlM/XjkhQWY/QxDBF5l7J7Uv7TdYxxO44QV3CaF9 fAKyW0+72RW7p+BpM0tklkKHPNtrLqzwWRRzRgSecaT5yy1o7G1R6spHDMBOHkTER9teFvwtt9mh EGzJCqaI2QAloeaD8HI3ctsq6m2gTob4Ym6plEQGI+yjZ4U7nS+r3OXWDDPJZc2wDrR8WGH2+POJ lPwqOcqu44J62SfAH/r4VSt+R2txFbL2BT7WIBkrpCgMYJvU34p5Gm37VeHLFxbecvdxnrN/WJgX QbFm4KMgd4rvRmfpFyq5N9F8zcS71fwpB/mnoR8JrwaqMnUWx5RH+v+tNk4JhzhuRN0Ryjar03HL alotAXu56uHIrdy8Q45SUI6RSjzMZ/gG2jTEqF10CRLWymwpr85U3OJy6fE4Yl3K0oZBcjWAcS2v r/TTqcV/TG5ry2jShOoFsJXvk7bYDUj+ySDqQx8KRVQr1QwkWZciZfxFwWcc/TeBcFbMkaIY6U9z xovfKLDLb7LnB+1rtbJMWpTk5Su2IMd850OGWm0G/MKM06in9LNc1BkJXTY4ZvP6douz4xKPfwLP 1ffoEiOaRdPz1OEosaJ2JV9bB6lcQpHkHNJ+T+HXUOhWVcSZ5gy4Yh0kq9q+K/CB/sYV+geIG9db O+TMSexarwsz+1RdtcV358+AvuVwIS0y6yPrFyepqzwPP4rlbadnL3u5Yt7ugGnaxh5RLKSfuE0K gZELpDrS9Vqsi2celd+5F9pKJ11sa6W96I9J6Sm6C0pNAwYR4HiHnu8M7ZnWdcywO1ZV6YI1DRPl vjevR7NS7srQch9uniffnXnMYKVja+dalCgGpmCs+Ocrzxbk2OJ9sOrgW81uYboSuXdU3r5MXrcY EQyXjVlvw3iWm+opLi1ZY0RGu69ptS2W18tArOhQmX5+CGmjukVCvv4mh1Xr2BEnjJv4reghMxCP 976CtNAs0t7uzSLCc3TfFmncUzjisW8x6zvWqIQ28NY+VAvC8dpJceebMs4Q1R8AqTSIi2LcpXL/ rUyF1P7jo/OjcCO6dzcDfp+SEpZo5OklXAotgWELR3hsYEA/0D6P0wnN89Mjm/It9RnYHIjrlSVw xEMCfEnA6Z1jV/i9BVFTw6DwTKuuP4TYouTiYmmtG0fomlefE1aZSappE1E7F91kfozsXdrAQTy/ gsb/7FaiVeQYDl0+rmMV562Wu+3mmUm5vpOFwuC9uDonRZ3/YnZYVJWe3YEj8tYV8zmFo60rT4Ff 8Ael19Fz/ZE9BSqePcmY5DiNv1HmJkVX16Cb7XszXNFOP+VmQ/LwOco9eLD2pO/h6E0X845nfoxw ARQI5InIeLeC8QY4RamLaffuTIwDsHIycfYtnzOIgxSiDrTv6N6FzCRcW9iDZIH5rwCBwlOJ+Flk e39oE6OQfJLSLuSaeZXioRZ72t2La3QUaHC6+Cro+rvEQW+enATUBZYPDV8q+t3k3ecg4RyeMqs/ UN8Qw6LFTO+UXBFZhYrWn8OzclV6nwP88/ew6KpaGQybD9D3HhPGRlZgvFpNprGpuN+NygiNpOJl /aIM/rlOMz5nXSne8T0mpkHy2YlIK7pr6xi/cXQ5hKndGgd2DK98WAYMvRvobagfRZdpYR3ItdoS +/V/PVA+HOQJl70rdp3RrM1FlMfC6b+SbP5Kowaq0rJ54ECmUB4nQiOUrreHiZfTAHiA8DSYBWLu fYDxjrc7OSCiJN2gjVjS80n0aaJRyhS+J5fWoScxHmKudl2CUSMuL+qMNcDhHshiRLp7Zjq50WnW gZJSSDHrhYDs4a6w3WxH1ZInVa/jZW6iKz6RKUcVUk8CEyimlmo7k2YLNZBzOhMBdU3n2MIenyoO u5GJ3s/ORsXefte9PL+MvbNjuQI7p5XOwXitn03fO4CrIMgFGIEgcyYf51uORZ6vemjlXCWEAw9M 1iACI4IijSy848ep2WynYpP66r/+8akWWrqjt+0EybO+h3vcQZKsDUe321RCXSEPW754Fp9q3tcW 3hxJlQtZacRKZhgzq9bTYBx6Td9lxwC1YL0PGeDUZxijW18BTDRG/kGUUBvn7UYh0A55edTE0p1T odEPS9ZMvPyZoclh3I7RAISXeFI5njhWHXa8AYeTrTv1m7o/ypp0Q5TVeq3fcA7B2pvzBWgGbzcJ O9sC4k8xKa1GTW+CWYzvMI3atZ8Ny6VgdVtN/iOBxMdGshuSze9tmwnnolDSWHmfseeF+T76fKT4 62kJVenGDb3smHOqdpAptwobJhhPxxFK5XqXvXIjAEI+pdjtBjBAUwhfFJvZA3FTS5CcIlWy/wAd 2qykzM6BI84wbKX8VXFOpBkw0id7aJ3DkpQedvSQUwPrGp5jCcR/wmn80P3yaO6HydjD/Bz6rgVG aCIW3ZhCIAW7DLnizNa7HeAB5CfGy8/q9CJWs7O+1/P9Csp4ylxE65sD6X4coSkdNyE3ugrW6AK1 HLxdZYiErPhhSgsrV2Em36H1SMrmLSgjFbsxrLmSBXn3hI0HN/4steCcPjHuA8HmGQvbHwexvRgA hirSoDmLxLaCYKZ/mBaVHPTrQs+6G6/X6mQFlRp6DkhuUU06KcZofd/ngGKa/LkGI5t84DFBw4wZ rDbOjjvXZg6qTYCnohJoHXbRD8BMtbIxfbLWDFus0go/F9r5d0NUO2EFeFOnIpekNTVqJz79e6pW F0/VmSgQ6UQdOV3T9bSS1zUqcKt6lDfrduTxr4xD1yOOVuPBf7SizMnqFhn1sL3uiWaYm0DFyXA6 zbJibfYM/bRzgz4fHe53iWJi2jfYkVhZU/n05i2ouJhZ8XsYdHVNs+E4CV0BoyhnnXcAt5p1SoqA wIFveDwNrs3LLAGqck25K8lNk99lr0GkV7H4E923xD8oysBuY1sSRDjXuLoV77cnTiqFYdUF14cU YPZNtuGkELxu3Il2vKvmz/ei1XxG3EfEOwGbATXXAk5uRp6rSCDpp9byhKWKuy+COTHROg3kz392 SyqKzRkNPxrX+noaZv9zKPPkymhWfGxDHZSQkb/1pfV3mDY4u+J2CQRffMovpQkbX8JekervTvQZ 1SBd75WzbuyXoNFYsC9WXMoraIinLJNEB6K9186/gLHe3HymZOznhLKWJMMlbHc8I4rEb7ie8Hsv qp1Ht2Gsby1iy3+AWOlYJMulnBUMNKJ5hVkQxZHTsalwSoB7PhdcWTvv8Ud1rEMU7glwRhks8ksY 9XZrJ4kztMliIgYqSGx2NA9tjKZLD6y5kb9Jk6l0CyH7+BkbJhspvcNgSPY7RHsr70qVtcje//qw wETKRrMIWe0Zs8viNOWDSrrd90jo9ZnxSq14VQuI+LQmrsfMkMwzZIRRgij2EzqG0fZmTHSO6Ofr flvrdX7vDqCqvwiAJzRSENSeEp2YtObhpfdvYAdIgoNBrxf5gDh51cXvrF7zzeR3FYDoiTbkT1vC 5l43FjhgFEboqdqyN+4WQ6TTBs0EjX4DajIT36QixqZ1VRwYDQoYsrlNbc13onHt9JUIKmGHU/YN txmdUbMUKKcOxo1cHy/0KthGSm2VANe6cTtxVJIwPeSpXYnwl34NqIVWUf4hxiIg4zy4CVpBd2fy GPV1wbgtwHYMtFyayR06Wj9yYhRbMLFVTOLIJRdCTZJ3s6SJreRgglPOnv6ODWk6TgrLcVRBnlOO TeqBEvSNVti34S7pdfZ8YyY25JIaUfKkmB7UrhD35++KDvpYdF6ApWGAXGIfH3leGgvBvYpAtJeI uDNfCWr8SNDSikzaNilugPfQE3XCMcVgqH7hgW7kY8pvqdD3KHpKjsk0daqkJD0QbwpUIbqKuqMN 65VOTwX2QkPUsj/ffZxvP55gWyPp6x/5JPvumM58IBCIzzPPlIE13OopFJ2EGV4Z1s6sdb8eSlYf d7EyxL06UMO+QENzAucnmpTH70+w89OpGSLWKSquI7peCvSjEw1HQg2XGaTZPlhvmRGTyRCohKvT GMnMDKkN4QN0ACVReH6uKn0+P9PEreySloodl0wC6R/A+RaQlTYuLmOi5W8vEfzVzw8/eErYCvip Xd4e1FdbzmM58YRaOpebc/q8IINeEjopvc4aFY8Kpn8Q8fvuHUfSNRv0cmOIrbGpUwxPE8/Jy8HT +hbncjxWMhgVlgUEbLWeSs6CRGtkfw3HvMffq+BQpZAdommLEf5dOY8AgmTeh32MzMLv7VVPzqs3 SAKZwrkcrZGKWtFDPCkGiEP8ogbtD3f3jvWWFvO1bzxcKR5B2K7V6UrTORiuOZeKuzzn7MF0Wv5C Otyu1M3l8E1E5Kv/CVtVgSApzkOhgPhtLsCVS9m62/PInv5cmeZGFYtw1IxaN/Ycu/wTPu3j0x82 AiqRcwLHoLxDR8D1ax5JO7OpefVOOw59QGn/aFpmovKo0NnEsU2WY8fgRd+acE6/OGsOt07Uz1Oc qLvHRwT4nFJcJONIeMA5Pzn26plQIl2FsAdeImgQ4OdlHGpU10Y9o2791+Ui7zPOEV1fVi2ax0Wr nlc5d6MVZ7q46+4D0qxZKo+a/MVbOGyioAO97dp1rYTJnIG4l1FZf+mWZ0Kwg1FHMzyCFn4E5qsN pCHV0DmS9pPaP6cm4EFLle0aZUoFjxkC06ncFAF3Vyn5srqGxb+7RgNyxJ1Zkz6nINLRm2uAj3Qf Cu/xCrPS/YISeS6q4WfAhK/RYIl8AfimsPYZOkbAM1cJIjxePpdWnBqFiLB6AOHyY3AyFsXrk0qI hVyr5m+VTEFc3AHVEzp6h2AB4hK/4AkRfxjc+9Gd0KdBu7xcSPzQRjXKXfpqBbFM64zxjXnc4baM Z5rUpHsZNfRBiQRCoPUH5qAaK7KsV7b1Vc+NR3t+NrrwLjnhX+YHtXUJ4dsE7uquTwSdmYLY5Nmq zg3vEG1CkLSqRFnsMMYsrw+l2ZlVMPu9xzO3HcsARNMTIIDhPoc+q8ggefJ9Ujp+u08UQswnKnf8 dQVq3mgZirhrphl18P3UcijOcuDwS0Lthq6aOeEcBIXCjnbDS/V9piUNZvf3NdajfhIxdD+y0lqT RuBGedHalnS21Z18y5ODaOzW+fCSg79jUw5r+N/PKjFbfeVAbvzYAllQlCOL6XJkzePWWtZfduE7 Ey4Et65QeWzEVzoaAINqPVKPWcMDCXfK5vG/oOP4n9u9lok4/qf+a5LioeuBs7CmzVOzCOYJlMjF LnQ6TWprDiu0hBB3yIiKDf4x77PDY5rySv4WUqkBieU9kwETRFP9sOS8WRHWprePDdEf+i9B9qcu UFfl6qQnKvcORhT7svTo0cJMvHuBEcmlbkqfk34kAtBzCaZh6al6p4tONT4DFbVtN9XPxv8wHQfJ 3kyU2WK4QWN17tj4Qb6ibcD1sXsOXDkfn4oZpfsa+3r08BfXWDB7VH6yKXXFJDY/rbzAU2nSLg/M 4qCbO3lWdm4y54MEiDstdzHokQshVtvy8ix5mJPdUSbB0GOM1RkvVA4sgww+Ep+6v0n1a4mphL0q dbvWFgSY6z2tN3PdvnPotRLOQSqTRMtG7vkP3AyPMzNpg2a+SXjdEa6bpC/OdIWcHFhmKdxjtBpx N1DuIGGJLsejSZM1agaAV5wPMcEw3WB/DuGpvZ5PmV21y3cmB+Ev3+xYqYvpKCHMRDDVcQG9IIqP RsYusK47ddVp0Bwb1IaZGZlvXYsmF5RXySLaN00VzUsRbczBovUIdHJ9yzjlXERY/i3re2+MLYd5 ggmSbaDZqo+gudJwckZoxF8q36PdbzB+q7r0QJYH7fgY4U6B3eREC2pRJy8xxHK/zSurjRFAJyBE +e+mTraba0VzdeqMXz68Eunq3LhHJWn1UtBXCqHkT/YKZc0IYOFsOUz4wplcfjf0g4tJVba8wKPH ZdZVm1He2kQYPJXU56buyizQMJtj3JI5Rkhtw6bceHY4crclWYTvutYfab97b71X/TbI3dQxc6pq 19BCkoeOFJMX+9BkNzEV/NDpOOvwsKJ5/OHESllYyfFSC3L9Y0/qyG3MJA2yTP41l0eyQEH6xim3 53kG1b6rNWn4cJsMrtZZtj/fVW+kmTTfn61DsvqX86SvJ+0rHWPLTqvmU21WH3ZWC+9NMWNjbzSM cH13msKZ/Eazs0932A+dsMFT6pRerBnozGdltkvxgPH/TF3AFz2aY/e5H/zaYIZ3BuGiFz1lHcqK hkYR/dx6z3lUtEmfr3CnR8NC+vNYfCFO4fobnTjlyzFi0dXXVj7KWs/nP8aK+Asu/wo3jpXzmGR0 IFAJq5ytWyg6J1L/ZgL+0J7kfKFq0Z+gFFq9BORJqzAcOSDTwbiU3tEro62uyAVnyHOFLUGv3VnA AJI67uXjrPPlo4bHUY8JR6L2SQEbUR9al822HeXRJ+Kim5YHsU1cIac/xdv9PYyPoTZ0UE00u4B7 yf16XifetpbBmhuuvPv4EpfMgeSsFTHSHwtzePIALcw0d83UeHmrPP7aFBsYBxz3P3pqo/lfBa9Y nL2PLMbT7/q8XZwcfs3zpCYpNL/9nETULjyfQoBAU4Ip/9SlYTbxclNKky5pPAzCOwC/8OGfla93 j3bmgVpQK+c4Qjp24e6PLp8HG8tgos2PydRPcKIJTiu9l06dCDoS/siXu0YTPQnVkaQWLbHD09iN R6hI8N4TJ0IJATROeBiwxb2vcp246bfsznkoBr9gGyeLPE9EQu2M3A9uwjtDHxrc80St//J2GGqh c1GLrMFTOdtpAf+FwdwZ5KCOEkepS5ncDxliMWlxQ1+5NlsaK7ZNFCQWDOlLrt43GqYp7EhEN7Zj v6HoFs0nNz5pb7RtOdOoBpuoy4otTfra/Y3yXbZcH0fhZ1pCkd3X84AP4yG1sU0JRMXhip2DgbAC v78XsB01C5GSE0ypRAacrv3h/z1SZKLAcoJAhw1LMVin6HvewwwEOnWc1vDnCoPLChk1G9KtonTS 8EiKSW16Kc1qHhzmROnr1L2fHqnnGr1/ckUYUvT0bzyKki5zkKFKlOuAuigs4eHdPRdnt8sAi5n/ TDKPqz3908u3rgOR0ayo1S1VscLfOZuM4Ilqh8VIkJWuW6xbeREeIymoIhroElrMmq0tojIWn7Fe uuZz07ttvLEQWN2S6ttWX4VF9n5RUrnN0TnBHNvS6pl/2mKkmE2n+RADhbuL+du6/7Aqr7SS+9Ep A/giJ48stz26abNhJU6qMRRkWK4uyJf4nHRw1FXlHv6cMmnaiySZgGv7C6KFGo1VurPYQyTWklR4 tICK0kWS1+q19HVgBQGxKjI73UCu7kotS0FRXVg1mVa6JysFjUwe/5pZjOFI2NSD5YnhbUrQNGfs nipHI5cL5l+jRhRxjeFlxOgctpj64yPuXV7PqHGvkRLlLbGi5Lhw0QVbm9PA4/M0KM6OFyXuzFwj eyRtxYI7fcUVwET1o+/p8bLwu07dd1v89B2iyJFXG2nmku2+MfeCohxySqWhN1XrtpOPsjPTT02W FQULsFwNOqahWb7NuR9lRP2B5pKU9LewazW/MRkOXdVrte3/FOo0zsnoNZ20bZlYad9Dia27BhvY EiT0eYDZW34mwjP+VC52cHBWjV5nluoDsragoB2QylaO5PRfw3I4GCPSNP73nlM7MobqLvUogwbm jfPl6HmIUj6BfQNnE1V4HOpn8EzrYQ4kY0NkxZ7Q3S+tNYrV4SFm7c7gHgRnKUuaia1dFIpbt/A5 lvuVCr9DaKC19fWc77J9AbFZrGNuAw8orUTT0YCW584/m325ptnqlAJ7z0jw88pTRXmM2ah0Qkgm b7/Qo2syjLx2a87PRF6utEygR4fIBceZn5T5Dm0TpSc+gJl4tpR+QKlOhgVXVSG31SlgrBbYAwUI eXME5/9+Ng9KpMlrQSodL8wDE/FChNe936yzHy8gHA7RXokK+ITU1bVC+PQJaL97iavMRDiyS4Q9 LTovcZPWS9zeVMozzUiupmY/cVswS5Y9X+45rL3AZ7F4G3VTH/RL+0WYSs2cmPUxaPKMFp4Y+Y7T dkXyPLADETfGxj9mGghWHoeC1Zj3Ft5L4y9mRSb2mpvV4/1UfvXMe2ZYwT3LiEavhkWTb2CNx8Id HvOVFZyoKfUwNI/m+F/aGBJ+07unEL/Zb1t/4i/FtzV7dk60UKEnYs3F4PYJygEnEicnO/8kq6n3 0BrUWSwY71KRR0NzpXXVbrVY+qPF/h7ghOy4Rtg+DayWHITjibG21DLez3AcEuzi8c0N07D0Tv2v UucgGcTWKe4ccd711WPNbjzDQRlVDSqth1u1by13h5g+vgqxBR7kceI7rD8tyLP2K5uct3wVkZ/d 3qgfODpZ7x5sdoPcntyOZwIwnMiwTEr6tj1gaTaqtQaKcnSu7muc9JMSQn4NRJRv+NHAvoDihXAE edPujzXHFurF5PhJ+NXQdxpL3YSQCZbCjfer7KTXpdlgRTYamM74V3lwcloU/xLIX3s8wBJM9xFV c9yOOc5q/3aslvgv+GdiGURbQOrIwVg3e7xvCMXI0/eAvlCkww3PTFp5e68DqV5rMZWlDCkcZj7/ 603TywMDSMnr5HhIMCC1EBz0SPhls2/a/fNK3vRLU0dKQwfwVSUWt92Swhh4nb7ofwVOH5lQZz9a hbuv3SGHvd3ftT6OsM5pvwg5cSjAjHJeLnD8RGoG+IvM4P8PxGtLNYsLZiIdcUyqGSwTqIPYJLFI 08BVqHg+A8QAwm/YwBHXRqZXFV+UeJ1FT3MwmBK0baElFvxTVRb9Mx/8r1BqXP0r5ZJcOmb2Dt2U Nqx7AP3OCXQ/hOzmyAbNVKcVQbTQT0Xxayg5yUFTjINONSpQNPC3lyE3YY0pRReE1yMVcAleiWL5 3gtaT60zz4DmlbSj3CDQhRa9bLe7vu+mIPEp/T3yWjArOuJdlsIACy2riKAdEVUFVuJWuBiA1kg3 s/RQmVbutQNOuNGXBAR8Nys57PKseSLF4QxdRDfNhOWQX08zo1B9CujVg/R4awrycB9uoaoGk6mE HOci1a37M5tPUvCgiBNgrO0K/nrK4BRw9I/eKe5DEZuukDrMpSlYaQn406qzB5RAsRguFoHIZfRy 7yaX0szhzflzTmWOqI3VIeqpQF0k+biskR1wI8ks0QqL9s7afF3mGbYY3oVNnblolMq13ySDuwWK OsFlAQVC/Cr1JlZF/AA9Z5JuyaXqCKkBb5GB5QJZNc8G/Pe/3T0UZ0PvJ33M8aE1FfSt1PbMrZgK wFLBs2rG1ucj8mgzaOTACHfayMy2cueJN5IWUbf3+Szufk1TXOgo9LURMCiEtezSjX/QG+jOkaVw uidnIQcbws6bj/8XzhJsAT87FAAEEMIlFciYRkKy54IeAP6vEjtl7toJE9nBDbXGVDVrb9/6jobE RYtEj4NjuTBDAo5j9ATrW8stVC/+IlKz6ITGp/DsHOA+FdpGRh2PQijCu7KWYQT9oayhtwEniR3b lFfRYl76zwAqI7elY0RnoBVqV8nLgKQ0nLPBu61MVrP6wKhjFkPLAh0il4gXfXfUctWSmhtphOOP Wf+8xvI4VEF07TJsgEjEih0IV8wPjGC2OZcAzJvZfLjgNRuP7v6rDE/AMjelwQQBSfpamvrW5bDV sEydL7kNBzaNwuspob1dsFIN5WZ4ZkG3hvsKxTOIjlRCAj+7+LZ2qRlw9Y5Z4wQGAms/9XlPwl6v nru5M+6aMUYJllllTYddL3vb83fCszVZs7rnr6cong+4Zt3LvN+vLTRXhkBixZkqr1VFYyFVzYWl dsMUM8RIAuMv6dPlU6S6++14tbT0mDNkOiAcqvExN12VEIWqknH47TuKRP4W39XjC4L1sov1/EMK CpN05S9VDcalYIQhlsI63upK0ccsdVL/JsGOPw2U43xerxFS9Z4+qWSG6fxAYcMmb8V9G83KaptW szCqBUE90hlSqYmaTYDFDHpk/HI0lEUfWo/oUsbXFdmbTRn1YcOC3gTm7rfFYY86HMWn2H/6J3cu O1hwnnnpknhAM+lYdhSpMTXk1rRe+fHwutv/Z1rN31hR4GLMYBAICqKX4RZULmRGzCZHxmom5SAt 3z7+E4aikJ0ffVFRo5+mjTMhHhFbyImnxUr0lww6GUwxQ/F3IdKiwh7z66rRIiTCN6Dfi2m7mrpb vsgc2oWMG4WBnm2hBYh8LP9tE54WrNdaxEcExdFwV4Nk9a3ls8QWG2HXPMpL7HI9Y4A/zmWq5bCO Y0LljOCal8kjagR5Fgwd9zB7gquCYcK401BmzSGl6m7UjHCWW31gZc+r8fdPGG0tsdDFmViu50Zk na+AINO/TFb0T+QMAobXIKCOdJ+KC3EsParsq235ndAzNJL2dEYDZt3iP2DhvGqdhuWt6FgMS5+G 4JlffV31xSXum8pX0HoxpkFLO3qO+LliQ4cmMwgKyHQFvb2OptquOEADYyDNl2+DkbfjXLiWhknk xf6dmFbyajehurSMGmoMyFxsXCVjwDnIPPO903SSuix0higxtjQVaR5S+XMZ2TLorkcWw1WI5v9a iiCOUQPhyZgur5wDr6dhODz5QdCRemrrwzAJlCjf6talZaR5wd7xsImTDE6gSrSMGb7gH4gFG+nf t5263kWULlto4hSs1FUwZs+U8Y15MdNmvGeWpG2CLBi/z4CfkKPo48E6iZIItIskE+5DNeVYIYlS 0uv8HVtapUOwxaVR6jIplIhHSD5ivp0UwI92a3Gy1DUlEo8hb5ZA9ym8vq4OAf1d2BVfR63Sr389 oumGTP0J0/iLmTIUjhk/UQSUxXyb5zVIoaZakom2Mb1LyZaQOhUfL3Z1BG1RBBkRW+Gmw5x9BCYy ssbIdx+/QbBLPeqldJWTd+ivQbkSTk4yIa/S9bk5WYopa10MsQXQEv9+sSalENzxBGmcska1yHAm VWijakTyV1RDJIM8K1lxxhSQjDZUiQVSubzAbVjPqphDnyMS8YF9HQqwSeewbgHu/++vBjE2+tlU TfPrBCBbINGjOipDbvAYX8rR4iabMrdBAuvK14GHjkBpzRAnjOFbSMjQb4uO5XSAM27BiuANnwfM LnbVSg7BPtLulOEm4FJPiHTjAGd6Q6CRsAxqsmSjOG/+e7GCLN/MPnJmwhVJZg0clDZKhr6NiX6l JX4oQ7YOWt2/jItvTjEMT2RMrtq7bwDnPBOjAXyBxQ21tqh3bwZXrOjBy4FJqNC3mZRtgFN+4KQ2 PFT6so60Vuy+neLAm3GgtZk8NFFZAshMUefZbIWrnVm2TewF5442XtWeKTDW4cOVNkxbNqctf8DZ SQ/pFKA9F1jeO7lKdGX5n4Pfv79TG3+kFH3II2yY3RPuntQZnoElpx6F1VJyuQsaqgk2ozHvFMBj VsFrA/thuIfym+rsb6Zm4iNkHIVN49Rxsp39O0kRHKnQJDTZ1Mvt0mEe5B/L8iRCxFtNl5xKL9cN sThJFP6hNgpOk5fB65fLIIfvzW2Wsd210+T4H/C/D9EbLHkt7V6FwJdbFXqMqcHWgrgGd+aVCOdw sGX4eiNBQiEafzcDmI4vWRZeWUFeMa8I2yvRO+dJ2wZomG1wwGGsddGZwiLY1jw5sDaGjLqhTrku qOvyoPj5ly5GE2jBue5647zV0qrsOpDAzYq8EI1ywHI8XT4wWwaLYaebHq/WcS+AtLGgwk93YbhB L32WFQpPo0YixRDijIoa9nmu0z7dI3r0gO43wkH6MI/2pk0HoIWhfagGAZ25zUAjDs/UkTq+chr3 +ZL0kPSiLNo5ww73jmZtvz/jclENBpGfjjNHkkWMQmAr1uSpZ6/pa1cJVOwoyt7NgyeTqyGrum7N 57P6L8sZ6dwN3QrTudiU97/W4LhWf3NagWyW36v6TqeIHf59ztxmRutMjKJ3j6ic0LIo5rshU3Ks ZmD0f5GOGS6mr/tNf6TQCTvacDUJmh1gHQufb382Da49ObhR5uevXK/7ucyCx3rpvirdlV6PaV1P JQBiX6bNI8oNYFxW3RMGlEJW5BwZBKcRTrz8jL8QzWimtlJ81zyi7ChRVg1PsFlbFRqmEmXMdNdY iFllxTT74+qZNbn1amAW0znaAtKxiTWmmokJBreRmBVcN7NYx1X81gsKspgMb5OKyqKKCE5Fii+z i8d5FYfQfCQHuFqKjmPWeqbFV/7aQRCxqH56Ixs8AKNeRQf2DCMRhyj5z4ViUTej9d4cHwGgvbSa 6RWJXa+lOE9kTrZfLmy5ZMVte9DYTWPUSuIlXRYebNWSZQJepVmFqaQBVb4hWxHJ1lLnQ3SrInF/ NRFclCN26+m5Sdp5yhmX3ZJUqC9Aa6bRT9kUYzSsJloE+02ZI+P6l9briEPAUy2mDjBryKNgeGPv /7Ab8nkt6bPNQNoAYQ3wuU4tYstS8AwSuPGmcQKJ3BQN/0j+4lOdac0JPboyO3ICJ5atP58HD+Ns DbyI8kLfkGLkrQB4/HW28D9Fl7PKSMaTk0CUI6y0IwwECmb4xLlTUnLRkpEy0XhbvU4XWTJgiQRa k1VwJEe7GlXgRYDdjvtUzTK7sQ5Mw6Ef4C7I2rI3NlqjWhN0bvioC5q4BD38yEbjJnxEffpL+cTC nVqWhAhwcNftWMHINXcZAWwTEyXvcoA0GYkJ2y3NMksWJIwyXzBQw3VHnT14dMFksWtm0+H/ronB LnbabthR4z5muQ65W3LoSrNcWaRaTTfMP2ywGlSJSyh3NiJlZViAhGK/qRPsW+5D+XgTVY24+RoK q4qgJrfSaP/xpQCzUrgo6azg96nRM73GtAuo29l5gbikA2A/IwHDIykh53Ir2OSdfsnAMMb6GE99 nwKhTMuSFOvQqwvUK4/SHo8CuQBdtaGZuMpANoiLPBQSWLCHHBCYpVyyVq7NslTUxaLN710Fdyy8 iJQog+fjPHKCMupIz7jc7LRuf0/CYjbQidKCcD8A26M05cIsZe7XVuhDgwgGV9e5GTmUxmr1po5i flQu1kRsGtR7uqtmQyA+r9x5JYjS/bG4Yi4UWUFwT0GcWLIt7IRZPaNIGZLSghgTFEwpUmkDEF51 QtIwfospSdjjhCDjaDkHEXq/8KpBHeJ80y0at2wIIEtOnzKnuUYZK3WR2yibcpR9KoZd2QKdMZLK 6p09bOnKW/IIEu8kQ69M96I+4gkCpOkaI2luvAxrY6/WWiWRUhwhqiiGkLBZi5EqM6fK1bOOMPeZ Om9muEZecdvZx86Eelcuf4ec1X9IuFmdOZY86SI28Q/I7CFhgRLBaAXBWIEyDfpfIyBysUPXGN8z oAAmgG740yW7isRZKd0pFjO6awf/Pwx5KntsIcLQnOwljiqRFl1RzdcjAwpww2Jmy58H2PuVQe/e dsQKrS6CDT9M6Bqxo/dBWonadaCc3EYNKvsHFu48WTpJltLVdP/iqe/ADFbyOe67d0fsMVdxgJ6o p1l84DIloHEpjY830l5HYdBZ1M68S6n9cGVvGOvtVTaVTkOUo77vcGNyy7B5I0Y3Jo2JP2lnvchv d//O29/8tqoAnTupvpX4VygnMNC5uswPmId7kLR9vQHKM6Qy9Q6eALrzOZZbhtxuJzuTpdDzrYcW 57WUGCW/egzIXaGQxUR2FLiMz7zr5jOBXlhlv18G9TblEiFVhcdzfDdpMUmWKdRUZsf6ommtxQ3W aptxIhPZbWZELRKrRJe7ATWRSR6Ll/eDFpky54mOBwzlDob8zG9ucKe0FjOhW673CMekTc9CWPz9 V1vFmfC/7pEFyB63pZed8iiIijgAEHLvP1V+yDMkfgfZAaTWcNXuHfXzrbgCD5grCQGM6Exdlou9 SjEdNpPrNOFq6vncb0Agfr4XKBQcVywhurZIvHn4z8VNA6eMQ5dWHv/TFZWH5CwmR858P3yBR9XZ R/wtdmaEXoSsr3C1Ova9IxV24ddYh5006Zyk26eCcpt0q3uI0dmNHrfChJMWgxJONYu04isjpaxv OG0ucPP2ZuDBe01PTweZgrakfrDJuAsD3eV8HE6TOckYDXOHxq8O2Vp5zYAcpD4r3SeumtMnUBkV D6vtmnU0LlY4BB1c9f59pNg3BVDzxMlQYqaJ2QoV2WBxeqTI2dkiaJ9X6wvNZjiqKUQNp13x3u4T evabuK/vkNyVUPaXW1QVKtp8+OuFJhxrHwkpvzcyAB05bQ3wAY68rVZUFp8jLk+jcwFaEXZIlJFr X6xdTEqueMKrOeD2V0bhdoy0d6P8HAaRRaUL70R6acvTZ4XLFymz8kNMjYjYamg9Y2B1+OvlffaE +onk5iU2c4qXaeYyjpmL3j0JWfYMC7yXbfCPCO41Qt0BhSdt/+mcLYkhq3tOFCgCpklmsMP+SjB/ TD8NdwryZf6DgrnayW83JQzzH79Kh33op8g0IfBewPvn5fqR6DyFy12UV1iHYuuB9WvvRxTfzlOb qP92LWPhlNa7xGqnf68JJqdTNVP6q6fKKBYg2Kjzc5WKBeUx9+MCJ6q0vPl1jM6LOxl4pnCFqsBp WewZaz39bzgajk2/K0plNMGTcPohiznWZIxqrSwxpDtNYvmmdXHRlEEFn+zTr6bgfcmTrh2JPVuO ig3sE+Q53w6wttwyI03pnzj1iIdaudsxkpFabwMcBe0/kz8GytQrGMLhgcFDBWQyYg6NilLAE5nx xDa5iFQBQkrb+jjs3fQ+NUxSf+He+ozvlCaqXoreE8MnEWesPPENEBjB4oarsS6VL8PbENwpBJR1 TZVpBYemXAKybnSsk3cqlRWCTUQzQ1nsgtg1/Qn1YPRgrzmKsZKqs2A9ddnSM2DyZGKw71H22zg9 FoX5ApZPqIcClXsEQ6uniK30Cxjc+/J7bdNlnpuK0bmHDQsA0H3suMvTLxsL/FVEwockYbKm3c+x wm8SBwRxZVUt9fNPPoj12o1zUlltcktLXq5eC8H/jlkt1Ffhj5HSYjGm00f+qsfSiUP0T5rUIXyv f2v4ej7BQ2hTonVyv3pXFrVwKxpZWY+bKkMmwKZh7mB+naUcHK7L3EC8XeNdLEKwooHf7CzaPUpV odjxGRUzqpEW7NduBwosV0QusMNIlRjTqgZfXhHPIalLNyUB06iKIJ4bq8JkoSs1EodvqhasY/gT H5CaMhYk9CR8WRhVBrX76x+RQGvTwJ0G3NeOC5fkPZ3x2kQarT3To2gWfTerpQRAi2ntG2ceHuNj KGMyMP9aMgGYgq+P/BkfO2uJQKvTnW0nWgQ/Er6JSa8uEGmmO710ZxV6onK0Z9uCt526aTyM++W3 jHEgnYo69VcJCH1WW7vAJaHVvPSsiKQfCAe2DwwrwddjwiEuuIAiwCY9eQa9omPLPvIegoEN/Blu a8f0+2CjzaMIZgMdUYyitw6W6xqWiQkeLifFwH3JjFXTnmNcxVnZGC2bX7NRXn/QmiYBMJf66t+E KYlfV4KGtNmoAY1b/cDjkDn/m0bUhSropCel/LKe3zZWc8SuN79GbnlTxyUagQ1FAo2eWWz3+S3I 04SOJs1HOoU62wPVWImGu7hKzdi7+j6jaY0lbPkVwS1ISsqU93Xm8GvuP1vQIfP9AMbWoHbW1Sct bTwDXUUqtzOUVhkOPjw95SPaLrNeCNC6RSLzdn7Zt9Q8mjZfxzOQlrDZoUibZNIFCEohcq3D9k3Z 1Glj2YA/qeMf9QzR9eUMSmgMoZZSpoV5KUha+o8TISS/PqejZdHF5q/0iheSXagg8lK+XIrUQQWT Tzb7+TohPlA5F+GQ7eD8wA2PlkBUbQxH+gqeMiCYmoUTvDjWWaREAPhbmuSoRExenyrWZDkI9RMA q6oye8FmYzfoatJxeHvyBeW8qmLp70+9sFPqlZDfUPuncJggb7OT40cBDEkvLqWRjxRQicGAJVFu K24JR2jQT36O8bQbrCAQ6hy+BjGhvpGqFwqEblmod1fxGlTyi3M8DilWMKADWCa5SdkI9L7K8002 rvlptFHWPVKOuP/oSuILVZhQ2hYd2YULD1sAc931l9DxoTAkv8RFvMDIwpaEcyvhryaPO/nZmmGz ddZP6+SAqcj9X67IBUBm/zMuQ/qk1YA4uC/+r6td3ODLvIsOK4zNijJ5kHvOz/alhJH2X7B24eOR sROoysJNa08ZndCvIhcsvZe9851P5WewsryYLOaEgcBBQjdAp7L4QhGHTQ4qBwMc0bVdhok37VxD ZHpPtvbIHBZfVJzx6xKvBNeyWeU2lITy5ACNpc0P1PAaVzW4LTCPyWCw7BT3mCSreIXgyQHPr0Xe PVkDeKAMku/3Iunr18+8HEqxq+G6hSzlR6iBcyIw3ZcukCbrF/rX3+kBNBJwZWRDV6OAokCwr/QJ UXYXS26rFe315eB+3oKySHUGGuZMHakg3H/WhYzLXWh2+4FqNA0GU9nXZbNaKlz4Nc43JlCFuPoS XilELgO+mIc83f0Gi1T/VoU2HLL+xKx2ZtrN63QQRvJGamPET+slTobk1HibDEZMGJiBVK2p5PX/ 0GOrJim/69qgSi3P3qoSHy6CtJGuM6/hIXixIUyCN4RjNtEMSeYQwzLYp7U6VNGShbzLdZvc4hwc Fv9gfVAO8BZ48yQW9+gbtr+COK43sJeOdPNABcS9HIQAtMCFL8ekzAiOQFuD59euzoTi1nxurqdg U8beT6Zmz1R1Fun7lAZ1kY1VXAM9RwXYEhQX9P+Gggz9wBu14n3sVHf3+WN4RftmRqaqYgZzWJFo 40Fo0a+GCllpGAZ9PIi2GXvcVBUKgSJ+JSb5tvQaciZChj/WBfBBHyKZ64EV6oFh3DSaAbQ4eN9Y ixxpzQ6Xg/AgWKrk96/b1VaeLIJ5FjpUTaYSAfXHqGwD01QssCP7i53lllXZJf6BQihGS5eb03Jd 5+0QMJ84OXoODK9cEaNMGX2IZH2L0ADqxhMdJC6aioZ4Eo7WX+RnHcrNiXDJGwVActlpaR+wODW0 cnivEkxDELZaNd1+y5ukXpIh8DU9Zu5YVUmFXD+zzO5PPzlwz9SUsk94IvAZyAfJkQaacuuYZitI U1AKYTFENgLLDpDZmWoZQ6IVaGom1g9kEjzUAwOlzS648nQtE//CmzMe1kF24B98+4LoOi8TvU8o 5ze607Lm7vyCW+Ofbt3zZ0lu/rAn6FZLtxh0fENb0TpVqbcwwIhf6bd1gN00HXPUOweYuqhTk5R3 pw1aU4GBoQijwjqEHvixaW1xfQRpzBJB8GRoRtq+bdabuUeEv9DBCK+xtfK8J5lR043uZibqvnmu WJUb05TpjV2Az/ng1muZ9MqQxDElCvNbJ3JqrUrDS+qsIg6Iy1AYdUcB0Q3IzwpZZQQeTMnxwz69 qbg0r+Yx5bXjCGq1qsyvoYtgglW/S8lyRzSIx25q28bLnyqWdplSepnfgGq6/KkwaGtVnaPtImaS bYzUhWho0p3stbxeH/qavMUkixhYxEono97gByObuBvDbNM5oLdDXoO/XOUkCVhcsUdJ4SzHVfW/ e2Nw34QsMyaEWmRFrt5GBifdyd/Z0oYy7GGJTcPSU6+WScT3VtYNxYlR3Xl2cH6I2tABCWn2F1gg 7WpLcuQXcHZGI+dsUJXBiArL2Zp4bnDb/tbgXkU7H3yLJgDRHGgw78mQ6o7Ouk0aguyAvzRTqRZQ C6oXC43o6dZwpXd3bEF3hy3GLt9PnyDU2LN4udxTOIGII6YvCQuUXR9KCS5zXtLVeQFvjh4Np+Zn tC5WecSVsTiNonyDJCbfdjFkE10UxWwrumjI0LyjG4Y3z3sPiQ/ZcTB0B31FSGVJd7mDmzJFxyxw dd/+K+huc9q98tHhc39CQCfwYJsL0skIHs5sSOZaW/R7WUSD+ypHN0Kvjh5KpSJCsOeyWNMvxgnc ejcb2LC9EmpKAZndJei5DIJlPgfX5B3VibHmeG1j30l+k8x1tW5cPR8nI7cvzY+ZP+jvjz6b+BY6 A6zaxNHUZodQ6ylgS0ebCG7jWnXQpyHqVI7vUkgB6Pgray6yrt6qq+C4BuSAwkPEq5KPyDS7eFl7 15uPEs/NmlEKE2/au5O727lCD+IHWTdInU8nMKYtAD3V/kg966bHsGjZ2uOVwAGWggaNiqCeFdOc muPSjVArUwt8GtEz3W+PkJTOeLXW7klWv+J+lwjjiT6QmHoPegdS5GnVRkD1upY3STW4vCGczY42 M+wLtmoWaYokxID8gh5tUC2v4lcBeMfPZ24q3lVXjx0fw/Ovew5kPRh4QdPB2ND+aOYTYWzWmI4S WxfBZjqW09m61VokAEJIg4OdIwz4/sJINFzPR8KV+9KMYOxMVX1JrnS/oO7IgagucgiurwHrxQAC j5MWKcKDaQvUQawhz20kWxyQAcljQtJzuY9GjwdtsGEsP6TAGI6DMPbZn20FprEcJragbL9144d6 z56fS4cYuICDOslLsmE62MLTIm7qvAK9mTWI6A13hX3VJU+KdvTOoDfiK5aACWJU8I4c+XpZ1x04 ayL783xNGpDp0jFGd6XUFyqF+f4HJRYNMtZYgaQuGjzkwIkwnxpu7BTAVwfDVBAwBD7XaSgTVGnX idKUUBUU1ayPS6YWCfjsFtuysrP6vCB0i1hdeM8W1t+OpsCk499G3U88rlLgMLDAk2ZqWFa1M/by JHDSWrNDSijIPQ6jGn78pGzJ8xCKzCRgUPbuSri0u+UfFWkBfkfjo/lR5pt2aTTpMg2Dn4TWw97N asDcfucgtWX/9ciJwXlb58+vOCGnXVWaY9LJAJqFRIuzyuJCaZUkoAIpAAjQeufl7FvnfUoyrzV7 2KwCcUCUF/QCHtByRifOqJNhVoi7bAWD9Bzvm1Ztzqjvy3kVjWxlefzxndnsVMjdkNEIDH/NFyoN r16sD307CDd9asr0smIvDy0iJzkFq3TCXYwEDA5eTfr6NpZxEAYetBaQ03ATh66aXvKEwD3H4XBb w6UlviBPY7HLdXcB2zvhFuULYg2Wb/kU6XotY4+fIU1ZOiL1AAS5Ia8HtYUvSezqiKNxsgLqj0r0 6E0JEZOxZeshDugfyP6u45dtRGqc+H7+GfZUItl3XDFxSj89/tWZlwz/w1XIOIMAKrYe/kJ5NJgy ikTCbe/GPei1LZF3dkWNJgF6QgGwU+9Zb7dzcpZJ9iZJinf7S74LdvizxZ5WdbndgeTP3hVJTmB8 mODBYN+DjMeTbjplAmIk6RasSe4NeQgLVKjMSIYD2ixF2ZaMe1fH51pWSVkGVPhqMJl7Hvl1Snyy DvZC/vFZv4IVBXiPY0FtTfGUgPH+iEEXWl5PPOfaboJf0hBAQgUvqep8J/yjg99ctAhv12pbv3ZR ZfMIU1bBB5q9y9J5xk2B3glA4mzVBOWrvGZXwynDTwuL3brrAlWgNGKU16rhGccg5QP9cXblQPPC dw61yquMunf+IwJ1SeZYZj0ZTMB/pG7IuSc12DSA9svTAUOg6/Uf9H+vDCSEbMZ3aBu2nm/k5tW0 qImO0A+LWhPQmRJoG63hMAoSy83u2PRJZUrqiERjWiOU/wWz7mdzn9Zy9Sl08O9Xkm+1nNQSxvgg YPV+DmjkN3FnNzKgs+q1/jCAMC6r+91J83XzeVm92gkrjktOCb5gQcPqqDFX1lFmmZfxHiVinsJ1 VCYVHXuVE8JetGO+icN2qCVLRkBEr73AgeX2xd5bLEB/kDo6uwrWd4ESyNJ5aUtQ8CeUc+qnp0DB /m0m7byjVQ+O4t5M3pgMx5NN7ICigpY64N0Dk+NyQEiDdItfPdFymQQUjmnqHbK6or3mQ/LfoqQp VUMht8iI2MzAmWjNYJNO0p6i6f7uP/OTJgzBjEpwPv2NUCVFnw1QnSaARqYfB2ZXzRGc52kNU67w oZjaTbsHzESdQug7HanG6n7HmZyIzjXyiOI7iHkxPhrLox7UDBPNlXZqx246U5sKvbGY+bWxQcuw isjA8yM2RuK4xOplm/lxs/vS1A4UegbD26dDqLpS1DkSk5cTKl22Y2JEIEcohDOZffN3aKDDes8U eByis6PBSonUvsq+9Ilu8ghWhp1oAG2Uksh4o/7MVee2NemivDqgWxzlFXpjz/pfg+Bny2UVjXKw z+vM8zAr+Mv6hG6LBxdnUvyJSAMyaPfNTRpz5CVd0SCO7XTGnhwqZs+dKrJ5XqwuuEsEgd1Gro07 m1SXlee0r4GmtSzVWWE5LA8nC3uhYxBSDdBdx5aZq6LBvr7orsqyw2tpdjGc8Suk1CwXT+unEyCi xR77mWYckH2DvMGyuJLSBN6HrvjebXmxEkSGi0iNYlAjel0mIfAU154T5hD7i6KPMyy9yW7tCE8W LV1jAz+SDsO6lOtzXHSIG9JyobzOz/1lwn1HVkEYn/bmKCSmOCOof3BEzbd/VZ1A6/IMnVedO5BM KpyeQQ5MV5ckYAxg4hUHhtq460w06VOuPortpbjL/zP8HeefZpYqh5IBwph0CahvRCUU2XwtGbYF 8gKVKBFLZ1ePLkAUIpdepou0WM3qBK2uZafPZLI/ua7NYj/GLW4Wq87rZBJirusmqYL5uJa+vtxf GoT442rZv5q5lt6JwlDJSswqax0CAwSxPqhv2UPcw/U0x7caVO7UyOz4yzVfAlo3AAbu+fiHagxD oSjK3Mi4l9fDYzxwIFFRddXFqVqmJrfq7WX3Qs8ElIFF++p04/EL14P2RUEC65aMRvfj44W0kOxx 6vr/gP7y9syHt/gvorvIB7QrdWQMgPOP01aNk8HU32l5f3woJ76j8i9dMrm0XfcahrWnRZxuXlhm S7qmoN5s72zxt0mnwA84gU4LwvIJpKk1BDaMkRSUxsdZXy7vyjHxF9vhHQBp6Q0s85MPR+dBVvPd pEK1waKmR6XWOnSWiBmq6qDiyluQJagxMs5gyLTMDfTZjL0kAxXUQHhZo4bLsgmm/7IP165GFpAv ksfMw7D+ce+04215CTbi8v1i55yqywGAy+72ih2AXErBg65pYO3mCNpGbARuyHNe8UYy8PZlFaFL EbZq7K8mLiRzYzlQjkYoaz4dGr8TxjKB/ZepUkR35bdxqWqyqSYB/iLeHu/P0WNTuM8z4ulZErxV ENvAPO6wQGT4UdGYLPKYkCmKA8MxI2WWJYD3INIUx1BuwVD7CetBr0SF0Hd7LeEGbgKkDe0Xq6BK fGPGK2vDSLJxePYHC4u7dcihoWwVBUU30Ks29DlA26idXX42wmJHPl/FewD6dFyCq69PIJteij7/ cSE/g9+xi4vIjZqx3GgVXP4/XdWDCxA6movPMokBfdreayL/A6JoLnIlWvjCFCLNFuvYjNKvqZES tNGR+s6L2ohpfCUb4E8m53/kz8p6Ds0V56LFGXzTzGmZemmoRKPHgknTnBpbMxAIBLmckx9qIVK1 1CMAf4nmos2Oi+p+RaPfxO6xjlnYi5VPlRxwRZxqi27xoW559D/x6NfcXjQu9aSYEosuzoH0SzzY BNGkJXTcGEslYfX0r93zQp3pVE+N8S5w6/fi7SJ7mfkjyKHuqswRiqBjwikAwoMHnQ/4+r7YOG/e 1ueMOpSQ+0DeuVzszQyY3r78RAbCsvAwxrO8nOEqwTRStSNthlwvTS3hfDb6EtRULZr0FBS9zu4Z a4eEw4NQcuM7+bSB0pfQ693dIVwyqyUp5EZQbBo4u8vQRDy4ysMsPNy57qLYO3bcjZgQcTtOzKBn UPlcAvcYMMUkeNy9f1V7Hn3LRb5UL71WLJyS60OTOgQoFPhlvzAbltpVYpfFQaND3aaXGllXjIer CaQgWVqg+XjmdzZG4VbBM14FVYjMUe+GMlbTv8OciXSZ+QFOoSC130Fp/QC5+6svltvMfLRgj44S fKpaiYHZhlmtVjfcN9vR1QkXLDTQxF+jg5gKkKVZHuVYbjkBTmu+rP6aGJKhoqCNrvhtZP3N8It8 j2klc9ZbKhmFmqK+3JUu/tQVgre5AMeKzpAiUNE5CoefJ3qo66/gWe/f474RiqtyJatsGyc1hWBS gm0tvFPhKWyyH2vvd/ZEFyHGahp9AlSwcvqZpeXXPdIBrYzrs+/JfZ6DwdLMlsmR37UZpYTGOxMW PFfaynp49skACsAblcerprT9YQ+K4tuDxa22hZg+WW0muRYRhmGzo46OEiv+86NV2a5z/e10MECP MG4aCBl2BsmyxyopOgA1VNo2R8A3phUqqbahxbhKfOXD/mbENRDDXELJgTxKMvHD3svW1Lr8F70M RkOGScrsIrheTmTzc1aOzN9OoGs2G7AaYEWTKfAn3MLjPVWAcuX0gP/sRZaWtx6DflCkI/5VeJFz b11+R+BPl1PtSuPbkVU3tvvzvhUVWebHIYoLGUF49ew1l/tG+CvTtGjAVprtlF3606Kte05QTNH6 z9ATS8b/4wxxyh7qHvzkjDyGaEh8p04Z3GRXwsBui3y+Bi6eJp3Gu7xk/1LsQePY2LONKcjknsY3 CFnHPBWElcHkpoILbsNt/4xwi7xh+iAix+OXlgmZ5/HQsaCF0qPnDbsQEWemnQUpsvwXYBYKxanJ K7BJ51wWOOBxXQHC31qcQmhw+0xUeUkMEI8wzTEbvS/IQYVxzwITo/dKgTuFmavxIy+ULJABg1uh 0RAS0O8n/M0NLvDbIPQkfPi3TptiEM7/ypmH8F4ynk/37QE/p/Co4UqXA+n0RzDUYCTQDZRj5L64 bKHAv5amwn2suU9xcREuLPZLOHO9Ggx+eO4cK9qOD027YTnWZqRtclG7KzBW7f3rjsJQmIdzBpf4 a/DPN9RLNeHzxbQ3Jd80dwC/B/2T8q2TN0wY9WwJc3X8V3cCR51UWaCQhXRXvnt8Q/bEV/uFF0AJ aoOljbpyeRjVVl4l11dHR9PisTuzqNUT5pMgacABXARdn/jRuJs4O301r2ySUAKMruTCHEgBF16a LrIvJSwlXlEgS58aj41smH+3nOqYwHZgrg4NI74qiJKgKw5N6dzPRlEq7pyQN2mx8Tr0h2vrLOAV TKw5Zxi+Ns5gkv3IprXA05hfa+i00NZjP5RNfpz7D/n36Y0dgqlbyC4GTHMaAy4ONfTFxoVjM9eH HY0njmG97+RB1zaeKM9S2XEANsi5yOR+TW5q1NYR0B15kOeewjvBCtah6CYZXFLm8S4MtzUEREr3 5vmLS2+a8RnGZxzs8CuQ/fRo64yJz8DJqYkWdiJ350HLQamyV6PUVL9wS/a1s+9SQPB82XFYEFAC FkrSHxVyqscinOZIVnVB2lsmvABNSEbcDK0PlsPTjaauipwVxxXOx3h1OrqbwUaNi2UC7FQC8HK2 qIKwNL5Nn7+TdJRDJDnGgZ5KYRRfQfOvP1AAlv6IKCWGHdSgVtYDsniPM/EMYOo0KWE6EwPF099/ UEBLFtxTue/DuTYXPMy5m1SPmajDuHoGJ3dHBBt9rAhm2gAtlOYdxcmzknx/IyynvZ+0wdb6H8qT ZAlMcsqkjWbYg4ZoMCn4o0aFEJCXL4YQUO9wILAwgMr3K2BM2mpfs0njMQBKunb/WLvlAptV1d4N 0Nk3vpFqdqu1uj4omSEEBgdFQUu5JpYTrK13ssOo8CTNFjg7nOmaOzLwm7yCL7UkYjMe1W+HiOnX Sy2vbQR7WbcxtUxTDxeHPs40VS+MsmQO7y539yLcHkFIsb4fCrhnrZ36EPZ8QjKzEEv0QTOUxU9r GMxr/ot3F6PB0KhnpxYjgRJV3w5r3xVi4yyUOrOG25FgOvszoIBvAvevLn6HmDLkHkfXfBMblTy/ NtaJ/Q6eU9/puZoejoZKB9rXGHkYmRiXonGNMbZL++e598u/pyPvYhYiF21ZK6Gkhsom487GTmB9 R+B4hjYyCjXsBtykJAIzbzut+K3l+qj25JhPaZQgIuGL67N8F/zhhDzF8lRF/l/clH4+bjUBKRxO BkP027QO/9D02HoH4CgLsvOQW5KTdo0BnHSsr6OkWDhxVnKhbzleGhbcZBkzRkYTczFgCHMZSRnR QigTpHjAfLmYL5ZJNLd+PZih0Wfkprj3lVjKNcfD6mtCP0tpsoIWbo7farRjWpW8ODCV59djVHwx GrmiDu26gzLlRBsZrIhX8N/ZLn1KM2P5uGuGJ1sHyd8VPgNnS/Iy/ZoJ9A0pFPXe6a8g+/74SJxd Erp/2t8HdfxzZq2viOB3fKDeK2JqCpf3OCCw9BSozIF2qPG+6aTsdNHKE1qmP5tZH4rIGOO/TVV1 mnQpW60N8OP79elN61ZSwjQtGEzLwNk2FdwM53iNydsf/kjF5oZoMa7sKqghloMb17lrkpPy2sIz NMg3rTdUkAH/evqTZMfMM9wb+lAj0yc+/7t8bW0Sg7R7xDbryzaEi1vvKZH02DM1yAwusb5Y/6qi w5p9KYcedKhNUcx2PzZh630+7232psIWx2frmNcanvEFgBrW+n80paSAJRJY1Ejdyeahtl1H6JNQ /bTp0dS9nshNqJBIPuu+WSfSfSWOz2qTdFPDx81XLZW6otGAdu6n9dCa9l2KGzRW+tnWTYCaP3tP 0uKZgisATgbyLkdqJV6yblkmC+B8HMcl1dhe6QVsuW/NgxuNe+POBCd1mW4Z84NCUXwNc0yotgWI Ts95pwIfXO/38ZI6PUhYJm9d8iBpK7Ty12j5ZjNzXL+fId5c/1DwaXaDy4j8blVsaFY0mliXvkfR kMgFtW0CCUGgy2KeWl9Z16MNoK+wpSTK7FP+9ppkA2h5bH/ikH6kHADgnVcONMu9AgFH6wXIE1rj /rVKni1Olwq6Cq55wgonzMjUvvZlg+HUObYiNUt0KJxetwL6wEeiRnS/LQm/bshnb9BoTsoCE3JU UlEzEQEi2j+3YmMwFGDKdVggW3yaErA3jtDGhjgWK0ki7CfmWyIzI7ZRUy7HjpE8IZbEFpK71+CQ f0ip5wHub7NSJJeMQr48pBEHMk+5r1IQApDiDqvNipWxXWlbbN7kefrU7/JqOOhkDFU9k0+Eok6c kNn+ocUj8In9bgrsqvwnLUUwM9gGqjVUnFE5LBcfxe0pAABjsJR4rIfJHbF/odrcu2bkV7aY4lRH YtHl3CAv9myYdcz6zoivzyAFLPqAPAXypXr7DjjZEr3lGRT8Q8lIABceAfLQvADVkREBCrArREe3 2tUGeRZ4o6NjBAdkAaoEUuZSaWenTKBrrkOLFjzIbpQsAdviaqGTfNwAOpdfFS0hJ1HNQDRYAtvq N6gERuUxX+ZlhrVniGuVPFgh7uSZUg+Dy1lxd/KOWokLXiuBEG6z+exwHLtIAw/ZTxhkiAEr/rda p5zYyR42v1BopoZITU4a3NxLtmLfBBIVsc7GRJw0sz4BflqVR3L8YPBvL/tsbhhXHh3Zg7K4sjQB iV48dUX1ahq6KtxdVrVuavAeFSWDZL2JTBY1wtPvoQmztzP713nnJezerwB8N4mruxiiGUUw6tt7 bIERoIwfQr00hyhaNU4upDL8FbzT1rtPug3NzUWGE6xXLK0jwTXpRKYpiNqWpud6QUMkqiX0Gels LjEODhYdPoqQPwyScyybwOzV9hSaq4ufNjLWYFCpCVJaWsxvslp3hwpZpyekUUbEWxUWHM3Dl5fH cQHo6tmWZEx/P09TZCgo/cPH2pavfoFddCaplsAZ7dKnwgMWkb6Fe2N2EmnIk9tBKXNbi6yo1wP8 PrD22AmKGtahr1HoBEHXEoKuAc6ETzTGWgcvxWYRrCJlTik/VqGv9J8AsWxX00MZkkwrKB5WjAf6 MQXtMH0j2mCOf763r8rWqQ0X6UBgA5GtRbcTFT6Yx4ZjmjzEVtbpNuld4Z1FmspQXMpa9nRGtj6+ 4SPvCe469WjN6Yx0E0BKGKeX00TZZ4EPlsLDkohG/zp73LWDScnoqpgw1DpuIMRaMd0phPjPV68o 1cF6t0NUrXbR/uxTmhjwNDNxa4/Qy7Y9LQtSKQuCzFzrC/IyxDTiMsqGzTpzlIAKBb1cs+VREjv6 d2jDKPdXEssilWKEuS8BhzJjbHYisqRZcFaQLk2sVrUbjKEN65tL+lp+Iv2R8T+Fu9GY0MYGDYwl 8PivYcDv2YeFtvCuAW6h99qxYaJXV0eaZ9PFaZ0gT1Z/awklZ/m9Qc7CXa9s1CNPj2V4ykv/hGNO pPOIPrxYCpTV/32Bl0AKn9Xzx4z+hM9tvUynKxo8zAHr1Z8WuyKBFVQ6TfVDeYTH08nV35PK/qH1 Y73xP1S2+5KnCu8/sNhCXlEV8qfVGgSK0RyzzEP4iedsMKuQC65P4hEiBkS2ZpKVoe75AhbXmHu0 S2cgoP2GJMxrjTaByD7fsMOWgPN/HW9IEHMq/I1J6Uv+8r9Agysj8Se/ch4IZSZhQ2vP1MpfuSxP 7di32yjeMNbTbyoU+Nim1d8W9aJ2Dh7LeViz8uSdEWto9PJTOpcsJwETlz0p2jaupxNrHIxfTttC 9FiiaMWeHctOfXvSnMUo623yDGHfWW3PvPP/e5SOf7R/2zTJ2lz8OROLGAw4T0p9vBn8wqLK3AO4 ACt2UnFmG81WcI08a5opw+5B7a3T9JqsD05cQS6ExD8fs/eDohrgM/+t7FsUoFe+QHyxTuBy5FC0 BeB91/kd0N/tEfbc9WDF1COAXvSaAjDbYwY8gqCK7aupoJTeWaEyhjKkLRrvaBiljvS+CukR277N V3z2TGer65EbC52TH6UYv6+b+yCZtqtJzDXVP9/+GSJyJfKrK/6NviAOo6fwAldFAI+LQAUGDXpl pMK6iNoVYOEfk/ldaT69psSlOrFTRtHJkdKIzZsEUewMjp8Kct6SFO+9kTcCyrGi7dL8TOyEqD8u P4aIYj8mtmGefoNzU60ieXKGLW+ePpSkDSiiGN5jTQvD20y2oAazI4/W0/OYy+4DMntiNYHTf1O8 4lZMu39VecMApeKe50paljkVfE2ikjjfqr4zi/CO8+Vjfr8ZyBhoLw9An55CfpLDLJylmPgbE8Sb FvX9zivCJ1wNAXQYUMDJ+9dLdhg4+APi7rjasOHeb+MBlUbDah3Zhdxf/vchfjzOX7iEoq/FsMNb 6RxjSd1OzlK6m9j6z8kBOIxJeUiLqDbfLBByxcgOANXzT+HNGCJXKlCaxUo0aP9CP/GJ+ZbLRx3N jhHbHBcgzGdPWFKGQOOBaSEqis+cwp4qnRR/PIi5x/m2ZnDQzdDHJmfkSapxHIdFUs1fRlJLznM0 NEFTOw56Mw/XNptVfvG23Zkodqk8k30dNs2JetpYWB40yo/tuwFonrNLnvke22GaModhlKI9lwkC Vs60wFNBFYhQ5FutkLwe+URDgqQpEjNLqj9jQNHkGxSx3Bp7q8e53ercXu4SncDMv4GFUy42IA07 /JmM0TiXeBZoZKl7krSqoZ61/nCFcxfLETMHPVb8b2Qgqmm8mWOTdOMwGh7UwTNHWkwHQScmSfaH 3VstfsDG0PFlIZsLwvrllw0Hs7XA7YyYgxzYN4k6Qw7GyLlE1G822o1t6tTDaYKi2iqYiROKvLIo 8esIM5rcf3NrY46hVU7rGofHSCL6VVKpW5Ya8CBdbJY8oFg0/Wth5x493mHcInrdqa5r4hwOYcx3 A4ooccnqV7sGy8P0ZrlZnq1L01YnuiUwDuuaASDLt6+YpGkpGq1zkuI2Wsv8HotuWJJaHthGLDe6 Fh8jzI2/5zKir1GuyXXBXxcSY5KnO+zOnC7GWA0VfnTVQnKGB5MzsEmaAAfklFdukU+iEdpU06Z1 HPNqYUh1EOE6IFq/pmsQ7TCvcVqjpSUe+VeJSfhXDJ24f/WM6tPssPytjoIo+o7Mbx+88uQnjQjn wrYPiM7lCW7PNQ/wNlzeLEEZMQYPwngpptGDa9hziw7D6IgJiEBMwEpOvbv00+JGR23dja3Wy3na l6ZF0udCgLLUepfSCTqL+/EWuJT/xUy2HOvwexBojT9eu/V533/tCis+gZC+3eEBOaGjJ+fVz2Iv UlIgSQU4Va+izLk59vJ6yOXdIwJrZJlUp1z9TYaO6MjBhJLHkPxWRtbzNRBY4N9O+UG1ARNTRbRq GwEbWwsPb5aw7LH67ppnJhzbT9IMr3jdpyp0norDsXkwov0PQgarptYuDB7zJ8VsbaEGTZGH+lyz RReKdpAWPaXQAQFtUVBD5ahKolMVphB1NdSWufVFfx2YJVjD8aELw8LalWfOzouXZXiUH7M9Exc3 rv3m7Ne4SkAInxNDyHRZ/WxWKWjiKfXwjBYQ52ztuw0UaAwFaGD0fTJbcJfQ7wNO3Ag0fTsrkzw4 5OTKY1tqxwXnHYG+UyT0cC4rhml+7RU+fm4fCt/z2Y0VhdXRAAebq5BtEUuEDvK7qhg3VYvbOHyR 6fvWsuYBKK86sFuTdmfYom8orwfi8Ewzf+Z2+5SuSXO9LM+vyXguJfu2tmD0ufQSY7X9Nkv5a9/l y6UdihmT4ol6SuD5SZvvi4Tu3in/K9CnyLjKKEBiaqp44+2PCtrukOhbfmORld9C3WNqNlmJVDjW jdzNWdeQ51RzGoipV89VqI2DgtGql7huwgr/IbJMmSLA0YlOBFUSO8IsytrRiYvkxfeS74FK5Rnp XK7Ld4i9CjfB6PXKap5OTA3B6V3FIo+Rzs987HnouOn+lEcO3VN04+xvfgEh1jlqTYKC5hWERw4y RvRB18Z7LDg08oxRvNEQy3aAicTeP3tbae+SXQM3H3YaNKTgdwkJjiRtbCdM9VqGG8vDFT2MTgjl aTZdVOlzUZwSzGqFOeboyDGPYoU5bR2RLe//EbRi+IPueKCtU0itotYn62pvO9KCqDD8A/xv9QBd McQXUfcetdgnp5uf/+mPe+LmN5Ugo5+6Nly40esH0V/t08DcOW1d63watgJ1dr139KwhSfM5Qw2J kTIOsq7V8swPrgjL+L3byNA+WgAL1leX0iID8mFub3m+/16xvS2dA3gY0kL3XmErRRi32J8jTDlt Z+7JSlKNVU5GFtvvs5UeRbSBIrYn3/gfw2jJQSN1whzwelL4FRJUrJU7YpDU4xx+3WDY4UvoP9e4 VXSW9yqnAB0+ITu9xbsGEdxFYwt2yJqYhrQboRWU/wMPg7dju1kSxljFWBILUCkNBSBFzfuZANeF AbSt/gaikZxCAt/eQcqSIV5A713CZD7cufKedsIxguAPsUfxLe0XAUCoerq03j1OnJfiqF4qrxjm vYAzw3iTUfVAzy8h+yHFd6LNaCASxVqjHarESfieDiznURWsJrfoGChaEYDY1v1q9u6COBKAxK2R MNvEX7qbqqLwTWtgAmM54tUAL3xXASlBB+xeCa4KFemp6K3swVqxp5xGvv1yRqKE2OVqMj58OTwP BDQyl4cyjzuMVGEq8NxLtE1epZKAol5N1QEKD4dkcuJhRz0AVn5mAgxttSRkZ765SvI8XnIBGGIY hsIoc49EbieuHufd2DhuvhoEImraAXsSJXk48Kv2MMQfrfw9dFIbrfW1SHnBZrhNl7heWDM1pVu8 R2zehSsXZIv6HOUwwnB9cRFcG51WOKaYNrd41cE9LEI9+3WOF21v/oBewpw4snEYu61UK51z0Epv xf66TPgjJRQLLKwgdGOMMRDBoRZdRiKVZ2yhKx5j4cscQBiEsaLa3hU9UQnMzTVQJHYgzOTTfCZl sensNDTHAGhtyn/6O4AxPYUZwaI/Q+sAfNHtf5toeNaDsjMcF4UI86xmB0WVzjuXQnnYyoRliWpw TRVRgMbue0O3nQnuxuFU1ZkkjDHskQhuECS+8myOp2vgJpaVlKey4gZVU1DeUj10YyJL2/9Hz1jN Pmi39EuBMfyZ/BLbK/Q+dz00H7Qhrd+Q8ekbxTTHyxpYG7cvLEn566t2VvuRDxiHtQZ0aaP4DDNK W78GKlAAnK9nShNX8J74NI/Eh53wHvvFMcDFukokzjiFKg9ycGWXk3DWACZv2KETJGL8QVSAjO7D xOcxytSivFTXUVjYSD1p8xb72BuKwyRy2KUkKaFctCnGjGP5NfJKIhkR6VPqMS1PYlx7NTWbb8aJ 5jczA9M0YAUrgaD1Ngfysq4NXq5lB6CHyRP2GaRFpakI1E/fPwsHaNCwfZnPSEslc427uMCaW3Io kwM9FL91k4D2o+TkybdlDxl6a/k2gIIUumr6RnZtibdvKSe1bongzaNHV1mFklK7dUydek5p1uLO LuS26myg2KMDr7+miOLmdKbB4MnOT54RxY/zH6T8SeDO9qJovu2IUuUtILxV6IipKfVWhV9xql+h sV89DsA0kyDPE6Y8OyDo9bcfGHirBF2oPQVGhv7U3S0wM8xa62o0c0jKKzQ3f4e1/lTfWLW9zn2L Y4k/42GflhO0Q/rCCoK7Ay1gWgEB7PE+8lSc2VxlJAVJ4OcjdPFXNWkt8HI5NQA4GDBa3eSlp1AZ hptSdGdoFOUlWpCBqgmJVntPvifRDQl7QRVQDxwD5DT+ROC81LUf7loVJsVUMSLUzTkdmF937n37 j5gMBGwCO4DxYRDEkfyNGa+C7UT2IEu+/eQlA6Bvlmq15153maAjtS4pKNFSB/PJfZU4Hmn9jgFo 9HHyP9TBEjVIdakKxWOWxYlPfXtXAbq9LjhBG0vYMkx6VqS86dqdM0TCFvRilBtQcHIQ/z4VJJAH ulmGFiazrVo9kBteaUgV4VTf3OM9UKcHtyykjbMy1LKyKWa0J74RW5InGozy5l8+/ZhjTUzAhBMq In8au4Au3wZ4RVH6RJZ91VWAmE830eDPrHMmz45uRqQ/+wkOqseuoDuZsTcO7IU1dowGqq+Yl+lk faXQrAm2Qk1R9fzrxy25A/GW+HbAbLbdBa3TQvR2kZYaAJ20mownYYCnP6PgW95bSkwcIOc20edc 4t2p7/+SrcdwoXC7Dnfky9S7feuT+A6Sad64OhMG3jYzxyUnjXiQU1NvWFLSDg2rbqRuxcL7Cb+f r7ECF15LdEMrmOMxPnwXUKo5K8aF4Thdp0PltSREH08OvuYHiWxJioVciK9sC6IPQnAnxGc+L+tt Huy8SkfWJ/LSY5yBA2znAaOf9jeJmQwdSvy4VoXQDQ0PSVakEtIN2WOsFsPjxPeshx4qAB5KlON9 1ila0Mks3RnzMWSHmkylEUjSHW40ErWEHay9lrkMvciH0kOAWerc/sG6gKO5QfDJDOEZAHrwJQVv 0Aa/f3fYjBzF0Anv+PD7qYw7X2LHAXT9MBHrCZ09oz9ouvljIOx2EY6WmWdLSNFWgX2PHDu/n1tz OBoAXhe8Rb2b2CzTs+b5kc65OLr0iGFbtEqpA34e7hYUfQkl0m+zeHPFDHQdGDXoc8KejtOa+nkN Wd8BK70hQdqViCQt4GQsGR9VbIbKRZDc0dSquiXdxb64cYiHaI945bK8Ez3v22ggGG3UxcLN4h9c 2Vn1S0t3KYBh+tf/mD5opbOMv2/P55wc8s3flflfmG3Wzvqvy6kUST+mQzb/lhvYmyXhaWVqwhuK F4pRpJptYA073VNZeUdNl1SijIGF728U889XMDqJwbcxZVMEx+qZIoQcwcfy7P6qfIITqe03SbD7 KI69f1zbk1JlnIG9AeN/4e7DOTD4SAPzAE3RLyOsPBXLi+8IOggbBaLt6vjwC9OKdEt1oLBdz0nx 4bULcT30NKBTtljmdmWtZjvAFXBRIOlE/MfJBpyvEmoR+FTarnqeHHvp1gDOP6A7gv62FFrrKz1g ev6Nowrt2PLjflOEhiCSqQq9U6ObQWcfKlxiGgf83mx4i3vYL+C4CpQhvCC1Ng6Dao++o/Mc1BVM 5p9bnIeLDYEx1sJoCJApMP9qU1lXzlV76aSOUeoXpk7ww6ysgZKVkgJItc4RHZKYcANtFlhcH4c+ GutaRaSJQBzGzKUuZm/7rZYMl2bcxVBhZNWANQCXqWMUdPlvjFPL+1QBagxMjS3rhB1XI7VevzoN f7t/JilWvw4j1iCyqUkqKPQzmDuCMIn8uDvBvDWKreXIrxmPLescZxQBbv6Q6M4CqIaCAfxugcCO gI3+VSkyhuK6Q1/GaDwd1oxzcZIwdUXdfb6hu5HB8qO1c3ze+g8OXKJd1hL6TY23NDb2y1CE9Eo9 chjDr44AGwYXTO4657WgQaMvOLv2n1SbFihsUet2Wxs98WL93pPr+TE8Kkn17RJp9UADd9v5VEzV vD7TmtAZtDiSR7hU/pluC5tFh+YVQl481A7aUHfB0fwLJWETMOrulhmiiGNB8R0Q7xJbyZzz7plg QSwRYhg/7I8mRzBhu1cuQDk13ef28KpqMMQMOG+te57iB/e4a1mbNufHC/27ata506xKaoeeLSdh F1JlmaZYbaWjnbweMcrTDGur/wdHrzTKu5zvEpwAfqoWiNnoHBhy59RG+k/0ZoKN1GCdNcfw9b35 mTcER5a/qMvnPWXpgtLpCwN5YE2q1XlTT8CoWcalXtqrdeiA08G05WK+OV+iqAGMQRnKnfzSlPKa SDOhM1OgOldrjG/zehfhapxn1FYMi5GVC0/9fBUZnlYfAlcK+MMaKP0ebkJLd/065y43h+Z3/wzO 86TrB6cLmxVAWqmj0MO8NKhY5XGn4yoyeo6PAb5lwg8iRa+dIFHsE9JdKFiISsa9dfiAlPQNnvTA G3rXP9IZsz2tDCuZYgob77LUcvT21Ss552xPNNmD1Kz3WDq+5dJYFpDeeDr20qT9Z1WN1297V0FI RYRkF8iiLexZRl09GShO98k9Rf3Fiv6Xo3RBCDrTzoIgGMfmril+kqCqtMspWiSWOcnelMqBHyC1 MigI26UkujKfrFbj5yfqquqzdhXZ9Jtv7PAI3nNk3KYMV4QIWJRhapfPhfn0B/rVud9pEibLhqfw /QbLUo+wz9bjn8da8zF/vsds1AGRavYd2hT6aO7GfMLLulpSsih9KQ7D21/FvmjE6Gh93HywzBHD 4yktps/D/+s6T3OfofkYBxFX7J+MubmP8Zi7lg/fpuslmEgZTzJVOh9OTkmAyimoLXhNLPneJB1A tB9vBsztg4hg0waphZCYcJh71BKtk6sYnUFRdARiHt/jKaQOvwUddsjKjNzHfZNhUyrT+J+dGCkD rvEzkGIqsVUoTrrAekzuATymQvsdJioYbqjhHYJgumM2Uegv1v525tiuK4Nr4QP84oA6xTHd7sct PP5yhYA0TgwBlMylvo4ZGKWpv3t4hyf1MtQ1TOvZ1B5IaQLYkbd5Nmchu3rBNU8cL7hEVgw1NxaW EewZ9mMERv6vX34fl/jZu1OxIDt9KwtdrYEWeEPBE60V1zwAVGS5GfNQe3pjHgtrAxiELy3zeWeA KWNOqwAmBLWmgq4SlJ4Yw8H4mh5nfMcgYDlvgMbrAjl1Cf905skzUw0IVM1zKcWn3J/aeQRqkIZA jwkoWO3H0skVOqhWiJwLMLsIk9JWhuS0ftrMZemhb/GCryRLPrNQf+vNwYd4BbJmFAntlLzkKu3S WVQi9BpRXcrUewT1txhiIafxdUvtS9GW9nnT2EfyCsWMYzhSwwLDMAQeVdiY9yGKJ5iXukD/QcI9 TzmFAktbqWATNIpM2p4Mag0UVf/jyns+FtdEh+o7lRv/subaojV09ZeVZUTuYza1qveSIp+FPEu6 rALCnJvF59rNC2mh2az7y900tc095WRPc/XdFCY1PmxlCUXuO8U4s24aF7iYhvwNXCrLW+KPwxqP E/a0HRekE5ydclXuTzEVN29784QE5QWifckSqG9Hw4HNG0a4yEHSMtaAYouVaayK5f/nxKRoHpGi 3R6xRT1AzIf1e7pATUfXyypJEE1YCin2m9Umq/hZXO5LqJW6DgkZVIA5aKm+NycHsJrOoYV7K3mr MPOfnf2USEgZLByEhVp6buESSGW4/Wj0aI55TqZAiD7rlLFw9BELrTNQ3N3HSZNxpAPsK8Df9pi0 yrQOmH46l7ncw0UC9MhLp+xggYuutGyqnxaJWP2Q0pbkuH7Ig3gACHo5dZLEWP2SBo9evgJ7w1jq E62nwGf4b4xesYev+Gl1gNbM5FBI97QoWwu28cDFZJ1MCMILP3Eqi8iJ5XjBBsX3fhyJfSfD1JFR 3BtEgndMvfsR84HBgchewKA37Yt//BhaSAVDR+VYZICv1Eemx2e/3Deuf2BVxrQyADOsd4lgM6bn UM1C1+g9UfNuQrLYplzBEfI+MXqIUIQE1IL9h6/j6kvAE3ogLYSwtnFU+MnYsONEtfuTw2A4Sy5B R/dhjLifbL96v91NSp79or3e/WxWUI9DNtpUVLuRQLoDxJ3Ha92rkxIhKjKiyfP/QFy2T/7l8Bhe gAGxRyMLuKLv8eUwyxEO+rDQqnqq+LOFwbDLXPjvzXdbsM8W4SBsY6b2Lefr5R3isy1vguAxLSCO O3NNvQOeXxPtj3tx7706DMOARZYgDrmD15okzknyBaiopOPx/JsOliUMOjUt3RxBmry8MbCPg0g4 kP4LfbrvMkqO7ZEdtNOMxTHOXyfUyntor9LS9xztS9FjwO7ET4KdedjVjHOam4ucoFzjadL9b+aO sHoy1QNp5O0J5Tg1yWsDdj3cFOsnZ9AjYgPOf9Oj/u6EXLjH61jZkBqq/PsZYclHCRo87qTdutfJ uLSU2pN7Wia8pPXaoaaw7REGOVctIsNkgI7OoPv7XMZeG/KqXlr7zbySIFSW5PB2pg8LNsjcorv5 1M1s7wz45SEN4LxbynIoLAZM4F3hgPU6N4y43UPxhf0xePqbvVloy/lo81fFKA6D9e3E02t78c2t 2ik1VugIhW2AsrPltNI4fZTgyo7BSndAQAu2oFgpjKwCBu2l2M4wzJSJnK5uVqvmJWmMNmq1BwEY Of0+XYtM1ms97qPFbQ/j+LfGHM48UQUvB6Ct+CLUm4Rw3WQfQicWBjAH6tvri4HuMUFa+XN+ZdIU DlYfqSlPsDPdum38fMpc6ywwNSB71Lk5xl6ik6Nz750aYx6dtC70otKEhE0i41CW8/aDPkCrRwHp DqHUoKIBMIFuPm2obdBVJjfTX36V23C8AsKF1EZbPJ3OGluAkEzzLM9DM2d5aNIKXe2KPbxd7HBU pX2kOeM7z4ai7vlbrjnMSjHxfPFUPYbLEgwgWeypDdVvJ8g+LT4YT5MrWnJMIRoIp5fdT0Xx6Yj1 xBdr6tjqxUSc3QLXBHFAL5zzecHezUp5yvEka7tM9c+Ix4//D6wlmQwm9ltOaGUybm4luoDLHBvk n4ow0zDU0yjaSclWqXFoU2aXsOKQxV5cQu9I/ZWhEUCrdnvUcUpq8OpDDhiRuoq1KuGqPco9f071 +FaKAQo1JmYuGHMvQur0lOn1mdO0tpoWtmDoRfzR2jrQJA8Cj5AZ/z9GEB+azhbRq3WN8bHopzBX Arz8juZDoEHzTNreRWKi9JPyYFe9txY8C7dM7rWXWZfElh+xnJQW/PfB9ueCTuP+DMRqnFVDebVR tY4wGwuDA9LMY3TC9WjB3y8D506oAyNhCWQQQl8HVqu80PARLmImtWnxNZdkkp24sz0/AVFKfmYk Lj4zFY2U9H3DSzUKLO2pHZL/8C5KZCOFnEVSfo0/mI7mOsMzBiS400LwGZMsqGlo9csHFBh1o0Hi Pea4DEeMCn5EC9B/q4l+KyoOGRJt4Ln9OCilEZBxyKMbuxrxTF03d+TbJLlOhOtZayRhcrXHMgIS MKwaUwatbEzx5Swj+sUC0vwpMxb1hx0u6qgpxjWph+6JEILCRLkvUa7YfqVcG/TNYFHhhlNSv3ch a0a+N82YF+BUkXCI9NoMdhIuhMcKKg3vN1ImL808ZlymsIyfdxC7F6vuGueyN6Gmz3K5zNCLuwqL +i0cK9igCx/bZg0bINPgiQNvugfDAKOmDzZYfisgWQ== `protect end_protected
gpl-3.0
iamllama/EE2020
ee2020.ip_user_files/ipstatic/hdl/lib_pkg_v1_0_rfs.vhd
6
16353
-- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: lib_pkg.vhd -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package lib_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end lib_pkg; package body lib_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body lib_pkg;
gpl-3.0
kjellhar/ArtixPi
spi_test/src/hdl/spi_slave_old.vhd
1
5579
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06/16/2016 04:17:04 AM -- Design Name: -- Module Name: spi_slave - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity spi_slave is Generic ( N : positive := 8; CPOL : std_logic := '0'; CPHA : std_logic := '0' ); Port ( clk : in STD_LOGIC; -- External SPI signals spi_ss_n : in STD_LOGIC; spi_clk : in STD_LOGIC; spi_mosi : in STD_LOGIC; spi_miso : out STD_LOGIC; -- Internal data signals di : out STD_LOGIC_VECTOR (N-1 downto 0); -- Data received from SPI do : in STD_LOGIC_VECTOR (N-1 downto 0); -- Data to be transmitted over SPI di_valid : out std_logic; -- High for one clock cycle to indicate a new word is present do_wren : in std_logic; -- Write a data word to the transmit register do_wrack : out std_logic); -- High for one clock cycle when the transmission starts. -- The next data word can be written as soon as this signal goes low. end spi_slave; architecture Behavioral of spi_slave is -- constants to control FlipFlop synthesis constant CAPTURE_EDGE : std_logic := (CPOL xnor CPHA); constant CHANGE_EDGE : std_logic := (CPOL xor CPHA); type spi_state_t is ( IDLE, INIT_TRANSACTION, SHIFTING_DATA, WORD_COMPLETE ); signal spi_state : spi_state_t := IDLE; signal spi_state_next : spi_state_t; signal spi_clk_buf : std_logic := CPOL; signal spi_capture_edge : std_logic; signal spi_change_edge : std_logic; signal di_buf : std_logic; signal di_reg : std_logic_vector(N-1 downto 0); signal do_reg : std_logic_vector(N-1 downto 0); signal do_i : std_logic_vector(N-1 downto 0); signal bit_counter : integer range 0 to N-1 := 0; begin -- state register process begin wait until rising_edge (clk); if spi_ss_n='1' then spi_state <= IDLE; else spi_state <= spi_state_next; end if; end process; -- Next state logic process ( spi_state, spi_ss_n, bit_counter) begin spi_state_next <= spi_state; case (spi_state) is when IDLE => if spi_ss_n='0' then spi_state_next <= INIT_TRANSACTION; end if; when INIT_TRANSACTION => spi_state_next <= SHIFTING_DATA; when SHIFTING_DATA => if bit_counter=N-1 then spi_state_next <= WORD_COMPLETE; end if; when WORD_COMPLETE => if bit_counter = 0 then spi_state_next <= INIT_TRANSACTION; end if; when others => spi_state_next <= IDLE; end case; end process; -- SPI clock edge detector process begin wait until rising_edge(clk); spi_clk_buf <= spi_clk; if (spi_clk_buf= not spi_clk) and spi_clk=CAPTURE_EDGE then spi_capture_edge <= '1'; else spi_capture_edge <= '0'; end if; if (spi_clk_buf= not spi_clk) and spi_clk=CHANGE_EDGE then spi_change_edge <= '1'; else spi_change_edge <= '0'; end if; end process; -- Input shift register process begin wait until rising_edge(clk); di_buf <= spi_mosi; if spi_capture_edge='1' then di_reg <= di_reg(N-2 downto 0) & di_buf; bit_counter <= bit_counter + 1; end if; end process; -- output received data word process begin wait until rising_edge(clk); di_valid <= '0'; if spi_state=WORD_COMPLETE and bit_counter=0 then di <= di_reg; di_valid <= '1'; end if; end process; -- get data word for tx process begin wait until rising_edge(clk); if do_wren='1' then do_i <= do; end if; end process; -- output shift register process begin wait until rising_edge(clk); do_wrack <= '0'; if spi_state = IDLE then do_reg <= X"00"; elsif spi_state = INIT_TRANSACTION then do_reg <= do_i; do_wrack <= '1'; elsif spi_change_edge='1' and bit_counter /= 0 then do_reg <= do_reg(N-2 downto 0) & '0'; end if; end process; spi_miso <= do_reg(7); end Behavioral;
gpl-3.0
aylons/concordic
hdl/modules/cordic_vectoring/cordic_vectoring_wb.vhd
1
9110
------------------------------------------------------------------------------- -- Title : Wishbonized vectoring CORDIC -- Project : ------------------------------------------------------------------------------- -- File : cordic_vectoring_wb.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-09-03 -- Last update: 2014-11-19 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Wishbonized version of the CORDIC in vectoring mode. This -- module is transparent for both TGD and ADR, but to reduce area use, it may -- me set to only accept a maximum number of simultaneous data points being -- calculated. It may also accept parallel or serial I/Q data. ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-09-03 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.genram_pkg.all; use work.wb_stream_pkg.all; ------------------------------------------------------------------------------- -- Input data structure: -- I = (g_width*2)-1 downto g_width -- Q = g_width-1 downto 0; -- Output data structure: -- mag = (g_width*2)-1 downto g_width -- phase = g_width-1 downto 0 entity cordic_vectoring_wb is generic ( g_stages : natural := 32; g_width : natural := 32; g_simultaneous : natural := 4; g_parallel : boolean := true; g_tgd_width : natural := 4; g_adr_width : natural := 3; g_input_buffer : natural := 4; g_output_buffer : natural := 2 ); port ( clk_i : in std_logic; rst_i : in std_logic; ce_i : in std_logic; snk_i : in t_wbs_sink_in; snk_o : out t_wbs_sink_out; src_i : in t_wbs_source_in; src_o : out t_wbs_source_out ); end entity cordic_vectoring_wb; ------------------------------------------------------------------------------- architecture str of cordic_vectoring_wb is signal data_sink, data_source : std_logic_vector(g_width*2-1 downto 0) := (others => '0'); signal metadata_sink, metadata_source : std_logic_vector(g_tgd_width + g_adr_width - 1 downto 0); signal I : std_logic_vector(g_width-1 downto 0) := (others => '0'); signal Q : std_logic_vector(g_width-1 downto 0) := (others => '0'); signal mag : std_logic_vector(g_width-1 downto 0) := (others => '0'); signal phase : std_logic_vector(g_width-1 downto 0) := (others => '0'); signal tgd_sink : std_logic_vector(g_tgd_width-1 downto 0) := (others => '0'); signal adr_sink : std_logic_vector(g_adr_width-1 downto 0) := (others => '0'); signal valid_sink : std_logic := '0'; signal tgd_source : std_logic_vector(g_tgd_width-1 downto 0) := (others => '0'); signal adr_source : std_logic_vector(g_adr_width-1 downto 0) := (others => '0'); signal valid_source : std_logic := '0'; signal source_req : std_logic; signal ack_sink : std_logic; signal ack_source : std_logic; signal full_meta : std_logic; signal rst_n : std_logic; ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- component cordic_vectoring_slv is generic ( g_stages : natural; g_width : natural); port ( x_i : in std_logic_vector(g_width-1 downto 0) := (others => '0'); y_i : in std_logic_vector(g_width-1 downto 0) := (others => '0'); clk_i : in std_logic; ce_i : in std_logic; valid_i : in std_logic; rst_i : in std_logic; mag_o : out std_logic_vector(g_width-1 downto 0) := (others => '0'); phase_o : out std_logic_vector(g_width-1 downto 0) := (others => '0'); valid_o : out std_logic); end component cordic_vectoring_slv; component decoupled_fifo is generic ( g_fifo_width : natural; g_fifo_depth : natural); port ( rst_n_i : in std_logic; clk_i : in std_logic; d_i : in std_logic_vector(g_fifo_width-1 downto 0); we_i : in std_logic; rd_i : in std_logic; full_o : out std_logic; d_o : out std_logic_vector(g_fifo_width-1 downto 0); valid_o : out std_logic); end component decoupled_fifo; component generic_shiftreg_fifo is generic ( g_data_width : integer; g_size : integer); port ( rst_n_i : in std_logic := '1'; clk_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; full_o : out std_logic; almost_full_o : out std_logic; q_valid_o : out std_logic); end component generic_shiftreg_fifo; component xwb_stream_sink is generic ( g_data_width : natural; g_addr_width : natural; g_tgd_width : natural; g_buffer_depth : natural); port ( clk_i : in std_logic; rst_n_i : in std_logic; snk_i : in t_wbs_sink_in; snk_o : out t_wbs_sink_out; addr_o : out std_logic_vector(g_adr_width-1 downto 0); data_o : out std_logic_vector(g_data_width-1 downto 0); tgd_o : out std_logic_vector(g_tgd_width-1 downto 0); error_o : out std_logic; dvalid_o : out std_logic; dreq_i : in std_logic); end component xwb_stream_sink; component xwb_stream_source is generic ( g_data_width : natural; g_addr_width : natural; g_tgd_width : natural; g_buffer_depth : natural); port ( clk_i : in std_logic; rst_n_i : in std_logic; src_i : in t_wbs_source_in; src_o : out t_wbs_source_out; addr_i : in std_logic_vector(g_adr_width-1 downto 0); data_i : in std_logic_vector(g_data_width-1 downto 0); tgd_i : in std_logic_vector(g_tgd_width-1 downto 0); dvalid_i : in std_logic; error_i : in std_logic; dreq_o : out std_logic); end component xwb_stream_source; begin -- architecture str rst_n <= not(rst_i); cmp_wb_sink : xwb_stream_sink generic map ( g_data_width => g_width*2, g_addr_width => g_adr_width, g_tgd_width => g_tgd_width, g_buffer_depth => g_input_buffer) port map ( clk_i => clk_i, rst_n_i => rst_n, snk_i => snk_i, snk_o => snk_o, addr_o => adr_sink, data_o => data_sink, tgd_o => tgd_sink, error_o => open, -- no error treatment dvalid_o => valid_sink, dreq_i => ack_sink); I <= data_sink(g_width*2-1 downto g_width); Q <= data_sink(g_width-1 downto 0); cmp_cordic : cordic_vectoring_slv generic map ( g_stages => g_stages, g_width => g_width) port map ( x_i => I, y_i => Q, clk_i => clk_i, ce_i => ce_i, valid_i => ack_sink, rst_i => rst_i, mag_o => mag, phase_o => phase, valid_o => valid_source); data_source(g_width*2-1 downto g_width) <= mag; data_source(g_width-1 downto 0) <= phase; -- Metadata metadata_sink <= tgd_sink & adr_sink; ack_sink <= not(full_meta) and ce_i and valid_sink; ack_source <= source_req and ce_i and valid_source; -- Stop accepting new data if full cmp_metadata : decoupled_fifo generic map( g_fifo_width => g_adr_width + g_tgd_width, g_fifo_depth => g_simultaneous) port map ( rst_n_i => rst_n, clk_i => clk_i, d_i => metadata_sink, we_i => ack_sink, rd_i => ack_source, d_o => metadata_source, full_o => full_meta); tgd_source <= metadata_source(g_tgd_width + g_adr_width - 1 downto g_adr_width); adr_source <= metadata_source(g_adr_width - 1 downto 0); cmp_wb_source : xwb_stream_source generic map ( g_data_width => g_width*2, g_addr_width => g_adr_width, g_tgd_width => g_tgd_width, g_buffer_depth => g_output_buffer) port map ( clk_i => clk_i, rst_n_i => rst_n, src_i => src_i, src_o => src_o, addr_i => adr_source, data_i => data_source, tgd_i => tgd_source, dvalid_i => ack_source, error_i => '0', --error is only forwarded through TGD dreq_o => source_req); end architecture str; -------------------------------------------------------------------------------
gpl-3.0
iamllama/EE2020
ee2020.ip_user_files/ipstatic/hdl/c_reg_fd_v12_0_vh_rfs.vhd
1
38936
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fYit4SHNdVyP14M9nl26LwpnQ3xZE9gi/3NMt46kH5LH9UsLnFeAVyRnFllaRbG9Cg2N2vr8Kqiq UH8UppD1mQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G4pBH6ChSlU630Tj6TzeyVkN+DVpvEUu0ps/xSFmqRkYOHCpLvSzPW+r7d0gLd4BhP01dk7Ezvnc M/6PCmj0E7HuY+v/nAbeKN+VE62de8VCic7mn1Jf9ohRZAxVp9ggTFKzj3gEEjOd7Nhuc+f+hQm0 t3kMtbZgDMW09j6AoC8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b4T4egOaM8IWzC3hFxNoplEqtNLagYbyK5reEU/CdU1B3fmAOLDe2hLFFvlqNnyG0EzLfUd5iS3X /W0H0mI1iFQ7EQN7UJdYEKXTsfq2xYMJ1TICQgXAt1J7xxX0FekKXh3paO/leHQoaKcj0MnafhvZ MOaQF7QHV/1+E4KlUBU= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xpIB0Na7t0hrd40J+UkdOD1M4Vv3iPoRXgDGVOaW4t+qrZeArWVfgxSjIyxN8abwEMB+/EmTAh8g rvMIYFrv13kFTkpg/jBMJ4auH7zaw5A90L0AlD0IS79YEdi5YOLPQYXV2X/mngJMVFye5EsjHjhg spls9LDvuXh6Dkqvfv+TrLGDRcoNWn7lA82Kj9PxXJj5ZS0KMgMrtgp3HjyTGQOVnO9etzzCQpWj Br96y8BYgQo1gQSlN61x6ODT9jFPZ5YCQPCB2/u9sYnYNS1vGqlf8blFOfCciCdAR59yc/IhHgXL D9CRSNPJi7TsUYO6aqhXALU7fE+WYgWns/JTRg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JbP0vfNfz/7Jp7tycchrfQcspKtnTx8bi0iK0UYAcXq2mWnzFrZ2MVGqciIFORLhl3d9dlHCyzJI uybpj0ywodiQ1M9xXcu9Ltwl3HJT4Z6Lqk2I1jjkNQN51L83XDASJwpkl9P5LCzPHKsSvidtEkP6 2As8n2ey+kgkYN5j7TMN3P2++RSuwJrBPNw/Mcc9NJlxSWOYsEeQb8CBatGGySGo5fkT4VxIsWpn sctgKtspjWrJiTth+XmkwcWnNdy9y3q//nkolcXp1p6ksJj6dF/ApWIrcX8mKymNA2eDbPSVAv4C FYoC6D9ABIBe7BJJdTiz8FZTY0FHBB6RgRfM5Q== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sQ7fH/BwSCF9sFDnDJHk7B6+VTIPQEIu4Gd+Pt1xLzt0RsEmaQ18y9APmI63PjaALbNyG8+QlDmE hcQPjDcOAD+HCE67xNrNQrnXunlihoajY74ArpZ3NlXNoLemweS1gO8/cOAN5Vib04L20Gub5vUh Gm45Jx6tCM0u01WSQOY58Ypi8+hlBQ/6ftDknrGCfPKCtJ4RobeG7d36hmzs13OJFi/Vld1mGAiG cfVHI9DyQiM8MaK95i7JeGE+1gva/8RZMO/wOsOhNDuUQ0Xfj2aLxM/U82+8kg4KwRQHueraAXq5 UBfmQxzoyV8Cdt82VWYzQhsl+K0ahntPDe4bMQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26688) `protect data_block tqje2vKZdNzaBbAilYbGNkK6kPRhHKOYgbpIZsqAcCiqaTakJyarZcv6tzXOlG0k68jz6dNJNwBY 85KTkShieVoo+PhD+9YfqsnMCpUQEF/DaCXPeYINKfl/WOlmVo1OP7MAhLNZw/VX9J9i2Y5cEih1 x49mgs9AvbkOcyQfRBdSqxg2n6RZjEBmDGso9ZuqdHIt0IPxpk8jsrKFM8L3tugIRSLRBideKPMg e79SEOmphU3u1Bip69AKce9CCF1p2tt4fi4KO7L1AP03BZi4G5ys7pjBuNTMcIIeegg/960oGRGO 4gFam6SwHEiDRTXsWr3FuEWWBke5A/syd4A8kdku3rtucX6WAJIuN9y5LYbjIAuxk1chSwV/B8aC 5BTIDWlBS197tMYqS54yDNS9jQQQjA/U7rrzZW+ZYlh8NiAKa573IiAFaPqJfmpPWmW6TYC2jQtt k0bNzq8KKnB6h3pVti9xS8lmvOE4d1vJJL+z13kfc0ewUlZSyU4PCOguoIiavWcj8ALqKqwHHSR1 YYQAAwKKz+hPrQHA4YtPvRjw4DYde+K8+JCt9j3DB+09VsFuUgg6s2MfiUQicHQanvBu0mSjMDT/ Co4GmVv5B+5PyR9HUUNWwitFs188H/dYDG95uJf9QieABB1/2/J9R+b0aD5BDvqZf+dTOpDSvAkh /k3NP1pM4Yx/U9h35MqV9jR2qlLRHwhZIm/mBwlvESriTQgGsuLgik0K2Cscu0fm8so11zpPTnXn DPe1xwJCbejVmHR8U//4BeNMkujuI33s8ljT36O8sBO42uiOunGahtKeMm9SyGAgR/k4IbP53O9N AWqwtcBqtmxXWJD5GG4YIagDW4fMgbjoWM0BRKfGzYC+NnKNzjEskmdXdRWiZ0JkFqH+jwosOA0y 4Cc689JK5ZbCORVK2b2wIwsL0npTOkiF/Dev1m6OCpGcJSwMTUkFgLxOQWlF9KtSTn25dFzML1vI 12VgWSnFUHbu9BiOpJ1pW4xgPfUg45bMtfrxaOB+OC7o/WtySwpt6b8BhSOWoyzBPSI/3EA4v3RT awSK95nu6BfIXV8KWqTtf0uXMAn/HSBQnb+HnMSRUqt8wUwGrhcmDkflx9Yu55hatYE/sVjlPyQ5 Xa8y8KM75PcqC/EODtX0KUqK7+DZ2vNShEPj7tIb0S/EQ1hI3gVx1xLxACzfzpM09v+TPruE+kq5 gyZ+vz9bGCci9Qh3edLLCGv3L6asM8JSIg/kHlEhNzHsWX1Xa1aO5h30Hgo20XOYHRdTjz17JTSP 1cFCeLyFDfbxXMbH1njrsrNJI0GYKrZam3CvRa/VRI7zs9LQPTEvqFmZuyqQtz3Y9bbIos9I8u/K D2ZIgHF11qd4UkfQjj6u4JX2swT2p3xybEPCOhDuhYnvC2Sg5gUsPysYgwl5XWfVlDX6Jr5geT9I TJsp3NQndZj5ty3vNpK6VPnHMCOSeEKDZ0elWTUD0ZZK8obSz75yHfbp5RtGmD1bVVYGJKhYrF4D mq1V0OMT5skg03mJz6NXmgyT6KrBOIBgLN5BN7JR0z0dpvOprNhEbTcgLFaNtZNM9TT1eDUs6665 7D/cj/QXSne5WxYWkE/Wm9BYXKNBAV4Ju11bs+nVLTCV/OAmhYl0fOVnZ26omIL7qv0Na88xxnV7 OZrHnIbhXNMvSYpLRgzpnkLXc7r9b6L61fucSHm9EIIXKHmZHlzujR/9xMq1Dbc2gV5Px7vFIYub pTHTHVyr8ZWLWKr06cwZoaI9fKHLRQR9MTdU7q3b/tW7qpCkdCLFJCmDeYgdQXZtJv/BI4IBQE0V pyD2H3ekCzolH7AMnsxU0tQoooAOPi5AUQoN1KSM/k/Zw5LLXwQGRONmq354UNqdyVPNcBJFFqUW YJPobGGf/K5io4SvaNjE+c1xmfOvYEVU7yq2M4JXV591aYBroHdBN6WrrAKRn+W9565OoPsoS01k Z0nRu3htdQ3o5YPHudlr3iVfFa0ZjMZ3vjeoHI0BqF0V0DD5b61CeCL49hRQ63gYpQnPKPR5T8Xt CqoTXMZtAw5aKgQpenFG7ne9Fu5IDLV30yfNZNO7Sj8Uv1VEOGB2kJenCrp+Yr+TXXbNo8oFxxOi 8+aQqBeW9VnRx6shjJB9lNmxKUAdfWTo1IX6j5dAflwcxndT6cfGdpM31XaWmDKH3J2+QPLJW8yo dWQa2NnX4ABqYvpmzb+W8OinTpSmE9DxTeiTJgw/acTUkGKWa30nHqqiAnxrhhD28Prw+/iYnJiq ZOXIcrNormvvn5lY/PPExKV7XelMgBXwXxt8JGx/gySSExsjAYy4W95RQZCNr7tSkjD1UrpTwVbm zQZbLWFyzzq1mvnShzgXJuCfeOHYNKtXFIfhdlntUXpSB2Wd3co/nsXPCdgxkgElxdFeE8lRsLmB 0SYhzj2tHbzFRYtN81vdZ1/FaWBmOh7PkLn0sbZ3zvLx0M+Nws6uHYfxNKNQlDOT7ViisFJNoB34 10NvhWPKwsJEj0rzUMW5CYu3kGcOkDLFRiAYerbvBfny+0Ge1zlDgWAQhxEclRLHRSytqd4XhJ+D lzS+TVIyfdNsSSqal66+rRtCydCD0T1c623+GUvF1ILBCjgubgZcXadppcvhwxuMzl/O0l03E2tf KyqydlLW24JbEIkJhqn0iu13zOa/9ztUDKJpxhkH/gge2xXDdjTTmcmZP5icCaM4mx/W2owNxUlT 42z6XyaOeqbBpWUjse84ZK8s1oMawG2py5M/qSCxP9qZuYnN+u1UBkdqESSSXFkWWpRIuc3xcSOs b47iyvNasG99ntNmb4SSTsSIHt2XllzSjnOUwzC7BHhenn4mq1fy5pC1xRVbq7ElQJGkSf2rqAVc +Zhs08XB0dbdwsjGyLhwEmgWjWgTEbR2KxT+IKvzGlQMexONJV/D6AeT8fw8Zglbf2/gN52S3BE5 HDQ8Kj8jWaH6Z3Oz7kcZ3zVMeqk+IZHZpLIj8dtf4J8V/DPwR9NRjJPpw+E7Ro/heBmvoKekGx+J gDtgGV6VRwEKLe3OENELak7aIctGLQwsFEsSkmv1kjZ+qAua/3GJVY9Tu9qNfjh4jpeCrtE0PoX+ n1BbPTcuzdWJdReKszSGc8YdoJPZLrHPZvQO8V0zAuHwUlM2xvqrND3SPbjrxt8diZrG23+YcuD2 08eiacxXmt57XyUHqnoEXXACmTjM6APl4UxtYTDJcniQWCcKDa4gzu6+cq5SKzmHaaOT7JtgCAfa zv8GoQDr5ka6PjdyjJz8iv/KAfu6fDSQQ6q4xK+Wlf3Sz7vMF+MGKH6QfiCzQWjHqWnJ0OON6QuD yKqoIeo9eYnx0Wuq258qScjkoVRcMGgRHYXqY8fZgW7qOmQXE+9vVRWNdEL/Fl/sGAk1SpCZDSbD BgDob4LBVoGKyGmfFxJJ3wbpe/B771LCOIed/lmsHzHWo7XY6rAXfjJxEMxzmbuMSnzMstr7vMne kEq7R6fqy5eefVU99T2DfH+fkqqzaxtgBWihUyU/l3AvKIztMRkXNS9X9wO4vV/9tKZrwymtNmW8 63PBxjIyGioFfLwwGpqgcOHXH8HTYVPxMUYYYj3U4FS6IypFB/5NFFXnRD+Rj6s4DCrsjDAtBsSx zQ7Kcg9l3xn/Kr8U3I+Cd2+Uj9AQaVhUHNPFtVNoj5FRjSY/pUBtdS5y0Q9N8IlGPC7JPBgGXWBU RJyone3OH5HXWvqKGrdNmOMJwNfLeUy8wupBosqXMt6vcq6XVcpoj3ATJeB0Px6G3h2igL8YheTN 9rYe3lzvQ8pMtcsBiNOitBGbYuwmxauOQAzoUBYggikER6rPZknQ/vgBWxnIgFV3yP8UJ0bFSmXK c+rIXLQ6HxSQIz9CtuHuLECwnGQAH27/AEECHAnV6KTscc96WfdL/uP9ZVcf6X8fbuMQzQG64tHE 2Fb7+Tu1h4/OY+lao8q5QkpxQwyQViEgCspJBirKL9Q+Xj07ldKIANLRIT2wL0NZPyCxlL3Ku6us SO8bqpyTdjCTmC+3fPfat8pwFPQyFcVVw+W8NWnr50iVpn2GfBjEJ8IXY2YMMca3UFx4QuGgJi2i WLWr5sDEgKAywnbLNv7+YNYOk8gy5Dg9NeqH1Ao98qf/fK8kxm4luGko6TbtRDxDsq8zWV0ULMYq gtOM+1V0DfXKQCXe/b4pOzxfEaPBVQW1cIF1wzaA41kd8GweLejwh9PLX0gNk1+zeIrHl9eO9dZC W60pdjrVIwJapFggHzAHHoYz/vviWdGm0UZRc/Aj1HRltBtc8Tq4mv4bEI76hXGQxNLsiM781Fvq /EEtL2ZrYAUPq2bMG4M9W9TzXGJic1YRsCnkaF428cgHIoVGu/KIycCpOaxiUJM5FKZLGfY4P94s SiXenA8GOztvQ/jmjqjsX6Kw/mn4NywW3VDs1YbzpXZTpH2SSeOhZ8D5tkLJtQonmfrkQgV9mjz+ VGsTaUe0BchOVl8o0ZDxw3ITlZRmqPSA4gt5UWGwPuSvrDDZeOG8TTopdSSCKEcWag4xbWPUb7lG tgldKZ1aSsyAhYu0+vjmM2YDDWTg0Mcfya/VK8Qyl6STSsQ9v0Gi4Ks3khSXRwT9GlXKoH7O04AY 3VJ4BNjNSXPhPkFiYWnCi0B1/vyWrQelokaxZQh5u3fwsuD3T4aCeukhgKrrciSzXmQemgNqjbhK mZEXLYihFndabvdoucfTzKZfeZtwf1bk7liygBUZd9/ZVu+bExTpUIkJ/VzlCxyheHQ1oIg7+v1t 96Huq1prgiXRZGZJz86YohNAK5Mi6WqOFTvxoz9XaKfPdyFEdneiL0GgXzhO/GC+lroVUwnb4fX+ wDCdfo7lgM17iFrCNNvMsnSeT9bR0LpaMCMwHrABi43jshc7o+IP4WgyblgiUSrDx9P4EkyUtCiB 964/zmcCmVzogMwf+UUr2V6gkXdMCEhKHVbDZsHq5x/w8lT8M6SJgZQX9BdUE3AtyiuSZJihR4zF MYOEROsyz+bPgC7hUbA93OCrv/Cqb9kfA5hu9mxauFkQHKQtrTshN83NXhnnCPRT87jt6AYccmSN fTb249JJ5UtgtMGg3yRGlTH+ZKwHbCXLPRBbQcKxjIAiWgV5uXjcQO8Z2U0tcXSqf+D3AeuDsrgc 8NkY9tXy2/IyucairXFayqBXyALz6sECAhNO+zMWmY+ybsBKVYVEJBUswNTX5ZllgBu+piMPfCWl 6tvg6F1U9mxOhYX3+CjqNPDN6KuOJremoK2DB7puWA1oOHdTOEmTpfeUE4deEi4uizF0JqWoGjzT hRxIGXH5FA0DfuPJ9Wk9gQLuEX1tASrayg3JlsCCOjKIDBSWsNLcVB1+dDXzKjcoGVAFXJBWKeex dGhl1eTQo0ONR7rx+/QaxgylaHu+EgJpf9NuOf2E6lAf6wtemGJ5LKvyPkfV2YeTrCloR8PmdzqM V6/Pg0cJ1BelXF4aZo5uycw8qr0ZO2BkqYLVtnAfz88Fc/qKxlivSvbfWJ0vLPFwGXDoK1n9lCPG 3e3r5mL0JbW1keRNzUa5xmdhJvQcTz2ZA5ule3QIUwnNWj+7PimGOCjeySNL4HXQreZkZwBjYfWX B0HkYRjfh/CeG3zh/VDtvbf/ozqh6oH3Pab5kycVo0T3AzRpJfEdd7NlJLqp2hsdJos5QnmMSI4N f7uszwr4nxKmyjTu8z0yzi25VF5vdp1i//YFP5tSwPTpAJ039ty3H99QYqbNDVrOsVizopgVbKRR iSH4JkxyEAeeCesHZIb8NsxiGOkC+5dAnbgin/KimaUnoNCMMlD1SQPP4944sf+/MKHKZXcrjfaD TavN7o+CZ9QDPq6vS2gN6u2Pgk+oTqOIlQUsfS6iobwsHpV0G1Zx8FdI2XqzvAXFGW5G/qmIsYr5 tJKTxsmn+1ywYd2Gu1Y/65JmCvSxRRiK3GRzRq0CbmXZTVu72decEmMsvaYH+M2ukPlIN/Ma2hn0 RNXAYuLwZGMbba4Z1N1jZxTlQcKjv0MP2Cy572p3ltAvYf8QBFTWL4mDH5qdC37DvziUpOWGbLkI 2oj8BU+2qea5iWwXvJfR1cCgWPnEsQmon1nGg+6cHXVQ2oGwRSbURBaLwPSfmX+TgIYK5UAPHm7W TFuGGYEEWayLEVOtVUR90iOyzWRb9Me8s2nqTDWc6D3irurrTI8XmGIyyig/4YVWafBgjVRe7rIj N6ZNUWncyTfzDLvCSjxlTQc82qCq3u5L+sSRK1zkILiGRM7ptGGG02pkRSJw6OJgDZBQpG2cPaqC T2k5pj1GJ6z1/dkJnqEE/9R9pu3UzCd7EU8/ExRSsvG1C0mqNMG1Vtq1PjrF3L0CNOI9HcJnXwYF 8+VJr1XYVgThzSfIs3bgU4MPejBnRt6bwR5Aa7o8QQ/1u/NCQC0N5jSa2DwZ3Mv+nmFgIt5tlMs1 h3n1FCe+HQ87XS7MkXB4V4kqYB2bz+/hhAtzK17KiFNX/l19PQUkARQz6/Zh+c9RvwujRlvpQnxd rDoSIz7AgN8oF0J3w2Fn68qYyJSB90lohOqc35gMihOWTiJn6sCH/dvt9HKHgVebXZLuekzuzbWv kwrjsr4E9oHXKdQTujgrpeIWX7WaG5gVU8dNCbUmn2dCpUIWK//BTX7yC0bEcXbG3Fh0rV54jSSa S9kCmRc58e/Pc1HPGbi4WzitaFzmgN4PnMXpVqls85G4GUZSJ5+0GJQFzslvT1APEshLcqK9jNTc n1HHFtCY222ZguV/+XFb1a6hVk5911k8rPJCPf87WG8yLSLkPgF6g3lMy7PE3oXjl1p4gUDtV7YV mCD5R1/Dx2mgvLlwSDLvo/kt22dwsQs1+DzIJr6O4QbxetV0OJNPpUoO0ZNNb5wi2VsvzH51fobC 2EoFbecgim3kbFZaAA67112aQd4hiQJa1BgkMlSuzii8RPdbklL8PrxKYpRzxzr31Jl33ksU/zaZ 0L3IoHUTU9rMGQKSI+UbTMjpD6UZv6UZUixEeoDJQ6KDuMokJVegGTuKh4mt6v1GJPwYa5Yj2LsN OZUx8FTwbSNLs/bAZ6yP88/+/jhg6+LucfvJzyI36+dWKXBbJxTU5zW9dhc2FGK28E/nNxRJ2rQk T+Pi9EUeexrDPFW0rQW9g4f6nIWhZSFepMaWvPPBcticEAmCNYIPbJS3xZw986tUb1amt3HUnUw4 fbJVM99PrZyaVpB8B3ALg1CUFAF62bP0MGz6esjLauqAW9CXVVpsLV5GCKCnGdK/LaUkCnkiwyBX OrWHZBIJNbEV5rH+0AaVSnESrnre8qXGzxYXVRbiUkT7Dw+dFuCl37r9v+q8aILGzTOKyXIeCupk no1z3puAvkwiWY7fCUnOADXM394+9HoJYL/rbY5ZrmUi1BVuGewAvGey7egqj/RPzc91DYzhZSgB 8isiUjmM6eAj05rFMQz0kJ8GR838NbO75ktDeo5o5sIkMVsYbpBARNBNp4kL4RmMatgVvEstJjo/ 3T1syuDtZqK+Th6DL0c9UBPOMOkM1urdJ8oPawQ38sSfx54q8SDEsPY8xfoR0Lx+udeQ1cxKrbfH h4OSehQpnLNCg8DVWIbCl/ufIKU/WwILtNs6g6jLG05hBDX0/KlLNU0vwf2dq8zdMX1NzUBDt6Ox LmL14Y/PHrsaw3V2xJGFH2ulGSQLfyb8NmrIvzFW+xuyrMX/hWCkBAf2vzPPGbRT7mmiSAXsGwEa WDI7sfRVb9NSqbhKNfVzk8BxNAmdG736Iipp3cx1bRmtgh6x3GGGe3leP+yINTR/vKZFIpaAkzmO LyFJU2raVEc5GPFE67+DJsKjT0U56lLlYT5N8yMZ2BCx+DH7upyfny+Tms9E5rhVhlUgzDczrg+7 0aCR90dolM0GekHFLK9ummOJAWnbSRndJ/gKiFU4M5c01Ta9XnJxLyMW9HeRk/8BbZGq93uLh8c3 78tOOUU/jHmjhJfrO4eo4qX+STNmsGOJgDBAd55xAyaOJ8Crjs+9Bquj2bNvUZtYk6445qknflPq D/bL5qYaEs9J+6qtwJPscovXVLqYQ9Dgc38LcLM/nTN/UI2lnTNgtXTmXjN7LV9/JB33rA5T+7qQ 5WhDvZc/kqxu4UuoQZBPYt2ZBP/UzGhgC9gV1Fh9k6IcuzTSHuWMN7+VZDC2jmZTZ9QcLQfMWU2j ifRFBN7UhgY7YieHCFhqR7kV/QJ2jQBOWZHP1AjOgUIQobUaXbYK3oRY1GdBMLnd+BaFGOv/Uo37 Y+4DfpOkzi7Wehrmsrmgo8wedXDi61eYMFTmInRHbmpLEJ4HY1mJJW39in3lgh16TzWLY7X/N84d rS1NpseyHW7MCyyryTsGH4+ZoD5ERuodPY9KwcnNqwVhcBl6bpmJbGCJ2U/bS7jc7I40KZJy1icW YIiQ4N7JhP7Yn+6njmk/pE7tz4UIvY+fI99rJE5xwP+AgxIZwZOHyAiCKOhJ94Ktfb1diYeLU1uW CkU2B8SLFAggqmLI/9UYyCYhvyKtQimjvPmS/psbiJNywHj6gl07T2ddyqhLcdSdX5myhhoSHNzs pURU6vqg9s5H6gI9XSKitpemeISA3/sS6mBhLaGxSCrEKVBNWh59IXNGHdd5JZ9ZVHNPeRAYeFaW o3pyPoKaxbeGn0mEVZ+ws0hW5QdUN2vl8Whw12Am6Zy0AHxASumPRp7OfxObdaS4qYB02Ecf+NPa 8v3hbjvHCnbFwhGEG8XhzsXeQw/1UFgGOKJJ9VZqNmZA2DvAwjiHc2kxAY6zSMZg9985sQG9cae9 MduwCmcZ2WjOlb9rv+o9PGq3YzKr3XCoD5th5qyjXvsyNqf/ebzi652AtbnzE/L4mqVQZi+c6B1k ZqBB2O1IkF4gDtXva7N0sDHU6/640OWUWQzMiup8M+ntG2cGh1IjeR54O3teLY4R/J7vZLWtME7i ezAxcYL7eC9V9u+h0c1trBGBxifJ8UhlojVD3d3rsfDxv7Vcthc2SCEecOFp2bepPZsbhjMYJxmo lR2f9Q8oTPf4RPyy15CGtQdMKw9xQ1UDe0YaNbhLq89ibnjoZaJjcYFVnnBi+p+5j4Dd1CrF5TX7 JNZAHg3uMLJheTGo4IJcUxumFUvJ0Kvl7UjOaq5Q+5pqZK2F5ObpZyNyZUmozIOM/oHfwaCpfUQx iyX6dIB93txWV1huJSbEoCczfLK1K0f7snFHh5ovbEj5Kecqm1mnupYV12nJjxvP7fl3OZ3J7PZI chQw/5b2UA2GR55kT67JvOFz+ipOZdp5WL+L1Bnw0856KbaQumZm3vlpS7hVonaTOgnNSK1cCqBO dpwR2fO8kuDEGmGOmVNNPXlbSDtYB5d+w+9zJLFR/xCWHDlCT7AdQ/cSU09BHFwnEA9cgFcdNsZ8 ryy/5MnrAdcOpEkbVlASdn+k5N/1UaIrsMjzk3slHAkjgTLgswvtO6intGA6cKCIN2jLvfujcV4Z VXKot1f/E5yZ4eRMRUvQ8Dgfdd5JRjbg4D1XGM6vYQprbsqoXWO2hoU9pYUQrqHhLOtNwWKnSQms sR6B2ietIqIDegmMJ8bo3HkkLnulKhXtANZIxxoxwq7rfj37MX0jFfQ7CLAiVCrF9E3p9supRUrb LvX8SbepEWIhKyHOg1M93ShZ2KUJ6gyhnw2PWo7C6zaxbfEPK7QYTcAcELxGRaZ5TOamN0Kl8L4O bn/QoiuCR54OOvp9rBcVCh6+COCmFrSXYBaGtRvmIfIbHth5tl0SgvtqMrpUhvKYI4DUMBpkqXdU urQx+Q4F2orDWZKQy59oycoYo28jS1mSG78zhy+8khtpI6Gju8ez0lJYSZjE4ExC2bXGbwFVHRuD BT/Al9nfFByopAbpNsuclZEzTmBpXEdWcEItHN1k2jekdBU1tpRTcPO9sIc58DrRBNneJpvqg8+Z fsq3M9Cu8KKtaudyVMOTVW5+2IE50gtrvj/2OlGcXANzunZdp+aXA3mEdGLUC8zoZxlwNJHwCrmP 16miILNULnUPK3J+uKVoIYa6MRQHWpDCzqO+DUMG0C+7y//QbtUDtzx9SbrqoKs2QFBnfLGrj2Dq PfMyCv9uDy65bcZn5RBTvKjvsA1x92g79+A9TJt/+tCtAg37B5cRUhSZmq9BmTrIiT69kd+JHhEa zRylgYY07+7G3zvZ/KKg07HVdK5oE4G7nPan1LuZOYnISx9cCK+/5uAMwv7n61xMZZGpRJsOinCU v+t5aiLyU0M3PAxOgiYf5eaog8fWnKBJi4jHU7TWFeuzSC/EdMFvJPvxvCIxa/Yp204sU1y4PnUL juRI+eubflBYQZlmpkh1lq8mBjCnqFP032nGzHLNzDGf9WlwKRSA95z0qhaLqYjTdfe8mwNIKun7 HQsJNauD4ZB0JCP4dLqtF+yG6+Pz8ham6FM9sxYRUtaH6tFam6GXKZ9JPMUdR8kkAIcLkNByFnX6 dP/rCEE1FQTcHbm4t7rjKIWbB4A4Yz8kr12CVHfq/+Zq/PUGTje6FuvB8EahQltS1a07oMVALQX3 mSe9lgQbvbWgPCG7CdpjRJgnCUfseMYpNh4SopmIHZBkNGltqe581MQSXXjEqh+xr+4W9i/9fJ5N qlWxmbpeNGYUDTQGyxY5P0G7N/uObXcT3XSBO/bquPHDqKzaWEBb67m45COf30fafuAmz0+4uKC6 UDLptuzSyfk3LcB7QDFxNVyki6qTtSBXOQFd4T5YDQqOd7a7OsoLS3WH/+EyG6VrGm/5SitqDGtd 0glRHvvGyIw96tqKbqDbZKlh++ESPZfhLbJlVxNi0f9sRC4kwNIEhn+6RQqVj+TKc4AMXNgDDqIs 8iHvWW7ZKcLP8TVBwb/5mFFFbo0XyGKXU2ERsaSYOjV946j8kXhmNubZHO8u49C9YofxEY+1i3WW gDcI1pNYeAIpbPBe5qBvpxYFVlSXH4OB/oDY1mD5zhHsAqFUx49vgXYqevLpQ2EyPKyXZRZTemyX i0fW5XcSvUOpq8sNF9AeGH81Ia9upWRME3kc5VNQKVEjWpJnUvXAYKAGzuSgTl12FIVeAMwVzw8s 3jzK5Twh3gGW5+EQFSPD7CAxScsHRwvzL65w24RO913X2u+RyTGo9wKh290aeGVFhDou4RLTM9sP LJJqqH3/bELvHnRuj5RXN0dveLfXVvClY1wXMAjDhGSMr2xM1BO4pBV6WSHAbrPnwQboDCHdqztf mxtg5uVViqOn0YC0usKGk6Sc2RdH/EdCrJicJLcy/zorLNytFAiVHLvyM55yyFWlMQgDYz12yE+6 NCfxFC0gohHAeTOau1OVlSrsZ39veNn+KhUpW7f7whcanD3tlMzjrrAVBCr2ScqhoCzqXTyzOGT4 zIM9uyroTE54FLoWaiytqyRLMSs5g4f+oKmJAzFFd8xy9Wdbf73PInp+9TpL6+H85d/WFgt+ljpL t0NFmDfg/4FirsDbD5itWgOCTXwPHXkZwLzWN2Rais3G/KI3HONoJbC6ZlYf8eJe/H4ywTUUmrUd e9lqJzCLPuinT+l8rffcZVB01NF41F0ZFJlNWEhDk4T9CUJj/5Pf1qw5hlj1luHyxZAicSOZXmz/ 8uz/xvBE1rom/R6kRwudZeT//0hHtIkhueRclOYZFigxhX/Y+rDDliB1PEPcgc1XWLW8t1tZdk5c LxXF8qnF/MYMbPbbenRhLuBlUEdtjdk33ftoqHCgLu+ooG0MPnROWpNsfbD8v2zjVG8mwjXZmSsk ORX/iazWMBMGJD9XEfx3CdSN6f2OJRiSAOuNptyY0uz63xfIBUeNu8J5Yz1bkez2KJf8/6+S6uTn tfyNsCSMz7WxhBkMNmnByvECB2KYD/Xr1ImZRfh3DK4FjrPIJYqb4uTXG4ITvPKYMNaI5TjDBf3E Jv7ftCGMhlzCvftRAED16BbYWnfmpHqUzmSaxqVPlezf+mP7U8l/9ZNNHO2PkqHvK3nILt1WUdJe btan2b3opJJRL4G/0sZdaSghQXAKjqTUTfXvXxbCOsdS5q3EfF02y8HP41PRXq//FhD2gD3D406p jYxzWVht0SlCuAdNnjme7Zj6PB2BnwWqgPF+7o3m6idih+8j/sX3AV+6pLYEOQVMf6l3VzKo+it7 apZYgIErDNTsLFvdlaA4n+Qzqiywm9OGtF1ykn8fI5eBfisoCz3kDg5e3aGHarQELabkizTVsxve U3q1vefspbyN2NfJY2/Vmj3Bn9iiJYLa2x9C2PRb5+GvBZl2kni1wIHuKXOjdpp1MHKXkf40lRL0 D5s6cUffzJWbBgMtiotXC43WB/S9WXidEeEW4YOKjCxgXnBJJ/XvxJmoAcePwGr3e3E+PcV/ErjT R/p3m+UEMQ0ztM/Vt+VwBFu/q+L2VOazSXFeSa3VF9AF97glggAT2FQfI8hBjzwkpGC9nMV/gtG+ Q+T0tToTlBNlkL6okeSwJDwdOlDMrsDk4b7jsOW37Wvyn9eq/2GLsdRX/p8jZLxmmcgsm6dRl2Oc 1Lxah8Po3krb36k+q/4rdk6CGnuRuVr6S1OJQNPdn7eLW/t+yRZbapOCO3CXOdbrL2LW7PlIGVqF vGZkNjzHH7W/2hGvmchY1MHNWJYZXn+mKG9V5fNp7DwENqbIP1tqks/m/quBQfO3Xxbq7dyf4v4q OvbtaZDGNSsixMAbWL+JQxLEWpwnUr4FLK0wXmE+LXEw/I2CTtfSv4pA7cgt+iw/MUjJRAU17wsX D0ORSSudy0o0XsOX4W0Qbkz07VdTwRIy7qOdcqrUZgr/1INGhGbc2MFEAYHksDO5Zn+WDT0GtfcY 3Hz3Z3PIA49ky7t6qcrhJnFXtP+N/BPIiAtujfXrv47VMq6uV9IyyX8eyHGQg2zNqWBFAnv4gnIq EpR72Wv+UHnvNRqbLZHGoUfOLx7jDB4acU70VMZSjvAzPNBl5vwpuKVigR8bYM9cVWs94e7bO4BX Mo5SbRBKU3MaVZp4n+rwW2eR5A5pmu83tyYiWfnuoCzfxzPGzUGSDcmoX/EA/ekuEHOxc5deF2GV WuZyVgIjrqZ+oFLbOTdmlhlQg0YpRytSMbibjkxTuQQFup6pj+9Wl23UlQaFHEjaV07tNBGSclJS 6789xkV6BcJL/5yyitkJMnhaiB0AmYPl3v4CeIwtmclVB/syaiLDPJTbbvIDLi/s4XHGLXOuMJ4d pt7st2f7lA3t/Rffs7g38+f3FkpjnrcKzbciCc5Vc9dbc66ti9gtmBzU2gKFCi2ggFTZ53bmOEbN 1aE43BreBH8IM+od4vqOT/Wy5oaR9Fn5H/MKPsMSbYt3/xn07g7tCyWXC9Bc/RuDUKaD5voC1BER QIgDDJ4EgL8mZAGuZGD2tEhrswSWS2WoINlO+QmZJIaulnAVB7YUMiuCpKNs0IkyKv457HKbwtxH fbj5umeWXRA1hvCeYhYXDERnuqp7D5GzT/EZcoeoOcazEN9xByrXHVsawgV2SVe7r4Oav6H2XcaZ 6cp/XYpjuwDIzquXcCoWcPYkbVjPIfkGMVPFJ8OWGGcnqZkm1XzYCyoaZzbBlEzR823rCnlMabCS PB547Z03uu+ks524kfKkKUtS042myYjJHpV8W9v4ASZDsW0FzbVvzC5XEgqmDjgWIwkYkIcp+ynM 6p4bRaaJnTwuaTV3HR036kLZ+MY7OckPjAIey3A8i74AKnPUVAqPimOUvsZUTarNlh5amuRMqLqf 6Lo4fYLEsDLt6kCn7NjuK0ZRo9OIYK55tDOiX6/foKAfl+eQ5FiRmYvkQK+WZCIlUaTv0yPrv0pI qpQ5V96lgftKBJAm3FUsgnQa9eWHLfvPlNXQ414Ktju0XlnsdJ3OxD+H/Sd0To6vVXKV1s8E0pyM axLaAC20R2rzlb4b2qq82xB5PC13coQVN4ztU/pXabActsUGJ+7Dt45gaYDcEsqZNmcwH8mhKfrT eMIVplbvqdGGegjxHW76c6UT/enKCWqhZ8Lc+TXifD281PT0q8FlkeTX6P7vswO84YpFHr+tvZhI RXne5xU4/A+VjVJx+v0uUCOp5KS1QV6eX2Y7spiqhP4V3TPg1S6mVy/RxrwnlePbAdoyDKDnBFCx RJiJ3sUnD+r3pvuxWnzwrrjuuiqtoznmjcKmkHFxr6McOSBPhAyLgVfx8XuCRbAL3S91agQp+t4O VqImk7O/0ADdewaeljzQtdBOuMBe9QW4Tq9uzwC4h97SyBvngF8GdLzNPwovW4xIewByzIYSz1HV ow0nhHu7ZxJcu0zrZdVK93cxt7xBenLRHhLw5jcD4zaZQMa7+tRE9oZIe9XQhtWwVNVBzngp3IL7 M8RB+x1GqfDzGxI2Zw6g7FrvXgwnvk61Q8SGmduzmc+DUml5ferNPR94yvw0OMofY5R8ec82IvF+ mGzMolgoOIabbh2+zJIP0IL6JjRIYwlAG2wj8Y7YNFs84aokQbU4nND0wPpmNawXE0MoX3CJwbC7 x5E/suPiZtHH7mTo8dK2JjuPLdseDNEBOwxJ4v7MlW+GDXcxxahEsDP1mgm+RXj+8j4Mpe5NYM1e adbaD+lUuX0JPnblocOC9frJuu6IcVED9X4jIv3498ZqKKZBn9uj+/LPxq8PQxf348Om9P8QJquu OTvFfkPopB4MJDSvi+xDyHCu85zXxJOESr5KeWCxOBSgufF8gkEbYo9wTWy6oTEJ9HRjvKBpQqmZ ciWGFtcLZM6II63MpDHAEJjA96HA3wPXiM0+37iUa/kn0JJkfnuU13wN8LydJOqSpks26xYlv0oc KSsGSCcyI9zR2zAwlgBNx0BreMFWrCNlTYQtSWIbSa1gSyNVHmA0LgYA2UykHvUYzlyiWQ2KtKPb dkRQfPSjN+Zl03uLzTCTN5Jdbyh4pCPlcnKbZopN88C0bR/zVcEeI3kSsi2yvaXEmd1PfLgef1d/ fm131ic77UWvqqxsN8ZQlHHLm9lPT5z9tA72iTIq0lsXBINZUg2SuwX45bDz0gDRzJkjAzUlj0Yb 4X/sUAeRwX8wdCYb6zav+n0kvVlCjTBIJ0+lFubCdTPAxSIuZ89eF+P2rX1aYuXIqENKBptMrVJ+ EcZJx4ea8RHE5eIGwWJ4Pdfd4qqRVF+lW1e4Y89qnl6tUs7pU1wZP4J8qNih04G/uyVPd87kOlr+ 7Z2LIsWzMJauj9eM0lp7AKsCvRewIy/pjupv6r28GxUDQbVdHEB50jotli1+GhYUUcIlirqpA9L8 JFZJiUXV199Xnl7fvsqougZZLujqfLRT4gvaR4rQyE79cY4rhEB5OCdjBCQjyuDxxFpUdxkI9zw1 Ikj3LU6TQzfh6Q/NSTsrF0cG2ZriXTbSmMaWRkCHoqyDHaDP1Xe09x6r4fI/VW4+ZIETpJxuLA1E FIU4mc8DBOq7U7wHyD6OZS45DKIWaDSOIq7kIlNwpE7/raxg9BiLJsksPJHIMl38r6NBxd9NrCKZ GLPIJCi23UCrm4SUqqr0kmNMdYbnuah8uw5HC+BJzkG8W8JzbT5YlrVebEBQXtE+tDMAEC87Fngb Et/yweGJ4z4JtsSEDnPbqaIlDKZM8cQeGzVVo9cp569fhk9YlCG2qmd4a0+AGzfbCJv597lVhMAY dYex8ue3wl3oS0LeXZ4vEBrNH83z6/QGBoKdworYItAD8R71+N3IOCrxIVOZmjeYBStRfb6N3OT1 tDdTeOEYWvf6lw7v1Ydlcfj5N7BRgsVKsELTL2cjov7ZEhZynzDpYIHVxvt/VTlnFDlh6hVaI2r2 JrTup5hgbTu4olIob++M7WqNVse7X3FEg1Gd/IYQMWn7QbTtfEfJmtYSIVXU9dWnkyoCi65yU+fK z1a72y/3wvRrvA3HixiO/HNxO3VTFLtOi2X1d+2HoNWtxGCi2pVH0mP0mvootcp0obFsU3cgu/VJ ff/B9/Jh02aMRkb6KPrYaARyvGohSKGzWP675KRW1l04fx3Bm2ogR88Pb3wq3b5ryD1nFk58ydJp AzBKkx5Rbaze0Ye7X9mWEMxP8t3TgzMe4U8lluBuLCunOk+wL/4geqJN1j5TLHS3FNfmWOE4JeHM lSOz779EQE851ZJOIwjQazWPDaZKF+DRcQPZjc1hsNYYbbYIPcLYAEsIG40h7LZUNgeH+WZKqeHY OEBSTzD1sK7mN0amnNBatAep6JmINnYrqe8Ns//MVXV5wvs8+/JnJRU6Bgs0AKkAa4DaZ3VBrWlX 45rUPzRftuKKbizk6WcQvp5ZrOIuKB9jzeqm1+LjDlAYavsADn7fr5AC+YuF/pADUSw0OtxfvuRx 1Ko1Nd6zf9sWgeCEsg5l0JTM3it1qQptrqaEIkURowH4nXKEuxHuM4fHksSFN/en4SQ8aIY7ZQBa zRBoOqu90UoA+YvPQQV9A92KeKyXuhd+c68tiD2I0psUfBBlNqJfb+lDEg3MjFClYlPARbJLGeaW iCxU452oRXG2TrucLDGgCbEa8BfH6Sxha+T/w9e9rR24C1h+SytffGdPRUPIFKOAayFkLJgYRKc8 EFlL6n/czlnD4pnx8eqmjPeOFS2McnbKstv/RiNrKxuQr3wDjxzS+ykSdp9SQQtTuKSFCyg7tKo4 mybATpsDNS/xHPuFJB8Q4XJ9otGv8d8ObnruNrQE4jl8JmO4bd7F8l52KukjORw9XX5FRG0YPPVD 1/Dxg7TltsYsZldFMyI693Sv7O1lSknemOChCCQEJ2mnqkrpQMj2wR1yiAimxmfJj9qg0BiBts+k IeNnDvYWd8sXx3WHXdaOj8syHXj8EYqttM7YSI47PfuNel9Wbs/EMmqTtulKphtZInnQAUe+b4M3 70U7KV9FnmBWi2ceOG2eZ0NCOVTLRlIuTbkHKoE9VGET7ggk/BZStxkPx5hYEUIcui5ffbi79fRz Lxg7IhJZ3qS/jAg3FcJOyecuNAZPc9vdV3gpGU+0qhv6r4HHq0Qlw4JmNtupvv6VkjYuLzKbohRF /N+8imVU4BgvKA56I9qXS7tdV8dQuSRmGhWimfysGbJw6qhSkvbfXEHbFdjd8K36qskLomASPXy+ pRr1Mo/wPS9scKxdrmDTtzYNaHK1lj1Cj+Z3JrgsdrtAO1Ii2/mZ3Yx8GxinO+u57XfzPCV/hNSF PZXSddinLpJASKUUJ7UzfeV9nps1MXMQSz5g78oY56twlQACNaFG4EMKfqkfFZzdju2vhr1pCQ0m pIcxO3b+1nQVKkjR5F5dpPHDlRzfNgMBEvNM8McAMZW8VEv11BqQAD7EVjbxF0cInifeiOKIwrUm qGSiMzn44/DOgpk5w5awiQ5gBgLGa+fMmKdsQtNnebvHWGDpQqpTpS8oIW4H2TM2SKyWzY7lpHyq VY/pfAIccR7GBEJZqBnfYznlEC3wkkhip6izvj50NCLbvsSdpJIDo2/Cnp31BPp0UmfzcZVZWQcQ 3Whq5NFqOp38c3JCpEE5/FJUdzqkEpl82WfcVYVxhKuDSCiaAtmfFy/KMiC9pLh82j6l4a1cKGPS 6LyarInJtHYXu4YTpqchW3cT1d13MAe75brJ8cvlxiz4u7gMKYcqlwhYJRl/KR7ZDa9kCTTsycGu Ipi9q8DhTqk+pgI1ImODEa5zpnBwO0R1pujL1oGITW9l6GQ/PhLy54hehbOwMIOb16nUZGRXyQi0 ZOaL8E6TVNYpcsVC7iMyfmbkRFDRATybQQwAERHadelcImiw5ifTXVJGQJKb+OMyyqkpfuXn9nyh 2stHdK3iyFyFYfF3ILoBnUD2Zx+dyVws9ovbhqbB565mEa52+XCSHs9pVcKtpe6GfXbB9q2bzgq/ bYEwxSckMkdUudcLogkc1VeWO5FfIe58XEhUoHVO3XhUkK/AWv5JFkZcfEyM3ZVleUtR9yKxCe2u uDus+w4q9zShNNfFVnD5bGtSSMvBeaGvEstRk0pfXrIw+SjsnuDVvw1QrknMXFuNu31BAWKKtqnU dw/KqNFkR8H2ZnzoXdu56Gv70rpwiM2ANGPZsRYjxG9MRKaib0Lzh2IoNrSqGNJF5XUXPthvTfuc MvFHlSCg7wHvkpwVrN9sJHZk+QSFerGo/kNtNA/YdgMpGOum9DCu9CfEpAWDhX7TB0E73ydqBgSD YBsCPnFsPHm2ygERmx97shAJN6VhxSv4vcRWvD7odbtdgb6pBVer1UtBYiP54g+LKToLBqVLq2Xd 5aCAYyJ9wQxfAen8m6lZMI50lgi5er2scdUHZAPXiMExxe2Es/Lk8RdX6nubytM+3A3w9OThY1x+ H3p0KleWyO6xaodbXE/FmBJ6JvB1urrCEMn6WPZS1KwTFPmxjYTfULi7BYkR+zSaAH1UCdtwrmMX 4EQQV9O0QWOHtO7vLXWKrpYsbTuVfVr4LJT07QIglqBpwtjq+tlvVfet9e5Y+Ek0B/qdVnxTpnhW b6kSAw+T4aY+SKKCVAsQgSxEizUARBhEfAA743wsthYMXXvbgUA9E8vNwI7TU5SuQMOh9Tvtj4TT 44WxknwEtlM9RxLLp1LK1I+XbyKY8+ByDNAv6Dqz+hUsNyG5MPt55F4jQiJ8Ab+Oae+XDGxw2cms 6PcfuZS7DkOzWy5Mrv/fDy6KKQRbXJRKg+FUQr9vXffgyRbn9mYs3jg1SnZrHmcQFKJ7lQjw+Wo0 GXA4Rromw0/6kyE/lY7BOUD1nLTcZJubmMHkhu6Zfb9qEMFAUukeesbPOF9H8s15qr5iKcd4EVDR VwYb9H2OL90PXtVvQHE/t8xkfZ9PyyBMZt9dU1GCHhEi/tufw2tlTunUZi4oWWz5vuyaWokVkVMi npa3zZ5zBOxXfEhl2x+r3Vfx6Ne3cHUSu+CPKTDkDnlT+cUzwRYbx+/WcH+qKVBFWisgYhHCBm3s HrVbYYz+K5Nv+h7fUbodXumgiPRQXlTsLQ5h4WSDnTUdL0DSdNDU8UZrVWw6Wq7NxwuAA8hF4izW SofCqYcJHqcmjcjc4iCpblahJHYM0DU7Z+I2uyxz0Ard4JvSBZNlPAVrovuZO/cJ3jSj+giaLl0/ dzxbT4sJvfuVhGVyz4SZVbTh5BAwFISyc5F6hlXkH26clZ1Bvbsv7Ms1adzSruAL5rROfddAcWSz P+e/Evt707OrPkdQwiOiuqnawL6fTOM483PdsQaIcZblDyTGgFFaTuiUgU/Qt2k5nTxjVdXgBQH2 g9UuO+Ht4A6VOGhk+6z4Axe+/xeBdN7HNUBrHtkMGMOW0QN0JsmXowoTJMbSlhuO7+TBtQRFIJEm IZ9Lq3nodclniL25wHMGsV5EKnB4eW+vYQAkusDkb/17HFhVUiP3yrC4h4BMMmL/h3/w6YMcnorW 0/mfKfxOXNNaFk6aiSR/hwXe+NXACW+cY4JL2iMw1N31btq/yYODGgXQv2dhsw/tsrUobW7V6CM4 g6lsvWoV+dY3zcSurLK4CPcnp6WHYE5mirJd5uOQ4NqLQl/vGAO2y8J12kQQod/2ro8S+r2CRGh+ gNv4xgnq7WFTFIGU3DD2+0lGPRf5p5NWZtTQAzcBq7Thy2igyeMtWLRnh+pP5o6x7aOFsR++P63y O31N9kv3ayK6vPNu+3cwH/ocslHRvvFL4UAsbqKTLYitPoCDmY5PFd6hczQcZ9XwozhOJiz6f4sM G2hRpcOh9O3xggdKP9LwM2Oi0tHTeE/tI3NhlALgh4HCWmIELf9Hg6w6CdfOt0SCFYalRtuU7pdR 8edg+gglrzXrV3qms3bh2EwSPOwYyGG8ez7gPs28gErv1o1D5K9a/8eob2Q44M6js0PKc7j0emW6 zLRM9Hq5A7l/4HG9zDxP6fOxnVyAT98AA3g+OAkabqCWNOksFKdNNpLNLwG1XlCibZH46/tSVm/r xhMJ2fuXdEF7mn9HNf3e4TSdLcQYIy9987Sv/gix1cYghCJD4XI3DPhsCSaHkiUkDaVKRLCVVM7w J2BqEb+P1NHHEtcRm1xJkkiUttXNg6i4YIFoTr6anWXdVwZAb9YXDab4xCHdNGc6mBdNZLkviqnM +kXfGALZfORIHRnpHQ8iTKJhgn5HSGAxQ/EBf2/ybyZbAWe67J/9Xy6yobSZFDHV+csGCx3dF2sh kH1qqzwezrGn9bk161COpy7pLYwVlrsJeE+/69HpqL1JtGuTV59SJ1vwmc3pu2xjDNpf2tdxCdqk viG1Qla29WZ7KDyihWlnGQsmZ/XE0n3JLDpOYxq/4dmCJMVIlFxkmF3ZC5Reuy3/EMniQGTodX3c khXOP0GItSWC3C1zDr88XwOWl18Hl0ZJMBCl4toidOyANEEFNXOW06NSVE3ueIo953TkWx3u9YEz PaDJ5ogSWFesANrgp76BonDy1kxQ3h4nb9Tgonf94gSB55yRqpVGyuXHxJq2a5hTmphsBeKPrQGI kM5sn3ZuU1hJo7BoLRRHW8L7ETQQBkbR+lhCkTaXmzVUH+AXzM4KmA7ms60VfeM3jscqKGsa9w8V zRqoLaJvfXZ0RORUmYwxvOew2Uehca3WFSGoirLMS//jasREcNlyREJdi3ywPCgEWWoN1R/v665h MEu8vt8hLAJ96iw4IK5L5fELbwt2klU2Eb2Xv/daLD0rn7ElJiRAVNBJ8TFUwBZx4DuHDTs/3ddt +G9Ie7YO2mc5PTqgiDylpN+Hmt7fJOk6i864pbDyPyKu5PblTOVb1xEvw6iZCLFy6ExwRPvr039b gnE++NYzdHNd//xByb8pRJikBkZZIV48fiZgs4CBe1US6yJ1Cam/T4nXZDyxWPstH4F5cj2V2E/a rPLaTanhZVqW9Oaf5QoKQOJw8i9DmcW2JW39nE212G2v3Oxc4LR2HrRAw/lm/xq2gDmzD47asw+J /jneGYlNCOP/8eVwtF2lkYZ+WcUSjly3N4ecKcWfViCouSXw+H5VA7dw+UVRrrMDYyE92U/GBa2v YsFwb0ggxufxDAvdk23LUlAh9kSbSYyJnKl47KZVnbR4gZUYPA1aep5chy4QaH+QzImSx1+zODIM gMdx44yMfk2liKaAXAtvbqfwh0mz+WdNWN0yhxm2Q+icztvz3T2Faump80plw+b60SUaRgPCZ3yq 3Z4YQnj05W2xbYepAA+MP7prLkI5DfZDfOV0vb5OztOy1FNlH2AD9w2uCg9JTSnjFGc8WZSCY5G2 1X7OVLWVhbFhjljhkudn/vDDvKDCqqzpERcAyQHa834YqRWsnP52L8fRwJIiQ2kHtbo815qD12AV XRAt6W0zQEg1dbvr+QO7/14Id51JcTVxX7FVJIykHl1n0D+TyzxWwiZnIPCeJuyPliMB/Sxve1DV znbLYv2TRzgv4D1P67FFiyai7cMDTDA8ch6TPvryLwI7GDehXGMo0O0iS2RBGNkCBa30VcYOthfj fspZDdkqLCs0sk481MR+10loktud3WNO/Q8YGPG/AUWBQN//Jfz3lt22zU4hFvfl8z6LhGfg317L pd+IhwnjcGT66LYMGL+xzlcQXGB5z11LsvsSXqwKZVudXfNTNRayOHiJfU31DR+jON1CnjE5RrdW ++TC9aqoytZUp7aAEVD1s3ToVmTkfEuyJsnqF0wVWc5hsPvbQPc7ObbXY/FCf9Wj5lxrQy/HIhih 75zqmhNvo3W/sQFQYzj90bZH/6O8zi/lenYLFYugrfSlMnS8f5U2pkabBPxjGwlvnoyGZuznC6GF wkuEmTtcDwo3U9n8Gjbm/ulK+5k2AZ9Qm0kG6PC5mgsoocrkmjolo6Dr5rBlon0YR6hh+YyPt9Bu 3yG3nDktMQxVO3cHizuK/O8FWR/saSTHOcA4IEv1nTYcl3BxvrXJcoAZ+OvYLuzR7IxNuxnQY4VX ZeHJ5tBpspbB6sNbQKsDPIXLDF60YXOdGH9p3+OWvtSTFNdAqapk7jQdmMSZqXOklvqla5ubTEMg qvKW5gJHf29PUShS4z4Y7pvvvBG+1XjTogZ89bLMQtAMslSdu6b7LkTPXalcuYFEzFWBPn9OG5eT ocj0o0Ub/bNmA94q7Zn1CWdnPBG3pfGFQzhZiaE/pxoqreE0URpdmGEOzjVpJJSHuphC+bbtiOaY DhCu/GbjbvafS3uYT6xmEvBmRWANX4VP+/Vj3yWXbKGP9n9cn0ePOpLa27Ps9Xmy26F/R1/sMVbr i7wskWEBJsBw/acZSU8Uo/oKoymFP8THY0f03YuuqBdZC5SQ9gO5l5x25SfuE5y7BQ+h9enRy9JB W1jkCHyso/HQF1JTnSIkY4A3bpMWd1OY8uWBCvRhJSoWDCyJJGFmL7sDQqM7T57Kvpb+PiCdPs7u i9QmRREfaxvStSKfIis2F8OeMzRCkw//nmh9ydICjNuBe0GXT2AOj47WpBxvrgVNP/d+aNQaWsum tfstktJGyCZ9OmgS1ZVE4qy/7XUhE7t0dPsBJ1pHNBizTCgSc9PUBOuWc/eqJuq1XwGrCMqHYSdI qhZhk4PbVnCZkg/jm50u2PvXmQx0kIvVlExMkhXLccOngKYo+ufSrHgATnCSsYq6K+D3VWwAFDXJ fnLqT3XeldGIAM9ZtZ5zOdYPLLDU/gk+r9/9TIX1zS5liC8xGJEckAQjluG6Ps9pmE2qiKsfN0FH oR/CRqdEAhgBCACSQItIIZFIjFAEd3G48vrxGHgbcQK8+bjI4D7X5mBDERC/nAkptKx2ul4JUkFI imXlFNZEPr+48kWu2vixHy8Xu0mynZ/n6pSVuZtIMhgmE6QOorAdgg5cL6UBSUqvaTbclhuGYgLn IS0ecH5Up7wEAvlp+z0jgMZu12UOZruIJjr3SQ4T2mDS1vANkhrQi4xWDb36NfzjGR0YvaVa1KTU JG0xrGR940Hf1qwkLjC3yEZgob6m1FgnDU2X4/Wa9+vHDa6igNXdhdCKAJyzLwxy/IUGSZyMdMV0 Xi9zWwkopnWuyYmzMyxZFdDRXxriqViR+mbQ1KG+vYD6//xxRsilgzzMbmSZot3P172SYQ2oLG9b jbBf/QvtPAD00eHoSoM9k3IBMdMwPD6mKOfibqVl76uTEUST/yHNuHf83Grh8QOeMS5eUUw7udCd yHNdGVjcsXaTTlCkZ0luBi+54px5WxnblrfouXQBWSTSVqhHKepD86LvY7NZFSlB5h+gQ/hM7I/I LbKet8Yq0SLwqbWUSvt5g6k/2Qz1ccyo3+X07vbdyfBmm95VlXzGJByDl6Wu6SRdCXr483nmB66P /VfburNjxB45Rnr9UPgwzIakS24/tV4KCYn2QlgqY7/tx4T07xc5IUffZoo1SDlLjdgziYicNV38 V+YOuOMuDM2l/egzxlONkaW3e/XNrElShpws2oHb+CkSFMS+X6SZygX+Y0B5cBJIptfvmrQayO0A dvSCpgIU1yID5GK2qin5ZbiMq8NtIVvBkJ0yYbIzcim5P7jCom3wqqhsNJoUXtDtEMrBe8NZJNn3 qnDTiGZlZm7RaAl1SVhokXQ4ZwqPzZrRLh/Iz3IDun7+4NN7rXW4U/zT53DP1VKg8J3EAw3lEImW pyM1vky2i/JaohHcScEnIPUCwRDK7msG6aC65Ch0JyBho3uVmZq+OFDg3CP8q8sh0DG8Q7WJ4Axr fViCfpt2bVlbn29Kh0c8p8jO6/yUIFtyzeoqrBXcrLQakflifgG3I+9Qy7EBEN+/MMTLry/9HKKH /dYOATf15KEwoKBluS3Jj/qAMHApX/CrJ+pSSCzkPCBCvPqo2cTWNK5me9RR8KMO50tM6LYObFP/ noETLSjB2oqV94TW2/EjNFIWopsU+sindWRjjPjZ+WzOiqqPpSU1P+JL8vlXxgwG7kz1l0mnsr72 YR18/ER6rn8cQtDAelnfucwb2LYSLT7ASmUZg/Bz82koViJBEH/MhkIeXsVzXisNRJerfl7Dg3hr 376+uEqMMkmx1tGcL44qh3vBj0zF1UTeMkXzCtVTNJJcLoAB/fWEnYrAcTnWTMnyPfm25UeeTiIg j8XjoP7zujSBeqnd+rJeaeXRrZY8cJn0H3h9UxOtFLRNFBFqVzBzWfeH48akecvOdw6VD3GA3hwi YZNQeTbVXqjAKMPDg7tKFFNCIU27c65FCV4AKYaTLV2HWvf4ByVV45ZpqyXhHJ5W6IPWUK/JYo6R 86qaRcmlXCDg9liKIZ1xMIC0zGNKeGOgC7CCu25opKZnTkuMbhNvy4Nn6tRPQ7zUPk5kfJYr4BOf zM/OOESy5gWXXDCEcz0WiKiTZ6fkJ+3MzWgEn9BbIMgvxQAZ5Kb9wzq44Nd4UJX/oCbG/9exaZ4g oVyaeBvobmft8qGkRV12qb2vfslg8FTQo1WR3xSax3I8TfN0G8OXX5LbkO9a7LM6nqt0gQ44+6y3 h91bisQ0bFfxmtmPbDw5ZqV8uBPBcijv3Rh6/cDEdyKzaXSXed5Ur2VTez0g7xY2WwMd5KWM15jA OLtDl1TbQIZ9hcIIR98OCBlY9H/y5X5vhEf6B7dxJ5ARS1Bqcn5aSrQVjCd34ZUFI4m3A3zze2BB OA6wQhTWs5UmpoMmaw0MywjxNka+qaldI1jv9IU/5QP/pWL1CbQdLoJFeEKFhZDDsvhHR/LbbiTz iBT2vjrN68ONLOpQZwS0o0R+w4ICauwgWB95LTOKHEyvEWYGMNTDdFKhmC6cH0Jhv4LfQ2DWpJUG ko/UaQRD5jXj5ZQcNWNnpdgR//izHWZt5NEV/iWOFu3WIJIcRu+yM2Ya0Thwj/ueA24KqNR+KWxO QCAXO4qPMsbclYitAJrGkG0IKoSEUU+Syvp+6MTNDvPFHYnOKBu/0ACKRj3gmd595382kRYTFedv kMjXglRoX4ouTVsR7bo9/QjvGAVUIgJJk4bhcvpSrOFkRawY/SwZvijb5JsW8N5FWee4QxhKh7sS P8qa+MKrJJQYeiyAGvPfLzM7zwnE+XrUnJnjl+06ZR3Vn9tUWPUqTpFPjhMKSBHljBlzRO152+ec TnDnjGMT1t0sFSKCxojI4uuSIjOAvqvALW27LOFpJ8KqD45xkfAYkt0RCwH0XFRlcc1wriEwn3rB ri89hj4SkTDB8tamSxZHRpqCDH9m4d6+rBqQxPAGqN2bcDkqs9cGd5qb+IcWDMdm4bHFAokHDHm3 El3jefg/HBVD0Hzv22q7vUoMvE7tCGrftTRLduFO3tcP09vhql15tlHpkeVaqPKtOZFS2Uy4ct6R Jd3JcPgfOKVsq6OLiwByASNAhI2U5km+/kpRMr/9TI8JufwatBkIQ5B4j0SelALOZoKwMHDsl/k2 dYVyTJBbu9lvZZpP6UVTN3REjSGN6aHPa/1m5rQPSf5JkEBM2IpbpJ8bk6bKXuRBXZagDlFS2W77 3w4+1W+EDAvjvhxuKVb5A2hqYiJnKz9DxLDm6VZ0VfY15lt9ZAg+B+NxNFx0YRzNHj3jkTKj6h7p Cpp+dwqL/tO5+5v7yNBxeGwKLZ2c1/pAYLnIU9M0lhVBeIjHMZqjx4QBSOY1TvF3sArSEj4MFcOl eAi001sxAFVBuVkm+rbpUi9PC49Wi34cBNJgp1lFMtUZlHBlrl7Zv4zOKarftKiZdPDmRwRRE3Bc 9rTP2nXYErrS423hoz8Nd3a/fhxv6qo+V9XSxoswY6cKFQsjGBnNRBPMvrgOFWBTxSc0ozCfmXci SlLhQqx37BFmXBA1dfo2nvcaIgiWdcQj5T9bCIs5+CtbItLmiEJ6O/R3EnyvvCKG1VBBklsxsdMe Gqy+0XjK0H4y2YJgtrfhkc3Bbo0/AhoyZ/2vTt3YNgA4Ax5oxLsxoI8sAHQjzOzwj5XfhHlm1VO+ mcpu0d49qpSXNFJ/YUddbbrR3SJ7ekTQPclYibFeU6RP8tlndvR29zQwS72HPKatMCfRjkjVIiGi lP+yn09tb/eivr3BOJpWaDgvDqKWhPsVkobfle+OOohKCTO+HEDvWSFhmD3ePboDN3wIv9QFaVJZ fK48j9/8P2u3WpuBzdo1X3ZUf7kkcwo3je43+SK7r6ptwjesnQoTkjlEAwqA7FVLBCGl+uU2iRrm 6QOQIUFWcmSI3T6+pgijwA2d1NvSy+fEbiX3PrLwTtjolWnmae4SDtYZJNVYcpun2/SucYfdLl9B vsEiZ8xe0sqYclQ60g27gHaTKqCiYOF1ezNIe4dnr/fEyOM8/RflzjM9LVpDbaRQJuy+B6UE00Mk wvaScBYpYovoxIcH3bGyPYXpp1zR/F6fjGcJhkZPiVlqK+m5eGolhQvZxNv5u6m5Bstobik0Rm0g pDCKMYWH7fbnEhsNl4Tu0p6jLMhpLYNKV0cra1FMgCUuEuz2sPO6dElgZQFVd5AcXsiOCaviWq6P Coq/DnOPkCIXTphMtjLVEocz9OX/md/jr/8oE75w+HfMEah0Z92ZeGWA2+5ZpgtYzKm6f0OFP4OY 8+boiKaULRxJ4TD9hMg+YkyOCSQNLPElu9HLWbU5jZPGOYhj7Tr8olFxOK2hjXmnQsDP9riaC76R xNFC/p2PyeJTO74/WXxt+eNnZBs2hIue0T6PU+aR/OUxCT7TfRJhph0LFtu8wDqkZlHVVY4ITCiq uHXJJkz1EqH6LbpNKkGMWF+ki5WtSbxs7wW79eugJYDJKOPYVv+nDtgvgesvXDz2oE9GkhJi9WUi +PXOYdbHMfoK3sUSEN5FysH0HS6fLLka0pHl0unF9Q6ZEV/agM1LllO58ajvV1v7jmrDwMMIkWei BSj4rFfwbQ203YYZnecD8z+6cptpZu+5+rNehg/iiWqyG8AWNd1BHbL/nUx7MMGfNABDEi7RfgQV SZqGG8WhWn2nCh/Xq80QU8WNA2XuaV7XmnFvMzuopLiCi7c5O3b36SrDp1QTuCL7z5Um5k6KBxoZ ePJzJHzw9J03LlGpDlzEnb941RLAtoudJxYf5FYdwYI+klvwMnE3eDeeOoPmMXZduMIHJm9RZxdn HmXkDjaKGL4LqNB4Wsoka5EfUQrQiagQwl7f4JVrcIwOOrt3fWGhqnuHtyyE/mZ37gFj0nNFWhOK aQzmBjL8d2u7leel8tlq7drDE26n/VYPvUc5UmO9+3jqO8hpEOUCkRP38GqL9HUpowDZW+wlLDdM +oY7o7pAoZRYeJLk7+6yltSH7O43m3Xs7zht0vu2pi4vxZT7ULpLAth9oB6iNXZVPQvi+4byouAp /O7o8GKf5uh+5oova10gTdMZq92HGueJGMpm4ACJgpM9TVXQUmimiQXEBOC4YijtATC900hm2ILB a/zCQEquhdVwSXrVAZPk1QKsFaiP0aixiDOdzid3Y1zONRx74j1WPiwhu3f3cEy944eHtRXQ+2nJ 0G1gmcYV9Zdpv0NmkFfzibYIcipi4bl25ndd7vV3b/wFtdtuSR0UFhTFYTeg5EIVpd1XAiXkF47H ftzn14zYiy/OVnHvU6f6HVKEP3O06PWMkRU2PnwQg6ZhxEssK7/DRJnxD47HcobBHOx3OYdpk29o o+j+lUwtAqKlA9tPbUbKncAVIrQk+Iaf4NFuMkY7ynruG5ejFWHU6+imTwSL9RzYNKi2+fR8OfxH HRNdxgXJwR1C0ArGbpIRcdWdnm+gwvtdpKYfhLqb+JVwsYaiZ0mqdBuUN14t4b/cgHHLb6lBM23r 5A5u2kALzLefGW1ze/hq6YMBvryZCUXPWk/2g+eQvQ3Z3iDIS35hpr9bnxMfYuKzKx0bmKGkt9Ay v4+sN381H1vUJbD123BypkaAKlRkWg29MduMSJr198dGdYMG9VVyz5EPWAY3Zohzd83iagiseGc2 njULwd5dS2l5kWHozkb5Q/7WXk5DbdyKCZ8I96hkgLEnYvn7iSu8fw9Gk8pbQjZcLwh9aL6UoUwP xBCGaKrEPCU6nb23ZMCgC8HFsS85bUBWiVXnV1dI0YuUvUtI1iT8q7KJn7L9Vs+GYwGNSWRny/lE 3MoZYKZtxiA91LW6LF5c9fUbVDoRXs22ll70dq0YpcYElb/ccAqCL/xrjlYMCeBg8llwjDV+RhgI YPUVzs2Ry6dXDJjcU3OWUZl+t3IegierXzb7O0Az9UlDt7XnECpSl8RAc7iJNnckW/r12vKqdKcy 7/0nlftguPHJvapSd5smES+Y6fBS3NjhbjvbinaA0ih0xtRdYwfWxXX6CsNUo0LqVmeNoWIHMDTj L84SaEKez6OUf9dhNQus9qPyksbiTa4gSDH6LFwWvSamPEfvncCaQd2xeFO9ronMwgdihxbWSTwO KcGaX7OpVkApYOey77XaOgibHYzMRLEQM9GkgsosY579BrFb3WKHAawf00phtaNs5+2Wt/1Fx3lT Weagbc2e8Sikj2VJn4dPJEGDAICygiy6Y44ESFFfAuXbEUV9uFNoDDPj3/P6jzYQ7slkDRhlAoxf x94bMyh+4Psm6ablrNtLmQu2JMDq5+KgFWIbca+1GsstVfzGUwAfhATEEVB1k3rdQaij02OHjA8j XX5KLesO+97G+uYNAnXl0S/hgQEwqu/NDqPEwaVqg6d222EouJuVCvTlOI11DlcRK2hnz+qlYOW4 H9Y33YJ5n03dCINmx+XxpuTPZvWg/a013MHKkZFB2+0D59tblOIaCvit/3wplSPk+kRgfgYeK/+n uhmm5weKrciok31MYQNkFHdeymAzjAcvbLLCEwUURyYZdb2tZeN7BrtcifvuXDlEvOLO1LtybAfQ CYcEYi2GbhtqJeo8rRAZylhG0RNMzILEnM+jIGjP7biOjbTUIej3j9GCiMwpRuCnWPWFEZm0iwnG ciW3keMKgkoF6BwKr2m+6bqPj4ZKSdSIooFtF3Ak2Itg8X18TO9kzieEsXpNqxBxaemZNurifvVj nyohEgj2a1VPQ5b4h+fLtJvo+DxGflGz/qjMUyE1qhI2VHjbWqmGiDe41zDMuqx3rJa/lsZEadYr 0/EQ6hnID3dNLTt0e1Vr0D3wuLv/4jWySltfQhAZa2fmhEyT/kuwjj1+swDzy7g5qcTUcOLnPKjX M+cht1XKq3jCw1LTMPH7jlBSCRTDvwo2xfXPTXZx7+yzxtChQObq9uTRDQei8ff7aj8hq3ApIZov SqLbF8waCiYB+2T/Vq/1u0EoozSDhG5ErSRZr/DUu8qTvJwvGopXzSxHbCe9YmGe108a7WFDnjZk Bsrjp/kBX99cIbwU7LEVDMVrj2SAJ0J4RNuzuAvNa+zgc5j6TmiHhDIO3EqrTXL3uS8Yz22RznvT e8KHuBgyD8BdVGnewqYuzPxVqLOQ18RVMF0muDsHnEfI4ptc/Nv/tNLKJkNyNtCoLSLvwKfJeuBf EhIv2hmPvditgRU2Vu3WSHl4Go2UMUyYhsDSURQI6/ezWk+KRQO/8rstYUZAItLl0TX4kSrCgWFD yRCihaoR7raCdWG3zLcoqr3CyF7mgb9PoLoGJjG4nt4ctC40gGbk0C2mXiKtf2CqdqTA8VHPxqKe SBSujERU/WHT0MYmn9//WmGoG3z/c7M9yW64hEzbPsUPWhBClR3+cPI1VHOouvP/G7FmZ5oDaxMk R5e5NLg/C1CbhV01/OlwhwmCQNSHqBjkKS2RUk0P55mWhdA6w5vGdECavgiIirPQ+2dmr/jMFSCY XFJm0IR6Sw0rkJqwcIn/cyUHSkoMsOZY43M9SPmHhHkXQ3hEMjTD/vLo6Y8vmEtRLg6VV20lRU6j TPXe/LxeWaxSgtp4S7b7kWuvVfEhtIkrqtCmO5DLJmE0Gv5ihPBHTyGA9m66/BkWI0Qv1EUVJj9T B8cA2MikvcP43Op9CTK2fci5XmEbTdyRKDNjVzE5Snb6wyA5pjzBLcnHk4wUz6ZG5OYOyepFr6oo OmkOlEqnvV8UybJKW3guINSbqC1Q5eQ3Dqvf3fjPqN+QDs7YgWGwsPM2e5ZLLa3RllrWSP/ShGGb pG70/+hlg0LeKIMNNUhNSb6DieEIrH8qEWVP/gMZuO5C7H3Qocvtf7Esy44UaLzHV35Cg4QXfMu/ h/0IQoMO9zB088RBjcz373O25nYXbKKwn50CCGIdYdipSddkuhTzBjrZdzmuJZwQjXtPmA4CRA6A D61atj9ReLQjfRxu+occ3mqH9PFzufetMTUwqBiwMaebPDMbzkcR709FMdOnCe4mEuthLxL0Qkgi xU3HW7NONOqu33feXS6IbP/WapR0L1YemxPI2gcpTXSuPhobmxgTcgZuKsNGrsaZU6BmaP1/xcsu eqxo921xn+pyghgFs1HGhNzcf8yavgr1KZPB7obHMM+Elu94mat1ZYhd55Iteku/Qzq3YkZt/15R mUYsbmdwzt7nbNJm+Yhep8ruNJqDiKTtxpd6hBr3mglfdWeWi7AwIMkjK7arPC8JSJqdMzKrTZav 3uUZxxMkUVJlIN1CeXg3EUSuer8qHyOlHYtG+zDiQXV/p8wgBaBvPe5qElYfj3itoWAz5kqaXr8e nIpngwuWjXs3uiaPIV6fcmC6kt4+mcs+OeHqONA657fUETmjPJG1L2I+7Z8rl8dpRPFkCLhrnk/0 wQyllDvy4Aw39v8OWj18b9/JkTpvjnbnL+9/SODfvq6tE/0srJhJR/Kd8ELSTn5NurOX8r6jfWou ejgKaTdZzyFeB4E88vOLG4nkobA3hNgLlPhvl4E2ksWc+T7NCanMIwsjMlpdRIIgkmLtQ11FEg2D 1m+IQWDgzQp+D44EfaYkbCEAcbBy2iuBi5XiPiLJBmRqhi1cXpB1uqXNWVPcumfHjaT9WfYRvV6h PY7r9ifvBQk64JmYjEpnfns33Kq/CSfZqu58leCoVi5BfIvOgoQQIBI2jH1mkVb4hxoEQQHqr45F SlGW+eV21arRk403id4GaS/9v9ANwo5CNsWpfdyfde107bpUwvZIh6e3p30K3EPxnbORwmxML6XA dqU90FSJxZvt0LvEJMyQdbv/Oije5dIJoCBvzxGNIqbHzpzlTdKFVWZP1zlZm20OZ+xsitBWvds1 HUQ9Rc5hZ5q33rXKUiW/3zh6hmc9Sri4QI+Bg/xAUhazSAMP9mSoPkNCKDx5xips8npxsAoI0ZPS CDyNKdNjAyUh5eFMxlz8vhb+YPBzxxHxm0PQhiWMP0SPLebAA/LcSGui6BCHrSHrRR716PJ8Fb0z cF60kYeCeXBEWpZsP6/ypqkhp3K43ZYWV7dK/Q0S+mmZY4iLb/qVOT8Yriei7fa4W+Iu6mnZnowM 745cDD/k60TBnoEvwBYaajoadXgiXH9/2tDKefyvrBfjYYIq54gRr/PLsQc4wDmgi9obP3VIxADm KNxHrtL3K5xyvmq/oNPuMeewHiAiWibFVKmgtDmwKN/cKrGRVwZ2vD0SO3DGRsySu6VrlCZAFbZW GI6mMx6MlKR9IItT9FW9MI4aipeZDhreSZzN5cJEkONGGVnRXP6ovfmiiEBut+9r0b4Ltf6E+jZ6 ojL3Yo6JabYRLYaw0uw2F3pyy2pFZQIcfVipmfWgkRhwHUInYKnaMKOwb2FnN1V61kkYaIfhQgkr amN8ad7hXwXwN7x0cP4ZsgoSXrPVHeT6AbYLR9O5cGZ1+Qhv0Opb9qKa1RN6UNo/z3zzTzeDcQi7 kneYHJebhLVRv9y5mk/KksJZXh4yKD27cTLgNtbToyslBSikfNjsl1NjjmpVwyhp8QK0iegjPGZI Tyutf9DhrGGzYSy2BD5IS/UERGNT+NgriszPUUS81l3trJBI2qOjpcgWyZ+RgVnscKOiXjfzh76w /zkVdMctItZpwQBERF4MvBK1l5zRQXsXOSXayGVOK2aEEfNViAHQwo9aTEiVPmMrjFdwp1a9czoh 7pNMXN5hU4BXCQPPMfes1M3eRE4iJnE6J+ZccbW3zBMaYrsK04bZxEhMC+11o5DSjBWGd1XUOCOj P/20gnUyiflIW0orglspE0tT96plMGCK65T3bMmj2d02HaD9YpSra5fnU4PwRUfSaKY3Ulht6epO PWFJwL3gAPbAYf60S0Spd1Wj5nBqlNN1Jl6bx2+mOIGzGFESLM/01hP8pkQpJKk7xoeIE7UMV9Vd B9L3yH08DZQ63sr9f5UYgiXn2pvZvIaT3FNMmF9Rs1fsr7u7+dm18JfifK9VKxQyDqaZ+UGSM4Kj qog6+P/AHYSplCXiyn9OqjFXLVJL/Ts2sWbXBqCvbE1fmWk6jeoyjN5HZ8eiKx0U2lNA0biKaar4 AHxbdM3wRqQpd6x9QXEl8sWSciY7y7q7kslc+kK94qQstYCvVvc/j8UDOSAx7RYp3J8tcWrRuMVA b2a7PlmBwavnIkETwDgO6ktn4sZMRMiy1cZXPf3aREbQm/MdifFZxSdphsWqn6BT09gyMzAvN2Wt PgSNpfao3LssCsNFT9lts2hpN5IhzSmU5V1UuL7t75jBF1QDg96LKltzfQcZbvPgswGZXRK/ourj 3vhzywn6NQWXWwXDYqez5p+L7jvBDGcWvLutegUUA1gVruP2jYWk7XsMZYxC60emzBW7gY3o868n BumSwHVcp5uWs8BFGKYWph2HxNPgbCvgsryGbPF2JhAVlEiWSImguy6JjKFNGAiMio0/dA3JKryw 8oMxKliO81vyrKUBgxGUL2zQ3HHeFaCtFnonBnuKkG576/0jYKW0QUV5Us4gn1+NEXcSCClZayh+ 31emX6zdUdwO/FGcEMhokPdmrzyAWSRXA5xunzF7zuvuvjlfocoTW1OwBgTR1fPP0ZPMKZEmQ8r1 j6E7tcG+K/16L5qDYE+RTP5OQt4Duw2/vgWQpVAMvIztjmF+a8adow4EY7Ktc9pES1KFrAAus7h7 4P3liQ21uTOE5BFuQ/lpIeVuivqRKCwlv9haXPPSkLLY8ajhOKEPCWRvOjGg4vXEENgdHkFLfeZs WxMCkNGgIVz7UZ2XyGb0V5pIivaryLn7mDw5/cU5YWVg6cjI81eAQbQM4oMfju/XG4OcgbOYFnnq iXALOa3T74mxGrxBBWzM6al0IfkGX47wXMK40GNZXCP5V11Mo4CvdqhOOYCShfcdE5j/ZVeWi7iK IqRMPx3g++YZGMGEnGjcsQ4Qm7FRJPpfz6+KZ/64ATZfOZJ8MS9Sgj2dJAkQ+KBVpbHHeEXFjO+m lOdNywkK+1RGJcFlJ4fAL72bDE8g10HR1Bgj+ffDk6vOC2mGh7EI5uQEy4iuXIoR588Apleut+nm J1ZaWAXHCAUYHQPuiCwmxp5PEKiKRh58nwH2aYA9UWukHd2HsZTQ3EnZwTC4b6slbmw3564kJ8pZ 3L+9pSk4fSHRVXZ7QIrOEL2LRLzAln7k1rBdVgQP1RlDjVZIEXa3CnlT7l4AmLxs2ajo4C27ThMo LEa5xQlf9bKY/ZFUohQnJVWN3ydluFus37FlffjF38Ek89yFXMUEHPRcYfV+CBmVQWEB4QtX84EK phJrTQQJGehysfqJ+GYa1owkDqlo2JXqBxPPxkujW/tkhHTZPvkamFvClaCYj/v/iv+q5eCiyIP6 DuH/kiMrUdzkiiYX6WMF41UMgLvVAGQfOjtrH6iHKI7yvi5E4f/TyDlrjkPX3BOmwIjTPGTPYoHw iDcN3EFethIbE8A/fUMznMoolpw3r4YNO7Ns56NnnoZ/sEXmSnMmK/N1GFqftTU6WMto0LXlHqkp srmHKB69f8B2oIKyOqAEs+/GW0PjBziRnkuMg7QEGDnTG0EfgXtgKgTofRHgiiEFEB6noR236CZ+ 9akEzamU5Fa7yxyCtU342Ha0ML02AaFM82sxsIJUn3KrqBM+T+4RVGhSNMUqdufdPVYY0ntTtupR wHycWbinf5MqUVjheV+4nw9sJx+MmmfN9rbMz4a/aWdTw4CroYr4lYy/d1JlwdxWluKBFQkgotrd 4wlB0ahi77EeAfjPKjEFBslFtP7xbWTD2JFuf+Ygvo2V6j/+xOZHfI1qPcWi7wEJn213B4CfSsLd DhoVufuSgm3gSaN/sn+lTC4EHzXxItWyEMfj0kQ1p+B+gv1mpsvgnoTOXHAagYcgAARtJMLRDdJJ YztHVrCWZIu1vObS/8Ttr7lAt7Ig9kMueMnD4VgsrLyeIflKp2gk8tkTFwcc+Tg5M+kiERQ9o+l+ wtfSreAOfddWX2iAptBMTCzoYw4Xnjc9xaWe8UogX5rOjfkypxJGdjzB7s7RFxe5/dkccVRkJYj4 /Wf0so4uVxth966ZfggqV0pTzs1E7L2jlqJ7A160Tqc+M51AaSIAMczHhhDaGAC5iffwwhU5c9SA tOdiidMSFmvO8+kTMgAwSFtyqvwDMatY7UojOhc8xhPjUIgj7wC2VmQ76bpKsPAqNaJzQeEyhqAi +Chxkls+AhHBrc9JBERq1ExKO9OpEhk7AUVLpMqNSe1EcUt7v1H/ggzargLGuKUCS0jsWQCeqm0k vbv3foCEblX5XBGcphTX0rZY4a6a7RpU4juSt/0IkBIitnfcJ6EE/mPCWJcrLjqisHP8TiBLCeYS rsUGjIvukf405tzaD19MuEL93FVtuRc3RZWqhFaE/NkE4e95j5k+M6XwfbzBzf0A7kPpE3dp014D 8FRssq2f3XLgqARryHm1aQrwZq9os4DOlhcKUSgBw40HmyfEFxk0mxMi38bps9vfZIt9V/9iu5Or vktZKDsCqSinEsC1cSnPaaNgdphjx0tvjzlwVm5xiakKovRozKrURxqdovSIVfPgOSM7D6Kfzap/ yx9ziYRoucs2MALoTdmkbSetdu6g5KjBjcAuQYHfynOiA8QFUUbuEAPRW4oZDlAfHj7HTRUNSGtY aWlCni7LNSN8I9uwj9IlUMtw3m3Krtz32lz0AEQICVnwrE9d8KsY/OSUHKoLgItaLc68d5W8x6CK HJwc+aB0xFe8XZFXcQ7tMsuqSY7Yack4ec/O2VJh07aGjBT/4mhXM/lIRdyF7IOcxxwJ0ympJ1FJ 7ULd8Zt3DCNfvx4l/habhuXNw/rIpA5TlJ0KN1ZMbGonIoJU+u0sXOZdO7mQndSuX15mafiXZhoA p9FUjBVQZIahwTxv15lqxUST6+YaNPHyeU9nEzBvYyIsm27gUFBceYY00++gRLe7pNlzQBKcf9LZ yZUyunjqyH/Xw6XCGrGRm03rRLUF5wamCfwLLIuVfd4/uoAuHuc/zqrGzrEdlm7exivgao3r0oQr LDPUSck+N+jY3PLnKexrleU8OesZXiahcSmOmMoa3STWIZ/NgwLO96Tc8XGUPWwShvz5hHJv3IT1 CC7wuzOc0pDqqr5ZCoavh9OStxCKk79z2lOsZwWpVGzF7yxggXgvsNeC/ofdWibXYDz6pPRuAOEa IIW/aaOC52NZbSEyarIhJSU4xeKh40PbV0WKaoijsLrNsWyGPD9leYKk0WKqi2ayrVQDv+4ym0YE jopvOFlmML+61BUJTPZNPYmR91Gf+TVBQfWB54WaoqAe9TH+3m8ZzOioyEBVIwC+IiybSA0PLbMm y04/QFikyoT1KtZSqJWS3a6rJtq8zV3CfAkdrNAYuu+SjP+NfMAH/t0GtOyxOhrZb6zpgppPjuJI nj298JyQhLqfjZVk `protect end_protected
gpl-3.0
thequbit/af_paper
code/xilinx/pixel_difference_2d.vhd
1
1935
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity pixel_difference_2d is Port ( i_clk : in STD_LOGIC; i_reset : in STD_LOGIC; i_R : in STD_LOGIC_VECTOR (7 downto 0); i_G : in STD_LOGIC_VECTOR (7 downto 0); i_B : in STD_LOGIC_VECTOR (7 downto 0); i_framevalid : in STD_LOGIC; i_linevalid : in STD_LOGIC; o_focusvalue : out STD_LOGIC_VECTOR(31 downto 0); o_dv : out STD_LOGIC ); end pixel_difference_2d; architecture Behavioral of pixel_difference_2d is COMPONENT color_space_converter PORT( i_clk : IN std_logic; i_reset : IN std_logic; i_R : IN std_logic_vector(7 downto 0); i_G : IN std_logic_vector(7 downto 0); i_B : IN std_logic_vector(7 downto 0); i_framevalid : IN std_logic; i_linevalid : IN std_logic; o_Y : OUT std_logic_vector(7 downto 0); o_framevalid : OUT std_logic; o_linevalid : OUT std_logic ); END COMPONENT; COMPONENT focus_calculation_pixel_difference_2d PORT( i_clk : IN std_logic; i_reset : IN std_logic; i_framevalid : IN std_logic; i_linevalid : IN std_logic; i_Y : IN std_logic_vector(7 downto 0); o_focusvalue : OUT std_logic_vector(31 downto 0); o_dv : OUT std_logic ); END COMPONENT; signal s_framevalid : STD_LOGIC; signal s_linevalid : STD_LOGIC; signal s_Y : STD_LOGIC_VECTOR(7 downto 0); begin Inst_color_space_converter: color_space_converter PORT MAP( i_clk => i_clk, i_reset => i_reset, i_R => i_R, i_G => i_G, i_B => i_B, i_framevalid => i_framevalid, i_linevalid => i_linevalid, o_Y => s_Y, o_framevalid => s_framevalid, o_linevalid => s_linevalid ); Inst_focus_calculation: focus_calculation_pixel_difference_2d PORT MAP( i_clk => i_clk, i_reset => i_reset, i_framevalid => s_framevalid, i_linevalid => s_linevalid, i_Y => s_Y, o_focusvalue => o_focusvalue, o_dv => o_dv ); end Behavioral;
gpl-3.0
iamllama/EE2020
ee2020.ip_user_files/ipstatic/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd
1
94635
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block US61vRgaZan+kknoy1SnPxYNjkAw9TnKUufX6w+IcDeMGtqyYNromlIoLLZTg7jQub7nmjw8eWCP cY1aOfugKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cx+xG/jU1l+emWfaLPy7CXAXh1exaDmq8ZyJFnbt9CiAkRn3KSw//ly11TqIhymDcuIoqbSi8MB5 XrNKColQ8j763aa+JmESdVAhEDb3vw6vtSFZhbGzZ+gjqujywOuXpV4RLNfzGSHZi3T16jM00CVJ fZxkJaQO9Fnkhwc8+vQ= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4Jy/G3k875kthkA+QXWVjNJCNwrRuzDRSO4U5SzIrTbbuz2aX5Xp4gdKyvxdy5P5c+15hwjfMoNZ +VVbrsGViLFoYppHRzxDEhRr/Z6F8XPkdTBoLInA9yJ+mYNZhQr64k9PR9eRkJxYBGK6W09bLins fU3gdCNnvLaQsEgQWOU= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O3ONP1KwZNdtuP9sQrFAGFl1CQVtyHjI34FdzXCxkhnhpnVMoCFa2bat3r3ak9golPUlczWtN0jo cytKpPs0SaxChx/s4TD/Jpte3nH6+gHdXFv3YP0NOc/iKUmQgAiXM9q5guBlRZNedAOgC7ZNjWT1 Rt1+NX52E+ATBH5d0S0rLA2lEWoebGEU6em7iO3RRFv58wLYKtkZbSWacxpZbMIxSbJPxJ8NPzuV YmvdoxSZWBO1C+KYAg7Al2wiIft4HX6SoDn5Y9uVpfcwwCdiRWkxQ2abVNmyyU9hBi3N9Rr5InLH wYwMquteT+a7hr7aUPPAeIaQewrj7Qz4gd1ZUA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vl+IzaoqzSXKOjWO99voPmAJ45URn945lCsMwx/p8gv5xg1P5LlGlBmC2PIT77XLV5lUoSbGKLW+ DN5UQduw74Bifv4122q5Y/f+GO2pdYJFdG28hMNvIqwsSLCy29sNSlzvjzRtU4AkfH9fo04VL9cu TqDIdChGPUawshMyIVdh9p2YqGesdAQjd653Jn4sZlLtoVZQfhRUVSAtojoyY55j0D17145KIhRH NP6jtdJbH2pgd6LKRZWb/ZPFOUVoz1tuHmF0Nx6nDc1Xoc2PwceLECqX2mTdvSLNHV2nRxZ5etGR a1GAuoTGmhBqyEg87HaNCj8xMPLE/Cc0a+89pg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block olBNwKJ0hFE6IA6yPORaakXGltQcqHq2WBYFtXgtfLg0YTDpPojC6VULF+1C3s6WRubbW32qeBw9 uUPCsZO4PocNSP4MtrQbjysq1Xq4uUDFpe7+ooS5esPqi0vW/Vgynis/a4biFFMuVi5FIHk3mPEU F5y/g0XXxQHs/SNu5DKimQ1EB32qve0/dFJrINlJJaIfuMujCcJi8hRkxxJD26CsriXUdlM5IjvR vrNasTLHyuFkEVMzphJMxL+WOc5tRkoS6HCDjF+BttPFv+x1yz1a/J6b7jevZd8J6/tZBtxHxgzl gNnRYdEykPRCETc3Lbq7yGRgAn2jI1VgtdXTgw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block vp3xB5NjhBIlXxlq0gQT7QNZkYZ1HT8jRjPJnzX3ZHsQSkRMJ0Fnugn5KixMEzCzYXiZ6sZ4IdW9 K/kYaCrTKAce/P5jLw1XJlagklJQlUPOLexEP9TQeZarog3lDXrLMAIp17fxRYyUNAo2g9IlKNv9 ZBzR/BKIGf/XawaAS+p1mXuPmJxSWeZLfIeuVt8xKE/Q7vfh8BtEaYNaa+2P6ucsbovIxv+zkv18 INgMppnsNkSJUZF+tdEellQ0BU6zNlKAFTUgNOniZdvomsKDYqTvmSopZmvPwKiwknjemBEXxl4i IKdRKoFQy5mJVaujYgxMON2yhj3R/CcaZgqAlYjpjwv0KMezLyyvGSgKaLmoiYG1qskT+PEnnBWl yIpY1dozbE7xqp6Qz4r4ClfIElkMKD607QU9oy7S8xuJpXwBwRwJMv61d/+DAKzQuyNc7c0yeVVJ 8Wm0F37DZAORdxj8lRuDHx+agNjWJob+RRDFfs0vWbda4HHzilTZ0lVbDglAxRkfN8U4XUfEJ2YD zetT0sO+XIzSQMWVPNZzRMYrIr3fSAXXY2K0tLpOSsAcjl9S+sEeQKpoyOXZIwWt9YGX0Y/7pYh6 6bWZZbfjLCzhbl1YyQGz+8EyAqOxugyBtUjY/C1shLn7671Wio08T4F6cSmjs2hQv3fDziFqTo0q KlCExXuTpfN53BZSnqT9P0fJqB43w9KfCAgyQ9rupFb/o8nz+YvmoMz3l7Kw4oj2hEia0PLqeXEG uH71iQ+rlqs8HP52LTaZDnr8yYazTFG8BdGBUlgxQJWceQ598Yb/O6TZO1tgnIBZWBG9NTP3yfpk miC2peMgviNJf+XbnNb4pGtrYJ4siC2RYoTH/GaynXBqC2xMKw/aNawirYwefcMCc5Y59PcY6PRP CKXTKTz8qW2EfBxFYg7pFjHPxLiOwHIUvNS9RsJBF2a2HU+jqpOECut0C/KxJejXiRPsQdS5N56i 7mrFN7X4rj4nWtnMc+UkDSKrGjw5oZ0AJtlbUfomGG5b3mhr8XgETtPs4vHLfAAu4STo1FfyI5+5 EQjAS7aEUYe/dp9MPLYBKeFq4GsppETMSaX+QVsp5sxVLgEi3Pte0ZQUnzd2uq2Z8Lxj0YC3a5YC oqdIPPM6zZxbagce9xBf8Ecsea+AU7ydutmwMWsgyTJ1AXYu1HAJWvybtYndPhz80aLcUEMsMFKQ ayZxsJIHRRDF5Ij0649kJdyXiPIRmZksp0k6jHh1lGpMGrZnaQAgkgFBlgg52rlLyp/28T9SO0HY 7QlhjCnUaLBeBLrwNpI5zZS2TefEzJiN3116lHBQsKUTG+B3V4xVsA77RQs7YvVZXMBlUhWWuakw kDXZZSs3iMD0YPbrB5rX2CEHPz5OPUCVg8Z8dNC7ZJDHDP6ggfpf2E4MD3wYLzcqOoSWY1ZszbFj gMmbwrOp5XFC7hCchDN1TsngTi9qYeKldZM36ZZjADODpxQtMiNHKawFToVVF1wfeT0ki3vfFRVW 4IUt2V66hHmt2977Czah+disUE87eEOBZ0/q4c15f99FH6xCA+X8QsFCkjBJOK6H4pevhtATETDd PHjkd1r4i8xpXu0iic7teKuL9pNXqEHx2zguanDK1ibIJHWlu/rKlL4721VVmP2LcUoLdYA4mWiE BYdt460O9XYnsSR5UYS+cJ2DCYglsYx9E0no5+X75FkVtRBfkHfCCgOIRu4GrI9XtZflXJks1TMW YZY2u96+Fp6lZNl708RWKbSYt6j1o+cWERFcIMH0Cp6zSfMP2Y6pjp71JMCVzMl8BqImPOauNYBJ 3B+RpvEFy9KSB5RrOaxhWdVTa8HtqZYjXUpJ9yxPdryJ5Wa+SW15n0LgcpV1LVW8AxYmJ2kT3pAO /LPGMEdfd39djU9F/PDa6YdBGe4uBbwzq+sIRwG7u2JRq7moGqgnch+sxjO11sXLeTjZFin5sZtg y2xU431KsNoepDGjMxDxbS9C8dmo+wVvKP0ldbn5IDGxfTF3h/oEd1s8vceOiRneRa5GnzEOfAta gDSSOxMyy7H3ERUr98l3P+dSsLdd0iugHGYsWhYuApYjZgNkIPt4oVvC9qQ8n+FrVDWxV6CKStWe n9fvnbhohSQ2Py2RpSGy2aipa9R6nJAobco+eUTHUWjgrOjGEGf/C95TKZ0N1KbhwcU6HR3qKGcT EIjKX/w08H4bV3Ge2INxcp+3laMkfKr36pfPUpeKOpOTFk2fetBZSsOLfzPvqvBWULf8ytC/WJ74 HMytn4NieCakio6ZRdopRAYy+HYNumgZJ3qF7hcf7ux64xB+tnCaNW8xHjnnOe8lWN/I5C1Tp3VO ubodYoCzKTyYnwo5DKYwsgbhnMS6L+bWeuNoXqhgVrSld2q8e2ipNbUONb4i1E01gY/TYTTALEDD yWNND90nLMEjRU/0pZbFaN1/T5AKFUnPoD/rozHKRbZ+a4UyHL43DoU4cle/mH7Crp7taOt99r4q vuvOO1ZREB77z+18R1bCpBzcSIEIWldhjqAsQiuQrYQh8/c1td6RgY+iutXHcKLoI+svlsWOHinH wMKK7FO+rctFT1uoFp20zkjAZFZer79oKjlKXVogqsH0WeRbQNiLE0xKmkyz5SBa6Cu8lkdRmq22 2byRn873kJDmIqzN0RzfZtNC4c3OOGB6ENLGrhAOt45fR9syHSTdbXMr9LoJgsS0wX5q6RKl45Op qcuwVWcGmunIrw1XKI0xN0q2AC1D0EKLmIwHqt4mrgPkLEj36VY36RHgKXy6043/YH0s0ebrC5yC /irL/acelJitjiDKJBbxd9/NbJOktwSPi9MHgGphQhqyh++i1pm0GzOKEZhDqb7XUm+umxkbEtM0 imJO3VhxiUNbd5/zZThq5sfB2R0ND8Zzg5J+7QTgaOwKm25b6hSbKadVlAl9/8dRfB3JIqCZBVv1 yE5tTmBO8aqDGZ7HiffbmcQ5QUpx7F11pVZSZWF5cDfz4WqObjUNq+8rdnqMZKqj5iONxS3WgG/6 3WCSzxUxlL0t9iTqsxTPvTaJOEMywoSNIp/1pYVHzOQMcs917pAyx9sbCzWoRP8xZqqXVlhrvZEr K9syHlWWQdM6t6B+yzsvPL7bcUPkDDKAgzllks6FuJ53z3b9pypfrbSJFb36NtL/Aq9Ki0UcuH0Q ksJHJGEw8GtgP9Z3ITZTNxsrzZe0kXjeZVLxoDKOVHddjyNBi2kjKuEWgOZeFNPajJrdXZ4aTH/l wdu7iRisFHd5EVwQtuoGNN2Ytjs3aJOQwX+Dn89JBAlhiKlGavnpXSx8rapPThCdOr5/U0eju5Xz PoTQYOK4R1zHLb5Pdk0ihnxY1MfQLMdPJFCbKLeFzjECdtDvQ0IQTLIQR6SxrBrrUFofBdVvxkG8 /6tp/OBBwo+b6+XoeSpLzX8n+jxUFdyki2GWofzWP0Yd6SORJnPNuKQYYKOU3nusIpD7+wjk8l5o ZIGaVMVw8rhy9QXkFN7o6PWShVfLZQJwBJjwporDGKX1GyN7ofMR5cPwcFxlgc1XrHbmKsGGXm6U zdMuuc41noOel/D5DbZ/6yO7uD3+0u7wFL0PiMK2/TBnjGFoGg43yc18aox2+pE1XBc/r6dmJ/Zg LivSPrU7NMAGjCwtKTlCnveGU0ueWI4TgpQoNdaME3Hcn6aoYmFpxW0tCXvNWaDgQBL6a5hq8gMB rUd1CczBWLYW4Re5eXx1KeyE0M/hzYzuPWtoS9t6UPkqQbqDjXnoJ2L1jvRsSmhmcxCLdIrcFHsB +5HpCu7oljpyhaFRk5e7YoH+2Y1pruSA1p6mg3I1EhJ/aaXoWBmn9AzmYi9nLHXm3IqwKXA3HHiv YwcEt4Me4gT1aPkExQ2mj4KY2LqxJVY3icKzwtTqvroI5g56RWvjmBBEJ9pi3R7h6u1KwPiiiemB ny9ZTq4J5dv3S0InkO0yeg1LCPofbiUWigW3gGszWZoIxmGftYfCYG7u7O5lX+s3u89IdonNIIVT Ng+ukKyFaClcgQ88OrMJxJomP159Z3xe+zCXV76MkOz3DbRSi/+AfJkWb3+B1bF+MpyWM2dy3fbj +NzEI/XbpoT1earwKoIWNDjc4/AhgNSQZYjGCN9HRJfSuBmfU3BgWb6VpWbi5U66B3U+ufDGmp4U 1sSDy+4Hwafb1zA+toWLeDmm/Wpp8GcqXUHtZyFK6eqoINwoYbcE+60/+VdAhOPjCUpEICnmmXv7 E5pfD23ilsbU3qGHErw5zq0Qu2CN7I7BDTQpyRPAjIwBPZsL+gtJ46NhD51LFgmWhNeTg30QHGT5 EECAK9unVPXc0rAyeQEOSjthw8vbk/DfQYzqV1nZAv35Is/jjGIjG/gylAmIiRYmmSo3MiFpBmNZ alfIw+Z+vZpEwzm24ufi11E1yxbkrFVBuugFT6DDh8WPWQfjOX2WadC1CjUV/Fc5eUiwRZyOlAnV InU8JCvDDkBG4QAH+RpYEYyqVPhykPPa4eCt4UzktsOjL7+sMBOQpclRuXRNv9Uf0kVSJeYPnWOl BcnF6EVpc36z3qgdRO9M0sowlyV2YdOzHeA4lYJ8bL3NhpGQIuSTvSSU7K0xRoPYTf+q0fvECmdG cKyrdofGo7i2o948fgJKvyOuSpJBMSy4Essq1+41R0374b8LUT5ToS9HDky2MJRDVYCKdT1FFl3V ZfaLmNZOxwbWzXaMM7lc5oZUe/kabbw7ba/LbWEhIKmL/XBTnfAAfK/VbC93yEv/FR/RXUV0AKqh fztNNok5I53kCJn31G4Lp3J7Lfb1VLurJ2VS777hEiiiDAaeMHwzFJvYEt+oQJxUN0o0UPVauMUr b2uMp4rbHFGoSZBkZbv+r6ecp8vFI8Sx538aW6ImGIah23rEkFsKwUZ98KYVdep9K7Ln/oj76j3p Mci6p1tHmLnqY1ORFE7r4e6V43K+lgiRfjVifXhGrzw5JByZhbmMOWeVtrOZDAOMaJ3e4/yZNzNx zTp0qihb4I4SkLCHbYJmiPumlsIfJQGu1cCaAx54fFZaqOWrCKBrfrm0wK3tjaEnjo5v5XQleWLh 9eCol7TYhKRXZsS080wWm5wuNT1z2WOIbpuZiXP4ma3jb1E9zUxluKYrF0A8uY+D4hzoPcLAvEy/ eWqn8r/ESjP/VDkapfurqMCYWxwW3LAQYkqzAH/CHZiS/i5TtNzBHs8tG9U9vxupIi6XS+PidHjd wZzT0r1c3FU69cxo7nPDM7iKI4O4/HACVyp2XGt+ZEpX0Y8j/VOvEZQcbkXtuUIVZh5aEH7tk+ox 5N72cgfjzlPn+MbGDyGP9ckqMBN/YVqrH1HCrGXLEDlvdh4gy4jN1P+eE4f472nz67UEaYeo+bF/ JCVs+hcGysr2hOMfhtmyD0mTWERa1DabSqDelzqU9ovVO5lxyzM8i+4x7Ve4IchRN3oeWrLlEKIC nKK6DkJ1qEkeDuSKgBA12GG0L3YQc0Kbyi3iHjYwWlBSPUKXD4BKzpUr7nh01vFQuilheNBdUCPZ FNzqDTxm4r5Css4jR0D0bAhGPMayvNxymCrrYv26/hp4hrmKPN/bNqXzEdYvQ3rTzp86F+LtfS4d XZRiE2uVPnWWAtxVDLQcRRTcQy2fetjZyR+dDIrsq0pKmS6tufzZv1ejJZiB1bs39Rf+tfQI07Qh ub+INhaMz+zTRwEAYmO5pUMasmz5+ssIgIzq2jjQVk/FiXPBbFwijRC0OnouIej7+f5dlgvkAsxQ o7M3ihQT+I/I0lKW4Z3H6TO3j5AehtkRGaXAvS3CjazKYLThJWoVJldtw7yvRmG7hKh4RXMSZWv3 TbC7u4IUrfIgvm11ypIqHzzQH708P5l+1VnkAPziSroNino7lrs+FaebNHvDRdgNBxFRa6f/+/KA XHDFmwbEF8dOJcg19ySUFNXOXS2CObZG+jWA1tfHMSebrJ5E1Z9QXLtj/Ql39dWO/QsxIczXjuJH 8rdYdCIzmTGPnWRxbtY5RIz8IbtuGtpx0JGJLHC4bxyGeMb8io4UmIX2V0eRYS+VO87oGAkbKxhz z+fxMFRXnh3J7F7HxK4a1XsP73L8RdjZRyfENX5BcMLZ4SwmIslBLCIlnj/B8yMWu5mGZ1MOsEVW 8bqhNhFN+/p7oUaG1NNkOUD2Be69ksEz60LVN7d+GRUik9qiyetlQQ0F1/SQIBmSVnpCSGl8kJm4 TNq7c4rwg8o7XOGigmuLTDXZrEhd9jUhUsQ5GxaTHVIh6Bsqlqeri5QSqwRQREq58v37zviqgPSn MyNuhveMSn4iPkOYzNy/jIyN5NSc0Ap/VJX5Kb5P0CRCNtSRQe83IlX1+2RJV4poR/IWhLOFhp3R 0qTU37/Dsh2+N/Ee5JmTV6oId8QwWS6sWiBZrJEAtMnpuVxa4hdCLxBOUDQmYMPWkkzsiYJeSm5C ndOBAmlKC846KIlJcVccs6taTLo0g4l5kSKk4f/6kx70qbVIzE+bUa78xYkS+buTvUbw7Qcr1zBv /qRh11kPXuhQWKS0wWdHlntPuq3qJGLvEy75jkI4ry1Wl591a4y23TYVyn+EXAZlIUdEa7/FsS9g FRQ53RuP1OyVyOQ4gV8Buvs2pIAxr2fPQLePZRlYOdQhXeYLqW3MXp58nKto/quXQjvjaCHnact/ psqg2bPcnK3w325L4uHFH2ucBCYm0Ut1FfYLISLGGXpEc2f7iNeLtRI+Jo0XDVDDwMvUfTR+7Pdo okqo4Wv/8HytyXEEUSi57ZiLOZJESTiflBE0xz4nS6OEIVONh3OKTa7qM/ZogSg6Cu0rEeT5Hv/S vuHbTDkTExYYQWRZQJAK9YpoUvtoFVG8lw8FUiYI9zXnNbAMFK9NZdeUI0JE7utD5jkuzQBPuW7H CCe3A/+uwyDHUU5XRKEW2PnSc5VVqnFqqZakYjufqD411rsExwumX2e9sFbWSgZwNLim4t9//VCy Lhc6HCt3Rnlj4A/+d0Fh2LQ/uN3TDT3CE+QTeEyCf9ahArWk29MIMxVajuLFiSegtsF9S+vLSepQ 0QWXtglTrWdiRYyHKLbsYbIagMC4kZDs2U+ncHMZcKqkW8sHqsVM7hxOQ7KvhB7EX+eDY6T3hBzR Vu3MnwxJsiNHcsY3I9a39g3mWT6IhqQBwahoWCIgOhZqPIW3L7Vww9xUg4Qk/qG4uhSS8QhNbcri H8xTR0/qdmI3YV0139hE+ticYJ6a83tf42bW2SYCeorrTR9hnZ8Ugx9gAqLhSd+qr3V1b22FjGOb QUiyk0zKhujQst5NaXO1tJMUENDA5stU6jKtUUBdzGOA8THZfWQ2dh4DmcFEMhiy7UFP2ZZzlASg 6ZgzBGGWcwZB5vN9iT9fCetPYup8Syse00K8m3ZgnkRAPkWAkaeki8jcjCPsglm9FZ74bMuHU4qD xZnFotdfpZjvR/sMRVJ9HGT5jCUcNfVRVjovItgwpb5e+rv5YQEg9pGKJEMQrZzwUF/DYY9xiMYv QU5E80wzOBkXkpT2JBqieOxbQtjxgabM+cISjBBBA/Nhgr5sW5Ui4eHh60OEeprPr5SVBY6NbnBn n56pFS8mVNpll6ar3pBrR6wCngbcJc8Z+tGJ4XzST69ct4yzcnQrAPkLy8xWeX3JbfRJIZ3X0CU6 zRkoiYzPJT/ixHx6IMIXc357jRT8Yj6knmH0UPKoHTey5zNn3JziuVoOD9xW+LLrmJ/cACIi2FSK vM5FuBzFbESTmDuwpHLSM7zAlGD8QyYDhApAGewcq+0aiUhr7b4wbFlZDTjRqslHOagQMrqRwjci Ppb0G5ZrTEDZiHzMUHk35iNP5NMq9igy89rPBp9L3qM8ejqvMzcUqc+yccl5m6O9gVbmcCTgadKF vVaSENShsgW58yz+aC4l64PhxFaevc1X/vCUzFaZu7K0xKmocKBg5kwirLtfWy8MXsObLsJsyj+G wfX1DfB6dvp15cTl45O6q6w8GKsDOet5KYhxBKv45qjoeDIUroyq+0izqzpWY9OEvc77EO5W7QlW W/5Xzjru33bPQj2b/CME0/fLOunmSOYxG4HA3PYzQSpmaolvRTbgBfxO7CbLpSah3/m9oIhTyGNK BmeBd/r7xVF/vl0/E18093n7vd6X1A/+09QNUC2FP8TBwRBJzMVGhQ6qKtaKNzlXj/5cavQa5DmF pWMOzKyIyWOl+dyElPLgJmHQ3wGVE6PmOaLDNgnz4tlCmsAmQqhWpwToX2O5s1lABIXyLHXJPGLh /+wCkbx9XqgWOyRSsnb3cidwS06Lrcn58DDrkjA00JD2YKXsDw4iV0oHp3smHzgPtyNquDTUAtqE GKBVK4YEzMQXh3i61NbDZm/id07Uk8OelRIAmrwrk0DajLV3Go3Fg7DOTrlo/nhN1xA1cHOg7dCw igw0w85Q8rl3DREkihaHZYK7VFEoHEXUZDNEhmrMgXDCPTj4+EyLr0/1YnGk0r/wFRVu2xnk6O00 KkGAUaDsN4uXYo86MQzZo1Nmbr+w/RIdTkta4tTkof1S5JuZpjZohR3v38Xi9BOOaVDUmhj1pnEH wYL241qqSJ0koWoMhzHc9vTLIRJrhr4pagL+vOan2TEz1ywDR6dMgxWxgVauGGjixe6yZk1qHkfM QwrMkNZwZN68syI6dKQMnn3oiTXVuQg71OtM8h6ua4VyTCugPUHnh7/h60OnrRr0zuZ5BgElEcbD fjBMeywCXIym0xLNTi9sTZilCARw1V7e9HxBFjtb+ZpfEjXR3rPCi8qJS2lpOW0k9qvy/yZZ7I9f if4+uMbH4EMlXuj22LAlU+pisvfRVLUFkuvyk1lGqDfRq/iP1CqspHqxsM7NzecDCpk48uqG8L7Y 1Jo0LMg0oEsctSOF7kRH0b+1O0pbCmLpc59QyauZ+qq5prUAq8d5UEMJw5TyIjmBSMdaq9RymL9F c6niqzu2T/ByhmhwURxkZrwS0PSo50ORTa7YlPbKWwJcAPfIsiUnrYTX2LxvqCcFFwehXkmOVGE2 1FsQogyvQtxB4szH5qSxegcFVaJZBt9rDfaqpfcx9dyLq1IWOhtRZvj4+iFyaWOY4o2tO8oaVfEx s++A8StLQP/Jr9AB4o+bH4x6FqAvnQ75F6yTnyfCoo/GZu0FuQOEFNTs8arYrlFiUijHJKRW3g67 knr2QHm4UIr545OgZc63KwijRKA//POYi1XQicqOQSVWNcvh5nm7nmVikiVo2RJ9+mfhujtISawU aUtAG2OeSbHuqZ9pDF0sWsgzw73VT72vxrrwDtDAVJOJk+/0TDA5H+yhYiWAXp0a8qvhKt0kmRsP XG/Zquh7zb7jDYPgB2iZ40JmmJ1JaphnLZ1k+Dg78/Go5miUoef+YXsgB+9C/y3PIxW85r202GG9 KL9Aj+Uy9cv7aBbsNLJIIiF+F3kfVlkjK8HkdLLTgZLJCZHEzcRcwQJADCCTymHMO+7OkNaY9phW 1PFRArQOvrF7CvmOZ404JIZDhgjI9WSpKwdNDMGEzO6DkiPT/JSP4z82XR2FbkO8qIA6eXxoEcY5 zqagRJmjwtni6LckElhOE4g5vTufgwd105K2RunKcOYiRzlrOwqCzdwwYn7aFQ88EzrAPdjyXkeU YmXupGg8rHmnE/62B4ptLhMa0Vd0xWwxDOnbZPpfzWeuG1P9t8rvmTUOqe8WyZESXEh/cEMIxZss CMwLqJdWC4dPjNn/DwbwrRl+qTt5CjDW8JTN3G5npGvm/3nd7giIRgCQBLJX1O+uAJgxr9wgJexo d1M0ZJm4QOZzHuF6+Z325KXUbbqMqTHzPdX6T7rBQ/X3pRmuAxqUNTKLEeVEevDd762fSe+G7Jmk g+ESQbSg2KOq3P9Gfl2tey/Nw2FqU8J5rSeUSCLXvlF3Uep+gNSeFD8iLP0irjdwWpzSVywRnvca pBh+HctgGZ25ODlwZaBpc6RFPQoOQoh/uSmEeFeCpvBk/Zy5qg0HGskIyFMd8SY+maYSzCNaO6tL M0qZGxLg01S/PnkQg1U2TsK+zy+Wf+X6e008TCaz9VXSJa/+i2y2kWhe0ufdgEl9BTSbrZ/tFBcE qOeJHvkuo6vvz9jdvLNKJczEZ2+zjmQ9MuEOOW9w/O6V1S8W5ZO45WR5BPGhoqam3qTMmQ/Y6j+O Xk1hl1tRzGVxiecx+Mriw7aP2PwPs8kdsuJtE9CcclsQrWv+92dSrgOS8qbXU30BdTgZmowCavaY iHz0h9yN7rD72SA1zX0K3boT0neaGzIeGSuSwdIme69U08IwyLo3z1rhYyEBCWh7l1OyY2JWKMUo a/ptimGIMrEqRCT9wXz9xdW0gLemCn6sj8SBIH2TqzI+Qb1bEIOdfPzJXoeNsB/pTRu/KUmLp8q3 qDnu1DMHe9bQwYaVHnHETbeYq2cuo/CslM/bRu1z3WJMCwpi0d0eOLAPzclECg6DqLwYizXvoSt5 oxSkCQ7f+T8LVKDhwh5xyCr5CtmixyAPZAPFhW/FFQbo7YWZMmyHBYEoCqkn4q7wDNXHjKDP2X4/ 6wiVMA7yVLIIlUBVhKkaFNAa1NkFnOo3E+keZriy3biFQCLFv39iq97IfVZY20q+eC7FlfxCblHE xnb0yxFMLbxqU8QPuPUHIGsvZjxoCw0W8vKy3VAa7V0WMkbh7EDWOzviJiJCh3SgLvJ3r3X10FxP fIfIMm+riC1J6tWF9SGvOigLiOuhNjuEtPD1NDVscW4FAnCIe+NbAU28U+IJALyERfpHDmOM7khk gJRKEhU88yoz2ujT4OmLv7ZX9gX7oW9AJ2fXV90RVcKW1nDCuJdkSbtfXt5Zv6lLUe21Ubn2R2WB oPvu0xFabOrkaupIEoG70W/A+vBNHtGli/9khUn1+HahbhfY+KoJzUN0DcnV0EhGczSSkHqN6M6V Wg2vcZIWgFwTlulVN4K7241uARX0gw5TjsYc9BenlH5/LUlgaI0n4ccDc7NPSwHGLudb2cwjE0SH NcLHweO7HUje0v/fyw2bLeHoncsiQHu2TGffB9v//mRfi80UMd5Opa7MCt3LWvZ26rXvkkUgMkSH 2Vy/o8LEmf2K1rQGfCnSv5HifsghiFwAYVvDA1NZRT3LYhtBgRKd/fNc7t1g4anyxoH083YsfexE yA7gYiprULKdRngAicoj8rDsw4YkfJ6kfS4fkoarKaYhlD2GWo4A0Fw1r/BYNbsnJ/WIa2KHcyEB ql4DC1v3rPx9wJljsM762eTSyh4Yec2gOm/An99KnmxPQjhEGtiWHis3slwU7+l02wnXLh+lK/1B fHB5NrFXhp2I4Z4VrbpcPJdpnNy3Ix9sg3JBmp8FZRErBK14Whq6xMLh6XAb/UHoThB3SA+47ksf UyHFZ12gSZbvRvF/7OkinHdWPbX+qQ8H0nEfwggwWjTNb8MsNnxTx8Q1+5tLLqpctOyFTAGCtYHZ f5sDJYo214AjewfQWzq5JmwD5KjHFqYNkjKHkd/BuyLqyWvpZUCpanPMSDS9iHNwP65Y45vfC66o yso3RTTDBdd/4cmCMJeomKUyCmVgE799t+MjtIj9pX97mPySlbFuX8VaIga39O01JDWLhLNgULwA EkcNoch6D2yHVYO+jLRF4KPDDHTOMaRq52wLLYGabll2pZaZQknxGRJdeNcrbZufI8dWA8MScV92 xXGdszXl5FIT0P2Dkz+WYB79846ikfshcPV0d2ibjKTrBa0/I6/5ACIiAkzQ+plLSwVhLWKQFedv /XEY80+wIAGFE7XuM7mNpYLX2q3374htDThAHmKIak9Hs9k0DXK2tRqCFT8Feg8/pRxqr55e+eBt uO9JBww0apho/g1xEkCxiSbJOcPtCw2zpVo8uAcdPE11IVO5GLCSoiKkZcYEyZSQstbQEz1KlRWW FCIWVqcywhsXDE1ZckyIHSpC3fHzvGOUhr4tWw9Ezm29b6G8R0ulxcrPQYFT5OLca0/F9mtW1p5o u9GZwAi6apmqQJ/3/QMSg0/MUkrpleld3lPPzWGgE91vdPTbwzZ4Rje5q9HjOHxo368iHUTlsomP Dno+cTARdQxy7XnyNhHwqzMWTunsQkkbAT00FZgmioAbpzN1JZqmEvRE6YeWNxrbNWuLH4JOOm+s dFhvIOCMPs6zSe3SgkE9l6UrezF1UgFBCCSOSYIgpPQ0mp/woa22zOEs0mZG3glBGOKlTJILq5zt gXlQYQWDrKJ7lacL1u7r6mYybwil7H51dXCYYlPTkMtefuKEEFZzYwnfkJLycl0AlUPw6THl6Ihr s83wjMGlRPC2vCelfoX+J31Xug3OaFAAdUXJLKlQ/eLyFtEFA3Rio32R0KrbRygfV5eAvIgQUDVB xVTIJyXbz9WLm5VJdpBZZCR0BVJuz5R+bGB8C252vy6TIQhyujCuQ0adHP3Ql1LJ4q4PJI8+Fxxn uvhI7nRBUnmTHp9mJtPt/42fsLwFJ1wbWOD2SqKNQ6a7acXFumEeNqepqtM87S+Hj2DGAfgY/shP fxzQGC77DE1OWOl29by5Rnb3lkg+ej2ZjRW/meOoSY4RMy0qlTI4J+cP96zLnvLnBbTKiB95zWO9 8Y+JrNTqgWDTQru4Suk61qZrxbUFdbkOEeK2UwT+Wgg6zLdR47oT/pegokjRW5rFWjS56p7Y9MtC t19k987Y7eC6nOZAKB2p+ffFBlG79UcNRpepdJQLSvmVIih1iEg48ZvxzIRepHmlDy48DJhKR6MM 9aLfZmCjASjQeuJc5RuMOjAN5uHCcDdD6hQ+OYlo9gvI8HXeHgL1Ui8kGjllYpqhPbRjcZ7jSfIK Wgm1oT4k7mNv8h9g6m9AngwULAtWDHIRQDPjDijrBzAoik2z0qhHiWGEsy3VIqCGxbBx3o4vweKY Fi04d9reJ6NpABDYaUtuNa3vajMaYqB5Jd2YqMNQTKjZHFPq29SxJUJy5JZ/DMXpwuReSCuIBh6b d/hCFth//J9AjbQGTJtv+oMY88/Z5Wi7kQCRhZGcejmgawzQF+D/rtmejBJ6a9o52HCsSHO8HYD0 UYv/jZEYrEMBW+OAacIMdEl4FoIHpdtt0h1E/soidpVbfiFNjNxe6tkMeemjko5fKfyemA9UmKZn mGoP1b4iP9LmpTjh+6hwua5eVn43tm/PLxnpTJ7HUzyjbMh3fRiR2qnOz5wGCZbzeII+ZnXndtiv UwW5KEWCv+OFqdhs5gLMWYrt1iLOQEFpZFGW7Aw1Vv05DPHIpN6wEAILM2to9q5jvkjrTpfg22qY 9611UIkFbdZMYESm6A7ZOAux53KFArjsl3YUZtDlBv++QMslVoQUPr+KfRJaXY7PBAlUMncMl3zr G3JLdLivIuT7FnLk1tFl4j6nRkKL2LmNswhndBL7XsdwMg19AIyji7sw0oinWAz1quTm50rbZPFL UUScBKsvWgSJ8QLM/MmRsljkUL6NGI7RW0l0iK83rE5k/cZ2Lbtt9IQsxAAN33j3tzkRqHnX0akI 0/qCYIljk//B+NR9YGo5IjAqr5bIQVAZE8jTjVP6wo0Lw34BkX9D7OyNopKqoGywCu/6S92Cpd2g KHmhyA/AaKgn19TIOCak1WpSxvhVuj5j7MTH+YPrrmMQLWYIQGd7K7KKW4n0CXr8wUnCir8NtDYF iaBrK3M5hiNALjsO7Lih+odFFSzIqD+mehky+lmbZgK2tCrfmwQ0O5+QAV2/3L6kb9qcT3OmrVee rj2xbs5TMolukvZBfZTyr0iQdfN3JALDpImkDqRYFuh2jXuL2+2fKtAuuqlC7CmXHtT5bs3wE9L6 ZWxeRmuY16wHeNqbfyJGfwCzXDpIYoKlxiSI5jLp4Kq4vd9ty+MX3j+c+z9iRj5O25kXd7AZmQdN 4ZPO5XKz3StpHH6R3z24/Esc9a2dWFm7B/SMzirRl90BScWutBDOkKTJ0PXoED9tNfOMrc6HmlEm GbAoNwbIle1a5PmTlzEOja61hV+Zm0qee1Q5mYA2pa5/0zFRqz5f6YiudKqOOlqhujk5F+NU62Kf Xc7Gyq2AAj1rW/g0Kel3a1wMTZC4hjeS8Q6EWzsVnFcfDSxJOVgLk36qYek5t0/qxjiWB95MVPA/ viVlThXCnAK/qita2LdPHY7ZpszHbAyPcJQxb1/YkLUePnwhnmmIcVVnIXL6JbXy7ZFT0iAf2E8m P7zTZ2kIRdeJKkCKuxccrSrY7HkPv+eIMEjZd8NbegVc5oOjqsn0deExGaKhgSWZtYsPR0m9fHss stVgMDVxrs/6oLTxecIVe3+U3ebYAM3q/5IUH4zqbabtzIbqPv18g1/XNPnBqsgzNkjrq6I/BR/t g8LnrSN8dlrKNKW9ui/HFdQhu5Lu3YV0yTwyb3w4dm3s9AyhUU2T69NmNSMNcgY1ClAusfId5+Hv mRw2hqS8RiK6v/UpNWoLElJ9/YMRxKndxhA06m+fIB/4t/sC/70nrKn5fbl5kKybKQjkWJL7f/pQ fXEBc3ou1AKj8W11ml/Q2JEwqYnnQHlhn7IGfOKphI8T3O9PrMtjEoNM1n8dYenz6fRBI9yye2Jr uxT6pG3XnusDzUq1+pPvNaA8fjJPzI0Mo7bcK8FFotp0hdP0gl2vWaIW+gn85hWnc3HNCtu8rUQB Wt4tHtSu9Uw1liotZD1heI7p8fHDLKt2dKVmU2qC5zPrCaAbUj4Iaw2nNqItsFGs6jXi0P1SfD76 pByymx1dudS79QAkFXeRFUMAjGQ58PU241Rg2dEOX4X6rZM6al87LEaX1xutrNHlRHk6Vwen7q5m 8x61oFyy2xmS6N9TlZkeEq5KdvRymNHkFH4ZpPyYkuOBl2GYWJxsP7nodQcf7/HZubBbErTT26P5 6eBhkYQk8Kk2inPcxgCS3BmweiU2LJF01/6ukpAxE8hD1iZfiiiBdVtEKOBgAzgJTgjO6nPwdqJz 7J1dlpxLxLpfCJ5welBNcuAe5lspzTeqHQRQUD8XKBU8Gzqupjk6/W+x6lmCD4/eOePKmeFot6on MstuutCMKBJdaTr4JkAYPh72Kb71sdnz9bfwb03ZeNmVRLuVI6v+JZ55O/+kw0Pzk6c/DuEgIN3C S0/Y+CzZda+ZnjEx0F0H7fXrEdxK74yITEdTikGgKb3m2sSQDfBTwpq9DefhEty+80TlRtnq0AAL 7hxvetZZVDaibEtQOHYP1I2Bw4OXEINWh6cJUfsGxtwzDgI1e/OuDBtuvH7cFbm0O61yHpKugC56 yDiThr/pjudFDMOVWjqB4ADZBND9vlMhHdz+CT9zBF/+s/IZPwfALjlpTMqh/X7Aa9xB5b28iX47 07fjtzGH34qP0KaNtLkgBXp9LKi3HrIAXImAI2AvY3GCXOBCC6rXf/pSQ4SL8WUqYqhs9mEWZ/Mt 9mJ2EUV+aDdfdc0teR2P530X3kFQZ6ae7hfUiu4NNAwplrlfsqLjeoVZEE8tTlD5nY0DAhKeqiiX v4BsTS+O7i7U9ff9FxRulUaenvxptgywax7CZtIIbknC2dY0asU8YjC52vESld1XGWvuzLOJb7Aw DITBRDQVwq+faZJCbuhPX2bhhKuKldH/78hYYq9FSXwGUOltl7qMZh88Eh9geWUBFIN5CreWnrjR nmSmKANJNUvE11oI0tVYn0gfsVnoA27iJuPbPA6OtQkfrE7Etu42t23CfUX56Velttw+rLSnhYK0 2OU3iwrc+Q2DrOaDtikh5+jtM1siTmViU/8FSkvN4usiaJLBhYF4pguI2QUQUSeKjZBZWjwI8gBj OrHoYceLJ2ecgHDwTfe58X1rNoyiBSvj+N5x4zGG6qfL7iBqii6h1sfbvM7/ofoK1Dkseb1Ve4lq rxV/3Yro2E4xvJXoeseokWvfEZawRqW+wGi0J/VQ5lSGmruANiQ7qFbUZTXE+ww6bfvtLEXkAC5s 2NrQbsmlQQCvRpdYWb+rGPG1+wZ1UJ1kqrExTOSQESeHmsHJdF95UUWm/jpiXhlnv6yB0JLQL2bj 9r7nANWQmUDcpeVP8y+tblyryjl+1xCstvrxTVB05rAO9z/iEWyR8idb5+RcjAbDV1cHlztFxQgd OTcLZepFsDq2aqGe0Rv/m4PwCGVYs3rSv3e5m+TX4M3HBCT/rtm+Opd57sOc+kq6Oe5kjcbRhExg NwnwZQUShYLG+yguYxQfpoPTHO8ROMWZD2bl4JuwH1GznnjhR3bmmbeN8TvuLpxBV0dl4kDArz/v iHjdZnoGVClreMKIriB92FGf6Ustsw4hdQYNHCW3t27cXfoVumDh7WNIbb/kXr06+ew66kmBeAew t/GjCatVYsohox0AMeAIspuKkGlZvS/g5MAywn/n0dCSC6xRejujgDMoG0Nj4K7zDgWtvfgYbMGc V9m6X0/Z5J6pSeVxRZLoC4EEY3w+pjsXgYaN6rQzrKHrjFOmzv3ZEYUCVDfjgkT4tlAl9aeFNFFs FgBaeSDsllpksC9j4jXYy+UBja9F2DAtDNfB6i4Dxl8LUbpt97zH6u02kleuXt3k6zAsOd6Jg6L3 L/HTrb/XpsPyp2+KfhOtw+F4RNXoybY3m7Ca9oHiF4uOc6T5hyA/kPQKH+jpGcl0x2JCVBlgMX2y dgQ3D+ZxiLwdxci4cFnJTPplv8oKFcz/mM/X7N8iDEZd5XMPfD1t/C4mQndVd2ojd29D4HLYrxeW EJCRSMVpSx2/krI9PCRQO9Rsn7dPkHe4yYYTaDrJKpRdYjnMm2+fuIr+UioCLf2Jepifo6jEJ31X HqKW3aU0ydJMRadDYDVW2pI10HfGWXrvN9xX1hzGCKWt8Djl1CMpx/s/9IqiGOTbeyA7AMUZF4Bk 7Oe5I3zh6mxNIRP/xESU0ys61dIH6Xo/xaAxiRDy5WAWXaxfFwQ0/fjwTPRCYLshTgcMLLhxrhq4 TKbVPE0OsKQe7DfJdEkb+O1N/cTDjeIdXokqa9iJ5kmr2x+DNGg8WSoquK6wVXm+a896AWpvxani X877IEAAKrp+5VAukskt5ypkxMN6WtaVw2fXf8nQeFRe21sqrHVW2Q5AMD/HLqozVh+4GeLcIKSK dcEdhxcjFhmHmAVzqfmyMby84qXN+PNRlcHEU+injCTF5SQtFxrI4Y7yXnWdC71yc3Ky9nDCFlOO 6OdRcb3znXtYYFM713R4nYSQl2dYx+JEXOv2/qDDpDrMdbdyWjFGKPDov0IHP1a50IhgZJo/fQqi IA5qB5uooElhRG7xwXpMpjDfG7RWM+Y8NSrw+G0RDgAVDTTS/vCjUDYIsc1JsbSzbpV5jdx656o6 WrEqF7qRgq/CpycrbqQD+cj/XWNWh8vtzDK4am+niDv2hA/G4p9nPvNlPRT/dqpb1UdgG8/nrPVl OBevr2xzSH4Wn+Yrg08+1f30TO8XJBlyxRYAYtIw8LfSaoMJREnju11Ua9IjzahLUhWdcLN4jydj H8Ff1FxMU7wl3S1A6WTkXdhEhnmNNxa3Kn/0geK1OewOhKkq0/+gInbJOMdCpfEY36tJpEIvYCTc bPyCPZv+k+x6m26nd+exR9Zv3T08FapvcW96Wq9Jb6wnHgOG5FD2b93zlVbi18wNgjg6iYfrVKNC i5o/+97GQ3wDvQJjnDCL9d1q4y8MCqEun66JEk5BFpFBKaon9mXkqFkqNv3os5ROvUYbFw6RQ+Pt 2cFIg2/AR1jQqZRDAMZRe816NYzImhaoknOAGKQNqsi8gvPIBZ3KADwMql8zm+2mMRNUU9kAygiq WWx0p5loAm1MW5hLBQNb8YIyC60u3N9RYGIwOpCPHE1Gr7tQmUsEV00ma5kS8Ufz0f72+mtfO7mB fI/KrigUqN001umtUd4Z4KCvguHwCCQo7WGk3Rzpiz4Aaw7PjCfDM/lCEuUkM0ioR6+DkbcOWfjZ pp9DASDRyxbcONIee6/RYEQCvbaHr6LR3xZJshRWy7qdFx6Y/Lo5Jj9M4tt8Rj+7Gh4s27sAQcaz fdy/yGo8OI/fMJ3rI5MQky6YRpL3Xyc6fnnRWC4yJAwKjgA+IeXtHHN2p2XJ4gpTLVy0TvntBLfS IWGIj0kQEgOAH6V0M/ezvc1VLbrX0e7p4mGnzEd9/9M8C0013v3EakcO6jqrf8aBHKzF0nOmNZh+ BF7NlyF++DKxV24Dz2OWJFBuaNssFCHb3NaUa1uyESNtnVFq+iubt9wWBGeqVT1TXXaGYjWqB3uV OUklJEoBDVyf5jZNj3MHljbeejCrmBl6UTA60ewLDHSMDYlKtJ+OVfsd3n7QrecoaaV/J3PtF++J 6Id0do3YC0zvu2SCjgiPyL7366QSUn4FDl4ukmEhCrbO3/yNLVZucBFLaNpCIVfExS3v/hD+HbN4 cd/8LWXeuHDuQF7/hc8tNo8E60GrJ/orSZ4wmuirCKVIPk702IiER8hI4HVAYNEFNZWbL4/3hJRR JiikYM74os0qfnwGo8yoqX/d2XXGdd35bzc2LwmHVIPxteYVH7Xv7+8pj6rgBtrFkIvagMpjb5/R e11HKaNcim/gYXDz7+gByaLwOup/KRx0u0RkkyccdajRGrWRpq2ZY1LIrvH/9nX8gtifIO1P1YCr xlUNAPwcuJDEzEDCWru6ZHXd3wzbKGdK6hR1Umz3k4xK9qBIwcekCDQ7dJDCQvHMh9UDMojoEH7M TvNec8rWbmyZ1JqJ9t3HLirQsPPsxxTBs/rUgxHD5r9F82tdZ1EhynSebkgLZxaXTsStAJvzZyFL HqMrOirqr7kg73FudtmJbk3sfnJvPjrESyDbAb1amdOU1SbIyC1kWcfhzOzA71EArAtHzigs/kws /IkzIsZ7/vcdgMNUWj6a32mEynJXyCQe/7CK0P1b1xMVJDG0WERdZjDf/lBDpigvVTus8k4bDdMP NT/cOIeyjQzpExafXa6uhJkLnUnxGVkTbGGYtNByNekXWzvDkGYSPXpCJXSRPXtjXSBb0Zb1mMaA nICwiEzzTh+kzEmULmj8h9RfvwjqaUofzRnlBz4NfZQrztuXxEYACySUn7uA5jvw/aAMFY6trwYD A/z/QX5P4w5p8pzW7e1A7NNDHdYQ+O0NBcD1Ol4D0+8fv6X7qkbOGNSFxh9YMy71xqNNbWE3mKo+ BbLcw5atiaslbwsCMj55653I76GYYGDdNNV/WwRMiaIs2x9ANO/UklNXe2dNsyj2yQRI2qUXt8Dt X6cpH5hUV/YmMhOJmMHbSUUFx3GCI7QNHoYzW+QOOhYmuB66zDAgrcGG4s9pI9Fs/AKieVk/SNep tWX9E+Ed0YGjNKuvVcbgFTss95jcpVMmr4pSktoTQlsTsXwSDZZmrvkPbT39i9OI0wf3Cl8mxc9l A45EZxLbXeqTNeto2mDz7BDI+vifEl2C9ZvZ6vMrliqIlOCj7uUvL5H+Lgx2E/gJ/fEE3mpHx43J o4CCfTEo95rrsVLEOfYovwaCQhqt45f+Zq2Gog//aUhjN3quMqDsgw3dyP1PL+uQiU+vIe1V/uec jxA2RAmU8diJjrq5Yt6/DFDT/Qiy+FRYi86B+qug27m/+yYmiuOljIxL5XyzK3FZYWvtpEpSjK9X qCmCyyO5di/CWR6G3mKT73Pdw56gxEu/eK76DystKb7HBpoI+Oesa8jMMOqxyLOvtlR6p8V7UuvG r8EaRcpyRU/R5OfSR3QUIEBaDCRa01aX3Axzg4uAbRpCdPf5RIczFo54I3v/en0hBI427T/yam85 cFnSie9EuCQGoQNzoHimItXUZ7Qa9LVtsIoJ8ohehvkzkSEbcsK6pX0+YCQRGM9IAZnbBeeYepvE ud6ZLbwqceaV+hYamh6v0OxPhhXJS9BnOzyAPJt4PFofPnGkKa1ntD2vUegxH4msipBCi53VfJqU 6p+13GFu64GMhkTx1sj+/h7QbahJnhRSZFoy368IaDIJwdr7AN0lmMyFQDpOw8jy8VAcHyyKLLs7 QQ6btDbD8Al9Ak/9CbzVBzGOxOS7dNPcYyNHfLho9yZq+7LEuGQfU4mCyn0SACcjH6NhDsOJoU/R BEzFKCyZyfXyap28830jKwrO3DSDx6iWTsVQyjjbI4mpEIYg7g3cBSH2xOboqaKfZvlcKIzBBKoP mOBRaaqAg2pdFN0yUQhpDNjI9z96zQYwKT6i+Qfxzv470x/V41JMXm8OCe0OGpDoyRuJsxPIPBf5 hB3cFkSYTE4Jxh6MGZGZhrMhBv/uDzDQsPORh06jJI1lu2xfL0Z3Vkn/bo0mUvjHH0Zd+9dpVnG1 CIn6CJFyOHpPVVlAc6+sXH4TdsvY9fZ4GOdS8LFGXGxBPnAhtSeI6qNfH+Inwk6Z8G96MH+JlZ4a Lr1IuSiauOeSnIWgzVm8mhj9vheR8CCILGD+QdUwVOnPWuOn3mi0531SFioF2q+N0hiI6t5K+d4E um7Ih0IOYUUjRARSrxOkpfXZctUhLswHIS/lurphwwzsKb1hgIwAqr1l0NlJkVOKxTEguk8JdwzO 2jdGg7KsJXGnU/mOECMeMKA7/iJlgLpxmSEEauFBJHKPp01g/i4VKIiFUBgedm4AyUPpSKd/nPII mEDg9TyM10glHGyGinE3SA36m8lSWxgIA4+f0LU9nNmrgTQYuumAwCaJi8j22YZ58ov/+/BF8XI/ Q84Fxtke/+wi4I+oeLSrRwPq2h7EXdvFSy27LdkYnNJrvAhONznTxJzHgJKhyBj+Lr4CM2jycGr/ hdxdIplgg+/yrkBAsODBevECjv51QzijZakAgHE5OS0E/1HN5vHjiaosZZZkn6ZiWPUBpEUKjiBk ujWuUp/JIMXfvq0nG2INFVYAIISUlhreGHK1PYAOEyjDVGMEk04buIvZKFTZA+ubBeRTX55N5eMN 55PLEFxgMHSGk95BxinKjDYoMzFUqHIZvAcLz0bPn/vT0IXyjqxiyHnlRmRkTc3b1k8ONsq0J9wI 3npWSeo/XXWOOLYzJs2nymtXFH/iohylQwB7azJzFPqHMRqtbkBqIVLUTM9Q2XaZk2gwFP01oMjz BydPm8X6N/OIomXIIzKfmSrFpgoO48merrfbBzun46+gmVAB4g6nToG/B+ke9YPupMJoC5+ghcJN QWnmohfymmQW2yOch57SEEhvgMFhB5vY811TWHIUllTA76/6HYF3MJO/0kMEn4qrKT+WE4CAswgD Ii+6J0mYCx68S/ivA9E8h1rkiOBCQwUAir+tskmUYjVhdbLY+pp3nA3JrNMlNxChyYCSp6hR21qM CvLbxhwxoLvygsFZdiZ38DNXwkd4uVMhzhFXEoWKol7DiJ8dkW4pyXZHiYOoRuHMX3fdmNt8IdXd aNbiClonZc8QcuGN66KvDFUmnZ1LCl5sud6DY4lkW11Q31R27gpF0QVo7zJCx+cFTL8921qCnKB5 SciX7cneiTnPsa6vXXCwqbcOQp3xgVygEMgI3sLGvaDlsbDbP6G01oXBzHOs2tyVDC0kw1EBd9gO Uo9IpqTWiYUdFOQr/nO1VhjecYNPgqTJBJk0QjGBl+iPImgmM5WGVbIEc+tsS8P5Kee6vFN3rcV0 3gwmHwkaZF8V3GTKw8AJLAYWuBkvvylQjEmIigvpLJDT0teGzGq+MYG68cbcHZXbD1vuTwp53PKp VVM9LokXDC6zSDbACa99YOcBvVGQpi0AqSWhESfHlYeL9OV+fKHFl9oE+lWVs0Ua4uuS5rMaRhjO QF8hJpp1w9exCEUkdAqE/W1rXs0I5ogld73YULuGJGAO2ZGijePMRhQeJT2w+l0st1NZEz9Yr7l+ E2kAOgDqiszDEDa5VKyieEuvRD8iQdjuOs+OEX0DD7dRH3C7o0u8VHiJvepDsVGzZAHpq5VVpNUu glQv8BYNB9vwhqax2lxiQnuPn0+ROk3VfqNnibW+A006UceQJc5+OnIVVT1njwFhMDTMqJ69UdPx ThQoR7m5b3dM21kwV/OsZitOqm4GaNFh+/8I/+3F6lLTtRYh/sBeRvxY1+0c6PEZ6T7vyu/RqKtc Si2fy2mU/CW8yEnGesOeXwfwYU0eGrSb3d8bIqx4lun6v+HJCuTayohBxlTdom1OiFsFD7+dKy4n 6H3dRO93PnR6qVXTdHy606A8CEzLkfiLYMXbDnrPy0gOYM7ka4KamW1Gc6p3njyQ655s7mEOlWN6 AGSkbZq2zQuCY8EL4vccDgVzUmjrZmuj8JwNmLQ/cGUJoY2Zo4rorarY0K1c5fiQ/nXgrvEkF4Yb SOuP/5zQMntq9bZMbUdX0kZLrBlO6ldc+jRNUh+lQ4Xn/rmcDzKexz/pExQ0xTp3M34X882SaREp +XACzu8zl9n5HyzT4Wb/hWcddgcf8/I+n5IynR3XmFT/JKsbY+zebfW/PglWdkWq6fqbgc9bYiW6 kI1a2flM4HtNji+MSggPe0GLSfDVVXFKyYg32zpyiRFjplWgLQr4drXRO518+tWcjHs01NcNMAzZ 22to05V7LRA1Q3JDACdV3lQ/r01DfO9gGonP91aLPLsJDh5txqx1p0+oWIoVhrS41L8/b28Rr4+V 4+tP5m0/h4yncAX6Vv64mmmw6ncbjn6j47gLUKM4Q6KnQkZb3ohBffxuOH9OLdv1FChTFCObfhnx ponABRP+QQch8LZ+XTPotzI7sPYqnqlxEVRH59asGACMB/IQqy5YBmslPpW03wF0lJTvqwtvz8wG pqIi3Q+R+ZQHIyBOUg3FGvLfsXYB/jqwQWEHjIj6OYya4Fgj/byEPD4Sac+08eUIP1u7kgMxsd+G PwAgHsysGRPDbmW6VnZDxJjRbi9+g3dkXiYOOpevC+dR69/36sbOecSG7q3Ov5BbvkOC68SuyWUU SFM3zERvtiZdnTcIZ8oRIPBS72oB9EHUkO0r/ePV8AScgmm2RBbE3Uc2fXqEvKPixTZCrW9DZis7 KnsSy+c9c0iYKdNdQjX1DaAjfua5ZrK/5E6NDn2jJTO5GShkxgfFUE0JJFi/vgffl/cRFJGTwMG3 KNj+0D+tr7flNPQwFvMNNxZ5WwjEL4M8PJTH332i4lb6aCFjLhy56bBwUL1FjOWU75Pp1Kl2CzV3 rKsvcm8EFu4kAvCkRVdvBgDJ7Vk+z7rFkO1tOIv10Mx67NQyAYWVB9L7bUMUuJOVwMzsgtpv3Dk4 qPnGhHBZr/liyE1pQXaUJ7YeQwge9zMLtNXWeWyl/6P+31q+Gar3o75F3X+cBblpkLh6uX+xb75H UZbzJoYYNX0exE0HcOK28E5YDJy0YH5z1gmzOlMS+sDEzRSAb5R1e1xiD8wUSyOEqWQ7aEqCNEk0 FPGKRQIq/8ufznuvA/whH+9UPSHFyN64k2o23kfyJe5K+V+HqyfEqg9kRQnEUklfKTgVRlQqt4ws /BLLNAGDFv+COgNvKg9JR1qNFGBbjQCPD6OTPIXiACStV2EYcBZzunpuQd/cGaPQhrvFwRuygoRl 8JFjnyU526cZpuLD+EV4rTJ1zCSEszFQUb+gJT18uWAwujZU1hfozYbb0iQMr7coK/B4QYqVkPKB PaA+JpL/wZahC3m14zPUz6zcCuooXhfLR7voypwCOQ0vgi3VBO1ordGooMVvhpXD8T5xTw1kscoM EMfab9MIo3WiDhzsabU4xc0njDdQrF5XCunCou/rvtXrw1dDmzUMXp5U6NAOd5mUWZ8X9dpmoPxa wQpmvNAIBKnJp2y7/baIql8LPDWoqRjjheibFxx7I70MBxj1g2aO/IjlVHZ5YK2X91EkNwcv0Qyb vuDACCzPpTM5zA/xKabpg8FoSjGIMD+GauYGlFpP9FUUpGKcc+rwgL35S41dbp3TxpeiPc7Wy4kd AEV5l3wlf+cIG2ufTHaF69W0gXcw33itKGmeiwzXFx9vjX0qTa/SWr47saTgoxR9bSbslhekpLCN nJOx7wU4AerZjUUhSHSbFNnGK9b8SQ/Airym2Mmx8AWqFibJYYx3vrRxQ5YxTOkrzULNJWGvwGTN 6ZQqUFjGoU24DefAKJ9DtL4JGoTjJ03KA6Ax57zLRYAv9LwFRtmk/k7O197TsIfvSSVNOE7QlKEA 6h3VgKWLZICYtjbjcEzw3aP0ISKgHK/gxBHGm68ugVby79KJHky4TBShu0Q7WK9nmXMqvP1AFYeC VWUiOPTi55TpjYzd68isx2O46dtiW8mQ6GpKmaYBdWoQ9WBW/15kYKtgXe4hyFXyX1a4Sj3BCetA GFbe5CbVEz5WgnmKj6WjorB3+qIOuyg1z6FfuIKLFLpm9x/nqlZSg6G6mBCspjrIjGRkdLXjQ5G4 gscaEf1b0K73tLRjpHnHwD1dH87OE50cB6J+Ann1MymnWK2r3LFHUpcCXKRny1tbir9PB2GFTIqG A/hZZMs/CMiI47rTIDJeXVNl8rIkMWhkFk9MG53LvNqX/e3fCRZxzFm9zIWNhI+iAl6ZK/DogFfs djIWvwRPReX0DngGY+1CjMhQypulK1RCuKK52yGnTu96anCpd7Dar9CDRsmYGOKl0K8qXpapeLoK +jd1k+hTVVjrNyMUeQAVUsxOTigKRhs0TayLQ+Uq8YBamRA+peIwSx5u25J1rw5FwcT09O4bWSwj /JJA3kIGluriG/OSOyvrrEVqN8P0a9CCE3JkIxc2zEHqfpaBxpLf1rEjkx6H+2GFfRB32tLNPjms 99FMyMpX7An2BgFxXPJ+knwNchJIr96binaAATXFjojS3vHevwDOJ8vuakVIchmbh7GiBth9OnMm maiuiffzzMHpQHtmgl2XEM7xKKlddvxeBRgu5YFv3ZLPSgvJ2gPyTqHdjR/t0ItYDBfHEHiqo+oE KgvrxfqnVdU9kv3SxqDobLFvOoEZsRkfvMw7yFT364aBOqtukBkrpUK7QdlCjC1wYNclxVOUWZFH q7FHbTAL9R1v0v8vyypBXDtd5bvCcHEuauZpdUI3TkyIMqAN9JLRkbeBLrVkqeZox0n37azxMw// PCpYVCsEbaOXMEo/OkNAy0Acz6B7RtgDNaWj61wZoCJtZN9/jhKtf8+SDuVyGObiMghbefZ265UZ Hh9k5sosqy8JLp90M/uNeV1hwvnNIhBoQ5+B3/WNUd8VbzjcXl70WzQhgFfEsXOCm0qhlToB/RYW COmTruqkV52PtAQRHBfpKA5XsacfLqULho/wgJ9dPNjuTjHQyx5W+KCEX51BDY9pCaYRbK1bsepP 1k8puwd4RDqkoFqByLHXe6cudgFnl0US5j6sdzKLAiW0B5H8q5vi2qvon+dvOH9GAtZ30Qa/gS/d mcK9mpFOtExZZvouCzcr6tHOGzAxxQlDy0QXXD8V0tQTAfrCVLdN++i+qRNPIPU+K10EQYxuH6TE j0IRTZM+cATU+C7pY/N/yb6MVtqjfjf+mGZXeZav0IfWzS8SD9bjg2hNoycqs6A21ev+tt8OuVPO ldelTNzpOkVqU1hguWA2lGpv/oFZQmqvvguNiblfGW7lwVaIvONOCVWArP96VQ8TFUhHVZ0/E1uv ksYYGeClwe9SnVUayKYrgz6bOci/C2Qs4PMaEhs6Mg1MgPA82gK1FmehOcWl+KLxWMmwF6mo0frC jf0hdYkBY8+4wqj+U4JjzEvk4LDcxbzGK1dmD4fJMhnIxqAX/yPBkhBc5WT/dvX+gkFmzzBeipU9 CRPF4FyhojY7A66pdj2SvJv0n5rgBG482BM5P/EY6XOMUQyux9BW3/MyQY+D6+rDHuOK7TU9tNej E100skz2XeJh7cBt1TgkjTEY4heauuVdQYmv5XhlJ9RaeX0ry1MF9VTSSwvaSRKudjoZqVt+2zZF vBRvSUwH8j85swXtorfozeVdxZarf7cepaChsz2wCHMkTgUijZbEasr3VPkVsKzbvEUjADAWB5+0 lEpLBwMZSIz3tKXfHmpcRFQ4LN0pcHHXcrJ94VhtV2i4h3I0aBPXXz0XLbzyXpLbG35W2l44y5HU bcPM7DSFIoWh1TpCcLXVdbSIEOjiXWWWX/Q3sTNU0WwAm0NlCWntWHsrQTu/Ed7oNNZJeeSex41q TtqWHg25xqDWRYEVdKQy2kWcpi4pU9KB4mhKN97chXxyhMm6CsBwEMCBv6oYE8JN/CS0yqU9Lw7B lwT1+kSNNyJ8pyfGjY2kzJBFf93qhvXtNdoBM2cw8uc9+Je5Pipm2pSIgTsjuRK6HaNMKnRS9Tb9 r5vvoJ+hsdhzyDgXF09wsOSVa3GmCA0eREnlhdt98b2rMjFXB+F2YKelOTE4d2Z47s0CdyqiIR7e bYgUgB/nmRNgCfr3PtZj2A3d2AwP19GcC+OSUCLZo0MXEF9Y7UiigrWNfjT4ApUby9/8cS3W6Hq6 NW7Tr02e1KscI/cYFc3paQHcWf8bVX3cc+/iR+n16xq7S8qm+fa14A7buRCft+YlpFUnizKHMm6N L2K+d63MvQ5GE/N80w5mQDlJhIxbsFzy7aR5QRwkpCgFNoDhSwDVT3/oVRJjETG6cwO/zRbX56Zo JpN+Pf/vmV28JHODogjI4KkCKai6blsagm0sqBIrrIVjZsG4K0nqB3uLO8I3INr0OXkcP31zhNGA mA2fUv/FfqsEs9tQgak8dWwBjF1Q4auPjwq9hSCObDAq/9FSfTI39Rva+EyLpYKxvs/an7QzON/v aqt6YUojDNttAqeWuRcTEPKPCKzitq3QAfUpovL0PtaxUH6xvdgVYS/po73MLGCxoPMrb/GJoSVI Mgsv/9bSe5Vo5mJlmwiJdY0yMF0bicVN3A8z6LdyNaSv0pXn1DXM5qgXHu2wPLp5cZK+37k0xY1N NkuG52KzGjWQM/xuKw6uElX+RH9bKjKsNkpJ61aHqqRFo+zlqEvwJ2icolCT0psnX+eDowu9Fi4T e6nYKwnzjElFiOlSSl8zY2Tr4MQwWf9XPYDwv9fEe3tKChzhMu/LdXJCsYRWDv10rk0Wgztwt6D+ yYEH9b76f2XtDqbyU+keTfrQ+w5Fui4LVuPjrIVuHzrqo5Lp5+ZHWDxnuVOGdlX2VTCmQ7FXaXI2 kvRfcn0O5rPSVCRqekwCSXQW04ofliRCChuFrLs4gJILV0Bg3taqPLAsIm6P8/7Cf9j7iOSGXilw aRgrU976TI4n8b6dxWEOfKH3O0dzzIGevuHULa359qCfr/CynsqXsqi3Q6eBFMeJvqs2C0cNSIxY 8eecj75eyPd0wny+lhrjLikSUJWwG5pR4Jt1cMJuq2HqpbDSxF49uHJcUr/VxpNHjKxTfm6fyQvp 4QnD8/A5TwQRiDKui2e3cZ9gs+V0Fd9EyGujGCF8qyBZMKNrOvCJqtMdLD3SualD3aNH/rbwSgt0 dsX7IEyAatd4bQU3jY6lhGlTZsDnIg8JXTW/x9HcXTvLXhuiJee6ofMnB0rF/60zjWyqUKa0nmA6 A45BrFIG7xk95qXpW9LCd11wY6Wh62vo48NcYhbZJo57wh3QUOQhRIne39+g0x+0H8X+zbfB419w 7hEBX8YP3/iUQ+9EJNFsBnwyIXzd79UQ0Yqy8ZgeKTIkicfJu/nkgTlZm+FRA7XkaqZfCWjObBtR ckQRAPLFqALKm+E8fNYNBDioIswhex7+owyIAdGyoZo2uavk5fN8Nzxjj6lJoiILnMXStsvwStgO MUvV46qVucQf4HHFof6kkJp9H7gygch9FmFNp+2PqQ/H70JQO02P6jxO+Wf1zA54An4CtZiT2btV kQw/H+hiqCov1Je9bi9CIJoJTKVR4YBd2NzSCZC3wTu1FgaHDCWI9T30Yfp2t+2Tv9Z6RmaklW86 AfHEBiAfXOsZmwOkDf+JcnObShfg7YiqipYK/dF4HxoZdbgs/RHaB50BZlny85Cxqf5mAI1sI82c 8qWCJT1PIe51rlk8bpEFtpj0/F2jOhx/JwRC8g9jHddDqYIdHkaR/hhIIZBl3JAkT7+rCHZOS7c4 +paeWpDRPhmQbse9MksjwM1swDJc0+Bata6xnrdWciboXHr59wN8hiR6HwC0xIpgPE65pSESZWUn Y1MRbsHYRf2vopad6rji4cLlzBHhjx278fTaryYNICNz41Zqk9iCT528S44ePg4tmuQm4R6ZF1nD uP9SAfHnnSgisacUxtWydkoNfxNqITxCnjoxBJhAljNQPhYKgzZRpv3iBEMgcNoYt4dJkx/XEnjM elAFGGeIhwehJVHHAGwqYi21hafLFT+ZFV3EZLF2Zq9aIeVyK/gVsvwqpIJ/tuvEI2DwEQRFY21e 3r1/emiNmaNCwtkD7f//304NzIy6n9lBKqkEwaQmyYi78BxtQqMc0wd3HqCZmUk5R5sq8SrKceXU C7mahm3W8zAvTiCnFPlsBoiSyszo6QtG1uBjEyk5DmtFVEzl5IpwrveCxKNIA+nZg05SP8dmqG6E 3o9sJJl9DReuK+I4ixRiN7JHng7ja5/KoOvPFHXHXN4ln847+DrFou/SW9jb2sS4BksEwdHa695n 1CJzoXHqn/lWF8zFzM9NRLKB3AWFR69yCGxoBHmw2bc8llFXE8kkdd6+nwKxqRgeUhDh5v4dKtms MDPcqYmdebJYuXOs63TUnNII6ISM0vcW92v24aqa+1UpmwWLkogtizWwqu1zpnm8VS961YgKyApN DqBTBfeuSw33Wd1YPQ0HoUkwGJeMHIg7TI/jZ32d3XowzUWkauyw1s6nSkxyX4eegOu9jf8etXSQ 3jTj6btmj/x3LlJR6BybR5W+f3mkFcn9zaQu7Rt6292J8cUFOOLzeVeG2nX5v5tizIOHnrtqUiu4 NcdW2pNeW12P1Y+8XxbQOzxfZtkpel8/X4gPKA1axxCSh16/cxwwruN9XtgkKUGUJJPjmMBWe3PR 4YpiKXHO2os1uaNFF6k12o9VQwWJaZU1X6p1tkav7WIYJmCwZWbal/Fh/vyySsXUXPmzltc2JbET znnUlwKRocdqBz9lxQqh9FO7WgN7dDZcaqw65uojZCYojHL36LfikkvX1nqmcQc6Eea+Xq1saw2F QJU5r4p6Vhz5SI+oUxDzndiaw6f3r6y6js7rQ/rtvempRHCk9hGT07ZqYJpv1bUMHZN2/45PBILf +6Cx0YQWb9zEw1cpNpZZ+/z8Cmm6c3csHlmOlTCHueaoIYbFxEgxLHrZS412uZIW/dF3ZL9PCyhu Vzy4JlFKXBnqTdA3kou2cBiDeO/OZvBBzjmT3a0Rm8pzCJrYdkM7gNaAY0z7hXVh8a4lO2KYjU0x PwfpSR7extIbebbkfO/F8Rp1KHvogic02WHz/2fxLKqlynJA7A4oOrZixkeI74dnCzbZLAKcXuiL m63Kda7DFB8hio7lJa4MAEFVVdLx58WDWOnhH2KUVuJLR4Fn3OJkmgNfBeSy84yMgNob//EzOZyj dY2gyNb9xowfLnwELqsOwoj7z3D605Irz7/pmsL/ULj3yRBq1r6SWbmcfcJ8uQPaLMpjxHLTrGDj fVeTUYt/YqqwIxTJBZ+7HbM5VpOR8Z3GEQ4B9o5K9v++4uBl5ZVrngP5JbX1iDWcWoyvblga7AlP sKi+ZsGHLvnNV9fylk3tjM1mhawSw07QSfP1lANmBMvnVMmoV1UXPkPTId/ewNMwUu9OEZtCxyFk 2oyFpwnjAsEqJwBe7oF9XsT6qPAMZuxty8CBOQqS63kI0YG5rNFnjJhzfLwjrIa8iKPd2drGg/bO HDbkuEb7OMrqCwvY6q4HzxnbiA85VPuexViX5KpOOzeId7X05+m8Kflb+QS8sH3Q8KpHh6uMxT3G uH7b+zotz8KmQvGaY5aWyR/0nASHO6vRGLN5q8nb9/bFh9ew8TCbt9eWD7fDP2tzT0/jZ9d2Taaz D5gy3R9w6xtPDXLMThY387NAzNClWvC1Nsks3WLpo0xauBMdy4PzWREJQgHfpaO44+un9kS1EgCc o869kZYIheT+j0f9UUpmiSdBfukHHsGAvQfM2kXP11jYHlSwLQEIQG1qPv66occN3ksJILyjSFrE ycs8yxu/GdaMllhcZBa+bV5m8Jyl3vutk/ZtkZylYh0jrU+yRuuOAcmg4bN08AV2j/T7dIFwgFI+ lICslF9+39fmHCPpSO/b8KyynRkqnFNQCOASL4G7nwy7g192jCpOY1ZeBQKMrmbN75Xn8DPV04vT qsT5bOXhB4AZ1hsJ89acqP0CAAxHPGzPS4KfoGIx8wVByFK8ikxDkaQZCNsNrzdOWVDi9xU0Uk21 spKSILrcagcNKcZ3Nxo/0N5tWk0VJC1dNLjfx1ushSkybwzZoA4EelUmB6hg54db/89azTQmNIXq 58/dumNIx6o2Mh25tG3+tJwSzG2Qu+zFkDenDZwDS7OMWdteYftCNtlJR9u/Fi8PcYDh+amaMTC7 taHgwnV1YopEwgUbBmI1BPkjzq/u5yHGi4rn+S7g6EpNzA4uhh/SXV2Qt5DBCn5Inz051i+tLicW QLQO2s96LeHWbC6rnB4ShU5gOv9JJ5866S//jEY1hokhDY6RWo44ifSovgrk7d6wOfvs/D6iBgJc nNintntEb2fnYAAu3HQGctOes78W5yDpDZ7rzZQGgI6KyPnFeiXL+u/M8E4El+XVt7Q2lSu7oCcF L99i/PJ4/1fr3+BU5kJa/ufmXx/FF5Po82/C49I50+/8S+mSE0X38vhLwMl6F4CkA41BbjwLt0KE 2KWyU2IriUnbCALcnlSaT/aSilBWqIzMEn3qIynQq2IOS417mInNrVrlylD7Lxw6DCs5xEsRMN4r XlSRXGYGRpAZzWRF3Uq0N/w/O42eFCwIprXiq4WpGux8YPxf4bHCdAKJusFC324UCL9gURtrEGGY lvn7FOrMlIx6yhs8pZxXMocZgeMcXMucmXQ43LYCJxGdUM5Na5oPySRsv3WgDow76EoM43FiHt6b GACsBol5I97pUCZQpK/JEM/3DAPyXyKQ6+qAf4GOV7kigATpbcz6iXJVh9HXOgPOiPmRt0C/fB7c gXh9yxkgGDn8PNfUK4dO4T7ZEpuNQ5gsIUpoyNyCsN/rn5gK4DUEtgcK+0wOeDdLE6Lvj2UoerlO YteRUGSI6BwAkKUzb/iJYFDNWAC1L2zQN0haG9ypWzmZg4oW5PbPqExm+6MIAqIXbsukNRhrsrBw 8tx7HRXuaj96CDgQLVAVRTZrV6KXCdZVbww22oIZJM40HJQ+gUpeQVzeg3V0eAB3quJfo8ozneNF b00YxvHrc2vHp4XZRTiFR7obHzJiXmiAzLMX1UmZOz3OIMANqZ+IHjkfo2LPnbT2U5pNtSkWfYta +g1Od8Iw6WKLd2bKvJdx3+qCNTSsPWknqJAaIOFaLBqvVylw4Jvl297eZX2XjgbPI10OMv1TzQQw Sox3z997F/9ByH01ZOCEHKFmNNBeAsmnUJ69P73tyQmAowBpWuYdr0x8kumayIreTfrvYQq2sxrG RM535U+Xh/7jYMUt39c48sMcYxbrFB/3JrgVabeAJ2HYI4PixGPHHobqHjIRfM41MriJZIpYwO23 8HkaGvLLGqfF27fljNfOZbkWRuTHZW6xQ/ikiiOPtg2/vzEzCGBjA7xMLxhG0hYDrzD0uJYuarDa IZf42Qab/mwAtEDIjy/3k1BfRhdGLiQmvEbwju0LkizQdzMPsHpcwKdMhidWYhIbfNdyMK+3Sowc aT9Y8CacziqcrY3zXANofHjP1jWtdYELod+3GhQxbvuMfyRPi02XcK+YBX3J7tnHefxjL9rNf2O4 hpaXHe6TLwz5hn3zUk3g36mGuCJ7xDevGQL+PczKJCsnVeHiGieue1Z80uAkZe3UXwknDqv1Iy8j GuxOHcrl1HEZ2ySj64aAIk8PBwiUv4TcMHZmYRPOh87uVYnUGMJl0O7Gp/zBa4K4VPIgxqEu5b3O KMlJwNSBtFQYB6nccnKZXszvHbfLAibVvIRUX+Ir52poAAwcdn184dNRrQoxstmZARgHXbyN66QC ldiIsy6JAhssWi1DQyWT6V5HgX4Tu5zWDUZvKFGfyNXRuhLlBCfC4981Lh3BYOEsJlxGjvNRR28l aAvyPxKkAvqquf3jb93Q1hZ8+dWw2oHSq0ltwLU41NnXciPgEYzf5EI1H42dKAbLNJdUlip+vo7z o9UAf3kisWnIjE5zQ4Z9pcY3EbLs47cosJ/BfvSRlT3A3dt0qsrAe/tAYjv+KV+v55m274iUV0/4 XMluCdNMpcRHZ/yuuO4Hw5UZ2zZq1mJmUmEUvaTxek+Ers1BhSdvpYf1lHSvLeK/eF2O5tFlAUJ3 tblk/24wiB07JFsAj9quhIHpemSYKw7NOIXf9+80ReTyDjIBqJ1vmVKs1Orbc9xJgE0asI3vwkos PMWB07HkP9R4PN4kHc7/EKK6FTncVKK9/nns9VkUPm/BgHFJ0SXT4PPcNf6ljG+IsaofBSVC65d9 7hDreEm2YIHf8UihF8ZzZ2j4jNbNM7fUlK+BjwUP0Z99P3dYNJg6fsHq9fmzDj0Rtx1tToUonG/u SlnzGfIs+K5tXLP3exegjwFBm8xNJIsuPPGvd6FVhqTxBPdYG9PXiz7yJN3PS/jdRrb5ZOZzZjr4 MEnIKG7hCHnlY4WVLxH5cZmY/5DyZqsLyDcgZm8WPWegBeJCN1eVWLO2mLJf9zhFG2+23Md78df2 EUYrr+dWdy3NAjHX4w2UO8PJMbA4PUjTmUqWK8+FZ20MGlHNCOIbuxNnxD+DcuAj7qa8KktMg4qC SkZ4oFd5K70IcUzUAkRxwF39ZagOO7Q61WSat3JteEspVoOq8P/Tw4E0QfqG1Gl3JTu9hKj26W1k d0lVN4V1DT8C2dNDF9wk6xTVpQDPVeEx5YE+JQUiyIXVGgn0fDkxGTXmU4+xy+hJgWs2A84DhTdn QWAos+qfbzODik/xfBn2JPiy+s9FsJ1AGNJnjrn86hT5WYVUXvSqVMWNccnOKEbS0M9g/1yE7EGD 7FfpVIbQzzzL5/6Avrh1dB1wVk9ldkuMnVgV2k4lSDlVn560CMcmQdsTvPczdGO8qJVBOigDkhqB J9teQ35p/EyXps2YKM82YQBy4Y3gK25+g6pH8tDThNLWC9DQGCyB7DuKvMO19WY2zHeka1m7Oft7 1YL0Uv6u7tdEnBASJaHO+R8gThopH3bk4+qdChB/3xEBMjExfW3M5jvS2JAQn5di9kHsa2Wb4q6X UfPRGT7mM4cLKHBliPeEXnFxoX/kUHo4A/reCp5tpfeW/XLpGdo1cz7dTk45uAcr3EwKTqTMM2Yu CRs+hM8rVKNFneMVMxGJehNBieWd98E04EwpQGNqlKFvHJZ+9Twmt/a7ZkMeVXXHvZk8Ni+xsEPe BT/Jm9K7U/yco9nn1OHkx2BosJOxo0+WWKqMFkpQLZamt9+ooD7qj0BQkM0AwunAeT3BdDn8J5yL Hi7/CNZctwLDYDjtBBBgV5loblTS30x9z/oqXe6pqdreu6R+ZYAfPrXM5LqyMaFCfsdnwmuzl4AJ DqtzbJQR+D3tpwyU4QesjeVeQxcqtlo/keIw8yCfOqz2vWp6aMLF6+t+h9EMg4bR9LfAIARKKuN7 6JbGRukfjjUZtLW0dCDzV/XWBnYDW3hE3xRaOJW461fp1HE7d2PRuCuoIWQ6DeczOUIU50lTcc2P 9+AQskNp4ysYcPtgabexDz/l6HfLLU0vpmlOrEVzBynN80ft4sDgp58tXm17bnO9l/AEXcCTz2Wd cJXIU45fKdkxE8Xwb/MtZD153kplNr+MNtsV4PuApp/KRbV8YbIA1s82NnADL5QSkRMA1RVJpWcD c8XagTP9YS2mEOnLjJV8wpDCs4Drb6s+Yd3wixwaxlxtHwBq5wB7AihSyBVAGEE2kPzzBAeQ5r93 JoJlrp4HvB1Uo+tXqX/FF7qSlSpIcJgL6UKWX4f23s0kZkLiYF1/vTdajlbKyLj+acoB0PnMAtqp 76umSwaLPpxTuuUc3yL1SQR1xN0VFiW2B+847JxeUSL6BrGjv++1b35vRSaR69juUEJ+hgxBv3DL bgRHnE/AvEAcdE+2u+YgsQsd2/Jn0Mmn6p5W9yMQV5GiPVz7wRy2lxms9qcHeqg4ebj3TAISHMqT CFLz/FD8Xo8p/mXgH2uVFWcL3T2GsH9Mjrot0AU8OndgZkrMdou7JyuLA3+K6oDYGtoSAIsyx7ps EMYbI9VwzzqJTZz/anE+IiDGZw1ax7dyqTXdSsrxvharaYGDqd4migkpFCK6MXGbrVtz39Nqaa1f GrWOPZuZnT0hA6T4j0RO2m7p8R+U6DG6LLtV9FFwa3a42HOfI7suR5SjJR32DDjm1rNsIEqDy+tB hM3hEGzd5yODaJhVtevQxdg3wgr7YS8LqFxx4hi7hbY9+0Z004JQ/2B5KtnthhYImdY4mpfFZJuR FMYdOYWhLHEAKB2wB0hDezxUKFNPBf7HKXYXX19YiCcyc/2ukTKauj6CCpipUOBtcvccSwjywBpy CUZ5a3PdAUpk39GNqCKXEXtLeEgHWM6v6nQm8M1iU+yZ6eWaSV+MbOpsxDPDBYYYLG+WTLCDR/4Z DLh7zpEoj605JvMbFiTX6OOl41y0dokSVWDTrLufD1UvDN6J0dptXsDyPWnJZef6VvQOHIFvVBMg ASafkXIHUcLM/Rg3rj3pDs1srbpZVguY5KGOckKAFf/pRR/fiHQnWU+VBrmHlC8/CXCWYw8qPJzI +Y7NtXzlxckL0IxXrgC8p6pK0RP1827egd+axMQgjfAZqD73AK67xRMA6Y5aCyltUHIwyYalpPny 99SE6WUYNatp+KP8bA70LbxKDaLT3/TIsj5wBL9t0C3uQvXulDkpRU5FMQ0L6a88snDwqVa0fjfS 4Fzuu78VzAGj9134HZsLdh7H9q0jpeWyG/1TSjvgBw0d+vkBCvukSB4kA577wcCHCH+SK+ESQIXF YmDWuNDZmE8EidVI/CbcurFSy1sOHaVW7IKm48O31msVvf1ptLznLnwnbrdLTAcKlLPIUIZZlfC/ wE+piml1Yxv14kbBqTdZNfYwtBuMx2gbDelBJSPzxvwKDmZCqM9uDhk6vVbqifRBkCk7iIFKMhsH mj5yLBdJvkMqr+i5r4MjmwqksRHZLFD4UI5LYJDQSpQF2KKrA1E2vjAo06C9Q6bhcUlC5fFxNh4N P0FG6lQ4Dll0/uZj/wmq5A8gFSRlV+vDfeDIE9tYqZIEfORv7tyMY/pnSfjaoMzhvjWr39j6LpUk 9K4iBB/sBNoLlt+ss2zEgFXlSkteYyblFmDW0FxTEaaAs0L+3zE0aZfrQtwq+zONGwnmH7Tc8OwX QE+XS7gD19rxNg/PEuVOfM+V6mPROmHgY+MsPpH6rL+plnzjkrfOZD/ZMV39BpX4DqL/PJ/3ItCP bqI6GuEg2iSO0jcMBtpj+f5cpHk5V28l77TfkJLsP9jnqp7GNrX910/0dNCQqDrwb9hc/FHx2UeH FiW++Sw8uueG+u3EH4il3VjnvVsfwu3OiUrKbIFp/3ZxJqTi0ngHhwLxmAPJV+z+R8nOYkehmgCC i+bBNHKMKJwl1ediaWCtkqWJYHsVJ664ndk/tVXVrFh1jVkaGrrYtwD5JvRLDx5XmQRWWe8a6gyE uVv2GaryruSC0eqdpbfENP/o1whkx2meRygrLfYADl1maOi8lOb2IoaZEkFd1NrVTM9BzOOI/k3r z6RdA636wy/Kkoj+34zzc/Gh3i4fVyBxbODNK6qqS7dhT7+B10p9zPERgd9lUfnXk+8xBzEJLRSu jwEL9vR8jmNMg49o++JLgCWWuX6R46eeT10ney5MFuSwn3AR6Rf08aT3BgIo2a8yp0Hi2mHbuUlo gs9Q1Tk8TyrvxlhKi+JC97oLWp10BQD6wPdaheuwRi94tn/MwxnSJM9Y+VY+75CSfKOv2G+a8WCm 1vNaA4xi0dwjzB34LBxeZv8dDmdmJVKu+uAr4YxAbKn3jnROzjVjZynuXtBdQJToZ9qeJyg62/hm 745CHW9TGimX+J6zJTiQzdRpNJ5Kwfl2uj70Ua4ZE7oSGO+AgFULTwp1hZZ8T6t86+IZDhEo9fVC DDjsEbGpk/0CZ+4TIWbm4pyYHHBG9MQuHphs3KUSTthZiVNq0p25C5nA8rHaem7EvVNwEaCbhqg3 fLfjH+7HNpmoauCTSv/EIMpl308knW2mnpffajPQWC7N7xbgWPJVFyGSoOtRlpwAfF/YRUfV/N9Y EiTXS9c4BZmetG/0+HNZ3mbiEkKwjy3bnfzqLj+tozZViJYOc5hjAo9ZGQa0nRTodxPq57JKQ/yq OWuWRlnV49QzQT0m9jIdhhPEWMqAwfDW5eZFo5iUtVNpXfGVpNBURfBDB7kpLWt4VpxFaBqCF/aY pUncoyjVNOhRG5TyU1H0hKqU1mdh3+u8ROuX65oudt6OXgqN16OlQS3e135MoQuJRX2Eqn1rZiiw CMu4fiBWaTGwgFtlX+NgxIWSekKe5dk0AYbUh0yhpMRpFdXlN6zqkeZpf0rlIhkXJvXdfSTVqpiX Wl4R/kCl9gPcXZQI7AsZXkVQU+quv6bVWgr7aGOUpFotIqehsRSzQ0poS7WbEjBITfNA4yqViQvv lyJrl41WuRdF4hVoB5ANl6eekazV8Jli7/AIQjWo8W57EHDfo7PHo07nRtGXN14FM9eFJ7iaCLCa KLZrfSaeJ5S8feaqYI4c2N7I57gYPX+CE6hoNAtQAjIf16QaSRT8uoPFJqItFv0tyJger2d9NW+B 1WmJfLFp8SGiK8rvwYWdVvA14GE9tue3eAwt8flUTdDkfKLT+qe3hyX7grFqlUkGnFlnFShUWbIQ jGkCxCUTkfyOkx3mGvCG1glFjKlbzQhqMGC8aKs5hjnW57vJ0nlrZnQ5FS84d7CZcjpDZSfbRKIP yiDB+BXzgZ3ZXGELX4ZRjPEedS/fTybZTZfMcmxymjvAlMTrsNiOXCr2wHCxCNfofDkKXOxyrm4H eIm8zCuiDL0IbzWJkLLvSG3wa+bnzrxLmX3XkTLEtup4dhSKA569JphndhVQq0FKWW0W9XkDXPRK M2Qlhy4fGnchRJJRcBKq6Gfdk2Vg+1lFLnKiq4jjvVagrfnv9d9L1CgPzQjkrNf7Hb8XF7yDil/K 4gQjJNe2uORulNaIsmbMA9B1dY48fIDuqqkpKXkm3TUovss+kHDtcojiYGr4MFLyvVzRJr0JEOmF lCTAf4Qx35lzSZpbcjdit0QGlLr4p8wFMuSmcVbmf53tvG7xZFn6uND/24DBUHytGZkxb5wTaZdz CFO9qLrF8ZrHwXaIi/g8RexdXeRmzPPr/sfAnYrBuMogt5BW0lyxg7RjU1j9NSx9tQr0hrOwaDop Yf0prS3aVdHZtrjJW/7wOzvB1o6GlCHSx1UFRT+wpIrWx8gghLAIh7qIxEd24PjYhjmqVllMmNHf lWo8XBLJ6zM9bA73730GWiNxwoe8H5Z3IhWfmXI36asd86PT0Q2LuYj9/p8DFuDBMwPCJoGoTEuB RrgY59n5A1u0T07FkvIrkkjiAcPfv0nAlCnD4acQj+b1YdwKbSoHVGWwnSSmtBQ7DyR+b3UX0ca7 hjt9+oxtnC0xZv5ZO9ktNcuG/jJosJr8doyOxMSZl2YrifXATZJpZO3X3shEBHSeVQbZXkX1A+x1 hl2Q9CzYmfbLb44bXus2yjVhRSFl+UrDdyhHE2qNFC12Qt0EKhTNyk3q/nwBqg7D71wI+Y4pQdGT /9l5GKvdHMiiFYBsbhkKZGNEHM5nSzYMUg3T0gN0PJ6js449DMU1GzB/ODWfhHvDc0lpKQkQu7mT GrOTrC6d3603nDx25JLpksYNZT+a+X8mmvJmpQKd0j2o6MMuXJXySmhZZ3DmKQQ5f3DU9Ep7oXIN 7UNwsJbBYkjLbdf2kOzbQ7C+nWl5vdCGB3RNG5gTQK3u8k2l+9U5fScwiNvPIdvv0eE5NrtplHd5 K9TxFZo+DiXb1rd2CBo0I+Ih16uygnvKrP8XkfjTjtIUElkoxh9RCafSWSOBVxv6bVQilvNlsnGF Jhf8sJG/YSO/7ralGnyMysc0Ghn56Jtcn4+7c3yCreJvzD5fQetl+0Wynjfnw52Na4pFzSl/Expo 7cKg8UEXFbDVxVr934iGWYRlj0HzG9805TSPiauNLRHXMINJAJBW2kCemYzBD8jdAcuUYw3OPLCt W1vA3j+RE+VRj0yFHrBme00I0NK68KX9ecZU962CV8LDdlMK4DZYppzYUUKo1Cqi8fauM67IX/2a l0xy9YcpOpb1jtyIBvHVuxIzreTB+bXc8NJKTJTyuiW7as+oNupZwaaDW88Kw7e2MCPMLg5FCg8F E+m9a2oqpWkHYiO5uWLGq/eToYCNUTnF9+03kfpKacdl7JQ8hEU7j5hKbdb4JLAP1Z/RL+uKnnZD BeKCd/CTH4F4Ly3cCPp+JKvsq+kic+GALVntFfqwIJDghlu8cZZaAqGRrFmazfWs3Da5w6hZaLof 3hSGma7Ydjctf3xC2wC+nMrHvCJ1pVrjSdy7KGZk9819jI73hlEawch8JgEBOvxX1IGcDlgUbUtR RvuOxzo+L1B7dO91b8e2GMwLN1JANn4KVnTeHHIvLozYVub9qGDbLmR8iXWjDvsRwDFij+6NbVP0 ozR37TwQKaSoekF6tntqC4vZFUV0eAj6g4SM7hM72WLUH2dg+MPiXy9rQ8BPYc8hfwKhk3Sadl8Z Udv+El8hebRfzsO1UTJObcvrMDQUnggOtR9IPBkz7LYjJUbbJZZKHmAYSfYiS0HHj33S684eecaS mb0ETPJBvrKLgo4kb8CBvE0Ybfj+ifEK7ZwvkdU+RmSRs4STGMXje9ODXtRGVBhqgtvO89zJnWlB HsP5bAK99xpM4j2caJ7taTKYUnONQHrB3QzDim47WWSRNDj/3hNxtyVpL2l3GVXpKdD5QJqB1VKu o+Fs6MIRB1d8+fBemiJbfYbzAVgU8pyF8Vldd0lYoTw0RXtvhdO69MQU32eJnxOASMspGrvENjgX wjtMIJD1lCzPdQYK3UkrfpGwvq0Bxdi9ZvaGr3JYtpeOeg7L8d6elBkFkz1Wf2rvWRTKf20RO2DN FNgXh2Im+fkVmS+EvyW8udHzUqtg7+KHqmumw5s98mkb91qXPzJbC4pXNWI8AJsql/n/qJldc19x nwyUSIu/aPW5t1KWtG/CFwYFRZkSD3r3wI9WMSFok+E1fdFLmU6ZimfUqbHP/uKTbpfs2S9nGW5i 6oi/9KunxVTrJq8UmS6cdiaTJN5yzy81I/en5XSIc3+zmg2NP00oQwU/asCapeqSeaJWujDeBJ0T v7zYl9JDfE15G6Rju703/QVGYxU7sQTyosqDNmt8bOSFpviGeshmIuCTeap4JdMCUZnvJVfCV4Da 66DeDfBnTN+KYDs2TBI9ko3iYUsNtL/sImdWJcwODCumf2mPiGnJbnIc7a/l2uf3jdkL0S53tyDd HHXrgSURriBo1NLiePnqplNd2owCE3Hvifm7fy2sAieFpddO0qomRGSKz0VG6UpFHow0ZHMwZlXf 0W4XAFSg5Xtf9Yna79u2gDjG3Rk/ZZkvx10rwbjXcpbd+qFIBElkaj8zVVVfQ4BH/g+B1VlZMVfh f/v3LbAwM9zW6VtLb4w7Vj6E0043fymJjhhRKvsQ2A6Rq2bXZJqCvmc921dPB1OFiAZexK+fxbQf C843IK578KxGibO4m2lw0h3MeEeGdw3gmy050pUW1m5abkcw3WVvBJc2dyTqvq6BdFT28biWuAPS VgdnBrfegh+WjWQQj6onUCY/2CJeIrSsrYjDa4kFimW9kw7z/8488TDjXTf1q5pTY4TlSnyk33BM 8CO3e8seDVhDdCxYKq3j8Wc96bleUaWAil74atYQXS4SD8G9YIdgCLauEQ6Mo/Y/teQCpMHZWjiX HpvanNElhyw8shSIQB9FuKv3rBYwzRp7Xf68dITj914vBMAuDVB1VtLpGZhSpVOpU2nCK1tZBe1b EOEkZDJMnocOwykFIkc1xY2VQ/3GALH8seAIfjFydQCM4c8A4echtqYNebXx3w/iRPjrIZSsUByx 7CGzn0PGeqYaLGnoGBJBQPOmg/fcNrV2aqhXTVTmLM0+gwMDYaznKQGYgsYIOP0O9Q9NI+LnCiyZ zQz/GJFH8g/pMb0ELP0ZtNxUhznm46CMhWAq5zJ2LOPxdADrIUI4kDpUrvVgGVvMrUyPBC93P61m RClaWRm7bhHC5Ax8Do+UxERbA9UGUX+FSZzh11vfGPx+reOPTCimcxuyqs1U0rxgSFy3XShutJ+I D1edigdTXzVbxDQ0IdBAO5vRnP667nes9KKB5BybxB2jcBhqVT+1bFgYdCOuzc4l7fsrx//vhFMA OfxrXOCAwkAfND5MWADhTKNws1l+Fd86K2P9BpwckfbleZ03qC6AJGrlmStlRxzzmr7A/A96/O6/ XEKiMvpFwmj9bYQezpSz8vsDAX3kfTNBJ0aTFVYK3Iz0EFhChw+3b3+VxX4oNcPJWxKp8db4BoAd HXi0V+G+qLkIPvLbYx0yXFe8qVnkzUiL3ji+4WM3Z/aYYCAL8PSRMdsEstDSo1BNZfIvCrswuZCv AwoFNRHWjla9clFmV8ydv7ygra4GtBc18guEREkvvcp+6WMRRWHjJ00f94C1DDhmFdLeyTjJZT4y j8w2fs9zg5/PdbuanrLkqVDAubHJ9gQVWWqo8aXNa1vraPSZNPEK0gGEPYfOZ86Ig9M+DKbAjYiz hBzWVVorH1o+MFXJZd0ua2gmW1Xv9Yr2hTlEDWraUSDfEq1RcfmrjyB7dv+TlNYSbb3G6ARXp9mV fBhKHcag8qcqYnZW9kDp5LywxjKFdepozbX3RHw+PlFuJi9WaqkoZRBcIVMDrXqdF2fr5M/bn8IG ArUNV6b6yAY+tMhs3/hefuA9WEioktzuIw3jTl1DaNgZpjPLzaEfmMiIE3I0SiZzDRc3SbTrXmpx W8JQs5fZEiTdqOUVS3u+ndnCS7TG49o2v4EPV2bORvTjZl/BACNVT9FKhoItv4qvJz5se+RyrfQU ekpC+2A4L54OY89igVJEzgiStQe4k74lZh5fXlMCY6wvSw/6aYf1Ioqm+1SnwI1hFjr0wU7tsFiX 7OxirIeocUkfjYLPph5QMoj6hmbtMRUI3n7KJmRPJC5cEb8ea6ncqoURxmzIlDJjDI6MU2ZLye4o tWIhN/apgNj5uAan+1fPmuI9FCiPjp5Wa/qF3NaHHa/jGC7CtS/ZivVcGFntqsgYqqLvlZt0BUqZ d+RgPanuLgZZrjetkzWO6mg2Y3/jOf2Inye7n9gueqbAW5Y1mhSILMOrJVpN+jrwpgB96iTJt83Y mR3pLC2+9KcIzmAxL9mr+HDp0rLJrWjOhQiaAZKOwO70CF1OHat3bQKqWQysH95ZuDcE4UT+SIAr CZ6dQ3Masej1BumzGYqqJbnFwj65MjyLZnZjBz2KxZ1o/oOTEyjZplqTuyd5ciokozJD7wY0ZtMC 9FqwC/p3X2PqO559E8gv8RCMBnR1V6AyZYnnpz1hZ6V9f+NwZxN/RaxWOVODKK0fPM1hyM//3Efd xB7NgGlp44Lxkdl2ZwAuWQ7nkXhMUukH+iw439xWTj+9imXpO+5Nh4bYMOIbzPCP4R8BFTFyB+0L Rmc8PBwLDeFk+krE09A8W/euwOsE7TB20LI6XgDz+0WaaxSAxdVTADE+0kw4YZ8CGb8QVjVVBIJJ 6RHx6IVlbj6njVb5S+IAEH0ca1RCp4NR9DzyLw9UgqjnhFduVfzyUdVHXPmNa0gXfkw1I8UbdePI xCv9vHtEcNlKlsHPr5c1jInLv4SkQuEJvLBmw3c1jTY0z9KLiIaeTTMd2QRegJFHU3toNCrqV/ls oueDKR32NnZoW+mjxkItc/+VgYDwoidzddcN8nGD+37Or/gumrZH1Z+2Y0b3gZv6g8PiIknov/zF NLGjySmUaQ0rb6PKeUzKflPcd2QyyV/ItCvZHRRiKQoZXq9P3HFFONJHooUOMpooBKBVqjO3wf6v LjorEIixvEnogEmvPwHwDioyZ5+xz9F6btdReIBuW4xw9D2XlpZ+ZveGzOKPOTh5HwOMU9M2U4tP 94zVrGV3ovvNKg21bDqN6zxxMSaDHRktV47Mj2qMitsin1ZucImBd6QXBDXUVcOjwAcO4FUzJ0Ly +ooJJmJEc+QybEY0sR//e3U7TOFycWb2cuIQyXzetHvI59teNVZJA+qZoclXNDT3t1GnHmTCZKs3 3zkuWvzqurkq0OSjedbkb0mYCctXOg0qoqjNvF8ChqurLe0+BygvW06rllsEcbUfSY7PdNAX3bHa Woo7deh6x+loyePoOBvydN4Chc4RH5LhITEW2weZZW+E8Q1nnjYlOxQYvqSdf+7m5YkNYpm3556I PgfmpZ7w1Vo3gojmpjKE9NaPSMTOYYT3uoj6F1I2S+ZvyjTO6cQ54VCGpDc0aoC3lapUh8J52e/h 2ZdjH6wvo7JV/cH2ULQh5OLjs00lJbvVbPKIZGyHuT3R1XLZB5qVyu+4opWAeUY9IdpvwPAooDOb JjCi/I3RycTIoar4Kst3rjQ4eeo3m+brgb+U3+86Z4C7bDrxVfLtLlu8fIRMAMDCgSpCjSY7mn1a 8+EYjK9TwPJsVanIDcgwtViYzY4Lt+wHiR+Q0b6bBKbED6rqSSATt+W4xFrEorncAfDQD4XmHkMU wzJZO/D4jXw1JlrYt1D0QLAW8dvg4ZlL8cw/aCW/8OAcs+43WWAXS8ZCWe7NWIMh3Wq7waCCo3K0 0H5fidiLlE0UycsvTh7SAJPedVDL6KZNbSVcKdGFac/nDpXrlb411k4GSSsLmxYUvSU/bWM7bjUU 4Aj+zGpKUl4w6OEjGnmHtzdqwiKwzdU4cgwf95kG1eUDEcWivmIQ23DOZIbZumQXud3+F+h2PrAT vtXZzkAyrPGdBkoeat/mHR3JH8m5qIFmTm39azfg/SffUvt7SAtB61ZUibAmIEFkfLMX9byqC5sK 9pdiA4MCyiGHjmgB+kXeqZesQxKwa4+oDnQphFDFq3n3EwpZT/vAEOpNlsK9FW7+tkZAoXxdWFh7 gvIEl1DiVzEwyKmKeIib+dUxMCmoZwi4+RBOOqk1aU9DaRLJizL194jmemhP/GNnJyGcCr0iCUUQ MdTVJr6ye262y/O2EiG0rwWDOm58qlLrvIwQEnqRqLkHfyCEnyxRqwl09+e+Khup1Br2ONxnoBd/ Tk5vHUQ0ijVUAFu8gqbU2Sv4z8j9Qn5guL9669/Fc+LZU2i3pSBPLMk5gGWkaCOFs+5h1qp63HEO 5Z2B4XYbvjCmcMLzYwLlstCfilK0dQBavLqgHIOhdsRbCkJZNoD7hD4pxacWrAQt0jZEKiQjBIvs USQbywEAUYae42Bt9rKmDEyDU8DZ+qJnMXjmuzIce/xVRnzq1epmmws1qgZ8JIQ8jXNpzIkrQNTt wwlnJY9xJmIduAG63dbPo/BC2NYnxKnI5BNFXSuHSK1omyWuNfb2WZ1amVzKkWBBtshgQK0cNcVG neeVTZ+M6+BUmfnpGZKn8EvtU66zR1hg5ZnM/rBsmjbuJOUUBDIAkifZ6WMGZZcLdFdSJYxZIl34 xRGkXSffqJVf+iGvDSlmAMgpFA3ZmRA4WbgSelLEHEUqTR+EkupOMlpmqKNOSeKxruHAGopE2wG+ FXWe3M8sFnYfLsXc+xcuKhN+HPZaeax9jLmkJiLPzcVNSl7Epye3AHutCMuKju2OucqV9gAXNbT9 rKKV4qnwAC3NXO8hN5U/Bv+0VKB0yD/Jt04FIEScGF4MYISl34hoZpJfglTxLWBnyDundVKMzkgp IuqRtCpT6XGKb8w8JPRJIWLxLjKwVBNwOHlQXRPDD7VexyxTFgkK79ARqBkxFClKn5CjfSy1MuC7 57pFBpRli+HQko1DocIfesGwt2DaEQ5eBO3bTyHXO4/xIIM7rzmctqrUyF+hitLubXOlttPDwfMX C2Vg33GbhqjhCZMD4kvpnzFcmbUrCpbh2hh9+JoaTPtvz5wlgsEK13GT30GyHGs+vu1j63gD6cwW fCxAH6sQJov/LHNF95Q+P4G1sNtY5MdCtzLUAwZj+4DT3wTlFthuqDFcIdg/DsshiDSI76HEiNJD 7EG1FVB/wrF1xcRFbJVT3TVn/jh+h2PR8TRaRC0bcP8a8waBX7FO9Cx4Jql++ga+0Eo5xs9gWFEC A4WrAlgLkGytJybJWvEItnzbM85XMNEX7FvUECmmv8CsscJ7d/9E+epXK85YslZHUnnhMznpUPUb janKesLNWcVyFR/djx56iDroJngSEQZ+2C3bSyXzHdUMmGmZ9xeqKxIlu63sPBIe3FB+oO9Sp0sO DoLQamyuUiILzOIz0jvpT1kfLx4vHvNowJh6b+UFYDR+Dm2sqSoMZ5oSFKJJGEtiMrKVOsVPnGkP VeR5yjuVLweCG8CRV6+0NiMcoxFcLTe2GrkJl3+3YLzsTKGPOXSC4zNmyoc8gDOcLLmJB+uTEYAs OaChiZkBQrjIBll0DHIfhzgrO79cx3MY/BeP6RAAs17kXd0+R/X3MqqevHd/OZPXBhLt43IYGpLg qa6eEttN9A/SYgNMZoQp9VZkl0tPsV7xfVb29Hr/5IHN+Nekdx7WLsfLuous8Hhq4TcKv8XQFvWH SXDi3hk4oXXm7CSQS4C1EmdaEppL3Edm3kRShL6YyFvc7SA01J261YuqV1s6T8xFwbbj4vtTD3Oo y2ofByoNOmaovta9CwIUOE/Tk1VOJcX1wwWaZbDjPL0l3hZ66kVYvncbL3rUWL/yjGPC+gzTGcnQ eBKV/J6ft1nRps7IAk9oMIKlAQVH2q0ZypQdBlA+DDDgAvc/zKcVjevZkrFNtXD3VpH0UWppqxXW rfZCxFPMnj6c+8oWHYyjr/Oc1551kJirQXm7S1+yxa+pNHcu4pubwKaxPHaltDkU2e6NEkIdZsHf nNHhDhfl7wEuxLm6dKlvYU/nX+jrppVSjYSeUFmzjnmFIpaZoVjuKXFZHANusV4BLE90OTv36Cng R6UISEjhjBasf9ONjIuOX8QJvguM7yodcrZvnjkzZkEms0a4y1QvGqE7u69+o+8+KOuudzNaYfEX akx3gLn+KRzwb+bg2xWbRNzhoMH176qy7e/WgFGQXizCAwm9TVADi5Qlmz8jo1CHYLTVNcei+ALq Rohp5JeJmGSMgSAVuA90glpIknp7aquvUorOyS8x2INOYbW0NMs5pBxnnIRMvRZoZzr3/MJQQYWA NeOeXgMTM7myT0q0wuivrW2YBPYAa8PVWCQzNds2BlTynxrn9MmLPmvrhgNIPz/kIpAUX8qznDOk 6pVptzXzGsOFBeK6Y7pBU5snQE0gY3h07UL/yOcEngowDQyCRvLyelaKAioVC3f+Enn8Wp3kDlYE AwS7MK4cA03mkEHumszhdIUHrxg4WteJry7NvNvwuL2odIkf/8DQIbnORfTe1TmTm8g7CsQr3RqY hdWU0x3LaVCdwe7e88z7Q4tHafXyIc+CpfiRhlZglGp4t7f536+OhJrjh/uY4NHgZ20wOljoXcAe OBV4juXRFdyzKjei45s2ea23LnG8ZzE8rMrl5m71xG+9iVOrXgNGWlOrkKuiBHmSxLBkd3emN2cD dGQmqw6FqYbES/oTji0+gdaqjCDErkakTBa+sYpCktQKSXPQPmMrt8WFmwzgy0ErKYpAoGaMoi8r LPD84mOBSOpQQUBtNtGOqtsPlTThBPzFC+QWTwxIiPbYHL7KmiWMbld4SeBfKmwbIdd1Gevh12jj 7fUs1vc1Ao3pHnYeNjNBP32cFxCwUNk2IgXOPacofS4aq0cK7GUqoOcNnUqMjVrlRSKa1P/+KYuj DFM2UzO/i1MwagyxO3NJHUSmo8kTa6NPOIXTxWkeh54EJjEOsdl+he+KqZNtz1g7Z6tY5lq9ngJ9 MDpK0B3Xz7QxF+fNKqOehqWjPkdiUflz1b49UdP3zM2xMpvSS+ll+A1uYsr8s1WnwvUTy0qr03k1 1SyKxiPl1fJpLdLmzKquZbTrl/uf0D5dZ9qXvPd17sF7x22lTSKF4yJ2icCop8Q7dqjFDkXgcujm JhZ3cgTHmPIqV7Apn7Q1pnG+OneVIRwnwn/C1xCRCyKEo8idvFxsd96IsyzTC6NXcG50DNHfGkin n0/EKxLk5IT4YsXAirAJKxr2Jtmv0ZmmgVJ0T/fn7t9pvFSZJEhqI3uVOkxCnv1sENFPJHULqHLG cZXMdpTFmwR0jwbUcDhXnflCqZ7Vh2A4MN/DMnn4OCy/zc/HnxCzyTGlUf8sbOJsdYva/7Lbv5tl FiQ6OpWp3dbxjDGFLDhqe9qiSdKySBuQXhdXRrxsoF3XZSW8ycVUvqi6lM2ZvndNfEhT0T5L3peu C4dln8sJw+YSrfyHb7cEJ5xSfeXc7IIm/kidrJSi3TV1gAtOnUFLGu3O+TWhp0v9eO7TE3kcj/wr o7NNlu+cr5f9RLrsfdH4Tjf1GHZEcPSGl8TvRJNh95JqoIsSPXS0N3P5f8SPx0l3h+yh1fO6lKJ1 x5UCR/5C5oIPUXGEVpmERy0otIf8/v5SD0uoQFe0HfOAU5Gm/AyKI9rMMpL5U9BrNLj8yf8TwN+u bagDU97UaXlFy4hnEhgaKEMBbfoY3IovFQE7vxFEZfXe1fbMLn3gG9oYScum5PEzhCQwdN24RgPF SErWoCTqliaLzOCP0FkZKybBn6+t/s/12MrfPa0dkA53LcGQGjoH9kmBmHJYgYgVwcUz8G4qxT/M eIK1j4PrXOky0lJpcWnJrLSv5jRWcZtDAJGvAehj9YleUWN9XHFd9e/5b0BrXm6l5Iq+cV4O2/Yk NPIBRxrd+EDqcKD/7qLgiIXo+jvDFvODYfRgg9sUBO04ZZobq2Jix+4n6ir7jIxM/SP5BmPxa+jt o/o7RQzCAP7bNzcZTUTduc5FqIDVww5mK/artHOLC12VLYhzgcX3v7/omFENPkSPSSSiRaYscgK6 5KyLDh+bLBMlamEieQCP8vu8iJnfcRxEdht5k+xn4YKB9IcNUrsxyUKygCvyvfkcuORK8ezzjZe4 wJl3m+EuxyYi9Ho1cGrLme4coc+G8rPdDXWjMNoC+T9mYJITojCExgjKia3tK3ewbXgA3AHXVWxh Pm/52xBhQyNRcwow2g+uR6Ay0gwjt/fRvkbY+IZG9bXZ3YtmKlqfdOTduRutG7g4zp0xHuQDLuG1 nOFds88RwpYfiyt02mXR7F2Ujs22/xw47kvwC339ARMyGRY5BdSbYpBbtS98Y4qi67WYnBJpvUpO TxlkDzmqlUCgz9kP1qFG9mpb0LSM9Nzmqs1OIiD/LXZHFJGbzEQ0Cj+m4KU7zWWu0daGYCv1NWqT r8Fe6J7Nfo/ygK5g86eOO+98WfZnEvXRi51t60LpAnZ4QX2UHeah+nmbs5uyDKCvkhtT9pzlZtvF lct/3kROLEEMDMlGd+tTGJZHl7jZz/scayZUshmrLPse0UOKlS+WuZs5n01mKaYIRXLAo/blPRDx lRIGtdYn6Zq8NmuOlcJrLKcuoJ/CnDagSOJYLHXF1PEGB8hCNpevmCkqAbYocLLUSPmggDQZ+9bt pQG7qE0lpZXwZh5bFcQlcUtcpvqKyQYU2YDwIrXxJlzOFmZK9ScODJhCkhtkpKVl0CuX7DZVlY3P 83iG0M1/E3TV9OC0ZnPaAmOVvXNl7rP7onE2h/fNQ9wVDmD58f3DmSYIyaAnWWc46rlBCM9EizCX SHj3k4Ln40rK59iSOFtblIser+AHx2dexpzbj0uHwSqJ4fyTR5Z7pk47uhsLRh+rW8FBwGjO0SsJ m0MWL6/ySvk85mZekvXml4UG5eLTPk8CaNQjPCtzqNGsY+4rkkps2pK9SdHEfyz4jVe5tJ1/D2oh yOusORbW4NxWYxSM+gbKvU89W6t4Wm2XMtaEzeZcX7UCN0Xv9Yn9usKljY7XO+rIh2CC82gMIyn9 eSqu5JO0V7rKcXW2DEumeis83pf8awUzVm9oGMfZGuKK3LTdScJx9CSzXlLKKCoJYe6YftjjAble j50JHpEFmnd3a1ZLdUdCs1+1sZ96TgV8Irsb9sOATIeYMNx7vYBbnnDOgtIS6eEdLtvEfMQsXSk6 E5/LdBGngdcr2qev2maJasWf8Ay47IOZFDrDKzVJZuc1kRZYSAxVNAtzSR1p97eYCe2v67MUgvuY NceG3gZzaqhZ8vbG7rK9UfCanIYP4mNcuCNd0ydTgw1xY3R4fDDnfMiwc6KQOXIlj9G+MyhZesaS 8buRewdJAVp1fG9RD9533zgOIh1sHZHaldJYRZLwVzqOlJzEdM3JPAnxKedmeQbQB9XnpWq04JmW 7RwIK23+nVfQptNo24i0cfRKcSNk3VljJO1FRi1YapPG9tpz3mBKwefchkYq8tkezDIkij+Ivfsm 1gCHbcAXpqOdAg4n1kzV1p+cpMAOsl0v6tAEgiiSVwlzL4EdqAIxliV7HvS5B6QUl4WUX38A4yOS IjKbpNqkw6KDQuGDZoxmyHC0mFLopGXvG6kN41oaEA/qZd+NwcrSeJAXBl/HvFV/gYKFAy42PLwH 7vQDhFE6EYCRaIovATyspCNKaq1/FzbWVSXBaNu7Dapygp3rG7GsXEkaqqbw7VJ5oK668mV21tLn 7rhbNF/es2Lq9ybey+xUtT3QUxKvO3jG9uUd15zKCgHkPk9r5CZFm1jniz4crtWo2sLtCM8qHSK3 y/2diCDB/idf3c701mnyJ8AtHYAzmm4Tf3URN9aUua+kVbo9geKprMOoUiUCQCjyKGNhJA3VntW+ jEnGap+/gEZSTleH+QTdn0djSmIoPtL3Sj46ai4zyaEdpC19eZB7h7hiJydl4Z5QgZEvyk/jtTWS 6XmPb9IMCrYPam5U/OqWw0hSIiVB/tHey2zqWiWIL8D3PIc/J9x2oVhLtWxA8CUJcfIUkKTddtct XrSo5ptOvjC96Vjph9LgK4sDzsSFoogKGY1qxJF/22AmSDskuViSFAb+WSLSElgtwcJMFsS6ltvQ xXe/vfw6JhQsuB2cdnBcUHxXk36HoC7KgV6B5blwaiZjpBeJOBsZeQZ1GEN/4A8bGjOP09PYrbJ1 ec2WAQtrxfUZcDcUIMz4+aQbWVV/YZy90tkggESZQEA9hg0uIG22J/omvqdtrniBOl2JFY+nbJ8B rvAHtTdckdt9UJXov21QJpCSMnoRLKiA81QMSXbZ11op3AKO7fmG7iAWDVgtooLaUoJQoRlKDW1j 5zdskxL5vQ8uelRBY2tYYmQTBA4JtTdza+xjK1J5DapRJdf73tNvXa8YMfxLgkfP3oRcnTYDJeQb I/gZumy+64N7b1WWnKTPeVEYocesyq6ecuzEYaZpfWaVsRwgaey4R+opLpv2gnmYPFPXtp/t9Jwm buCBI2o4kNCw3Oy3n8ZMNNDXbCDgBACkqLAyMAgIhlSrtTDrnDNx5GraCKsjviZQ2QttWsmrxPf3 eeZ+3fK081fbAYfv8jkUT1swCBhjQlA7A8i0NtFPyViPS23bQRXqWDUeYjp1X7em2Jz825eu6p3f 2sxIiDwrVlxrG/csk/lI7VMjUtRWXkP5BIPEdJmpXjL4TYGJVwEpUh1hFCtREa8rm76fqt68dfi0 m8c1HxMRycH0lMm49FmgY2Q5t51GnWc07ky9Wi6KGrsDETMLX49SBrFHpuq5cubN8XdWokf5DgU0 +diU8vWSb9z4zamGp6VKltAKIUW8G396kDO+YjIQHS6LLo1m0blZ+LZTwZWRNpWCWjI8anoJw0zM +lG0Dml6E4opH24v0QeI9aCgiuJ+tjll5p8pbkhJmWiZC6qu9Z9L2LLD8OxKbdLOQK2+Bc6kqCMj LBQTcxgvEs2m5puWleDUEvy8qNz+YF2EucKNo6xk3gJ81Yhe+cfs9ygSlt2kD2uMvoQ+VDkDM/8q DCnjug2ksg/gzltLlahCd4EwjmbtF3U8O7GwZviPtJSXzKGx2dtld0DuCYAOQk+Qa+ZIfJXRwNrK 6DphTjzzyUnPqfTlcexXO11ePkT/eiNY81qBOHRm9kSzqCHjCG3+21n8lAv8IKKLz9MIF6KYm6U4 ErRzEU0W14jFJkSf13N4Jx5YWcMX8nyXJ3E7sA7mpiR0+JuLmlQ3KrJh6pTtbVsVm5KgsEqW++hL nXFkZeUHabzH6ioxfA7H9+d/uhq/erRZK1AOuONRSt22bUqTeVHGLGMhfnE1l4ohT+8l/ogvhDKY 3yj+J3bYXSbaqSUa1llMBunvnsmawl9G2j+U50UA2OHN1awqcE3iTjcaDYGaApgIDHo4V7tlbMdj UQ2PdlC6gRD2+okGCq30wFBg+E6B0LAGz3Queyx5lEnWgaWW2PrDM+iy+Z3KIBKIyU/qpYl/K6NN peg17cdOod4XrxQ8hPtetumCgLkC/3QLYV8IbsBLlpSPe/vFw+i5dDv3EqimQ73SZzL/rM+OgezO C4eVDcAo1MVo2XgvIpUCfV8JXfZlzkbG9nQsG40Ycw3c/ghQjol+vzpvZwFscy654UgYB76Wv7ZN uyb5AQkDEuXaufYlOF3st9iJNocYHdU69QfgYc5je/e1u5/Mr0F4Vw7ZKgyX7l6F+nmbODfsUslQ G2OSruhcWW0rqv9tVcZ2zWN5HrrRysPTcYRsooubuo6RUXX3eOsEHXBjSv8RM7gbNTZB1FyZoCuM 1xkiHGZQzQJlGGv77qS17VlJ9vnguVZV8GYJHWYgadThuzVzxXkLXUZQs9XVhLfnYPc9P2K3yGRE oiCQlgazGLHQc7+G8U9w6qScwIkpnuC0C+PFqy72zIeOfkDtMMMYP/vAmY0MnjDH1QOvgBnNjBgW WgOiFodIqGDKZ+tffY7Il6NtcmDidKoJkSEOA4fhfkBzfqrhvk3QbtyvRuu67L1K5MxtFW3nyeUa 3h/sDnGVn30p3CigzcNc6eD4ulwckPHe9WZfvP2raVvZJTsPvCItunqfEbe2wyyIlpWKpUrmtd9q 6E3ddvb0Gn58SCqoeMo2+1L6NxS/zg9wzTsSKZag0SM0Cg+l7OqMXtg+7oZi6T2/ZGAmSF7mhJq1 G0gZqjEoZ5ctyh04zP+0pnCfApSphmycX1L+2M8rZPfs29tckKPZ2vidj1REcnduXSvA3fMaxr/e HbkKNwiwuEmYuufoNOGjDFDPXkTDVyCqUwBkhYyJPUMRBqscaF0NrckSRBFf53fgc0PfDCKymJiQ JRly8YJI2I2PAj94a0l1Eb528Q/QC1liLJ0NEJeMFAljZALjed+3JPf0WxrkmNcn7S0C35f2dYf0 dtzfvGIzJNOs7sVbIB4G047gtQ17EjskkNd7in0645uHx7bPCKspB+EBGyJgdt9K9xYif6QZaZps uqY424gjJVvru7EmcqW/cd7/q4LnfFnO2Svchl5S9lPA5P5pzX9VYmVRQ6XPRSGx6fS/hfcyVF8I 3cczY0R7HUzGxlja1E98SPjAt03OTX0AT51pDthJuOWON9FpC6zz2lpFq7Nf2jxvSxKOl2C1l73a Sc50pWjX0Qh/vSMWmkQkHiPIvDgP02lZHE0sGOll56EcRI0Ra7bgHJdDATZpPqZr7xFREbexja2d Y3FXl4HdAXWCiA6KNLkmCWRvhXBC4piprSN/kqkwUNOQavm4Bl4VXlqF0uV6tKZOE5JHiI3t5EQj b7TCqXK8RlcD24OV9S3LkoZ/tagiuffjamhn4uVyDGwN5CjGdlRR/VTkkQrq25/YDh2/4Rcw0SiY QMnTSd16Sx2BRc+Hckv0gliW4LZDLIuPSD/wTKDFBRMkSdXbl3JQZFPFga7qTV/RrjVI+HDXQBaO ruapyV1+XTkjagRZOzUiesK4VEQTW+fBR2h9ONxI/TNOUcdzR4fUR6IMMQDrqbZxT3FB8y2u/M+0 J9XS1VjplPUxloQ6jAPi/eQ4UFvALUZ7NRyrybQ5IEDE39Ug3I5bdCHwnOrpb5XJTqPbt34+Q4QA i+5MkkeKx+YOOvdmFA7py+WRYDa47GSTL0mMhDxGsoTZbQ8iFlDGLwzBJwq1V2CobKT90yuynCt2 i/4VSZ6Hv08SHz2pmSGvrSh2o2Qg2LKLZaeNzmKyyBmSf2XJaaCLqguRb4xV0YgI92sRxHCNghVI i4LfdhIfAzMNxfdz9ZUBrkOlbJR7zg+M6STapzQTq6O7lkkXbAFIHY+WUbZ0vaQyQCUpDy5Jot7g 7Kv24Brbi1TD4DC5R7d7I6FIl7tYbshF2V4UEZmVy1oG0iIqEazMuFVdrYi7KbZGpexIdsBqeYHG yx7wjuksFRJh0F54Pc8OSsTARjuc0mJHtocmltEzrz8kQzXam0slV8OD8s2udvpQ4p9ubrI8EDXY itQNmB1lwE2vIfl24OA9xb7cHivaa7A5dwI/XclHJwNHGk8zKVahfozbnRgxmzFlLYmkMQ1pMnOA 16cu8Wq0GAX8todFiTyZ413WNoumgqp0EVQLIMOwAxZHj7ob4Mk/6fa7hgRD0vL7cznohG1xRAJX hPRNvSJvwxgvqBvMrHIqoL+YhKm37nA35GlgRDnEFtba6pwV83p7aDf/+fgGggye3Ek2r9cUnUWf Vu2AcQe3HSZ9giJ0H4yQrQKX5ZyZZGWRCO8KbWJeL8CFImzk+IN/Q2XquGoWpLRJEQEnHocmeVAU PFVk6Y+Dqti8+k6FnT8yHrTNNeS9vnAsG5yob3aAoRN21+NIJVPrrhVOEoVBZhex06SM2OFWqQFI COKI3Jlr/SNIBy2FKHzBWpm51lgjnskjznSkdqh4yx/HD5zHU//ZfOr9vLw53fsNsx+DtU/5gyQb bI12zOz/K0zde2cqtqFRWPK/88kIV/nBEByZ0JCw8d8c6yhEM3d8ApQoDwavhSyX1ARZXSGDfwfk aMUZUQOZrd4/n5T7SXYgVwV94/GVzc6iuBsOwBaNQvGuZCdEo41nqZtcRbkjvYVMjwwV6mhBScR9 My9kPs/lnzCt7d1YgeB2zNYuB/yy2IKCwDkqv3k6KVeG6WAdc/YL/WM2W1iry3UZweTB4LaP7WJe 3RnxaJuWjYYGbozwZqv9MfoqbcIk6ujLFLROIg9bKXjv0CLXNNIezT4AdcQq+8gDlhEsYV5viHwb 8HDUGWfVAEXE2guKoFKQwg9aNauuQwGCyYwZc7xBikuZrfmyaTHpY0R6HKvLSnV3oy04IfgZXHym gRsTiXg1/Fu95DkEK51oM9DLUBfYSRNYsV7Wbs4bwT3egZVWJO+EULdjdQN/5djcoEmrjzFPjN1S DFbvp/H4pAVepGbOpbfjLYIhdJxC0t9bHjoxiRsPttSD4bWRn2Ef1YNXNPy7YlOK2GndF5xAclhg RLDeSq3PpbiA4L1S32ycGcIM4dWLnXSf6t2HZRw440/LsPq1fH6eV87epf3S1DHxWAO9gYA552se AXbRrRyskLWwc4IXwdjdLwAT3bySuMRSVxKZtFGlDHHoCX2zOmW2wc49Co/tEFNb9y4ieyUk9ulD fesam0/HiG7JsCH82MDfLOQ2/RV6eRXw2MtkfCDFuR4wTTy69Pejzw4fO4/FNlctS2BcH739KXg9 d6cJaep3jxrKgKhzopB7VTsNoHNVPtg32XH+equ1kN0qqJ7Tnh3dzSQMIYpd98Mg6MTc6w6kpxrc QoVZal59pPWhxifIBMj26WhIo4KdCrbKsCY2eFkpXi2Z9zx6iBD3rBF7G3q6oiWfspm7MuDOy0/f SK55w5PqTp1tDzVJOtw02PTmqREBXOSnvtbqY5K/46ozNdfW+fiiF1G7MYrMxyusYy30IxS5ZBL7 0qPc91afgFAfvrr9htF6m+VPlKEZLOnW/R3AaMmMClqBnvFWmmnBlibxSqDrOY3Skip7kstrJ+kd Fs8TerJD8lZS6miqvbxDEiMzo2lAPoVf3/ZmXH8GVXy1EyNsltwPPUuA6c3WqLO783Fy3n+aRf/D fULdla3iPyNQniK1pOwhdhitKuti/Y3StpgU/fYwTRqwAiL0YkInNxEPdK1IkxYKJwz1gmc08Yfh PDEl8mRCoPAxlkP1LKFkU3LA828sg1ZGB6rbw4KYQ4fFjd3Y9pCjAYZUblKtl7WgOJoP+RghxBBK 53qjygWFZ7RmOdlLrBugnx4Gb2y/XsPxt3lI6jxNKfioxhXqmktNBz8BG7X4aTIRGTNJT5tXqydU 35/6e6NW7zVTqXekf0+EVWvGpzVKo8AEh8Qb4+ha/9MBbIerjwXi6pHv1bmOkVONfq4bHGs2OCY4 3K/EhIHY7e1gIRyXuQfJHaYyyZW+MN8i2jgyMEweJttL7anCqddDRcVq8kYSrLCFPzKP29sU/nKq umRCX28Lr/MkXYOXsKOLhZC/yFlbOGrDRA1MPdj0AS9Le7HxyhJ5j90D/V6z6TNjSYpf+WBx/1Fh dnWvMo3cJiV9T8OcwOdIdxQneXWhGGGmDxHi5eX2bhwpagfBngdArvkyxsHtZhmH3La2YTXE6O0C iUcTPPmgtCwnl4cn2hSyyDhu3SwR/2sejTnwEKpEq94B/Ku+0oQTjUTu/7TOizLnwoXa509gpnEA pirNgpMJRXeVrjc08qz9o1kEfjErpnTRHhwOPMIndiiFsbaTVT27bkldsk64gv0HzFXlxgt8Qsom bDAIPyzf23Dlt42MbW8P5pG5EsAk9sQssQ/vVIJ2BlX2b1/6PusTWe6tbhBzQ3x8wDxgd4Uk1ENb WkmSapDafYJp5l8zNF2Cj/pCP5R3qYoZ9VuHwqphZFLoirhlx1tU+WcurmmPsARMria0G+BLCTaV iG1flsXVTAaNm4Z3YsY88hQWaq4j/aEiq82ryU6rbaHu5kGaKzbS0eygq0VXUSel9vfQZIlF45bx IT/r/go1EewiaSAMjbfCxt3fIkHgWOhK2qAJo8KzVqW6itH4rUL9f5CiWAJ9rKa3uHXdEfx0Ww97 LatL1cjKz/Bdf90q3RxdPQJF8VDH7e83fdYp/2rp/wnBoiEGp/hRF2SHIAfXBSZSrNwYBGIDmj0b 5GywNObMeK14DDN8glJxgvDs3tXZNRD1s3jmk7ZqdpoP7/dpUzOkn7n8zUKgtsCXdfVPyWN8EYAi Ad3EFJTocqCURstg3CGMhafICLJmKuzsXOReh8hJ/b+wDqGxxDQRXxZfpOJO/gPeSFBkZsSxOZ11 sz9JN4n0s1hqledtbSlHRw6v1H/Qjfo3pE6LmXurFY9+OApp76v4Job/7yNpMSfTtAVr7NcjNj0N 5lFOKMrNzIr5SVPxeuUPDnFvTkoDD27nBVMuyCoBvTlXVo8NoDC/3mNZoaS14lH1WXg/2b74ymuH g0e0pL730eXHJBxriBO+6JK0VQ3evhvuaxQS7jehOrOrxLebynBsHwWWQekJ6DV0lnGecNkr10kq /VMJ4pXn5XJk6NnxDF/Q6ygTHeRPESkRWY6BO/9YcKCD5aIcF9ITJUlwDWwNakGbPFsPbYi4S+Wg e7T1nXj+FPkpWpo+Hc5cwmNUbiZy+MkgGEwkCMoW8oftpAwSf7ELGmX4+/YDJkAQ8fcnD+87EoCk NLpNBANFhmbsIytLA8JeEMsDH4gg+6nUv/vygcN5cbIhJqu0zIVVtie6EtJbW094bFtQ7yI3oQYE utg4bOlZJsUsvqMjbQnonov3mjPhDlMMDBWw9PlO8+izDGPBn15i2cIjfcxTqCMLr3ze+Dh/Ioez syyV84P/nCS+NHsPMA53xJAY55AqFwsVeT2LVfrvFlGw/ah2NCFt5gL+0A7CfbCDtosdSk490qfw DPpmKH7nVEdxRS8nF0rqKlYLVBmpqBjslmDp7H+fIVPOWijU7cx30fP2YJ4kbgT7vObRsV04FLsh OdUxN36q+Vvi/EkWsgCEhFTVY3+7SJKQblhSboJebOaYJU3T83OL0crJurlErjRg7g0fde1jLdhU fhweopLW5I9t+jryatmikz5ODYHJGEQtLf+8Zsb8vDAuGKObByHkdb27ECD+fDtVEbwkS0z/72yS mHDxoEUlaNR0tupx39QSB1iN3VW1+SXLpswybs0+7WlovEuCSgYe9fdlqg7jUb3EDku6+YcK+9yA yXnQJtrZmEprcDEmwOHbPmF0V7goDeBjm9sVNvxHDMU95OZNyl+M+W1Wi1gLsayyWC4qWfM+/b+v SKWjOIWVcNvRl70463imOamqal49TBDznftfonrliy2A4LRDEP9DGitNBPqQYlD+5HzFdhd3gPlx 5ng68BxUNOfLqGdxpQAOEzg75k7qa1TVTKdNIkY3Dx23wi9GWbVtoGIsIOZ83Pg9LRK4UqDrUtCJ EsITg/TqffaHkf6czCXcc0ALH3W8iSSbH9Wj6CkbghhE7EWSXNSv9xxz788Ne5UAEVYPYA6uM44t 9fhRL/gBqlOtwjOV/i290hrjyTDotryxQPS572tH63CNJcSxsBWu/om2wG/Y35rQdX3JEh4GFRQb 6kV9t74TgEY/DQvl8o4gSXCIfNogX4TFUCa+9hTdgONGMeD1c8vpzcTbt9n4zo43OTK48noA9ycl uYnRgox3FJPSEbJnaB5Kj18u5YhyE8H3eFp/EqLC/rsV8c5hvfqH/HCGLeJJX6+c8H+mdeqCzczZ MxQkbRgORq2QNCSYxRYfZcx8ygdsUo6gUcYLZUeTWfFU4empUN7/rNKQ/3A4Ft+Tz/GCkOudKYRe pFT1oZjc81GzP2zrCvAUK5rVqXsHZRgQqmoH29m1mWasY0m7nPooWAo8kRYJaTL4i46rYDFHaaRd YmUkYFXSQDZ7axYiPrZP1jstuovPxmDD5bi8sa0jiTT35UNUuO7832Sur8ZKas9FYMiFYO8bSH43 x7d/IoCrq//Ala+XMnWxphdlSQPKlgEHQAebS28NSKW+tzgn6Rf3eVyrfhFvQxUlgqGkI6Tmc+Ae /KwwxkFGzbgFIxBzCvcoBnbu+4zhOiAPICJmdynlUV+L85BoZnP5i7nxp29YyJgT+ku3+xZXhfXh QbxDesTjbE4rsFegPXhDfHNq8/vRJI7+Z6po9zYeZF2vRyM+lQojcE8lwvPoAXgFg09SJk3wK4Oq gNL0wyGWPGUr+4Q4IvDZO4kEnxu4MKC+EMOBfcB5FFSD7/TDqr0fteD7nCIoZY8CZFDHAg/yDbMm ZIFpoQiRKS79p9Wg7Bgt/tDIr2Ks7Ln39rKvZAU4gW/sl42Fl9MquOxuF1Bf9wO/KHyTAzVg78zO uBpSAK/WpS1iTV0MN7+Wbkh3v2p0dDp6EhnUxsczHTBG9GU6h3qvhvN7pZyVkJMcogX/xHE3SBtX i+GL/9vAM33diGO0Q0L4bGUmeXl50ew9B+DlFxWNi64MaF4pmV+nOrC4KjwPUzQdnCG5JXfXQZcl CG9paxmms3I7zTTL2BaUbaPM87v1qfQzruIXIEcdKRIuVwiwlQ+mSx4xbq42st8qOy4Vz/jeUci1 AQj4SFVC7sKsyOzrjCvjAMgYfePhtEuH+jn6eOuEoF/uPnvnIudYGNV70L6qm5joRDjbVsArm8nO bVXcdMEOnKKHCToTvWxbLagoAwJ/0wT8VID+8SQJHI2v6HMukhi14GDBLZGff00wk8gSH684LBI9 pcTxhpUYZ7u5kmy9cxDYdWpYNYI3Cz0cKkqyjGTamgiuhzsr3jH6s1icGcSkcWw33IeWurczU5aG QQjPlQmn5VdI6pyziLQrg+JZapR3CajOM5XxV6rufH6eot1KUnzdcAbIaxrbsRdEgAgWRVWdhxcQ UfBG/bGClZs6dShcFh17pkc2ZAWiK4jaNOheHD79KgMO26aJFu5OExWZViS+aJcBVEDb5xoW3xhi l+qPNc1QF/v40GgMsW0G889joOfu59sstNUAHVEnH4zSu1SmChXXquE0mm/Ft1g2pl1ZAfWTIyFL P9Dc82+hGM6ejJUpZHiS2BsfeWocEtyaQTq7WJ8atLVBcTE5XMrLIB/+BopBXwxs8TTM9n3cZnGP qqy4E6yevLtO2MFuqZyJNj9IdHE5GUdOUsGISNy66WDsKcyPM3Z+8B1i5/U8KRXy92UTJ2sG83a5 FrBIskALUQ0R6PIN3K7ZDJouQj5yZ+uAjs8hiqjQtw0Kv6VFNr0IWuATS93x2qycZMTGf3+EdHvp UvBANCpkFCNaxadqblrxeGlTXP5VU9b3gFvBoXAoiYOTXtO0cnzeZaXrYBiJ+iJzMEipOj2S7i9c Img8ZDZdYP69W7wmudrnAy9W6bldWagvHW7He5pdC2rHW6weDvsmLW803S0l3Sadc09++v57weOA z5KRDDQ508sNrb46eAUoH21RAFEP12SBzYi7/y60sbw/9Yypl8owLPD5QcmIH6miba7ZXAfcZvUY qD0HGIxj+JnOFPbSkMcPTYARsXRJYm1E0McCCsEDeFAPdEthMzxqAcHZeGAXzzjTqCFuW2/Y1SC3 N9YZ642O/vVB6jd75mrfCFj2Dit5KDNEprsgLwraGJbxMdGgS8QtNbhx5X80sFgfowj+wVgTjw+Z yrdqWZw/G+C+mWBuPI3hz9785GronFL5K/LxkHb/2apGBD44DxjZpgyaZXl/jHITkZfDtxgh5y7T HfsD5d6hdKehDNlOjuxyDzjk6u0XTmc+CKbkqNL8HN8dW8K8Si/6fnJpfDXm3nDsUHYl9uuOIOrn DjkRxQN4QbR6k0TvhHKk8gzZSUPgCk4QNO1kgCf2crWZtSc3wS24X965o2pe0sId8kI7nF9tqtro KzZ8M2tCS5Gcr2uD+1bblJlrHZcG1s4x05cemIgIGoOvoTnAA5H4XkVg3s+9MA59joig25lcPxaJ 0zyDtqI80jHJAIT/rDLe+YU2D7kY2g/t4uNKy53quHb+5anWIZySpdRRrIOGtW2ZRCtsQFkJ4yJR 5vwXK17MMjJw+Mne2+MZ5QVf6OomGRuj5EE0eBLQjTbkannXSzEzVdudSKgNn2wOUHqgKSijZskx 2iS24mc4NHoeDld8n62yrR1TTC1Wg2YxTbT5dPRpvu9/svdq7uBCHSDge1lZT1TU1Khm6wxa9zVN NwT60fdBxHdUBKcGNfGd/Adia8/A87CTmfkoKx9AxmNOrS6YAQKPUrh9V3rk5HmW6s++Ne4IjxHU g+YI+iGgfzCJkTPBpXRK3wXQHFm7IhnsttpZNZCoHjNlL9lbIFwRizeFACsLBr0Gn3EYNY12RQ2G iYAjc0lCW464uPYOtavtamtzsxI9biGNelsHbW3ekhgFtk6QzmEMS8sr6RuiiMA02+7wWaHl+H2l EyKQTH3m+HmM3OXkTgjX2JgSrc+GNxpeQreDcKQtJkuPKEBn8uf0vSy6ZbSQqmKskXJcb7q/SBz6 XUgYukSaaLOzSLEfYHQ1g6RckNi/pEQ5b8iz1iCWrHksDeBULcoK1WPrRSoOnH4lVqd3d6ebicEa Z6AIKSQCcHXhBfiniB1Ibjhcua+PLaIHvswcn4EdGqI3zMmPoW6dT5exyOoivCjrNMKod9UF0NMV SHG9QSDMr0CLA4Gu/foO+b4zqfDMFW3xjVxA6HDb6GuHFC8HmTBqOGBsTedwWOGYfh5ueuJLYrdq mnNwTsd9SJXKF15RyBgYFe+eZpSjSUD+MyUqdDP9AJyRDoCNpBTpgs4RrSNUqXv5d28Lr6I8N0Kd s1XRvSsCOzZxi/W6vUXzagO192MGbaZ8JSbidekh6PIkBuq7whcLhTVRRh0F/ZyeQBmx7SSEHvE7 mcihjdZKBCAa6mPqitBIlPb1/fBSxmlwt2JqcoVrcASarIDE3tsRSlYDZTMxVoNzy3zJPsISQuJo KudzhfxOl0lDe8qvsne42kLKitrcY4iB3yI9Mv/7il/IHaDZxsXKgMGI7HPi0qDiNhrhwua+qkpg p+Hhd6McqYVjiy7ymQnDXT1VwxSHNdeX65WjEB5ajhuCqvQgaAbQnTSXy+08fNNKrMM1H4pyecr/ rG+D1BqIE7QPsZY3Z7Czk+mKtmXPj+4ldfrLsv1HDCmO8DIyS/yWj80BJ09MttcVsZ0ttSg2Cj8R OM3hLfpuapPcJKa3ZwW7iOH4xl1C0V6cJ4XqSuTJLh1O223t05dB4TE3w7oN/9wbtS4rgBa2CUPA QiSnNK0kwONLXSaDHT72fh1I+Au8VQeWU+HWI0kuz7Fc1nFGNEfDPXvZv1ZBfacpg+MSKtqnlmx4 XMPUrLr3VvWCmV5obBLiQX3qwww+2jE58sW+4gIIcL1XBGxsluVV9qW1j6yLJxqAaoKT/Y2o2JNi wvIQrb/5qloTGwu0OnuaotnczxoO1jIvIWoyOFeHKYYY710sBr+6xHBxz3SLLQJBGJW7On8h2jdR n1EOor9DQPAJJbBjMnQVXhnUZQGDVPqOiRLYuemmPS5HWcGK4Bu0TAWmZyYzLLsEknopPH5+1yvB YTytbSMOftBcuizvnG4uaaSB5qnL1Aey2fVVtHRJhoWRIZ3UazsZSbG3IE02GGG+zjX+vVTJiB9v NTH6lDnVYhHUBQUHCR56Z2+HYIDzyUcDwLH5eiFCVzZJHg4VHr/9fNf5j8owGHfiEptn0Fa87pcb YNY/2Ku6Dy/XqL8k2kC/KrZgWMUi9ks93ex+d54HW5hozT51JXf04KchcyTtyr64+LWnip9LbtWn IwR+MevlecwGTIxuQb3BbvdTWSPYGaJ26qcBTtBqj6EdmiZVMGwySpryZWNzbxPIo/9BsokVt9e/ bhLeVV4jd5hdFOnCRyTCxFCjZzu1JCdRsORYiNrcl7xojyb703JQDHZXhayutz+yMUWkv2HLqT+Q O226Kh9pZEq8xuVpGvKg01xtihE2IOYGiArPCvCnzszn+2GPfJ+DkucoZ4+w4ESNW+8xbAK7q19O lNcRLUVfB8QuZQPf23RMmk6mpex6iAA+UPXUVu1ZcyU1e+coUW/WN+dH9SHTGUrQL5nX0TfM/sS/ upORA0j5hHhDFzWVfGacyBuqnyVmIV5dZQZp9uCyx8P2hakBFbq56aPVDLMfbGLqvADcmH+O5Snj L3fvq7OnLi3d6nlDTJLuMQfTYwmYszfZuCq9CH6zz3hU/i8qcvcOmFPUt7BlOKqe1w5Xypl3Mnn6 qqqMmdb2EB65pv6u4VdhNNq0rbB0ZC52HT6nwvG0NmcxRvImwlNnPUT/abtYlgeiuvCLHa+lgVU8 3NxYHVM0CUT2ASWda6VurFlzynaLaPu/nw6YesmNidOjoUXIabJxbWsx76J728TrxXvw+ZpKcBc1 eqOzXpC4cBnK+lRMLqxm96Z12f8rw1ASXofcZig+MG+4LCx0gJfsOGrmNv4PibUWtzzc4TGIUq/u ZG1Cp28LoVZ+C+0Udki1He+q34eKTHQa9zN+9KiPDxu1fjynnUNPI0ULHStPCuodQpcPrfeeb4Xw aXyn7ZKFvVp2+sCtfoize/XXdaUoSbzyURvnJ/a8MNHVY+iEEkBohQVz+ERLKptzAi+BjWxw/T2J BaqV5yZRiYztYJjDCdk8WAtEJDqSlzejc/YgZiXxRMyfcP1MAlFLgUeG5Bcw7NKzfuWCL+QvVRVw VymSFdqw1DdvRpIQ2vZKOU88LAUdStNNfubR0DpcHVOb5Vyqhv2vnB1PPLQUn0vypbxKqbYzqP8X JAlZuxfLlxrCLFlZBUEXQs/uwbYV9Ua7c8LCIkeTDIVyhWW/MXNV6zrK550HYgRtyNyAjOyyZ+Mr ns7EPE8b4+8W3+j0z+oYXCeytGnAuHwyLk8mojU0WS0SxC4Lw5TgtNxFOVTnvm3YdGFjv3O0Lywv fAQ7XgvYxvY0zke99ixwNCppBzPb1WEJ8ahPGODLg0dHgOqG5AdBp9hobWCfkVogPOymTtMIn3yD J+wAaEhlPzQ9sdVbwtLFM9aRnSRZ/vsfvvJOKgWoBojsytagoGbyjXDUWqxRYfzmXv9OzSg2z1zS 2JdVFSCAdxSZIxF6BkDC+VBgVHTqhL4N5c6FoTcNX24qyTi3H4iJPbpjhDCFXoPbSpKrYpPEkqon K0X5a6G6EtjmVtlN3WzpB6FuCcF9osDCa02eCrYT2es1K9mQrOzkLEqAqlPFoBHODjA1Is4Bfg5r Y8U484jF4YnsBSf391Ys8yhExd5mWx/LMzUjOx22yMwX8Keaj7Ijebvwpz4ytFDtp7LlosSTaXvy 3/jR9ajacysMMpDxQXRrV77nICFywwbg2J+VGZrSYYxnNaIAFxXT7M7ruK9GrIoYOV7o/WJrrUoH dNdky2PqY1zZw+64BWUHIYrCUO+tZ8qAHVukN46zaoceByd2RkwL/AED3B7UormatuTkDes6jDuD CRR4fLnbmcNjPpk8AZGXk6gz0UOppGL6HbwamBJ0CO2/IvzF/Y2KNtQDyqgVkwgaLNIiMmbI+A1e z+ej+gQQ/fL1GoNJGqTuGjp3rooCr59Erj7qZ1BAz8zddWB2r/1/CoOCWUqFRMWDyX5Kyz5aReQ6 L5NiiU75OdRl/soPpnF+Ynl6cmmvvoPKoj5nvcMuMOnjNWm+pqljzXrPcHqMftA7M31SGoH03Bio R2fWAC1vbbCf3jlHWS+mfCCcSvO5MWsEHIWMGHC3l0VGaKCsTpAnmgaXLVqt23MLB2dRzW8nvsDQ s8p2yhglp5Av4KVkrIXcL/d2YpB+Xwly2ybGfmAXmALMw6Jlbys3Pk6JCXYHvPUAMBFBJz3iFit3 NxVyN4foX0IOR8MiP3Xsu9+REL5I+inAXUlNfvGF1ml4K+SrevMohNpPao/4lyDIYtX6k9xJQDd4 Yup7ekPIxD2IIgrVCUU1tATA6N1AKKEM6Ll+9bujFShXzn0d94kKTZ0flu+XbaqQspYS5XdO/A13 A6qod60OVOE4Av4DxosroJpesR7ZOfBDj7sG/Z8lhwno8F6fWxgPjLk0yugw3um2LmE83H6m5UDM fLQoovXkwZt+vLzsj59UW4VVlCrPEtyuZ3uD3aYcRIPBAv7DunvRhv7DTGRLtWLQowX1E9OeXO1p 9f+EkcYlmlhQ6/RVfdNNSnc58q6IqADawXvL2gDnIZXn5pBaYWstma0V5032fHkG7LOOiiNIrVnm Dux/otCNozeFe/8NfoXFPYYKnURY09qGGgk1IfMwex1LEhxZ42aXvpma9pwkIbLYW5Jbx8gtGJvv flSbtK8PnWjpc1pdnD6Z/tRP8CTzGNePUi9Q6UEDYaqq/SW9pHa5pGWLSO2dYqVJUqYj/3jEITiH nT+DWh/RBQsqObl5l+vYM7p81u7ZP0Qx7BGy5lnfy2FmI+rMPnBhaJXZA61t9jpppBLZOvH8uhhy 8KLQvz++JwxkDMtwIOFfIKKCj3/eVwem9gKc6O7qQZhsEjQfduQ6heke3JzVFt6fdwwj0KEVAMEq kFtzwNINCjyuqihrBAmkm8aWrBiXZbqOZTUTk6VT1l+0omYxwLPgZPJbAhP+n+TGqWmM+37nS1vS sDQA/qrXrg1CLIcSUD7L6Bf3l4EwsnZCAZL4iy1S5eAnPiGqQBV13iLRzaDDTVsTfz59ZedpE8Zw 7qVPETpHuiwQjtR+D19fU63e/lUZKP5jQEfhf42Z2ZqSrWtuWr9QjeydsLl++AuWEq8HhTxSaWr0 eRl70FZZU4yIRClvwvIlzIupL628KrGCLPBk9snkUsvJLWP2zOWBbROPZVtiNvrlpI90cH+CwNw8 VVKH4Fly9km+RrMioLf6gYmAOf6SSeHvQwe57BdE/D2v2VZqtJkP5GzTJ2IrYPVXQ53DDly+1Xk1 qW+FYdLVPHBxjKvfGkwkfhsRZCH/uPmK84ojMvY5UF4NxXdVziC+/2B23Trr12fle13wqIq5aX84 dI9133U42ZMTBLp5BmFTgkpcCChM4riC4nZvfUIjBHEjxbtz5XzV1lQPLqjSolnMvOd5bL1sJf72 pFiq1CIfF1G+xgu06rvIzaFgtzQbj2EVML6Hh9EtoI4vmVD7RJ0Sz707Ym7mrtACgI31HTJmME41 46al/fWhi6MQC4d4xJpAVwBfU0YMByF7mHT69jnoR/EOqYmHJ8Z+NMR7Rr8aOmxn3FShUxJPHKTc SVIdz/zT8qsOe95XEaqDaEFwfKwCokl5ciDVniAemfnVxbviE9VmOJMoirgn33mfwogGBPZiuNkz LPvoenkjtu3amn2iPXUEY13W3gKeVPcLTOF5Hr4lRo2zK5nWlhWnyvT3QnfBESgNUeWwZapLIVfp 6uyDDhV6Lffrl5nyyccxTXMgy/tjXj5zrijbP23Qk7Ms8sQcMEq1uo07sZLRNsPlSNfb2/bxrYVl PuQJUioXUPlkiUBW/gcMX7GthMOACScs8lVX7pzbVRq8H/qNlepnB2bpAwy7pQfXSSVo6Fplb/NP dQFHeXXZ9VDaqYBTHC0g3BDangLlY+/GLSqCAKJQhO8AriakTE8ksJVk04OwUvSROekn0g/0lfyk 0o/Qs1y208Z2nTlxleBhenoeey0hBBKnPkv0MA63Fx6ZdpBim/HshTaC7xl7+GrD0SzUKexDeG4T ZNtPtyFtUZWMPlMkzMyysN0DFE6/A49g3Ihmd2Egl8EJ6IOVJB4ibyoGXVoYTJbX19be4JQFupUP pt0GYtoqePrldkrdvYZ4LtxDPno1qMERJ3bGFfp9KaQwZHMvew1VPPU53tri2SscY9peROCf5sOE +5zp2KtyvXEQ6eUgCfMBZkymtgr2z22VqL6n/xPGO62iAxlGPMWpjrsIwIW7pE3lp7+6y+U2slSb DUbSug8ziXon2NWM4j6rLnSNMAwyqMr5Hv5qIywEoId1Yh7iNKGAitOgB3yC0Py8sFSDtNy0z6oo PjhtZRGzC2bG2QHOYIu2eF2vRpgwmLS1oM3EZMvOze4iCTA0cVIOF94EO2QJO4tETOvbdiMWzOV+ gc6bJ/7Jeq04ypel4gGOkirQegOs6D9sScNUhF4X/WrbY9ebncxwr5QU83wkvgFy09OqP10DjTbW 95oNgCIRXbhjIun3xvLNVDDYt4DVjbYP9ZMXRGd+K5CEDlW0KTGLYMboTqNq6PGfjzpThOW4RY4a Iocb4Zn9C+3quhXnz2a4g9Sag2hr7Yj4lbpFF19F68xMtiAZ+cYExKiSnwH+iOYiFB2QhaPwihso 7fpMYrqXyNE/kKIUguy3eXpKRT0tTk3utNF2SCOFkLhWsvb/Yf4JRN5q+0Qn+tbGQ6YOYEVizoZM 8oI9k6lT9zzd3U+QXOL0v9BlLu+vmw8ZJvBnBHuFo5iMNuZhkAcVExoX3SuDYDY6lIWSfnH1Z9Kh 7xMeUsZhlyggasydmleb8QLbsDthXyQ4sH9vFzditZG9KTnEgIsBcML0VPwoGQzsWs+DjgpUrE9s mE2+zuhdo7FoLcJ33t3wDiETirkRvolMy8Zr669yCkSNBXuy+jYRuI9X0XcuXekPVj7Nw2f0tdFr r4XM6sTD4fh0foVhW0cE4DROPurea2nch1aumJxiFGLqWwScLkbTIkqEtT7NyiYXL7jOOns2wXl+ c1VhB6JkXc4A7gqp9BvWr7ARNR+vSHYjfHFDd/staggERI8gvptv1cBW4MXdaZJX2aO4HaMtYsR2 XNCTl/ZmbhbVmYoDVXQZ3Z0lIrqqM8jNMKnKaWN4etPvLFFY3AGmuJmj5XdsKXGFkOnAWQjOvMC0 Nw/guAZpdm+rXYYHh5HlwcSh7ak5KWXj4SIWwVyrPeSVI5LVOA1OybLAcKAbvp6eHBVecELLtum8 ir49GbzJ6BsIQevNevlBKLDGpgiUUoDyCHpmf6ahAo5ikQZkvqa6jteqFFqsRGGloI2SI+ZtCuCH zG7jjFuYpZfumJ04byM/6C9CxonG0VQndAy9Rfy9y4PW+3rPXRFONjuVIDlxdptC8o6Urzz6ZZPm gpiJs/8yy/Uj58MnJjvDcRjUfKGA+8CBHsOL8HaLCna3ylYU/h4zXKprcyZ2zqvXmRgEtHRjlO+1 aolHHg3sBuLulWEKOcr+ZdgAe3UuX+5Gqauxrpe9PlHHTyjoYqcS95dXyvxswYt4JgKKFK94ly17 h9xJj9R5bG7abnWuosU3V095B0e8R0wXat5WKjoi40uZmaYKP/yMmXB3eG7kcP/tqpcxnvlXnBgG pApFCjid8ezctX6pVpuJWbgWSQJbmaIZfeqBPqvx3FmutIoxgiCj29Kyu/RtCoFJacjzfo11nyQU mPVJYLZJOChWeEL8OiHAqwttmrnLRpzx7cH7baYeuJ6QinXD4UglwEDSsnALeFT6C9oH4ONa1tVR uoS1Z57/FTU6Eao5FmtjWcor0pDyC2sdj71JmaJXszaX/S33Vyy9vYxn5qyNBbuy0TAYNbAmXY15 F/opvW1oocRLuhv+xLiOkyCKoDzQuGF60UcO4GcfT9xl4xdN8RkedLFY7f8KohUWNhUFhAfDciwT xpo0QYxLARfgVvbeUtWR8TnsFQMSRNHHWFp4mU+yAgmQdEPwFx74uYSlf/MOMuoFJCfQ+3qxMTPi xdHvdjNgnXuztYAcdz12IWXVpns9qo0FYcVmL1uG4Zx79KsoCnZQxJ8ezswVlwtRD5t2QGn+GHs9 eqohY87QwbYie0PEBl64Rm+jj5kxveRmgRmnsTHL+FW3pIqjOLFO+DtkDtToyEMLDA2u2jShOw6S tQ/iNotBBc/KpBNu4iW1VWzbG3Kb2Vig8MGBjMW7Jn7EBPfoElp0TctZyjT3k4p8zvBX+B3n4kK3 xvzv4AIccyNIgvKwHgSSvHGa/CDfpNWMmdyYjk8iTLa8wAar9OplesjFF+VEXegOdFWZjWgPXIrS QD4OFQmuraKCxT8AERpr7eRbCq9NVLJSxbDLbxsQYTOju4rfnXNP8dP6VetN4bmDOFtD0Jf3k4ah h8lgOJL0MDPj5sj7vdFd7I0VkKdjZxyg7mAqSKxY14NH2B/GUr8AbefRYVcV3D/ip4cbssfuL3i0 YpkoGhtZuB1GgaHyfK/CJt0xpnO9yhM0AkES+TqJJnsLc4UURPIWzOw/Fi5MdDxrPxBWPeUdyB1Y rn2HztxQrmuDDswAYbU/Dpqajm2z8izkuLOHO+1S1CJRdI6z1JhogurIlUU+Kk8Ni4BIsifjS6a7 2XA+dTbfWfzGhiuHr36iTXp3Ti/bOYk6wijxbZhn+GPiYtRlwbmgdQRtw6pKWeZTDPZQOSCMjLon smxMVRBI+gMvItKRenJwGdmOvB4b37xqkJvWIsUTp8kIvYTKTCQ49Hc3uj9hLmM52klylF264o7o v7joMv733o3vAcOwUdwRiiUl2ApcFsMGYQstYLNAq65X/oA5+GlMUOuBjUt8nsxgVJ8tQcua2r0s GQx2GBDmJV5+lJ6cbEP2NyNFouZnfOBas3+XxlIBZqODlSBSXgQwHhK/I+ADw+iO4A1UCtqdAZas NEVQ3+Sjer50Cdu1+tgpKH1PNNAl/dI4SDdNFKpy97hZ2yoC9H9Z0QwmDcwwkTH50rlRzCOtuHy7 M3DNqD9ylBi2UMiIP7rlCMlDRxwnIJqjygATDUvQx207To/vrLkHLbrTun8wu9NuS8qWpWUTZFJN shUQvfxKDCRS3VNHftTe7i9mCKEMwaG37xnA0vUdX3Nty8Twbvt8u59OM3lP2oLN81pMtNN9ggvu h0Qq2pJzg07dX7FNe+cZyUfWwLiKdhBKTJCBGsezdPhGXg/GsdY7vfETdw7edIhVc2u6UIqgn0OJ E5eHP829H890mYb9Km97An3PyqPHg5f7EUlI195DNnZFbXURC+N92SLfCogr51k8g+mK7InsXjbv kXWK4UxZGK0lQO9WUDi9APvHvcyZpedVBb1x8yEcHvAXmiQ11tjRbe4qy5q6euuNuz5oXt7qAqKy h998uXNhwQdc7h1LHnlek1Qtl2i4GZ86U4dAOVCyAXmBb9mfkFu5yg9fGBuqUVauWCrtEAiLouYx Oa3Ch5If0k2zx3Y/gm665EfNzUe6adfdY4EZY/Gx4yeQ1DdJ7AjliJO54MhiMyivJQccEzKNXDO/ UjdTSGK01JxfhQtLhD6B508lZQlY+1R6TyplSfi2tyFbquFoqscfhuWpycrrS4irZDsGr0QNs/BA ahVRN/PSAq7ucNRCTKUbJP5llN/WbdrPH6l4nV/zrShiCrPLQZ6Dq+kcSQt0HaEz+LX6HPeMu85c FKhl70LyiQHX7lKvsuBTNo8KUZsYKfQevXnDet4avYZhqcsuR3xLgK0s9oHNt2Vj2dL5Gq1uZYRT Qfh8y8QrBOPHHZ24Rgyby0h2mTLpvN/JSAJw98SOpK1fR7cqQFjx7g80DoOGxiLhXvbc0NTl+GAz /UGHm17rQDYshyc6YEE5o8fMCptW7JER28BASBLGPL/xFHA271iXhj8Rpm6EwW+kDrjJF/xpNja6 tVUgYeuXknri9dKyTu5DqS+d1iMTvrK8AH0MmLuXjab2Hn1t9KF0Xa1GI+iF7BIhwhBH41QxJJVN 8stqn6Up5w1AUDBExiApMwO9rf9msAJCKijfl4GLtMJXbWyYDE7d3myIFwx6R+wFF/4cpO9qrgzR n95rHaOvFZ6rxSks8uByx8xUFS0wF/c9ifuyVXD9q2mnBPRWFbngdj22c6tPeYzlrpu/YN16ZtiW HYtl+6IKaN/Zx+JjxdK+LHQQ55NPft/jBMEghnojfTC6ZY7Ae6oKoIK+EJKsfpEXdp5R9m1kRjDY xzBVGNl+jaOp45YkJrb5NKtJkmOl0gh7TMu3u52As/zB98AdPGBVC/eewOLGs5djqvASCIiEsZUT n3cvZdmdBnkppQtJZbXRo93AX3Bw+vMvZ/042Ai5/WmFs2vDcAzXXrDuYHwdjliSS1VA0Ktb5dHa WFPv0d5V3sQhYxQJEsDbvNUp+DE+7mn7Od+vJ3tn7/M7zhu77vnRI61fOzlFDiU9K0FepY6SEQOu 3gV+4YbrJJ+g0KD3Eo3d89I7SlGMyUt0bb6N5io+pqvuNAw544VtFoxunoAtpDRFOG1HnhVVNw6U f4Wq8fAz6Lj02oG6FLTuZQI67sPQ52W+TUEOuAXUaKpt1oq2aKiFwVlVIz/8lNb/BxAZvRlA/YrI GCvWYEBC66vvvQFyB1qHBifpecE8L9gs8wlFY66duCQYFCzEi3gH1wSkzrfWJ6TjUQWhnjco2EQN 9EFffMwJACiqxEjciYyxQutKJEwrRhYueNPHIqPnAkfRlyqxXTpo1wvH4K1CaXT4YFhkckgpJQyp 6fC4F17ZUXJcGq06xYeDRyKMJtGsk4V0qFxj74wj1hZxYjWGpLEmHvsqxkL3EVwjNYcvZ/cpft6L mILH4WV4pieH/n8leCJPCtpxaaYPsYHzd/6pwSmTQroHl/ZoTEp11V9X1FswU4+TOT1DMVb1DlBW tMrOdMMW35RywT4ua7dJ5AXX3blOV1W1o91vB56btk0KD2mX21XFaYCNXhLvc75i45Qxzk3VMH44 mb+anb9eC/N4tLEPnCK1qtSH1y0dpGXahrFTVMgakONd8xusK6xCGcoHQOXq8XNm3gfgkUw27oW1 rD5Yy0f8ROYOwAarEaEFNDdhW5YXcHRGj0S+PMbauSJ62NbzcK6GgN+NfzKKQMuxhsFw6JpZhvO8 ax7xDOIGx/hwmcL/RkjiQR8LoBcGZsJ4H9lJ/IBM8POrywe+vasSi1BvgsCu867u5W5hSCfMl68v 6DWXxUr7BeZlBF0T3DaQRx8DNXh8jWMcvtelcawrw5i/d6OJ9QkXj3zMhPVrINgqk3Zpy1QVgei2 2Ufk5wOjxMQkXLa2HSqpbOD6ZSGshGBlrHMEckPUiS5+Z+ZL3twnLdvJS8aRMEhGSZQYQuLnS3yk w+uzvM9hOYiPO4hL3X5hyytmoxE3bkXoKaTNxewHSHpu6JoATi4GuVld1TY3llf8HBkALVDAHNw4 GIfZWv9rppd13Wm6Q2oOzKebexi4Oxoic0H2k741ruKNqWt8MoTVD3naG96Ogb4UYCsShIbf9slo gvKQPijwsgJi1Zf9u3eVQD51ARgxnzCzI+UvTNlYhnRw4eiVm6UkaufM2tCYyxKMFjOGBYzu0003 gC0D3nPzjDZbYhFmZDc0aYsXrK9mCQlc+zNijbUHXhQ2UFHMjeppudC2kT8JHrhgBYRgzktTGPlo BhAAwrMo3qNzP7wfeQ0UA/3rd/dMM/ehMhnJGa4e4BtbGITC5vIugX0BzJaYKmZOH0Sf/FYiT0Pm v9c0N4aT5WRhcAPMXG7DL/LrSBKQj/t8v6EH06S60avreBZJSU0c9KoMRhdl5PED8EP5LNP/yXwl npaZhPHirexr0+HvTea6f06vQzfXOheuPN+qHF7KeA4e+i6MRA8gBTpkrhMLKrA7Nt0EUOXIRgpd VB2JsRd6bptsI1Z36SryxA6x/SHSjGXCMoNoy7jAsO2W2nN+0g+yWzsw++ihPyDvsJfxKi0YxPf2 3Ce8KKZ1ChlVcr0lvqg6BCxF2ISAG88leRXBGuFMINFlrr/SQHxjvwCwWfGtbTKYkltPkeyEshhf rWs2UptrYKTZgZx8U5uObEPg5l1fKIi1hkaP+Rn1M4Y+NU5UcRA2Mhr+qO+C23CZnFrB4uvwOcDr YPOkXOvIFayR+rtUJizd27vsuL8f9ZE3pm16zPy1WyfpJbqzUATWGdFNTmsGT6V4uRY7Crp19mrC c2Bnmugcp7u6Kpg/EZUN+bhY4goixVka3+TDS49LXtdip1Jd9TXnL60pKtTwzvBZemvYNHFGU1a5 PVtXtOHpItLCrnchamHSEX0qZ9At8BaYModWHCFXx1ecbSf5XDEz7Icuf0JQ+Rj8QzsmswEKwnQP b7GUHZEeSYjqowsObp8+5xTfyG5IXJGhT21mQHpFDrqxqrqCODGaUK7sHofoCB4f+83fhfyA9ccN K2BJGHJ4AsEry6Uy51i4sBIz8VZ2xUuuxJunGj6ArEXTtitcE9wtRy04EjlEoy7s3BUtlgnnC+7M henNkt4KPTGDXLbLjWh2Xp+NY7TYOKtFuRqooYhBSWerj8yM8hQPExUFnYJLB3i8lqwfdrOztf4g vg358ldgMtHMOHSdJ+KTTeN5TShCG7BPrK+602k0YeNIDeXyUpfnHzTIVVKh7T82xkFbI3frfWEO rO0sK1K6DrJp7yQgXI4tp6CXzMTvtF00YsglO/Q0dh9WSgQiVYEgnqKcTKNyahShEE5ZZvzsu0r7 1ONB05+ldUC8+3RO1KFXwko6j0EuZ04EQR/GcrAkIdZeTUMLpYHNtp3ZfBBEPCusiCi4+IYNJnVY DC8NTAm7iSCAJbyji1BXXUPHlGYKNRsb7xUUspisZqnDwJWOWG/y+1kzYeXsnA0W+/dagnb2SLaM qWf+EiBVrZ9boYaOjhiDFUCVx2m5qcKFjvC9I9JmYOXD6qLXX8XfHFlI/SlE8/gBAsSl9Y4DE4/9 ij3qMAN1m3Nn6kNz1xzjlbhXaVp2olLJdSg3e2Gz1EWcH32tLV5HJp+rjPwZ7dHoA3BpjfaNP0IL 6tWPDDJZaYvWd7a9YxP7Avp+vekkMmVEVIDcz1qsyYOU5glGJDvlSUetKhkpUBAg2LxHpycOm15l FUOvSxdfEPOjRQ8cspSvzhtrpivh+QvRsbBnXxX+Dp+6judKIvVXNBoE6TQlKqWxRrYRLwNePBk6 fAeZ6F4fhQZF4qjTh7VOVI4lH1TIwZUjMhKlW4dDbeSAWVRY2svw6VWo1/8nHYFJUCFzu+FhBYIG ZyM3m+2iRa4jSE0pHYb/yF+zghRDjQgGaOTyYMjsnuiJSkfOFVzbB7YAJ6sl5oNr+2LOtRWIPdBb 9lneWXUQgO8LEiIkdU7217UrivHzYc9MMTB6/+hFAtrpQCF1yLc2yAmdNxdV98lUV9zxDz5sK73H 15XYjkTL1Bv2beuhJTIXJ29rhljEifUHGrT+nxnFQZwM4hYPiXIwBn/vTy3WRsHsxd94algrZCi9 J28e+I1F8DA+uTP/NgIRX6hwL0FuJMq5j0aUhqzqU7NcXfBe4WmqTw/PAI7nY1zOOrMd1UsUPQSM Ih7i7iWktK+lzH8NDaQOja6zJCCJ7ateAqugRjrSYRyC4abAmlghFomK527ShtBmQOZ+ezeXGGbj lk4F5G0lMXiTPybJi3Xn659miKTh1hNg/KwBQGYK/XHMfjHBtHxgmwTLMWE2AHWMf1pJ2ZEegK2L XDWN0ox7QKbutrl+n6o1ISSHrgm3nS3zgNJWEKLNrjnkGayzIw3adVMiaNRBIDViA2xaOOlprquO Hr1KPGtGqIu9kJLapW1x4bIkK69flpgP61r/fUpItbxgiNLKqnpM9GDy9iXmAH9zkCXfycM5/KA9 +yztawVqOOxlRrfunrZ/JjRtAcMDyW53QR/nWHrjpYrxAbFJQdy0dBn5PGt4hQM6L2Kzy+2B3v0f RiY+ALUiHCb8kbXLKG6Wj6PVD+SZktOEsM2QWeeaLlzFVq5ZIO5SOItJy175iIaqjI0YbDovoUZX 5vzrof3jsQJz9wOgX7Qln4BY/XQDwXhxqBx2gygJw4iV6tMIFFEMpdx1AYHatcnm/JTbCLQ/uxvF y7wBc8mY5wjPWkA7nmxnt3f5426TXtplIgV4KbFf6SkPzvpSruGYAD7UtDX1D+7CdC77Ut1tpvN8 aVbLVjGAHO1txJKsX6OcG1EFzJpf8dHDVpm4jmvq5PRGmYkYV4utgvgDDiuYAPErstFfWomFOO/V PY+ZhLfEsvOYA09dMTvMAxP9bEm7gdWKeWdP+ZahqD4Sgn+m7RncwRFPHvIJGo9mYStyh2rDamyZ iGeZHZkXCbIaF3kvEJTwTMidCrT6gzmk9q+Xs59nnEpQBwEkgWmHlbvz/8JNZVGIi6JK05ZBLg4+ qYsOdKK8B7uBbh/VeiSBXfEq9pyCLNKJ3Om7j+JuW29TQmnNbtkbEks7c57YmIzOIXWLpjINYge1 jouUUrCN2Ae/sRkB+5kHDIRnl9nNzv2cN9wu+HBfHHjziIh0wwAm2iPwv++dkn7+7M9kJ2+Ksn2D k4vn7f/jlFBJoelNnk/W/UKzU7L5LFESmm0HXn+qkDtgLI29qwrMpRKXKBv2ZqO0nf8JKkEokx8f CkUp+6w0dV95GXuKvQVBH9HI3AEAptjscRPqA69NXxVztmx+Rpz/oEJoDMdRSkEr7Ve1s6vgrZhL YCK7BmZeCeZMjEBC6xdFdk05mG895JGgrHb06+6jAZtHrNK4mMrTW5a28a9pSmzrGH2PUS5d5GH8 9P8V7GFTAdbNXl9H0yYo/a11OTg2orvoWOPrtiLAxflsYMrwgPAJbSvtFHebyYxgfVex9uJ5VgSs HaJkASU6vHvHp21IOQARNPlZjhqMwEe297ByEdj6E7Hc8z4z9R6v+pPFB5dz/gZ2ElzpHWwHNCZn OaWVwiVSY64p8Ybo5cYrM4vjG2Jx3gAVoqnuWFvjiwJE+d+gDZMWKpcH0pBlNnsOilE+MG6CE4rO 8ncpMhVmZcSGh1aDXaqlQwbLsAOxzY5gJ0G/5a+D3K78QOxSpSuzzuxUpcYX6WkM/9vB/5K1yGJt w4nM9f0pFJnc8RFtnQ3BJ/y8qVE2o+oM9m2Zw5yuud9ckOYuWLHX85Kh5yZ7j9PoXGPSBdeksik3 Ue0SwGjFD9z7L0JySJJ7KffhxXY4RY/nFhdY8dwQgQV+NQYprttt9wdtrjbCSsoxtj+Gdn5c0rIV S9V4Xx5ZWxbaWHfu/TK/eSRRA4MRtb3BQ/EVcO73FPnjfLMoEPOQyfJ3msAhrj9iPQXvb3QrkRKp 6l6vD+85pPSh1AIzihJVzqcQ7kv2KF75LjxFXXtmqTMTTjtpiHvW3XwwExCRrcZWvtSRHHmHBSPi jVOCXP2iRYShe2EIEwb1R2wSAR/MRyELnAOkREPDfhgtU3nruqc+/mpIu5X83sMSyBr0LbmbYlWB 9mN1iRY2jvCb7pgBB/sRNW3JOpMYNe1QO06A/NhOh4vs5QYpTzGnGzLOtSUQY5b0Uv+XxW1oFsd7 he7QtpRYomjvhkOV2Gv/ByGhG8sX/Fe7aqjDpjSJgxBWZIqvkN1LMdnsI29MHedvpIJAQctOhkJe c9DHmm2l1f9eHp1C9i42Pynd2N+8dLkplosb+ZBh+mIzk0e+uD7x8BrdsbOMC7+SDDfIMOWxKmED 3lPDI+oUcgPVSZkfoN6+OsAeurbDcnSjBGiHxYPyJZf5fbnrjviZXHpKwNczvBagTiz4QCnh1Pqg lmfKHkezQ4VHAWZx9SLaIXziPB5mNPonVp2TrVgqv+WlDN8mxMO+9c+yFvMLa2X64uIG5ggBzbKV 2nqHZkRm4FPrUE6U4aRPZubqqWZbeePynFCbbZl1SWxt4oouwwL45eOjxP375Y5aFmjQv8d1rjJx tHin1mZ4mJeT7ArJbZtFy3Q5Mt8t2kScDcquGZ3KE2TpkHCJZf95DDIxPo4Uo3Uqj461mWGAAk9M pxE0hGe5xtzVayFdDaNHR5udHakjbw4KjlBXtEqXLU8QZWWzkArIcb88BLGuq2iprjZM7jfVUbUg Sv4SiTSIvoYOa08plXyVGpldARnmJlFVIHAIlFaOpMXyXy8NTju59C/zU7H5CtAXkEnhjsGzU5EW HCRe70K2PUe7Ejt4EE1j38fSUQwLwCceYpRGmEv2tRNfvZWYHU+Fx84W3U7KZhyZg0rbU5eT9t5j 9Y6lpu4u/JiXPUUcZMtbzYjAbwy6JFIXx8nrJT5NHE475fmrEbOoONBo4gOkfV8T6Zz4V4wlJzeQ ldob5TwH0u4Re9Hf7fPRc1s+i2rmDkshwFVjP8hf9E4GV48xednCe6obSN3Pqgl2AQTFu+fYx8I2 e3sqTqaMQZpy3weBFWW5CaRWOICO0ZYwH4lgYLbSi3Layixpo4Ea8sKpS+dw4XIKfiB+4MXaC1SF xmXVyIyC45NkD8tLSYiFmu7rJnYpeUerOTHOwq13QBYY9Wfg00bFwl1HXRpsqUXi9Is0MECifyFX DqROEutL5AaNIQw4NJQh37VnOaDyyiFLGmPfu1epD6eW2kK0ZuEZTiwFlXTXEtffRmQJATmBJS18 3MxZaRWawOOCowBgKgANgSWdAh54t9ho08jSg1VCZn1FNf7YFjHx5K12a4Oh5nm2GZ2OxKzQFkyz o/dbD92BucPPcDyqclgcoDN3bZboXfjJ9csJtSbqRwiPRZgxUNKkyoVt/MdhCNtoP5glqnwYSpDk oZgnS3xvvZ3nSwitgPhruJYAWEow1dq4ssOo7YhpRcGWpRqZN5Oz7fD7219DSioAnMDzG2T6VgbS zFm7AZ3lVQ+pPhZJS0L52TD2cQxWQ/yFa1xdTZKrCm+ASo4idPHrAdZWNyBKvqZtyMZLgqPVcc/o D5NNB1RA8WJuW9DdQo7OetATZXz2l1EVI6LTvb5BZQSKC5DnfjIWin9TMAdrMKFjWzqigLczk1ou bKu6dFx7L5KBzzpFtyNG41RItlQIviT4Ho0/ovRW9l5wawB6H9JRUL1zLnm6CXZ1paWoF6hxY31Q g1P99iyardsuzCnU9wyZkBAgAfb95HTkcQejfm+fH+4F3bnwv3+xCE8dAgl2YYynhVSX/vtM8Scu 7bvQ+FVRIqxtGg3Vxxsubc2or+OkfByC8LD1pokrKn3WfWXmH0XvHoR3iBVNJufiiETHVEHQiy2E jcpoUXpGNEBm5lUj98wHf1BOxvqCDhb7Kitp42ET/qW6g+y6/grZWZna3adTeH39/lSKjve3ENbw In1M5HXASaiSbs9vQ6HGaBlQUmV9d2XghaiUKb4Nz2XVzXtkrCQrBUvmC+/7a222JPSQnbk3Pw2W nu1PSguWngZZzqZdbsO1iH+SrPyxqt+BvYQ6ubWQ+6LF22a0c/M4WHBg6/7O4icZC5OGtX2CXNr4 tFu0pNGy75MYhZjz6yWyv2ZlLycbUCoqDQ2OLIZlgm/TGriP9ZGMpU6uQUcBz1g+EXbm/Wfr9r0k 7cC5p1QSCUuUwEQHg6/UYJIjw0Z6IojJC9xJSX9eKiQHcPgAiAwD7UMKkzY0IylNPxzaFU10Fe0y +1Kqtf8zutnXFoeaugHJ2I1kKGsb/MrW/OfbW19+fbCpVwpVJjdjhQmbZtM+1ydGKgWrcVEKHt5V WkndCQsC+JloAIt2KxtUohUHNkv1/GwinH7r4P8G0XLnm/fnZ78uMyJbsP/pVulLtySJYczBEDKf 6fwriwKj3LSUAXp3abWi9sQAc/mpuJO+YRfjbI6ULJFqK/XWLe4IQtiV8yGDAQUc1d1O6RUEa6Ir ylycLOLJoTP9OzQsFYyJ0USZGfP2DGP7WJzcibc+YHQlMF+AQtM76TzEauRtD8BFMDs5Fxhk/Ofy /GQXQIpHyo7YlMGCYSOTy5Zf3YUevxVDFRV8KLq+bDtrEVx2+RGCTYBqhswws9PV6WiXsJg1Cvd+ XUJrb0fNan3w3FfzY0mFwqm7MzoWaInJ4u40EWLwIMw0muj/sKmUavI/IOaMIUI6pQEbYj/OBeH2 17BCQ/XI0wNK7DKcpL+zPJKUpxqFim96clspYeZsXdCBb0RBN5zYtX6oZvyH03+wuf9PXPhGmX3r 9OxQPwn01vaHlsdjUsu8mlL7aWCJOUh3jDKJ6gztsZZYuyG6vALt6JJCms/fcTaCA+GsBX5PzEiQ 19emiD6FN4K3kdgCQxcioFrmU+8ybgSi9/7JsulaisTxL8aYNqRQlJYVE6Ze6oH3nOc+N/2uR2XR Y4qaJVgeMlU8Ino8JLCpjcV140F7HWLo/xXcjAQF5qqSb8mSPD21DCmnT5p9MM42prchwDRE6k42 Gc36KrrQFwiqEliCJzjzrvmOnvKH1qwIa+ULKfrIwrE0Lu+W2Dun04BPjANrtbeQGiNtflKgb70O fqqV7urq9Rh9nIDrChMBIenvqWwyTrQveBKWZ7dfT9FBXdVjvzbMB0vgcaxTNvJ0aI3L5WIA5wNg KWWQvpDMaqGx4wQJZBXbphJLHcMEt1iSkk5variadRThrFQp4eAZ9Rsg4vR2u8MbXVHW1zEm8+A2 h+xsdxzlWgq7IoohDljECa5jj+ZnjlfBj8ZkIbPvD5qb8spJo7Cmw702XuZU6tLmw5stoRJn3ViB 58Gtu1skz1nrsO+msh9orzVU0UkBxaBkJEz4Avfr3NBBmkSHq9oDcSJkl7QLpg4DDcu5kCx9omxJ c8Xs9C5R7jULLDsjrwvepW9k8g9rxqpGOpFRCTxJGiaOZFxog5lAPhQTfvAJYZ6rgTfUhMLCA2Gj wK07BdPtmy45JfxVLxAF7McvLMZ8miWZYNeoAGDGbYvNW/5nf0VgvFK2QWWCycrm4I3l7c0tSUoX 5/kNuZYBi5dVy8fw09AiP/gKW5d5YSpy5JXwm02iSJ+E/LT+8bFFNVePxVg5RFjbJ0JBQwKBVWVZ bFGpYiWiKZTfJJIv4HvqCutBRd2Su21Y0qFol3EZFylELIJAeATblUazCyFGwKr54WTySEplSM4/ 6v8dBAFfTHUmm7NxCAav1X2iHuX3vxWVUSMcDkz6WenpcZboBlZ+6Ey6gUAQu+9MuZccGXJNkLOa YpYj/TcOF7heHb3ZGRO0bdczkEUI3xQS9wuUoPb/TmlwaLJBUXVEvK2uUKgve7mZ+eq+C0ZxNJeN r0WDThkE9IY9QuZpdAYO59CExD4w0He1pmE/v8mKi1iymZ9QAarQ88K54oSih0DhAB632Wxm+aa+ v+K3jWWqIJwEbzwo+eVgdc4yA6HRKYj1RUsVwvbil1cffxr291/VByBB3NKkuBKsmnWfiDU9Coux jhD+rNtdZIZCQ1ciBApxg3BDv+3QrpesUCXmLnx3lg5ONSHtqqHW/cP7u+hLK/OOna2moMoGSgce jY/MEU3EDR3fT/0T5IZeM6E/lH96QDG2YdaFWyCTxUGtmWO3qZlriof33hFj9Q1SKMoDThA2CZ3P ihcf7RdlH41fCWDkLDDAP2KRIu5daCmT5d/eWFq0Y/DEVdiiELtqigLGqdeB5FCzYuk+WatU/vZc x/wU/YpSECobCz5QYtvY4sNDYP8EYk6qYRW5qT0oxQIRHSDTt9qeinYR+N9baMvhwhTPzw9TNpaT +Bzh0NrgQP2qf9YT2sXD8PWsKBYwXu+qkSnXHpDKn7lDO31qavL5Fb3wm2gx0KpB8IdTrhmN5wjJ yM/UiRkSTYuqfpv9T1M1xo/vmjQLgtLZmQBqxLIqfO5QatWZk+RYLt7t0ej/sZwChZyVGnPE7Led Ag+3kE2pqtA4KNUQHAIqfGY/ni0Jo/UTbQZmOXytMGSeMbIxUCSysitUtENhxzjFrSYvFB12tayV Wx0FMMUGMnjNRmChXmCHbSOyrQASlyjKWohfllkGt5HvJBUikQS/GD8g42cV4+OzUUUMfPLB3sMZ aqpFBVeJRhJiKZTWllPK98OBYxYeMgEl8yOWr96hpstOHAh6Br83/NOg2huLqMkGy4nJ/0xrh+AG KuusYoJE9h6RjfRnNaZYpUUU8JJg5k0A1lj+lNrmImfaIThT4P6ZvDEfKU33+WK3bxCnum5LTI+t W6a6yFz7bxOSIk965JRn8II8Tp79k+gZOAz5RQfah3pl5bmWFin9jlwtaQIW5dR6FQ2hiFBi/Iaw 9TCX3Ehg4qtdjN4zPjzMhBI/4lyyvxC+yhjU9Z1AxhdSuUjL/uLmO43hVBr+9lW/U0kNOZy5KQZw BbuulbLGX2uN7flvU1NPhbg20ZvziGFzvZkDqGdOgjZN0AZePffzScJhQV8WmxxzKEPTKVij1rtd JCKgtVQh4MsZTuLLe83sIDjPss6tKpS7Yg2omMpoDzWEc4qELbW7BiwSaKRmPD5RvZjlTpwVHPXG 7L8q9JqkfsxOowGha94w/mqDPrvI8KX58A7DghYoxokuWI7rH61JD1gXq23NnISQa4T95TlcpqBu rkRUNRSRQKzHAZi7rl9E+WUHRuFfIUFUkrMVjadrlatoKYVeDHqJrZf4c9iMWOVzsGs57ax2zODp RZrZ2/hJHTJkiqC7qkzm8htQ6ZesCFZsXz6L26GBUsRm+zf3Z3cbQf8VeXPBrFVZpBThY6N/71G0 /oXvfMzO1S1C1XdRDd0cUDBTF4dFujV0R/BtBT/+buiPCuoOSDhtPasfo5Ks2244eQ1CzF1YD1o0 JrLrleFdK3h7NtWsYjh9FVONfa9EwHD1sGtXQpq4s3UYdcQ6BYFpcwkhbXsZTPN/mQUl6D3REEhg U3+yDgH4d13d3aOrSLC2A3wdcGfOD52nM7UnbdFG/qw7yLgd2jII9472p/IlQc/575jh1eUaZCyv BWKNOTHnLSElWUcdJ1erMtJ/lWM0IVi4BO3+CXgcBBImzfh1TvxaPIScutXUFEeFNYgXzyeco7mR XYUjZtjr2YArdFoOMS3FI6MJ5en4BwTNcytRmEQYp4GEdKj2654Ub/sPSB16INj96mpIdJo/83mM UPmnm0G9yTnU+SxNbMLcRv7ywH9ZtA/XGv5ohfDxAMakUGiYyNgWVtC7fIdTavlZTBx8sYfu8e3+ T5ZuvePSbxA1xXw2os5F1aZKRVfc2Az1d5s8QGrRLxinYU/1L648TV9qecRRYPhEMQkoiYf8wCox a3IRT5KRSc+wV+IaN1aMQfoNLxUPeT99KyfANMXOTUuBte1t9OWFFfmjZIX8+6Ngw2bpR+gq4ga5 N+lB6fVZq0UYUex7Cyv13CpuQ5g9jo+A5Us2KIcmHVDypIjoe2uipoHUCsAV9UCBtxFmkfNA4V6X LXFCCqOIiryBQC2T8kH99JVCOlqq1OadDydO6ZWhR1z30fanPH3glFJBh1a4QeWwoLm81uLG5WBH hKVJyg7WW1odtN2H8hbSzuQCA2g059KvuEdJ0t0MvHNVADiGN3sYlaF8/Cb+jqmDSLyBLxGnRnpm jDixooDmjU+d9jVc/G1hEitdOsHdeA7weEHpxE3EwGl8ee8kZD29pDzQ7895ZAEEATZDqhUzT6Es CpzI/g5lwkjz5vBP34o67A+k9IwLaeALgqKj3DNJjg1gsoJtWcJf93NkcjyEf07z3NLznSUjpcub mPvwByVaWB5KgMRyKMfquOCF6WwbLFDCwCMNRyOnpSub3KhqJ+WzT5Q3WRAVLCwucoFdkVFOSBUp VZ0Y2+ENVkiRBaai25cJf9tmJiltaoupfwMqGdL4N9wS/9wrL7QpzEbP5mfpGFXW/V8rE8Qbep0A yvbTqlNHzPUah3HygNQNsqsX46hLpjxBBGSpZDEDxxORI7TDKwdIPpPtYEvTp+dftaJy4vDL5Hqq ZPHlazsfUjWH00Un5bW7qSRFg+OXVdrDAcPQR7+e3qh6ss3o9fQ5BdqNDgHwL/eJF5YFsxTH8GFF 0FPAfP28wugAQtmPtYd9Yjd1BKHjB4jNDGu8r0eRSHQj4olc5X9nkcxfjiW1uiJEEKX7l07HY59n RuCd3IwZaJsdSc60NYElYn8eJHhmRGG/96VvqYC4iVDgxloucYJejb9bVn/J8r5eZN9WypmdDOaV 9EJ4soJaD6/BJL32iXRYZhI8975aCpTsSyxoVtZrnEi5BkJlcNqC7cV51YPW7sa6XY7jfl3nzNiw KuqAvazKlP4+xyTv5Cg3jzBpNrpcyUxT5yvLmX2wIWDFDtZGfUss6kmpmFdi5boH5IynRPre8z/7 CP/wspht5WxEyq/JoXbclDChRDoEpIAi6zp2bmB6WFKbgMMP0DMYZ533kFa9sBSABCTC8CMSCJe0 rHsQLQ3J7320WvkiaI86n9/DLiTDRmTSwm3+x7cDnzmzC1m9jZ+yowgvgvHOQQZqH3+uZtxnov2Y i+CBMClwjeb+E24KiUMeq9JAqakIJDBSpbrv1GZeXad5UNghyVUcGE5wYyJTd5qhb0nrD4ayunNt kock+s4isphaDEW5f7Dg++esETJsRlCP/Mnj4Vu7P41xoymjXhxeY3wYay9u+qN1NGmzA/XE5waH hKwVL0YYYUjGxZm7Ht6BiDHzWBBI6GZajrAD/4lqdbAIR0rsDi32GGvS6Lw3ujIwRWNF7FinG4Zh UKcJPutxJVESBv2b8XguGqID9/EVGYZtnNc6yDeC0FzR4MOdovdzdbtpTAH6ZGYLIDEDhWJG0cdN 5uh6K/NPjrUUndvks/ICvxTDkbTs2+JuEhnWYzIvFdTQVFSgrM9BUFV1CFTIpjTwHyuDKa8qw4Y8 mafRCpoWxIcb6ipl1fd5dq+Q67gMZfM48azDa/FfLDC+3wlGe5tJplZ+wBWdbwWMWUrkwUTLautn 3R1IKENTKy6R9DFHqJSnx5liY6T8DAmAfuXMfAf43mKTZWLVvtILz1IW35zBFOF7Zu0BWxmG393H QiaIA71ZbdheOfYV3P8eq/qSYkkP0cJ6VIg+z9sYRE7SQpC2xCxGWf5RPqRyO4qUDWdj/zPgtskn VctmFGshRe6/wrqWj+9tC4d0I/r6bRVuJSXeCZpmyncm30oKiwqcTjE2EcBqCRjLxetXJH8kwZdD CKRkAZR10YkGNs2MKI4yN5nN1RFa20g9+vmcee0Hb3bBENKOr2uRg3fedWk76WdkUIpjh7LUpOHI ml+4l/W0ZuJF3+kpnTByeE+EoTDWuP5c7cGhWw6GBmIJbKD5Ezn0CIAd1LFxoGPPppRrJZABSbc3 nICxGWu3DhvZyAHSz6UkVjCjOnx6ASRqI/5Gft3sq+yMYGU5bY382kxBy2OGBN+tWgrqojBUuQfn PBB4OOLszkxGBLLH0cKd3aDW/xaPOyVffu7X0Kbnt4RKECBTJntVcrfdDo5uvdWnAmf+cO6pxuwG 4KTDLElBdDo+BHpq+v+NWVP/AOidrMD+P8uLA6SPe9jlfrtGs6vziGu41GxoCZuTpcImC0u6NC6U GqlHCA+6DRrBDUvPI+aCJ06eQlHb4KytbkUfZbSSF0sIgnHw48DaK9vS1eNryAGNB/uTHa2mJcDG yq+8Y5JpTHlX6NQEyG7MvdUCHAGk7ms3vhM6MozQ2gy+D/NvKS9o5ie2WdWIIGxWzA0Q7h43tBH6 XhMlT5BZZOzJG/B8HizIoQ2HAqZ7fT0jln15DKEpMLPF/XsBSA3N/zgd0iYMD7SuB7JwL/htTrCi yO37eLe6wrJhWWQYHtXheknoIFy/FVWzbq4jdcWfZpqagIj9/WHEFEKyQOvdHljEQ7ip/YRBpPPD DXqJAfjARffz0GWEywgOiUZtwhOsLGK4Gcrr9O69L/pyYpe7/hEONjDSDJm8UilV6U93GOjBtCbN EJS5dFonUV810rqTNdYjKnGtx4PnWjCEOZkt7WF32/vgnQCUfMFeAV0rNWbtJo5fQMoCVApn5QTh SRI2Yql9sdy06Q7SmU4fBZjK0WrBzhO9imno8mWXlD3JWeUxklpK6Fj0fgNM9Rksa2y0CgxSPPEY 54WqkvBS6RYh5IKnOFwwU4qS0r1VnviH/TSe7Uc6KsMnosDQ9BQ/RJSZrOL5VFhTjRXeI8VqDi9b +PjY3DjWbgQGCcS6Coj5rnIFcnbNMxsDOBxlTxJCVAz8w1Ys2fxJeSH7+x/B5nR/wWeOh9BoD1iF z76L9DrkWGbLoGgM0l9iOpSWW18phvcNuvW1+RFPSiaceZYFAbo+Fw23eeykXjOE3AX4tEfIGf7T FBil61GipESJpp0WugNNh72GbZAKP+CVdaUKJnyN8OEAjP+ODrjzSYWMQmkM6PubV310oh5IdhRW DSJhcXBk79NqDhNm7tWhmOt9NyxsVGeH4HFdzhl1LyoKiJBRqGIKgvJNlXm3/r+XZZbXTReydkHv QknPvbLwFVH/5olJted7DKjX5nCyMAcbTcf2oBDrj+4swiXpBvoJZR6UN08hKqUs7lYyP35m0mdB tMgcWWtaDAC+msTTMnWMZmqsD2bKOMHLYfO14H22RZdTrhDaaVFxaVCURlMusrUKkx6JuLhwTNQs +a7IHplZ9uFquFpmvGFlj7ITwzajj1xGMYn0XhgkCSVmhrJt/VKOcT65pa+mwvKkOjtJDCiv6ng1 yMo+RvA+CoxRRqggvgOD7loPSGzwT5Mu6I6w87/xQu63XYVhEHD8+TllJsagy2JJCpiIliCRJgRb d1Wn3X59nmpY5nNTMgHIBpm4HH8jKJadzOBErnnnhIao5DHSaOILJaDYipm+A5M4N817MTNxgHd/ ca/0i5CXEHnB/mAo9JxAe7Td8zf68YrF6BHSCSEyMkWkDp+EiPdfb+GIiOWM9VMSemEU2pgzbDW/ NjBJTu0fWoO6QE0BQ5f6AJ/4efQxb8zu9//xV7mImhPCbIf0+f5pYBm3yNJpL2IKt/8sfE7PjAGP lCwDPTEMkpJ588OhB4pM79O/WBJiAMRP8zN3A0uw/7LEPfzOLSWAQ93fl1pgzwYZSPeDqQQomomU 3zb+3/sXCwoR5anOiiQFL6uEL3/Willv+QXW8k8s0iJftfXj8Ra5ze6pK/yoEjpAk4CJDgQQUjBN VTtuZVj1FGAVMfHpMLIb5MiiOCmYe9ZQul6OAxt6AaMs16ihnbknfnkk6KEmJlWHW4IHqbBG8dOq G15YuDoTUccMQpz+NUqb+VGeynbztS1mr3E+ZD93OIGNl+FYGo4DA89UATAdLJOkmardk0t8o2zp RDjWW6H2yPZRmAM+oSt31aYzL8WURixB0CXsYody2tKl+hFDNsya07GBhJcRFE7WwrDz4uI2VGCO mvJHwIliD4kLkyWNUJW9fZPhb0o1Axl4g81nmfv3u6mY0bBH0c2R03mTx0LOEEYzPYiQ7dSQg+N6 EyhZ5glucCU1Alc+zHMoTabpF7Qq9QrO3QVn4rdd9SFrXh4+9wx3ZLPL9uzAI6lpwmcG7C9vkKRv 7NF8VsxehMN9iUoktCf6qlReEiJ5kHUTuBaHqf/a24o9Hf04dCgoYrGMh972LlexLC6o2Gs6pzQL OH/VW4ovhy2CAoQO/cKDesBH6ohs2XBuKQaoMGnRlWa+gH6okJpLQDikpjvWb31P+qO/07pxmPHU EODswBpvcBLsX5JbqKzzcOa2mv/97MGBtZwOy4LXwk+f5OluqfGouC8QuFGK+yfPv+kKpSmTjfkv FJDn7EQlu7p5EDj/Q4qolNbz3GEeVcEiboQgwNlnA9tik19hop9HtkMV9AWeAzwRfhk3NdIPD2dd 5BArSC193a1niZNsGqXPmbDNNo4jE3ZWfnc7EO5MRXEX348+JNRcjpk3Tu2xVaW9S7RlgNiqIvwp R06iUTvGV4y710cEqOxXjo0XMP+QUCC2Yb1BvONy43SPh7qOAS5di1+C/uZ4mB9HVz63KbRzlD13 XDHQCmOKtWT3s0CxHTKAK3AUpCVaml0T5UXVlueItB9jsMhO0bR5TQqyLOuBy5PJzag2N1SPh36E lGPxNu1gY94x501mhJg78eBhGOMmKTY9eCLP4YapYmogGFsTh5PA46d83Xt/ccQFqSbj39SgGSHs MJVMmQPsVID5+SY2HgQksaZyPC9UByhgJKW2SVa+QFY+/5QoxzY2/wc6F3+QPXtF4aK556+XMjyQ SA//agQzdGf/eZZyqUXYySeXxxYunLgZtM6YuVq6KtO8GOgtaYqivWL2qb4wsQlu1PNmCCh4SnJP feQPQUHtFzTSTG6oHVcacQbsKhiK+9yDihOwfN+3aN8FcuvMgrWMZCsOa2fYWyLi5PFMfASfr4Zk KCi1PC3vGu+SQTXn1z0/EUxxAtO+T2jMyRSuClpd8FJW1JroLxS0hsPpc6b4YD15m9zDMdMg/hvl IYbRCWtfreZzF1D9B57Z2qHQNuMCFr8NVtLtbIz208WSpzy66n0aIy0nbsnmI4ikJbwoehWtCRQL nBEmPSCdgR2U+teZJ2lwqFwOlApT3AvO/pg2SeL+SNIy8E3VIdsYehztqrVGi+xv0CCQZaBJB8m4 /MNAmacgyTMH7UvhSyW1jdZTutN3u4qK61ccEqRuqbNR3IDzo6TKMn20dfCmQMmWyceifK4681Fe M4S8Lb9kcKvhWm/yMrlxCoDcEurGpORWjeaEMaaV0YpV9+UGwMR1JkpssaY0nwulCsvzlvAaW+WH 7wqX5ngyv1O1nsdcXY3H+fRtx+gEjsF6SI5aVLD8TiWfR5cGR1aqal94HsvWLMn0TLdGeQDCEIGX CosjJ8UK3ohpagr2c7mYIW2sq1XFI3a4KNvsT6I1quOCJSL0aen8DdZNyRFYWvXrmakyMR1eGVWZ eitqmcemKnHWjR4j4e6dFlBrsbUFTrHKf8IUuFQxidB8+eBn5pImDXMRY++NTzvTwqA8vvnE6xr8 KPOKVSEtnCc/Yq2EhXLcrHYctTUT1SqSVPIJnk1n1vp+V09ZitpEoGv9kjs6lXPlUQiNi5iRzUdf 39LN1aYFUgXu7l61s53fkRrbIzAfITtq7LhL4ykvWwrlrH02ckxUo2CwtELvj3WO7Bq21kniPh/1 l5s3t6yRNEaGrLkXHkoKgu2we9lTXq4vh0cy/CC0xl42bjG3obtWtLccFaJUcv/DMBTH/vqUheUr nDKFgaQdkX0gYibIIOJub7lLw1FRENUIf32nkzhir+4lap+0ILQ+wK8WYrpFZZ9V2uw6ad3cHLbl hyNprj9NxfFYQh2wJAGhbLT9okX4iuJa2QwJk0Bx68GOMeT2S+a4tOhYnzFPx2gc7INRDm8VlqOl TvCyYQbQScooxTHsYpG6qe2u5whtBvSzqrUV8hdFkUKXa3m1EpneOZyY7x/0byTfnpnD+vHstPcq VU7WNIewETWTfVNzDlRmfKU/HV5UZrhnnv0kUgQ+9cIGUpTg6hjxeRGlEbaUqTJmfOLkIYn6R8hL 3uBgphfiwN+y6VkPZyFSCN/vqM+KYeJQCgmeOgnbRI90sBEgLvCvZBp8L5YrRh8fWdNGPu4Rkafo Q8VFKXkuUC+h4cXLY3NSkEjHSmQ3yaK+Lbc6BIAYldFJqLIsoYW377SisGHAU7Hnw+fipmckE3Lo 8qxNk+PbNQGqnl7hqc1oQaYyZGRj2muPKO8KrhhUqpaACKsiXQyRfQLcS9hUJz/u6Qa9G2hZ/9hp M95DU0KIeuAQtMp7bKyGYDq6ObjBULjU7q0Z6UWx2fvF2aI+iCcxGH9il8CKU6eqsCR6mT+Og+sC tunsiu9Mu2MH5lSMsn2O4WVqvzGEO1d0mzHhZIdv9kfVTBdptoOpNJYlxHjAV2o95CzbHHlgJ3rW yWe799rNCUyu7I3qsSmRKDZ8X654GLxcRO/+Ql9czZOTUL5xZytaUvZWH1FK+lqR8ygDuF9cLlqp JPxtRUTpEXdLdJmven9qc+alNomOgw6jd497MJDc9JGd7qlpVj8Oor6VlpXElc98SlsB3MMq06EI 4hsrxuuPRkdL79z9CaXaxdums0fCg9wIqTmrOPsKTszgk/E1cxuiWFJLTBCiaBZOxC1AZHAr9yfK By6dlz9LGTcByUpZUKqEK8UMhfos6GMdBrP94paFFBmpvN3cSb72+SSdutFmjDtYs4dMJsQnLpGV ZL9CdLlM3P1iTNhJyReIfV6T/Mw33ZL+flNhEqfrIWB4nzZHtAQYn4rx87G9fDcdVL+EqVYSHgAL 62WzEHm8CkuSoCga7hXDyq2gtVRua/Ll40VqzwwNextW35HLrP+oO/10MgbwE23MYmjgQOC/hE5S DgzZsFHcEFvLdaz3aAFyDHsASLNVchQS0n7rko2ov2SXm8pwPaNFfhfKhOBfooBONh/6SULNOS3e dLbkRJpQMld6TF8tLfOTK/5Wx7oMyX7lMEerNto+Uxy87Llph8WAaWh4aa3zD1rCFi4/0lf6BW+t YJsRyWFxMm/V6isrFublM7ZrwTAjIjOX1+kcd6nwGSUvwFpye72ORcTONYgvEhzCWq+Uo6ebxDbA ouo2HzDNPe2h/FHwExcKFE/5sPt47XNNXW6foXVWh+lTTed4z+aRJcmUA2rBGgXyfQ1SLVANqDYy gJ0XyhAoIDWkNvnpYuGV4ofeOq6aQUI0WD0Bkd/sri5dD82fCECv0orwLP7eZ+g7m/8oDeaZz0Q2 n5AGccz/3QZzrkgHri5b8KkiE3FKewXWMYp19yDTQYlbCDtKkBfCLYRXM9dvzESsTK4mC5XXTaBW 9VlDwxWR4Jih4KlZwM7tQMvA7gdg9kgtHa21oUTzSmgSq0F3yQW8vntarG+fmkn5zkbzwiQGKQfR vfIEgJHWr8qL2KslbqGVQkzucklcTzlw/MPWeywRdp7huXIjQtxhCYdODlGvZ7WbJyVoDLCBcY6m I09cp9F7c4RqEaIX+pUAqhpiSg62wPyxXOHBNkV76tJBxyKbztvxi+dSaFSZr3x54FhV1RyGHRfQ hU6p19isYuFSYpUqKt6e/K/48yMb5y9I3pDRhSuF77HqCGOEzwUWVKoXVzUuWHqG+yowKyjPqryY 7yJZwTSIuXptZhj79/oKS/Bb7qEjIU3rgI85delGH4yP+8ttB09LIbtwmm0fxpgavama8/iuc9EK U6KFK6ggcd3dJ6tNBUlkMZeKiEK6n/lVpA9hb2Jbi5/6pOW555l9Jmmk3ymdF22EGar91y4UXfO1 +V66XioL2dPagT6Rq+1+cTMFa0kjjbfIvTLwMHIT6Kucs3qEexHGmG0gWAM1G1q5louXtZOhoSUz pNo7Ad5P0hwqZZnhMexTu3u6ndRO4oRIFvUcJg8pyxM+7Wed7NyAjITSaFJ6YYjDJO4WKNsaO6MX N+xXaHCqugT1rDfK1H06UQQrIruaZTtJ1kWhGRZeNTNK7FSFwL/GtkzTmo17GPn3bTzCy3Q3Q0Io KPUyPEPXZxUyLytlKWcjXpdRNDZ7IjA+fap1VQgJUjCnOyUHN7P4JY0ISA04YkQ0roGR9F5tUoYf RcmK/xMhvnxikoaoes6Ns8IVAJMk/3BEWnLNcC4in4rPBjtHKjQz2adxiebkxQAI2t1WTRU8AiPZ 85oVz0xJgpXcuWJN+PN7S2WfHfsIzhUE9SMFRspNRIbf84Hiwp/aUoSO5/aHWCAYMBMbj8Iq1xHr cwvIEPS3VyEkQyJupjMLdnItDB7tcpGJgnGIgKHAOyX6IKImaLoH9KzSlGJJ89hNVZvESgTDsAmJ 2NKS4Bx1yi/REzCX2q/rQyqLe2DtrKDEOO+GJnoZflYbZWx68O3tH6VyRsAG/cYvEnkYrEzUvYWi 9PIpS4hNAy7EUktK1FYIW4csqnQdcRudrd6GdLo05sEXLAMBt8oGj0MSw1EVcWawRhRFXFxP90JB 47qIj7x8c7od9U0aN7MBKpNpC5M4YVaxkrJF0hLeEkM4xqJzr5aQLNMA+CthhCG3/Ci8shPPsW+J yLwRCP1P6hLAIfokacIWRa/e1WBo5WNeYeJCwbov+7sH192S5R1dtndD+2mdDUOkW28Ee83QU5wq M0eJXzT8yFbcJc2E+XPEN1feN0VdioxIhbq85b6z/KFnrVFwC9BLifZswLGLBmPZWTIHgVmRxab5 +a+eCDnOJEWCWnkpmnelCXbcqQ0eEhYCG6zqgSBkipmsXgPlEIobTpd5ZDLmuz7vsivUA9TQEDPu DiOyC09M2rAV7yUcQ3O0PsLoJOoJnEudOzBq/EADbqRXutb1qfY6nxk9zd4Oss7kQszTnLeflm09 j7neJvkXP5T2vrPqTAqqN5xqshMJHpaBaqz7vHG9RPO6sWu7dlLmtBRzKEIzAEOytrc6rD7+J+RO 160ZahaWfTwoYlYpVGzcshF0HFGB/TYBqeMVCTKxYA5ZfUg7yLYCmWGn+E2x8/fZp8bhe5r0TfO0 neIRD/+XvxvRsTykwK0Mo/TiKdrbZAgUujiwz8WlcYsXdhzVAu5GPYQFDPWA+kb0bPSfmmkzUmg6 W1NoNLEzQGm6Q8PVDI1HIkN5u1zQx/INnt7hcKvotCAa+JjZvS1b0WLne44tc+6vO2Z54Bb+aqvA feWAZ+1IVEd0Hky7BSLY2jfwJxNM46RZ2CTK4XHz5F1ts7wKWuAjHo/WxkTqJvdpqUn8tjIbTbeo A7gEKAY2milii6LypUgEFhVyyU0S0eOK/KPiZ6AV0N5Q/TaSF7AZjW3OTui9ZlEOIFH5H095/Ows /Smjk2gWMx6xgAoEKBHfOpzJyuJJW/Wf/McX/no+Xip9HCTSvmFrS0V/zVF8YwqYfcqflE7QXuhn VHEUIb9Gy5WU2yzk3HkORuqGr+LIYjltXjAjLR05aaIhkx7EVTvtTFK6alq8fD5DtIAJVLVE8kZM e6PpnFw7VaSRoxsZ9TzM/GMyoPsDYv7bKnnMkgdehagRl+sq6tEfYYTR9wefCIpQsU+ETSg24lFY wIeFBJgdsOXc/q0WPyCPIVKH69Do43H0aIF8sq3HioUPytr/43eDvK0wUf7acpVAw1bcUcKaaY+f TB4xe5fKC0RzCapighUNgTln8/x5bF7bHMgWmGBkqwLkZ8eJx6E6Ff7wZRJxsdhYW6PAkjuUv0fq oFX5UhTPKddX+/9J8sqn2+Wj0UIPtHIFaZEM5B0iNP4OBOeOBXZFVmCYpxaqKRoAcW2ayJIT4nT4 0AfP+8ZvVtoufXMCqjfD18JNAM1cszQnOzZ08tHFiU+wtr52QqXsEK1p6Wo9QYqRQYshh24aAPXe T5rzaeVmDRwWDNxZm04kh1at7DSB43QKbgggxoW5a35IrhK1XpAOfArtJaXoFai0EdK+DPlLVKOX C4xNmz9A7mk8PFFT6YrA63X25Bc23IXKO9xdAsP7PuVNzr751SdEqanzD2TDjo0aKofPYSrJVIQx vBWoR2U0xOrKU1sBhLBtTMRkp/wqPpw8xwwi/Hl/qCYlJIFnZey79ohcu8nHn8wtcim6YzqQ1Cei yQkEIAr5ALiaOIFym0my+00G1PzPK7R/rUVrWwX5HDLo7YxUszRdt2pYO6t8ApXsVb94I0ZuCAn3 NZTnnYcf6y57qaBwO7V4Y02pPc1F5NOmZ4U/fC4u3Gaz7Kw3vYK/7sAgsKdL6WjXmiEFy+7LQaWc qSDwH0t7n5Ic3DOmnUx6DlSRNWUZ6IDD6v8SYzudQan1czCik3iV2HTTNpL/S/YczXFtt57iAk07 bK05kwhzgy5alD1Ba4hORnKrRMRQHMD3r7rPPv2lyfbIVOTUgtTI7+0BRuCGrgnW2KwBEnupLnON Np7nnIYWDgXq4/jBbrxAadkipV/Be7G3bUmZ/bIbLkaatHfhm+5MJjoXXQGTO50WRJ9km8ahdXxE UD+SIMXPeoyCn2syWoi5cII+SXv+C2jYCg3WaL0FM+TI8NfP9+gWLusUJPDtcmz4pFMp3c3RMhit hZE+HUjKCAjtUlTFxz7ECSbIYV0BL70k5iAYKjqiqk7k `protect end_protected
gpl-3.0
suoto/hdlcc
.ci/test_support/test_project/basic_library/two_entities_one_file.vhd
1
96
entity entity_a is end entity; entity entity_a is end entity; entity entity_b is end entity;
gpl-3.0
hiyuh/nvc
test/regress/issue59.vhd
5
752
package p is pure function f( i : boolean ) return integer; end package p; package body p is pure function f( i : boolean ) return integer is begin if (i = false) then return 0; else return 1; end if; end function f; end package body p; ------------------------------------------------------------------------------- use work.p.all; package q is constant d : integer := f(true) + 1; end package q; package body q is end package body q; ------------------------------------------------------------------------------- use work.q.all; entity issue59 is begin assert (d = 2); end entity issue59; architecture a of issue59 is begin end architecture a;
gpl-3.0
hiyuh/nvc
test/regress/issue204.vhd
5
228
entity issue204 is end entity; architecture a of issue204 is type enum_t is (a, b); begin main : process begin assert enum_t'leftof(b) = a; assert enum_t'rightof(a) = b; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/sem/issue221.vhd
5
723
package fred4 is type fred4_t is protected impure function is_empty return boolean; impure function hi_there return string; end protected fred4_t; end package fred4; package body fred4 is type fred4_t is protected body ---------------------------------------- impure function is_empty return boolean is begin return TRUE; end function is_empty; ---------------------------------------- impure function hi_there return string is begin if is_empty then return "perfect"; else return "we have a problem"; end if; end function hi_there; ---------------------------------------- end protected body fred4_t; end package body fred4;
gpl-3.0
dobairoland/ZyEHW
hw/hdl/axi_evol.vhd
1
14526
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.zyehw_pkg.all; entity axi_evol is port ( s_axi_aclk: in std_logic; s_axi_aresetn: in std_logic; s_axi_arvalid: in std_logic; s_axi_awvalid: in std_logic; s_axi_bready: in std_logic; s_axi_rready: in std_logic; s_axi_wvalid: in std_logic; s_axi_arprot: in std_logic_vector(2 downto 0); s_axi_awprot: in std_logic_vector(2 downto 0); s_axi_araddr: in std_logic_vector(31 downto 0); s_axi_awaddr: in std_logic_vector(31 downto 0); s_axi_wdata: in std_logic_vector(31 downto 0); s_axi_wstrb: in std_logic_vector(3 downto 0); s_axi_arready: out std_logic; s_axi_awready: out std_logic; s_axi_bvalid: out std_logic; s_axi_rvalid: out std_logic; s_axi_wready: out std_logic; s_axi_bresp: out std_logic_vector(1 downto 0); s_axi_rresp: out std_logic_vector(1 downto 0); s_axi_rdata: out std_logic_vector(31 downto 0); end_flag: in std_logic; start_rst: in std_logic; fitness: in fitness_arr_t; fifo_rderr: in std_logic; fifo_wrerr: in std_logic; frame_count: in frame_count_t; start_flag: out std_logic; chromosome: out mux_chromosome_arr_t ); end axi_evol; architecture beh_axi_evol of axi_evol is constant addr_width: integer:= 32; constant data_width: integer:= 32; constant numregisters: integer:= 1 -- control/status + population -- fitness + population *( -- chromosome 2*( -- a and b inputs columns*rows -- PEs *input_sel_width/data_width ) + 1 -- out multiplexers ); constant w32bytes: integer:= data_width/8; constant word_bits: integer:= integer(ceil(log2(real(w32bytes)))); constant reg_bits: integer:= integer(ceil(log2(real(numregisters)))); signal writeaddr, readaddr: std_logic_vector(reg_bits-1 downto 0); signal awready: std_logic; signal wready: std_logic; signal bvalid: std_logic; signal arready: std_logic; signal rdata: std_logic_vector(addr_width-1 downto 0); signal rvalid: std_logic; subtype reg_t is std_logic_vector(data_width-1 downto 0); type regs_t is array (0 to numregisters-1) of reg_t; signal databus: std_logic_vector(data_width-1 downto 0); signal regs: regs_t; signal reg_rden: std_logic; signal reg_wren: std_logic; begin -- Only the start_flag and the chromosome are real registers here. -- The end_flag, fifo_rderr, fifo_wrerr are read together with start_flag -- and are read-only. The fitness is also read-only. -- Addressing -- mux bits: -- (31..28) (27..24) (23..20) (19..16) (15..12) (11..8) (7..4) (3..0) -- -- regs(0) - (3) fifo_wrerr, (2) fifo_rderr, (1) end_flag, (0) start_flag -- Individual 0: -- regs( 1) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs( 2) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs( 3) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs( 4) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs( 5) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs( 6) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs( 7) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs( 8) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs( 9) - (3..2) filter_switch, (1..0) out_select -- Individual 1: -- regs(10) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs(11) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs(12) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs(13) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs(14) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs(15) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs(16) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs(17) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs(18) - (3..2) filter_switch, (1..0) out_select -- Individual 2: -- regs(19) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs(20) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs(21) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs(22) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs(23) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs(24) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs(25) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs(26) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs(27) - (3..2) filter_switch, (1..0) out_select -- Individual 3: -- regs(28) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs(29) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs(30) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs(31) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs(32) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs(33) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs(34) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs(35) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs(36) - (3..2) filter_switch, (1..0) out_select -- Individual 4: -- regs(37) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs(38) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs(39) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs(40) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs(41) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs(42) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs(43) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs(44) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs(45) - (3..2) filter_switch, (1..0) out_select -- Individual 5: -- regs(46) - b_mux(3, 0), a_mux(3, 0), ..., b_mux(0, 0), a_mux(0, 0) -- regs(47) - b_mux(3, 1), a_mux(3, 1), ..., b_mux(0, 1), a_mux(0, 1) -- regs(48) - b_mux(3, 2), a_mux(3, 2), ..., b_mux(0, 2), a_mux(0, 2) -- regs(49) - b_mux(3, 3), a_mux(3, 3), ..., b_mux(0, 3), a_mux(0, 3) -- regs(50) - b_mux(3, 4), a_mux(3, 4), ..., b_mux(0, 4), a_mux(0, 4) -- regs(51) - b_mux(3, 5), a_mux(3, 5), ..., b_mux(0, 5), a_mux(0, 5) -- regs(52) - b_mux(3, 6), a_mux(3, 6), ..., b_mux(0, 6), a_mux(0, 6) -- regs(53) - b_mux(3, 7), a_mux(3, 7), ..., b_mux(0, 7), a_mux(0, 7) -- regs(54) - (3..2) filter_switch, (1..0) out_select -- regs(55) - fitness of individual 0 -- regs(56) - fitness of individual 1 -- regs(57) - fitness of individual 2 -- regs(58) - fitness of individual 3 -- regs(59) - fitness of individual 4 -- regs(60) - fitness of individual 5 start_flag <= regs(0)(0); regs(0)(1) <= end_flag; regs(0)(2) <= fifo_rderr; regs(0)(3) <= fifo_wrerr; regs(0)(reg_t'high downto 4) <= frame_count; chrom_intconn: for i in 0 to population-1 generate chrom_col: for j in 0 to columns-1 generate chrom_row: for k in 0 to rows-1 generate chromosome(i).a_mux(k, j) <= regs(i*(columns+1)+j+1)( input_sel_width*(2*k+1)-1 downto input_sel_width*2*k); chromosome(i).b_mux(k, j) <= regs(i*(columns+1)+j+1)( input_sel_width*2*(k+1)-1 downto input_sel_width*(2*k+1)); end generate; end generate; chromosome(i).out_select <= regs((i+1)*(columns+1))( output_sel_width-1 downto 0*output_sel_width); chromosome(i).filter_switch <= regs((i+1)*(columns+1))( 2*output_sel_width-1 downto 1*output_sel_width); end generate; fitness_intconn: for i in numregisters-population to numregisters-1 generate regs(i)(fitness_t'range) <= fitness(i-(numregisters-population)); regs(i)(reg_t'high downto fitness_t'high+1) <= (others => '0'); end generate; s_axi_awready <= awready; s_axi_wready <= wready; s_axi_bvalid <= bvalid; s_axi_arready <= arready; s_axi_rdata <= rdata; s_axi_rvalid <= rvalid; reg_wren <= wready and s_axi_wvalid and awready and s_axi_awvalid; reg_rden <= arready and s_axi_arvalid and (not rvalid); process (s_axi_aclk) begin if rising_edge(s_axi_aclk) then if s_axi_aresetn = '0' then awready <= '0'; writeaddr <= (others => '0'); wready <= '0'; bvalid <= '0'; arready <= '0'; readaddr <= (others => '1'); rvalid <= '0'; rdata <= (others => '0'); else if (awready = '0' and s_axi_awvalid = '1' and s_axi_wvalid = '1') then awready <= '1'; else awready <= '0'; end if; if (awready = '0' and s_axi_awvalid = '1' and s_axi_wvalid = '1') then writeaddr <= s_axi_awaddr(reg_bits+word_bits-1 downto word_bits); end if; if (wready = '0' and s_axi_wvalid = '1' and s_axi_awvalid = '1') then wready <= '1'; else wready <= '0'; end if; if (awready = '1' and s_axi_awvalid = '1' and wready = '1' and s_axi_wvalid = '1' and bvalid = '0') then bvalid <= '1'; elsif (s_axi_bready = '1' and bvalid = '1') then bvalid <= '0'; end if; if (arready = '0' and s_axi_arvalid = '1') then arready <= '1'; readaddr <= s_axi_araddr(reg_bits+word_bits-1 downto word_bits); else arready <= '0'; end if; if (arready = '1' and s_axi_arvalid = '1' and rvalid = '0') then rvalid <= '1'; elsif (rvalid = '1' and s_axi_rready = '1') then rvalid <= '0'; end if; if (reg_rden = '1') then rdata <= databus; end if; end if; end if; end process; registers: for i in regs'range generate -- in the control register only the start bit is writable (and is -- resetable by the signal from the cgp core) control_wr: if i = 0 generate process (s_axi_aclk, start_rst) is begin if start_rst = '1' then regs(0)(0) <= '0'; else if rising_edge(s_axi_aclk) then if (reg_wren = '1' and writeaddr = std_logic_vector(to_unsigned(0, reg_bits))) then if s_axi_wstrb(0) = '1' then regs(0)(0) <= s_axi_wdata(0); end if; end if; end if; end if; end process; end generate; -- the chromosome registers are resetable and writable regular -- registers chromosome_wr: if ((i > 0) and (i < (numregisters-population))) generate write_process: process (s_axi_aclk) is begin if rising_edge(s_axi_aclk) then if s_axi_aresetn = '0' then regs(i) <= (others => '0'); else if (reg_wren = '1' and writeaddr = std_logic_vector(to_unsigned(i, reg_bits))) then for j in 0 to w32bytes-1 loop if s_axi_wstrb(j) = '1' then regs(i)((j+1)*8-1 downto j*8) <= s_axi_wdata((j+1)*8-1 downto j*8); end if; end loop; end if; end if; end if; end process; end generate; -- the fitness registers are read-only end generate; databusgen: for i in regs'range generate process (regs, readaddr, s_axi_aresetn) begin if s_axi_aresetn = '0' then databus <= (others => '1'); else if readaddr = std_logic_vector(to_unsigned(i, reg_bits)) then databus <= regs(i); else databus <= (others => 'Z'); end if; end if; end process; end generate; end beh_axi_evol;
gpl-3.0
hiyuh/nvc
test/regress/func11.vhd
5
403
entity func11 is end entity; architecture test of func11 is function foo(x : integer) return integer is begin return x + 1; end function; function foo(x : integer) return real is begin return real(x) + 1.0; end function; begin process is begin assert foo(1) = 2; assert foo(1) = 2.0; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/parse/extended.vhd
4
264
-- Test extended identifiers architecture foo of bar is signal \foo bar\ : integer; signal \a\\b\ : integer; signal \Thing!!! \ : integer; signal \name\ : integer; signal name : integer; begin \foo.bar.baz\ <= \hello\; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/func14.vhd
5
772
entity func14 is end entity; architecture test of func14 is begin one: process is function func(x : integer) return integer is begin return x * 2; end function; variable y : integer; begin y := 2; wait for 1 ns; assert func(y) = 4; y := 4; wait for 1 ns; assert func(y) = 8; wait; end process; two: process is function func(x : integer) return integer is begin return x / 2; end function; variable y : integer; begin y := 2; wait for 1 ns; assert func(y) = 1; y := 4; wait for 1 ns; assert func(y) = 2; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/attr9.vhd
3
454
entity attr9 is end entity; architecture test of attr9 is begin process is type my_small_int is range 1 to 10; begin assert integer'value("1") = 1; assert natural'value(" 12_3") = 123; assert my_small_int'value("5 ") = 5; assert boolean'value("true") = true; assert boolean'value("FALSE") = false; assert character'value("'x' ") = 'x'; wait; end process; end architecture;
gpl-3.0
hiyuh/nvc
test/regress/bitvec.vhd
3
679
entity bitvec is end entity; architecture test of bitvec is function get_bitvec(x, y : integer) return bit_vector is variable r : bit_vector(x to y) := "00"; begin return r; end function; begin process is variable b : bit_vector(3 downto 0); begin b := "1101"; assert not b = "0010"; assert (b and "1010") = "1000"; assert (b or "0110") = "1111"; assert (b xor "0111") = "1010"; assert (b xnor "0111") = "0101"; assert (b nand "1010") = "0111"; assert (b nor "0110") = "0000"; assert get_bitvec(1, 2) = "00"; wait; end process; end architecture;
gpl-3.0