repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
Project-Bonfire/EHA
RTL/Router/credit_based/Checkers/Control_Part_Checkers/FIFO_one_hot_credit_based_packet_drop_classifier_support_checkers/RTL/FIFO_one_hot_credit_based_packet_drop_classifier_support_checkers.vhd
3
54694
--Copyright (C) 2016 Siavoosh Payandeh Azad and Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity FIFO_credit_based_control_part_checkers is port ( valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; read_pointer: in std_logic_vector(3 downto 0); read_pointer_in: in std_logic_vector(3 downto 0); write_pointer: in std_logic_vector(3 downto 0); write_pointer_in: in std_logic_vector(3 downto 0); credit_out: in std_logic; empty_out: in std_logic; full_out: in std_logic; read_en_out: in std_logic; write_en_out: in std_logic; fake_credit: in std_logic; fake_credit_counter: in std_logic_vector(1 downto 0); fake_credit_counter_in: in std_logic_vector(1 downto 0); state_out: in std_logic_vector(4 downto 0); state_in: in std_logic_vector(4 downto 0); fault_info: in std_logic; health_info: in std_logic; faulty_packet_out: in std_logic; faulty_packet_in: in std_logic; flit_type: in std_logic_vector(2 downto 0); fault_out: in std_logic; write_fake_flit: in std_logic; -- Functional checkers err_empty_full, err_empty_read_en, err_full_write_en, err_state_in_onehot, err_read_pointer_in_onehot, err_write_pointer_in_onehot, -- Structural checkers err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_write_en, err_not_write_en, err_not_write_en1, err_not_write_en2, err_read_en_mismatch, err_read_en_mismatch1, -- Newly added checkers for FIFO with packet drop and fault classifier support! err_fake_credit_read_en_fake_credit_counter_in_increment, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement, err_not_fake_credit_read_en_fake_credit_counter_in_not_change, err_fake_credit_not_read_en_fake_credit_counter_in_not_change, err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change, err_fake_credit_read_en_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out, err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out, --err_fake_credit_read_en_fake_credit_counter_zero_not_credit_out, --err_valid_in_state_out_state_in_not_change, -- Checkers for Packet Dropping FSM of FIFO err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit, err_state_out_Idle_not_fault_out_valid_in_state_in_not_change, err_state_out_Idle_not_fault_out_not_fake_credit, err_state_out_Idle_not_fault_out_not_fault_info, err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal, err_state_out_Idle_fault_out_fake_credit, err_state_out_Idle_fault_out_state_in_Packet_drop, err_state_out_Idle_fault_out_fault_info, err_state_out_Idle_fault_out_faulty_packet_in, err_state_out_Idle_not_health_info, err_state_out_Idle_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit, err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit, --err_state_out_Header_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change, err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info, err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_valid_in_fault_out_write_fake_flit, err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Header_flit_valid_in_fault_out_fault_info, err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Header_flit_not_valid_in_not_fault_info, err_state_out_Header_flit_not_valid_in_not_write_fake_flit, err_state_out_Header_flit_or_Body_flit_not_fake_credit, err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit, err_state_out_Body_flit_valid_in_not_fault_out_health_info, --err_state_out_Body_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change, err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit, err_state_out_Body_flit_valid_in_not_fault_out_fault_info, err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_valid_in_fault_out_write_fake_flit, err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Body_flit_valid_in_fault_out_fault_info, err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change, err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Body_flit_not_valid_in_not_fault_info, err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info, err_state_out_Body_flit_valid_in_fault_out_not_health_info, err_state_out_Body_flit_valid_in_not_health_info, err_state_out_Body_flit_not_fake_credit, err_state_out_Body_flit_not_valid_in_not_write_fake_flit, err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit, --err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change, err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit, err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info, err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Tail_flit_valid_in_fault_out_fake_credit, err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop, err_state_out_Tail_flit_valid_in_fault_out_fault_info, err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in, err_state_out_Tail_flit_not_valid_in_state_in_Idle, err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change, err_state_out_Tail_flit_not_valid_in_not_fault_info, err_state_out_Tail_flit_not_valid_in_not_fake_credit, err_state_out_Tail_flit_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit, err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change, err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change, err_state_out_Packet_drop_not_fault_info, err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit, err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit, err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change, err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change : out std_logic --err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_and_tail_or_fault_out_state_in_state_out_not_change --err_state_out_invalid_state_in_state_out_not_change, --err_state_out_invalid_not_fault_info, --err_state_out_invalid_not_health_info, --err_state_out_invalid_not_fake_credit, --err_state_out_invalid_not_write_fake_flit, --err_state_out_invalid_faulty_packet_in_faulty_packet_out_not_change: out std_logic ); end FIFO_credit_based_control_part_checkers; architecture behavior of FIFO_credit_based_control_part_checkers is CONSTANT Idle: std_logic_vector (4 downto 0) := "00001"; CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010"; CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100"; CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000"; CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000"; --signal read_en_signal: std_logic; begin --read_en_signal <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty_out; -- Functional Checkers (Might cover or be covered by some of the structural checkers) -- Empty and full cannot be high at the same time! process (empty_out, full_out) begin if (empty_out = '1' and full_out = '1') then err_empty_full <= '1'; else err_empty_full <= '0'; end if; end process; -- Reading from an empty FIFO is not possible! process (empty_out, read_en_out) begin if (empty_out = '1' and read_en_out = '1') then err_empty_read_en <= '1'; else err_empty_read_en <= '0'; end if; end process; -- Writing to a full FIFO is not possible! process (full_out, write_en_out) begin if (full_out = '1' and write_en_out = '1') then err_full_write_en <= '1'; else err_full_write_en <= '0'; end if; end process; -- The states of the packet dropping FSM of FIFO must always be one-hot (state_in)! process (state_in) begin if (state_in /= Idle and state_in /= Header_flit and state_in /= Body_flit and state_in /= Tail_flit and state_in /= Packet_drop) then err_state_in_onehot <= '1'; else err_state_in_onehot <= '0'; end if; end process; -- Read pointer must always be one-hot! process (read_pointer_in) begin if (read_pointer_in /= "0001" and read_pointer_in /= "0010" and read_pointer_in /= "0100" and read_pointer_in /= "1000") then err_read_pointer_in_onehot <= '1'; else err_read_pointer_in_onehot <= '0'; end if; end process; -- Write pointer must always be one-hot! process (write_pointer_in) begin if (write_pointer_in /= "0001" and write_pointer_in /= "0010" and write_pointer_in /= "0100" and write_pointer_in /= "1000") then err_write_pointer_in_onehot <= '1'; else err_write_pointer_in_onehot <= '0'; end if; end process; --------------------------------------------------------------------------------------------------------- -- Structural Checkers -- Write pointer and Read pointer checkers process (write_en_out, write_pointer_in, write_pointer) begin if (write_en_out = '1' and write_pointer_in /= (write_pointer(2 downto 0) & write_pointer(3)) ) then err_write_en_write_pointer <= '1'; else err_write_en_write_pointer <= '0'; end if; end process; -- Checked ! process (write_en_out, write_pointer_in, write_pointer) begin if (write_en_out = '0' and write_pointer_in /= write_pointer ) then err_not_write_en_write_pointer <= '1'; else err_not_write_en_write_pointer <= '0'; end if; end process; -- Checked ! process (read_pointer, write_pointer, empty_out) begin if (read_pointer = write_pointer and empty_out = '0' ) then err_read_pointer_write_pointer_not_empty <= '1'; else err_read_pointer_write_pointer_not_empty <= '0'; end if; end process; -- Checked ! process (read_pointer, write_pointer, empty_out) begin if (read_pointer /= write_pointer and empty_out = '1' ) then err_read_pointer_write_pointer_empty <= '1'; else err_read_pointer_write_pointer_empty <= '0'; end if; end process; -- Checked ! process (write_pointer, read_pointer, full_out) begin if (write_pointer = (read_pointer(0)&read_pointer(3 downto 1)) and full_out = '0' ) then err_read_pointer_write_pointer_not_full <= '1'; else err_read_pointer_write_pointer_not_full <= '0'; end if; end process; -- Checked ! process (write_pointer, read_pointer, full_out) begin if (write_pointer /= (read_pointer(0)&read_pointer(3 downto 1)) and full_out = '1' ) then err_read_pointer_write_pointer_full <= '1'; else err_read_pointer_write_pointer_full <= '0'; end if; end process; -- Checked ! process (read_en_out, empty_out, read_pointer_in, read_pointer) begin if (read_en_out = '1' and empty_out = '0' and read_pointer_in /= (read_pointer(2 downto 0)&read_pointer(3)) ) then err_read_pointer_increment <= '1'; else err_read_pointer_increment <= '0'; end if; end process; -- Checked ! process (read_en_out, empty_out, read_pointer_in, read_pointer) begin if ( (read_en_out = '0' or empty_out = '1') and read_pointer_in /= read_pointer ) then err_read_pointer_not_increment <= '1'; else err_read_pointer_not_increment <= '0'; end if; end process; -- Checked ! process (valid_in, faulty_packet_out, fault_out, write_fake_flit, full_out, write_en_out) begin if (valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full_out ='0' and write_en_out = '0') then err_write_en <= '1'; else err_write_en <= '0'; end if; end process; -- Updated ! process (valid_in, write_en_out) begin if (valid_in = '0' and write_en_out = '1') then err_not_write_en <= '1'; else err_not_write_en <= '0'; end if; end process; process (valid_in, faulty_packet_out, fault_out, write_fake_flit, full_out, write_en_out) begin if ( valid_in = '1' and ((faulty_packet_out = '1' or fault_out = '1') and write_fake_flit = '0') and write_en_out = '1') then err_not_write_en1 <= '1'; else err_not_write_en1 <= '0'; end if; end process; process (valid_in, faulty_packet_out, fault_out, write_fake_flit, full_out, write_en_out) begin if ( valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full_out = '1' and write_en_out = '1') then err_not_write_en2 <= '1'; else err_not_write_en2 <= '0'; end if; end process; -- Updated ! process (read_en_N, read_en_E, read_en_W, read_en_S, read_en_L, empty_out, read_en_out) begin if ( (read_en_N = '1' or read_en_E = '1' or read_en_W = '1' or read_en_S = '1' or read_en_L = '1') and empty_out = '0' and read_en_out = '0' ) then err_read_en_mismatch <= '1'; else err_read_en_mismatch <= '0'; end if; end process; process (read_en_N, read_en_E, read_en_W, read_en_S, read_en_L, empty_out, read_en_out) begin if ( ((read_en_N = '0' and read_en_E = '0' and read_en_W = '0' and read_en_S = '0' and read_en_L = '0') or empty_out = '1') and read_en_out = '1' ) then err_read_en_mismatch1 <= '1'; else err_read_en_mismatch1 <= '0'; end if; end process; -- Newly added checkers for FIFO with packet drop and fault classifier support! process (fake_credit, read_en_out, fake_credit_counter_in, fake_credit_counter) begin if (fake_credit = '1' and read_en_out = '1' and fake_credit_counter_in /= fake_credit_counter + 1) then err_fake_credit_read_en_fake_credit_counter_in_increment <= '1'; else err_fake_credit_read_en_fake_credit_counter_in_increment <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter, fake_credit_counter_in) begin if (fake_credit = '0' and read_en_out = '0' and fake_credit_counter > 0 and fake_credit_counter_in /= fake_credit_counter - 1 ) then err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement <= '1'; else err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter_in, fake_credit_counter) begin if (fake_credit = '0' and read_en_out = '1' and fake_credit_counter_in /= fake_credit_counter) then err_not_fake_credit_read_en_fake_credit_counter_in_not_change <= '1'; else err_not_fake_credit_read_en_fake_credit_counter_in_not_change <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter_in, fake_credit_counter) begin if (fake_credit = '1' and read_en_out = '0' and fake_credit_counter_in /= fake_credit_counter) then err_fake_credit_not_read_en_fake_credit_counter_in_not_change <= '1'; else err_fake_credit_not_read_en_fake_credit_counter_in_not_change <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter, fake_credit_counter_in) begin if (fake_credit = '0' and read_en_out = '0' and fake_credit_counter <= 0 and fake_credit_counter_in /= fake_credit_counter) then err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change <= '1'; else err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change <= '0'; end if; end process; process (fake_credit, read_en_out, credit_out) begin if ((fake_credit = '1' or read_en_out ='1') and credit_out = '0') then err_fake_credit_read_en_credit_out <= '1'; else err_fake_credit_read_en_credit_out <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter, credit_out) begin if (fake_credit = '0' and read_en_out = '0' and fake_credit_counter > 0 and credit_out = '0') then err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out <= '1'; else err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out <= '0'; end if; end process; process (fake_credit, read_en_out, fake_credit_counter, credit_out) begin if (fake_credit = '0' and read_en_out = '0' and fake_credit_counter <= 0 and credit_out = '1') then err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out <= '1'; else err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out <= '0'; end if; end process; --process (fake_credit, read_en_out, credit_out) --begin -- if (fake_credit = '1' and read_en_out = '1' and credit_out = '1') then -- err_fake_credit_read_en_fake_credit_counter_zero_not_credit_out <= '1'; -- else -- err_fake_credit_read_en_fake_credit_counter_zero_not_credit_out <= '0'; -- end if; --end process; -- Checkers for Packet Dropping FSM of FIFO --process (valid_in, state_out, state_in) --begin -- if (valid_in = '0' and (state_out = Idle or state_out = Header_flit or state_out = Body_flit or state_out = Packet_drop) and state_in /= state_out) then -- err_valid_in_state_out_state_in_not_change <= '1'; -- else -- err_valid_in_state_out_state_in_not_change <= '0'; -- end if; --end process; -- Idle state -- fault_out = '0' -------------------------------------------------------------------------------------------------- process (state_out, fault_out, valid_in, state_in) begin if (state_out = Idle and fault_out = '0' and valid_in = '1' and state_in /= Header_flit) then err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit <= '1'; else err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit <= '0'; end if; end process; process (state_out, fault_out, valid_in, state_in, state_out) begin if (state_out = Idle and fault_out = '0' and valid_in = '0' and state_in /= state_out) then err_state_out_Idle_not_fault_out_valid_in_state_in_not_change <= '1'; else err_state_out_Idle_not_fault_out_valid_in_state_in_not_change <= '0'; end if; end process; process (state_out, fault_out, fake_credit) begin if (state_out = Idle and fault_out = '0' and fake_credit = '1') then err_state_out_Idle_not_fault_out_not_fake_credit <= '1'; else err_state_out_Idle_not_fault_out_not_fake_credit <= '0'; end if; end process; process (state_out, fault_out, fault_info) begin if (state_out = Idle and fault_out = '0' and fault_info = '1') then err_state_out_Idle_not_fault_out_not_fault_info <= '1'; else err_state_out_Idle_not_fault_out_not_fault_info <= '0'; end if; end process; process (state_out, fault_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Idle and fault_out = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal <= '1'; else err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal <= '0'; end if; end process; -- fault_out = '1' -------------------------------------------------------------------------------------------------- process (state_out, fault_out, fake_credit) begin if (state_out = Idle and fault_out = '1' and fake_credit = '0') then err_state_out_Idle_fault_out_fake_credit <= '1'; else err_state_out_Idle_fault_out_fake_credit <= '0'; end if; end process; process (state_out, fault_out, state_in) begin if (state_out = Idle and fault_out = '1' and state_in /= Packet_drop) then err_state_out_Idle_fault_out_state_in_Packet_drop <= '1'; else err_state_out_Idle_fault_out_state_in_Packet_drop <= '0'; end if; end process; process (state_out, fault_out, fault_info) begin if (state_out = Idle and fault_out = '1' and fault_info = '0') then err_state_out_Idle_fault_out_fault_info <= '1'; else err_state_out_Idle_fault_out_fault_info <= '0'; end if; end process; process (state_out, fault_out, faulty_packet_in) begin if (state_out = Idle and fault_out = '1' and faulty_packet_in /= '1') then err_state_out_Idle_fault_out_faulty_packet_in <= '1'; else err_state_out_Idle_fault_out_faulty_packet_in <= '0'; end if; end process; process (state_out, write_fake_flit) begin if (state_out = Idle and write_fake_flit = '1') then err_state_out_Idle_not_write_fake_flit <= '1'; else err_state_out_Idle_not_write_fake_flit <= '0'; end if; end process; -- Other properties for Idle state -------------------------------------------------------------------------------------------------- process (state_out, health_info) begin if ( (state_out = Idle or state_out = Header_flit or state_out = Tail_flit or state_out = Packet_drop) and health_info = '1') then err_state_out_Idle_not_health_info <= '1'; else err_state_out_Idle_not_health_info <= '0'; end if; end process; -------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------- -- Header_flit state -- fault_out = '0' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, flit_type, state_in) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and flit_type = "010" and state_in /= Body_flit) then err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit <= '1'; else err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, flit_type, state_in) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and flit_type = "100" and state_in /= Tail_flit) then err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit <= '1'; else err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit <= '0'; end if; end process; --process (state_out, valid_in, fault_out, flit_type, state_in, state_out) --begin -- if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and flit_type /= "010" and flit_type /= "100" and state_in /= state_out) then -- err_state_out_Header_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '1'; -- else -- err_state_out_Header_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '0'; -- end if; --end process; process (state_out, valid_in, fault_out, write_fake_flit) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and write_fake_flit = '1') then err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit <= '1'; else err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and fault_info = '1') then err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info <= '1'; else err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; -- fault_out = '1' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, write_fake_flit) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '1' and write_fake_flit = '0') then err_state_out_Header_flit_valid_in_fault_out_write_fake_flit <= '1'; else err_state_out_Header_flit_valid_in_fault_out_write_fake_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, state_in) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '1' and state_in /= Packet_drop) then err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop <= '1'; else err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '1' and fault_info = '0') then err_state_out_Header_flit_valid_in_fault_out_fault_info <= '1'; else err_state_out_Header_flit_valid_in_fault_out_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in) begin if (state_out = Header_flit and valid_in = '1' and fault_out = '1' and faulty_packet_in /= '1') then err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in <= '1'; else err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in <= '0'; end if; end process; process (state_out, valid_in, state_in, state_out) begin if (state_out = Header_flit and valid_in = '0' and state_in /= state_out) then err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change <= '1'; else err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, valid_in, faulty_packet_in, faulty_packet_out) begin if (state_out = Header_flit and valid_in = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; process (state_out, valid_in, fault_info) begin if (state_out = Header_flit and valid_in = '0' and fault_info = '1') then err_state_out_Header_flit_not_valid_in_not_fault_info <= '1'; else err_state_out_Header_flit_not_valid_in_not_fault_info <= '0'; end if; end process; process (state_out, valid_in, write_fake_flit) begin if (state_out = Header_flit and valid_in = '0' and write_fake_flit = '1') then err_state_out_Header_flit_not_valid_in_not_write_fake_flit <= '1'; else err_state_out_Header_flit_not_valid_in_not_write_fake_flit <= '0'; end if; end process; process (state_out, fake_credit) begin if ( (state_out = Header_flit or state_out = Body_flit) and fake_credit /= '0') then err_state_out_Header_flit_or_Body_flit_not_fake_credit <= '1'; else err_state_out_Header_flit_or_Body_flit_not_fake_credit <= '0'; end if; end process; -------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------- -- Body_flit state -- fault_out = '0' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, flit_type, state_in, state_out) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and flit_type = "010" and state_in /= state_out) then err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, valid_in, fault_out, flit_type, state_in) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and flit_type = "100" and state_in /= Tail_flit) then err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, flit_type, health_info) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and flit_type = "100" and health_info = '0') then err_state_out_Body_flit_valid_in_not_fault_out_health_info <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_health_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, flit_type, health_info) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and flit_type /= "100" and health_info = '1') then err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, health_info) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '1' and health_info = '1') then err_state_out_Body_flit_valid_in_fault_out_not_health_info <= '1'; else err_state_out_Body_flit_valid_in_fault_out_not_health_info <= '0'; end if; end process; process (state_out, valid_in, health_info) begin if (state_out = Body_flit and valid_in = '0' and health_info = '1') then err_state_out_Body_flit_valid_in_not_health_info <= '1'; else err_state_out_Body_flit_valid_in_not_health_info <= '0'; end if; end process; --process (state_out, valid_in, fault_out, flit_type, state_in, state_out) --begin -- if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and flit_type /= "010" and flit_type /= "100" and state_in /= state_out) then -- err_state_out_Body_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '1'; -- else -- err_state_out_Body_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '0'; -- end if; --end process; process (state_out, valid_in, fault_out, write_fake_flit) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and write_fake_flit = '1') then err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and fault_info = '1') then err_state_out_Body_flit_valid_in_not_fault_out_fault_info <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; -- fault_out = '1' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, write_fake_flit) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '1' and write_fake_flit = '0') then err_state_out_Body_flit_valid_in_fault_out_write_fake_flit <= '1'; else err_state_out_Body_flit_valid_in_fault_out_write_fake_flit <= '0'; end if; end process; process (state_out, valid_in, fault_out, state_in) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '1' and state_in /= Packet_drop) then err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop <= '1'; else err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '1' and fault_info = '0') then err_state_out_Body_flit_valid_in_fault_out_fault_info <= '1'; else err_state_out_Body_flit_valid_in_fault_out_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in) begin if (state_out = Body_flit and valid_in = '1' and fault_out = '1' and faulty_packet_in /= '1') then err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in <= '1'; else err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in <= '0'; end if; end process; process (state_out, valid_in, state_in) begin if (state_out = Body_flit and valid_in = '0' and state_in /= state_out) then err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change <= '1'; else err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, valid_in, faulty_packet_in, faulty_packet_out) begin if (state_out = Body_flit and valid_in = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; process (state_out, valid_in, fault_info) begin if (state_out = Body_flit and valid_in = '0' and fault_info = '1') then err_state_out_Body_flit_not_valid_in_not_fault_info <= '1'; else err_state_out_Body_flit_not_valid_in_not_fault_info <= '0'; end if; end process; process (state_out, fake_credit) begin if (state_out = Body_flit and fake_credit = '1') then err_state_out_Body_flit_not_fake_credit <= '1'; else err_state_out_Body_flit_not_fake_credit <= '0'; end if; end process; process (state_out, valid_in, write_fake_flit) begin if (state_out = Body_flit and valid_in = '0' and write_fake_flit = '1') then err_state_out_Body_flit_not_valid_in_not_write_fake_flit <= '1'; else err_state_out_Body_flit_not_valid_in_not_write_fake_flit <= '0'; end if; end process; -------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------- -- Tail_flit state -- fault_out = '0' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, flit_type, state_in) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '0' and flit_type = "001" and state_in /= Header_flit) then err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit <= '1'; else err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit <= '0'; end if; end process; --process (state_out, valid_in, fault_out, flit_type, state_in, state_out) --begin -- if (state_out = Tail_flit and valid_in = '1' and fault_out = '0' and flit_type /= "001" and state_in /= state_out) then -- err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '1'; -- else -- err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_invalid_state_in_state_out_not_change <= '0'; -- end if; --end process; process (state_out, valid_in, fault_out, fake_credit) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '0' and fake_credit = '1') then err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit <= '1'; else err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '0' and fault_info = '1') then err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info <= '1'; else err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; -- fault_out = '1' -------------------------------------------------------------------------------------------------- process (state_out, valid_in, fault_out, fake_credit) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '1' and fake_credit /= '1') then err_state_out_Tail_flit_valid_in_fault_out_fake_credit <= '1'; else err_state_out_Tail_flit_valid_in_fault_out_fake_credit <= '0'; end if; end process; process (state_out, valid_in, fault_out, state_in) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '1' and state_in /= Packet_drop) then err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop <= '1'; else err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop <= '0'; end if; end process; process (state_out, valid_in, fault_out, fault_info) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '1' and fault_info = '0') then err_state_out_Tail_flit_valid_in_fault_out_fault_info <= '1'; else err_state_out_Tail_flit_valid_in_fault_out_fault_info <= '0'; end if; end process; process (state_out, valid_in, fault_out, faulty_packet_in) begin if (state_out = Tail_flit and valid_in = '1' and fault_out = '1' and faulty_packet_in /= '1') then err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in <= '1'; else err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in <= '0'; end if; end process; process (state_out, valid_in, state_in) begin if (state_out = Tail_flit and valid_in = '0' and state_in /= Idle) then err_state_out_Tail_flit_not_valid_in_state_in_Idle <= '1'; else err_state_out_Tail_flit_not_valid_in_state_in_Idle <= '0'; end if; end process; process (state_out, valid_in, faulty_packet_in, faulty_packet_out) begin if (state_out = Tail_flit and valid_in = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change <= '1'; else err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change <= '0'; end if; end process; process (state_out, valid_in, fault_info) begin if (state_out = Tail_flit and valid_in = '0' and fault_info = '1') then err_state_out_Tail_flit_not_valid_in_not_fault_info <= '1'; else err_state_out_Tail_flit_not_valid_in_not_fault_info <= '0'; end if; end process; process (state_out, valid_in, fake_credit) begin if (state_out = Tail_flit and valid_in = '0' and fake_credit /= '0') then err_state_out_Tail_flit_not_valid_in_not_fake_credit <= '1'; else err_state_out_Tail_flit_not_valid_in_not_fake_credit <= '0'; end if; end process; process (state_out, write_fake_flit) begin if (state_out = Tail_flit and write_fake_flit = '1') then err_state_out_Tail_flit_not_write_fake_flit <= '1'; else err_state_out_Tail_flit_not_write_fake_flit <= '0'; end if; end process; -------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------- -- Packet_drop state -- faulty_packet_out = '1' -------------------------------------------------------------------------------------------------- process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, fake_credit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "001" and fault_out = '0' and fake_credit /= '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, faulty_packet_in) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "001" and fault_out = '0' and faulty_packet_in /= '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "001" and fault_out = '0' and state_in /= Header_flit) then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, write_fake_flit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "001" and fault_out = '0' and write_fake_flit = '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in, state_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "001" and fault_out = '1' and state_in /= state_out) then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, faulty_packet_in) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "100" and fault_out = '0' and faulty_packet_in /= '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in, state_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "100" and fault_out = '1' and state_in /= state_out) then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "100" and fault_out = '0' and state_in /= Idle) then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, fake_credit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and flit_type = "100" and fault_out = '0' and fake_credit = '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, fake_credit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '1' and ((flit_type /= "001" and flit_type /= "100") or fault_out = '1') and fake_credit = '0') then err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and ( valid_in = '0' or (flit_type /= "001" and flit_type /= "100") or fault_out = '1' ) and faulty_packet_in /= faulty_packet_out) then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in, state_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and ((flit_type /= "001" and flit_type /= "100") or fault_out = '1') and state_in /= state_out) then err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change <= '1'; else err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, faulty_packet_in, faulty_packet_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, state_in, state_out) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '0' and state_in /= state_out) then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, write_fake_flit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '0' and write_fake_flit = '1') then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, fake_credit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and valid_in = '0' and fake_credit = '1') then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit <= '0'; end if; end process; -- faulty_packet_out = '0' -------------------------------------------------------------------------------------------------- process (state_out, faulty_packet_out, state_in, state_out) begin if (state_out = Packet_drop and faulty_packet_out = '0' and state_in /= state_out) then err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change <= '1'; else err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change <= '0'; end if; end process; process (state_out, faulty_packet_out, faulty_packet_in, faulty_packet_out) begin if (state_out = Packet_drop and faulty_packet_out = '0' and faulty_packet_in /= faulty_packet_out) then err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change <= '1'; else err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change <= '0'; end if; end process; process (state_out, fault_info) begin if (state_out = Packet_drop and fault_info = '1') then err_state_out_Packet_drop_not_fault_info <= '1'; else err_state_out_Packet_drop_not_fault_info <= '0'; end if; end process; process (state_out, faulty_packet_out, fake_credit) begin if (state_out = Packet_drop and faulty_packet_out = '0' and fake_credit = '1') then err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit <= '1'; else err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit <= '0'; end if; end process; process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, write_fake_flit) begin if (state_out = Packet_drop and faulty_packet_out = '1' and (valid_in = '0' or flit_type /= "001" or fault_out = '1') and write_fake_flit = '1') then err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit <= '1'; else err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit <= '0'; end if; end process; process (state_out, faulty_packet_out, write_fake_flit) begin if (state_out = Packet_drop and faulty_packet_out = '0' and write_fake_flit = '1') then err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit <= '1'; else err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit <= '0'; end if; end process; --process (state_out, faulty_packet_out, valid_in, flit_type, fault_out, state_in, state_out) --begin -- if (state_out = Packet_drop and faulty_packet_out = '1' and (valid_in = '0' or (flit_type /= "001" and flit_type /= "100") or fault_out = '1') and state_in /= state_out) then -- err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_and_tail_or_fault_out_state_in_state_out_not_change <= '1'; -- else -- err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_and_tail_or_fault_out_state_in_state_out_not_change <= '0'; -- end if; --end process; -- Invalid state --process (state_out, state_in) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and state_in /= state_out) then -- err_state_out_invalid_state_in_state_out_not_change <= '1'; -- else -- err_state_out_invalid_state_in_state_out_not_change <= '0'; -- end if; --end process; --process (state_out, fault_info) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and fault_info = '1') then -- err_state_out_invalid_not_fault_info <= '1'; -- else -- err_state_out_invalid_not_fault_info <= '0'; -- end if; --end process; --process (state_out, health_info) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and health_info = '1') then -- err_state_out_invalid_not_health_info <= '1'; -- else -- err_state_out_invalid_not_health_info <= '0'; -- end if; --end process; --process (state_out, fake_credit) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and fake_credit = '1') then -- err_state_out_invalid_not_fake_credit <= '1'; -- else -- err_state_out_invalid_not_fake_credit <= '0'; -- end if; --end process; --process (state_out, write_fake_flit) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and write_fake_flit = '1') then -- err_state_out_invalid_not_write_fake_flit <= '1'; -- else -- err_state_out_invalid_not_write_fake_flit <= '0'; -- end if; --end process; --process (state_out, faulty_packet_in, faulty_packet_out) --begin -- if (state_out /= Idle and state_out /= Header_flit and state_out /= Body_flit and state_out /= Tail_flit and state_out /= Packet_drop and faulty_packet_in /= faulty_packet_out) then -- err_state_out_invalid_faulty_packet_in_faulty_packet_out_not_change <= '1'; -- else -- err_state_out_invalid_faulty_packet_in_faulty_packet_out_not_change <= '0'; -- end if; --end process; end behavior;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_top_v6.vhd
9
52731
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LL2HhKjuuyfOn2+iAqd9Kdb0d0snl8Vx4T2BagOBjdQU+ps6TsdlUpnvLTUQm3GLiL8ODquuo0x1 tfF5dQxA1g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KmvY1SO+0d/v8YJk5KgcXCe0xMJCM/g6wvEUpsxGGNyYcejHWA/Mdfi48ZD1RXytFDiNnLYYgiJC xiiz6oeHMO7q/eWx46dMpiZZs+FKURv8+PK0cDooS4gNqPYsr18ArOwCbPwDHFhkdJhuc+sfQooN /LQkbqRI3WIg8/Yk7nQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zFCOW5Zxwr2BWXiQv7zn1j/J5hPusZ9scCKt4epe0anpsDG09H66TGJDGwcI5RPLScUNW1RROvE/ 2IiwWf/8cPuOEQpza4clzpa0Z/ro/rwTnfh0xa32Ys43o92oRusbxAmR+KMCUgTexfv04E/kyU3B RrzfhgRJ5nAifOOnumOwyALef4VaXtlcwY6M9npewOrBRnDOxjRbTyPunRn6G/AOf5WqxAKquJf+ 6cwlV5hKRoDgh/qaI/EtBFuopvYoNoqK1z+c19fvKSOcMlePFhd0qWUeuJWKZu34PBNVCSJ2nU8j Og+PdjC23HJbJ6WXAoryzaTYamnTCT1+ar90RA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pLaZcBwddufUCRDFQvslMtv+yjhaH8JchXBVzMqvzRzyCaAqqwXgc8gCHYuE8kvRNdPjMfRtRBsV ect7Hc6NbYfTZgDjAKDbQjnwsYKmr0Z+MGwjdSVTSMt8fTaBZ8NAiOeRwJHJusUIVnWCzzU9amGg bgFbjnqQs2g8T53AUL0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VOuVhKklf9GYOoCin2WrvBX1h2xqZhtfq4ASNDemBO/bcuqX/C+VYE/5OSyTbiDeVdhqxFwoFho0 DLo7mjgoL9KeTs0W3XBV+cQzzVXnp5NgcQbJK0o5YZSQyKgko4iI6nBdGqSfTti9MBMAt+M6kxSW mIKvI0yGOVmJnpF81ZaKoUTPxKGxapDdrIPhTCSr9ovt19bca8Ci/2I5Ntw7ns87s0iKu+gWqtw3 XQRcSqT+SMmMLV34/wmiQxJXdrp5uJ4J82YjnZqjQpCn0rezMeIOWj+KIL/wB/6Yl2mVC/ggTb0+ 3R//bsj0o5Vq3/whoLvoQfikdgrcQJwhq/fmgA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37296) `protect data_block N+NiGbYUbWEKJ9QJ6jMyzKZZgVGREP99fMokRJDYUp2kS93Y5tUstVg0pQClbDOciGPhS7EBvCU0 NCaSWySYvYy4IsWvcY+Rg/Jiq1DjAAzTK5U2cMsf9fpCz8YVvZuUu/2geBF++0Dh0vwSK0gH3ZYS vLF0+k/y7gONTE0Xw3kM+W8IxvshDe8sVBlKmQHN7x7iAQuxr3ecR9SPyA2SfZs7KPxf6Vx/8vma ZbkzWRu1ypwaoJtf68Fi5ioOzD3OudPyXyQnOtB806iXRHw2kq6xhTB3/dij4IluwhQlUwTf++3a 2T/gONvgj9hFUBJxDgsxKBQyjE6WMkuZ0gekjBv3NE+/bwn4oVKq0uZloBqPfUMG2bYcyN+MUvlH DXF0QhTLr7vQGr41paPY8QaKr5aez0UYYF4MS/eFC+nG3MR5zOUcoDgmTYQfjrC/1+m/oMW6m3bP ZcLqgNEdZqpGDGM6Ma+s9qrjzb24mOa4pAjP1PkY83Kb2BqUR+Y8hYQ+ILK9+DDccSifjftbz/Jn Wu4APHgFPrTUPbi05p1Tz5E5t5DbaJnDuwz58GMCpJW3hBSqIJt3GnrUtHJIQn85Ke9qs6cA5iOB qROgHK4B5P/q0CoAs60WL6FteWAmmKG36P7fdzuYv7FoePl+SY5UIu3XAy2+75J9WCovcHotYVA4 mqXNh9R8iJYXJrcCO4wp7OKchf4oSUsxrcO8O5iBtVdL9VC19xhvbkElCuj7F93Zu+PlqWsq+UmN 3u1ImrNZotPXC47jaQBgqErGMcVzVc/WICRN3aVraympbYGLz+QRwrDniWZoRkdHkurRZAWuNu+c mQ4ArdIL2nmV/nc5aaeGoBb5GPcn4Xb9PkErtMzvRJU3KESKVnV2L4SitIRZ8h/21w73534Iqqf5 ocyUOEN+frA59FkhGun0Lbvni3x9K6/G16PQrEfiwkN9y22yQ0svXvxtvDSALei4Xva+71cl3Zxf 7qF5mkD1BQWlclLzxWq+X6oFHci5/uJr/43IA2K7Uic4XUWpDEGmtdeS/fRE0ltvWKHkAJSRPaAt 9yMcytYDfhTQtm37KE9IcaHVF8dSAFBlJbDlPHGuTTlY62iniEqkbJnwPQK9PZm7c7PEVt6K5vw8 Lw/SuVfd/4a9zyZReHKfkzmWaYiMauDVlRwemKjO1QbcCYO1r82LFrPISeclTNrJHfbCo6U8ZSR6 MnsrkJC+K4Jk7VRgyBPUukQOmhCpu56hJ1NmAR9KP3yZDpHojTXIKmYwHq864TWJ8M2cJYntu/X6 j+wziqigDUorK5J1/cHJrEb1CS+iTON5KMLuRcxnh1nVGoXikS3oAa37oA4XKUxZ4Exhauutz3P5 s4mT5CRFocUo2AGWBR6NovE34cdsfYr3rmwmXGBmbM3vEjzhGRs7vfpbtFmPZiqqUkEOKVPryvqS RSFI7fh5A3Vtsfkxy3HRoZkuZxSIbe2fCzW1TaJdI3d133gWunIHExWXMIHAidpZgXbwFIL0GWTF lLfLDVlVkKNz+1kPbCv0E6IIgKRZvmGfvv3r6bnUXaggfBKcLg89SGNczDoVfLhthUIHACFvL3pr p707ziohCtSpQVZIrmoRby4VNeT+zBksHw62bE8b8F+56aAm7w3/bmKaM9sA4xaDMx9qfuWQtDSV mvMhCC2I+hsIlAJkBNhCs6y91eXdLkoeMwDyFBm7yD9jkh858In6HAjhPtyBD+VdW2lmjAXLNhuC EnVOsBy8uaHt6xcHcAzqu0xaPjrl8DxTIBw1r5kbbvyUhbjTH0q2BQOMA/PND3fP/WVll/cWbEok cCfHsQLFkFdGSGCM+Tfwa0W+4ERkJWZbe4DvuTYC54I4sZZouq9sOuUsKQ9IKX3DR3oHnxD09/k1 +mpjGzgPBKiv3ZJ405OJVkF2lbUWil7B13cDecc6Ym5OPViQZkOBOnrWw3hc8Bvns2XTH5wt92pI uhBUNyKF+jH+Vhe426R4e2GePuKLQII+EzvHO05KlI/6L7HW3W+fD67V+JX5OaqSBAzBkzYnyjJQ GLZzRJ72P2tvF9Tzr+tiN3w5JwMFO9kkUmameUGsHtTVpPnYvqfGNCIOd8OtoZG2oBwfSk7QBEcM USAENfe9qFbu/9cX3XkSc0LY66/acpmhBdqSemwSon1X6mVs37ImvfwNiJOZj+rk6pFLNYq07dYx oTGkzTJ4WlLD3OUhPdkOWD8+4CbIlrjvoEQt4GCXbJcc94g9hKB6bEZLDSK5jbU7vlv10D/GxpVq dsCGozWcXIwV2VEWP1OBBUP/2Uxwyg+exgUVJ5ZCNuOZCZo6Xkm4/IaZ683RQxX2N26yWGNcbAfq oUo5MNx+Trd49iG7BalPnHk5W17LPFKUtIrxr5k7ntdkLCDSCGQ6Q3Jc9sso6PFMDar+HNYgl699 9zBMgN+c7nktEA8spj8JB9bp0/QOoEXhi35b+rWsBcaSx6fAoz+7wpODevr1awaEzVQcDufFljRC FejMeWqPrMpaBeOj562dZKxwTEi5XW3sdbz7+BTXPYLvk1No7dOF208cSA2T+NvXSTKdaQukAnag VuwGUnFiz3Lf5antwu0dF7dpgSrXeB5WEW3TGwlZbF3BupI1B10R4nHGfRg8GlUjq7V/oWDlZ5Fj D4CtObF6nuEUsZY58qR4tQma9yH+5FrflxOztW5/HjCE4acNXK3gU2HjcRRNKQ4nDvYdZAmKuU0t +WbXgYM9t4/s30RjpEBCVS0JutHVaqvx9Pbz3BIqO0fHNE8kVl3HwXQJewZV5GQfMThOitGlpgNh jcotROHFm5+wbzGGEljCfT7PlxqLwttBhRdbA3aHQO5GFVI10kJ0o1M8rcQCNs5g3ihRIcWe+sif MN2kuUzc0ruLmGhX/oabAvihpeOZVbG2mlu72rXkNF8grtwck6qkeV45PE6txpRxu3VADh+SAALJ zEnvs9fsSGvCHPUbpjr2OK5X+yhaYvZxCQIhbIg1Y2xNNOrSZp4SfBVi8GIOtZuFoymRvUAk8JWC 2DBi2Max2X/f55l8SPMj0bkxP473wKxw7DxJ6KLzTcxv8qlQ5dOx4Px6pi1Oa/B22Je0YVhZgay/ TmzWUq3FWRUazwzpRwVs8nQNll0ga7jIqAMUzQxLNZDbEJZG8nDOe4P+vVAF7TmtifdU0vMc6h9e 3e1AI4ansAo7DO1xyNcjMJDwwt4tRmFMi74PJNBifbnkLda1e8aMsRN/rBC6nWNqWm8JmiodTmFQ 62Br6PIQP6ZwtfmoD7C/eAJrLgq9r25u+U3XXhNpjvdAkIv8DAtrocxX+D/pADzkzh8+wAXY0+fa cDBbyI8JKdHz3GsdMsOCf14OyxAjtE2BDUCqhBsGRFjUeWSuS6wiEDeWqEttpsWuVSbiRri1rNgc JZOhowtLRagPA2T5Lg1cU9PsA70ZNDafAGbyyrsNP8XFHxvKyIX5kqDmXedL32uLmibes0hyadWF DePtfC2vZB50J++oaXOUdLf9z4rnBInSTS/R36NA5f8H1EiID2HNZLyy0ZYOBZddY5ApWxFD3cbi 5vo2qnXvaG8z0lZwP1nZ+wk0K23UU0a+8neUS/hkHJTuctCk84BxKrsQwKWGrsPfy6vhbHvu/xyV bNX2ctY7Zu0HeaF2yvdzrlNHwUbPFZCvLqv38WBz7mVDZweJNGm//DTMRBlS+T3DkBKyTpQgvr+F jWjvE6Nabh6V9VLjGErvo3GCGGmBwYlWg0I11mpNa5pm4K+gfh2//G6m5Wi7kVdr57arOAscBEEB X1JUHqu3jKs9C0dF4BVZiDVZ4NPKFwMob6NqNLlDEjQfv9lgb0IRMAji+MHon19JThFXYTRVuaB3 py7xlcUbbWK4J4TTfkUyjSEWe946rKITsMEYxEQ++pfNvTceEwz+iedT0jspIHG2mjrAXVMXYf/m sjq75dA1OjZuuxMrIkSyAaYnTYK6hXCXdIVKiDXmEzlEDzKd9WasiP6vCPWaioU1UllcI/QMCLtv ksOy1fz5LXx18Iat3ex2YhohnMJ57QWpOl0FukmCibtCtbG3FIcY2G7DAlIBRo9LaU7aNxr2IgIz MNd+XEEhSKPNfA9r3lIYTrzhDn8BIamTNI2r6quDWGXj+8pOpgEhw6juLWou/mWY3+PQpzEMvI2l zo9ogZemGV2GktX7hN/cfIRNiOTCyQL+tqjrVtA0sNtmRkGaf5sz6aFuhOhhx99hWcLdRctMO8Yk 0rwL+ZeMt+HDYVYMurO2DJ+bmkKJZZHVM1ITr5MhCZkgef9ewhSpM4AMtpHrTfoKgGESQSp0lh3t ZzrJI0qoEQBkqQrxjOaBvz8ORTYSRGvJi/jt5ITsNLliNqGICS1EGX8UmgU4IpvKDtV9GqWCR84S EnBexgAhyKjNhNanSbZ3SWJaIIPVqX4Hu9Y3Lveq5UnV2Mui0Th9TkKi+ZnJrUW0EAVyxP0WCRb5 /69sh7mVSWVYND/FB7fxytiT57BkcwQJ4dbfdGiNwoOG+mMHwQeVZU2Z/41ulFyoqbMzyVQl39Fq dNm3lEUp6fTvVLGTZ2OM9Pk81znT2/9dINm5iYoDMDm+Wan6QSqnmXWogayFvyjsjBko2dt9pRaj Jl+4egGrwV7VCRrpetbdYu0IB25yuPQRw+/kmpu+mczXkLewJJ49TKy84uCThqnw+wxYkDumCpSu FAS33SsxeJuAHGH/zwR7vBzGUhirDI9M4y2DMKnZhC0jRtUD3QG9QRdU1k/GHxzwuQWAAj99S98U A3OT/6qiKfJhzl49Q2JPeVX6yMZY4DYtNDn4WGagb4aH+JPVFDjOVXdJOiEe0iPCpJUlBzk3V0LM rOYHhMq6vkfto2UNu7nuLIg+QvcObTNZynTes9aSnYfrhI8DWhsRtDo0Mtdzinfw8CrtkSaqPr5C +JFBU9OG3maqgcmA6vMq4Rt36U8la7crCYObEY7G8YbXmXN3J5BFSdCc/hG3ZMvramVJySFIB4HQ rAND8eFdn8wBbz/GK6K21AiEHfYnsn1u7WX61PPgGPrtZ0TkNAB1kioFCFiT6Z0lW6h8iQGpkVrd /6GAJGUHlsAKYG8Nvjunq7ikkjNSKnXxBH3syC3w+MXi4fGyeohJWgMSiso08cbK+9NWXdDhiDcf Y1RvRJWJFq0rM7B9Z4WTzzU9+HKCFZvzCHYpIaReqApstyfYlpl0BVdpe3eipkRWcHMDjdempXcs TzZNUGpe4OyGncNQoQVlxQQgXHyocvtsXQfziwPeVbfTBm0RX5lgDaGiJEjBihfWt9BeRnxCvgE3 40ck1wUg2sAxQ7ZBo9lIxsYeK7Rd+WsDfx3ehRDowg8UIJtUfn6ffa+c2ejgxeCs7UH5qZWfD/uE izmzfcdwrUSc8BaQ61/MfopM6+KsGZfHRft8hdNVPsgbqIyuZc/GV0hJc+0IDPrPQ1CyRBi/sFIr qhDO7++s68SJqvwv0V+slPNhto3sBjXXFgH5jdhAcIY3Y0aQPlRKmvKUd5hqGj2uGtfmK7uILRSD FA70rkRpEUvotu77SY2iCHC2yOeQiwi+QK7/yepHNpKelghsAxyAS/iGodzzPtUMV/fe3kTCnqOT kVJIXTsKo0iQ6Lu9JaNi+l75RoVK3RJ2X90d7wAQfk/KH5XsOVaFO3ncxzcpfZgRBlihZYv8XSEs mcOc81eXCELxZ8tRN2+KGn4yS50+ux5qxZ++gGW5jwLuxpkl4eCKsN3Ngv+O5hD0HsK7WlOpQ5OZ u9pdmDOaFQTfEMRZHvd315NUXhaJlwAuBQK/OJBgQpvMiivqMi71PuSu6VgHM5VrI5vzTkc9MxaX 2Vem40dK4Kwoc8xOuj4ixWDVnPK9HJGsnTwZxZOYiu2wZxfQoGYcIzlmjtfi1LkjoQiZ+7OLSFNK Q8l8lX2otb+DX/LqD/BsUMWMYd6o0UVKe1We00tFDAVv5Hnz71GxZtEgsqT9NKMw5Qya4lY1tCgL 1MmParXC9JP9qJ5RZ8nIdiQpY4vXFT10PsPXVb4HQl2LovR+YqsNkbNoFpIMish0/A/nUFHY2bWU z6lKD0Xeoq/7izjRMENiiRrIEwvOXlDBq7zg2jDbN6ebLDuSrZeXm4Aykd3gbCRfLLI4JdiygZoG MX9u6RCHuGTt546azC0GW9Vupf6aFjIBiQSIRV+TD5XUi5oL3qOTol1TMItNp2Am/dG9GAMO2V1F D5+w9jKj4PckcjchehQYv0EUsJDWeToGdwonCIOGBwJtGpzvNzP3Pou+N7RPqbTrai8rqm4zXV8D LPmFTE5wihswhpGVk5BE2gknIfivVNNbsEtcStMXdUVayHHVFL1rYnLHDeVV/umGIIhl0VO81i2E 7+dN+5r0XcArJh0o065oHA+qb9oAK1WCzQqH2fPrhOuzYPAkjKaGvUbRVWQ8eW3N7wzohz5TdoFy y2Jb0+1QLWTzj+HZfgTMWOxDcMZq6PFfcN8j48UPA56PZmgM5SuuCqT/O7zl6RWipCElOPLh/aGh PKoPnMlo9oKCeZ5S3zxGP89UOt7G5oFajlvqKG1obJ3ZsrfhwxKinz3m6c4ecNQ0a9jF1y3MQ88e DYU6hFmj61LotD3wJqXocgFp8aPmlkdrB8s1JZnf18WE4F1P+7LkZK8UfNU3aCp1dm2myBA5HtX0 ZrSgH201vEDN4GqSGOxI7cCgzjvspT6wo5ZQYzozOvzVXeOkZ/P4FyqboM1K2PNzMFAULG74t+XX etIlTytcsHREmgdVh1ouZ9Di6YiRpdZJ0AbwzxWZ+iJarm2EMlZ1TFyRHjDkRLa1/vCssmlRWD9t R6awim7+TBxV/H+ehPg0CqxzRYZOw9C5aC4tfss0fLYUVCzvboEnOhIpt8KlH9EiC7W0yu+mcgvL uNsZjyjJZ6xil7fdQuiKZg+Fujd8fvPnsa37C3BtQqLjQCewefMv+8KHwyozaDTVKwk/T8hMY36c /Q+IGk2DKwZRaSUQCXcmUTZ38RmZkPrXZ/GuFi8s2qjxpQA2e3i0XmDT4DtV0Iy4CqAAgcsIZsKk us3c75Ij2quyLyD1fOftPiyGowvxyCIUDSV7xIwl4RAd4a1SuNvqgcHjRFz9l8eppFwVa46CxC50 Is+EqY+Ik5g/RH99/yc6lgIHT6gnNN9bC59S6JfEKrQs6w3V22PBBe+pHOqXEHS8DRW6F23cwp59 vHlsV/9cglOe5wuuZUkBVbGzHkIc7DDGd1zuAjOE9JxCp7FbJnU6u4PLmSGDRgANuM3zta6pibjZ rRnYaXBl+y4e239TbVsBXCaf2avSHdJmxEJcg9lDJCHhJ/Kx715c15MKUOpLpvxgmkFGd8w+kmmh oLzOSUCtJbiyL1ECxGr/k50iEODOveTldx1tSPDwJSHzmYIb3O3sqn+RQK40HltAKQ0R+c9cUAP5 /OAQPUcFyc34dVM8tr3cOf6lw8vOMIg0Cpis/qadBlEVwVf/w52o7FQObVnsxK73DwZKhIAgGFEL fbkBx0RyPDpLxIgKVW7lYAGa2rRK285nYt4eKvkQ6y0HwlmOe0KSVcx7gDqAvzMboMCkk9vgetSm c+hNnCUcrAa/2ivJZxQ+xBgWb4xkHwddsoWNlV0tkpDXgCJBIRlQVNndU/T6fQ+tQsm+K5q0r9N9 Un/e+JEoAPMm9U4/bySrnWPH+iEJ9h9LMayVYKC1JI9sffdZ+Zq9F0WQHHMJFz/mJEjZWx5nbuMN KMjnzPoedtjMIOoGYMqM0Hy0WvO2zAkfCYInX1NU6tV8LLeQwAlY+uSje+wZouPhjJ02PsAlH1+Z 4f7qN24245cluyv2WqRVbbQ+Rbd1D/bM3NsHvJlFbaJoEjjxsYKLDnR0RLlB+cUxjWhNUT0TWwmB saMWx4KCyBNv6IA1uadNq3JSOfGeHNCzyBFUg6fq0Ti1LGN/1k1yFb/s+dQQvnbACfd5K80hD24b zxO9yOsc7wBSawvf8wBphYuO5f6uw5gzO26VO7+vxsYNbVkxk6BcAbhxV1B9Bkpp5yoFa0MY8vfd +wvXRgxdmQGBwWGhLukJZ/vbA8ft/MS1asfKCu7dKiD+dXK9jdJx9TlV6uqO9n64enOLW8+Yd7Y/ Wi1Hv7fNK3fyqoNkFk3JFUAvjrzeC/laG4cmk96LiyaUz7LLo8tsNvi7cORH7URrcOC0O3SlKohW GjS5Pv1fGRKNaO5LxA0ikStvmQ+sR4v+MnOZPlWWkMHJol9H0lOYZx85ZA3ZryhBenpJi9wb+ZT4 Os9y9+RJu5e4LWptJG1dAQMcVlBR41dV35J0hompms3rp5FTUzEY7/SxTXsXC2fjKlc3mpCPA5/b Hq6+gh3idJXGjuHSFL2dksFv5l23J7ALfxnzsNearmGKtA2jKx3rnksEV0fJG8NmZ/LjHfooIofX Ul7gwHrcT6lcQlDmeb4+s2VCGu3Mi9EeJiO+lgLj1FMgQsZE4Sa/RA9IL14dfx4axLtn7nOe36pU b6mclSfMU8YAQoCoBK3hREQz0nNkzr5RziYlT77z8C1R95jd1c5q+xIZ8Rhdsd3CzJpiN7ZMMnET oyIvgUoV7wnnJVni/01gL41/UuQN5g1UuFJg3EWr8AGzloqGNayz6KBgcf7SRrIQHxdb8D0GgLOu qE1NwSKDdFDlojX0cnAxny0sbOFYTyFmnB+tUCwsGMyHar9KMeTHyjCuD1qwNVg5qO0awTjcauTS Wdgqqlgt71EitmGGHhOT+VJhQ62WB3+QEhVervquJ7ZuzWiTZxc7D+E9DAyZt+P7aN88/xOenlSY jSnZ4WwrxxC1bOrM96crOz6kM0p5qtcvF8I+RRSGto0DKsgakRZDm1n9zf7PiPf1x+dbe36nJ6TL 4YCo9rLs+/X7aYPxyqFnHAFtSd9uxtNStWERGQxijs9IbL1lbQcBiPbOWDihctdMEZdakpd7wszq 0Enj2nrclwoUaEaQYv4+C9V6i14Eg/9J7nLCkbFDGaof8QHBrgJyDYhJ9Dq/1TOueFqgOMogLMLI FNykYfXM4nLZiZom+qhAr6Qq0bThwMcO3M7QgUPYmq9MFY9+kRgfznbJXaeLJjgrNKI8bRckpzdB rQPxbSdJXIKHZWsdEOUMJGia/GeITCP/MlzUksx7uurGy/Wqt9YnfRXXhCwI/MYLwOVFVdL5pGgE xqPN5psmgkCD9U2V2NUyYI88KsKNXfQi+TQn5C+UEMCfBYgRCqljBr5fxIQ5tP1Szt1OB5dpAHaM O39NSZnfYX0QDQhwCC50lmDYyeQxXqWhRCehAjXlgVQcc/OgECFE3SudR6RXPEYM2TLFmj6g3omn zMwPDt39pOtDGQhcwRb6QpZmlDuM+8creS/mEo6bN8FuW+qfNwInqeK+OQNyuVWGxbLNz766V65P VXvLNh1/WnvYFpkCN6a8YEnBEMCEUEgUcLJTwCKY6GMCeoZ/QjzUyjZ8VtiVRzHXOE4ilJJWWbX6 ey5MF6GvBFCJpMd/ggh8EocNQg0ScGS36mAcp8o1oZBQ9NdFT93Ina96py8OQ9pjMgI1c2QeqLw5 ZxMfabF8edLHgy1ssB30oiw6zS0E8//W8IiI0DHH3rbq8mVWCe956gFPslcmZ8tAW713dxRp8aIA flTtr6XJ5dzLcXC9arFXL2jlzklodRPbasBj5iwrAzQFy8wt6AA0iupUnqWhZVVdC9GvyvzV4IR8 fYab0tI8c4oBH1ZkxchCGA8PSwRS1kIrc1b7Aj0Vn5Bcar3EVcZJtU80L95HUCCXhOZqmQKJSxWR BmyVyEOgIxyxCZxWVJMPyyt1wWiAiOJNurt8K+UyuGCu9Nzyt0yWWK02GLHuh5kPI100o9DXMdmh cUUG2rQ+vLF/261BJGVS1c1B7haAQc8XU6ODUxgqg4TXVJ0MC8Yg/H6pDjuCbAUdgbxm2R/2eZmD p8HI36fkVqQReXxSInPsfk4ddK0bfgdPICqeJSljAUfcRq6YT61nEOn6XYpJGywHJunvyjZCly46 xOBpuQQF4RGybE95eZ/PCoCtctvWrZaxxFKVGu6Y1/5XyrD450bDvw0QlNfglG+gZMKseOOW8kWm pbu3UtbOoJBSc4+slRr86AjbOdNX9ELlPFAXsj7PcoN34BAUU1WH1NK7FD+rzH5+T7AEizzTYG/O lu666jlnJUge+yyWaE9AeRbULcOwncs3lgyd7T/1z9pGi7Ml1XlR2SwD67QqTKh6j/JirnQ49KKW SZmkL/nMUL0hjviU3eCv04WdpaT94X/f+mbrL3yWFsu2Z/pXKQn6MIQl91dx1SWhWgufEt8f1xd5 5sr4sxceGYi2V1fZeXfD74Of+IEmnlxUznfk4AvUUJB6jmLT1GHWGaiwwuIX9tbSkodaply/MGBK 12ctzvNPiBle7mWuS0Ll4P/JIWOZbXWaMDktUMBk6DMsXIvNrQDzAeEMfdARo6MoD8t1bvs2RQNG 236QUBi2Ujec9IfN3PTiUr9SbvAtYCsGx3pp8bZQzwPOH73wprBS4syfVYlT6Lw22jTuE7HrvDtt tiq2/3qqugS1LVw/tQ/34Yu80YZ4mBuOt7RUsmIy+Tvc67gF8LqbGStmd74Nac0kmxsJgeFjv7u5 8eY0aq9K+nYhBZIhTBvIJDcBdKCpJfs6leEZz0gFxyccj7DEMtnFftu1CvgdHWBp1fy1eCCbfu96 Eqi4TY5XRWh05HyIdnSp9ZWleypM63W5jKg1bqlguFqSH27D5Dzn779r5cl7HmrfNraONjlCYv4S wtWlW27oZB3XsOabqNO1hlQVa3xUzI+5rP0OM+TImCNiLzCnj/qeP0e1zY27OjEihLhoR3l761sY mX/CcdtiTJzdZ4KdXg8D9GhyMZJwHYHFIalfUdKgaNbywA+MFBlUuDl/9UqPg+OKI/7oW7OWiGET 91wCfhMxQtwcfpwMourJN/Cmu6n0yUqw8FnyqoUuQteEvF+G71huRaBy3WgTNrgtRFdd41dNiWSE J1POgP/d51edNcpdnmYOLpOITDXwidOdQ1tQ0TUPjGLF2ajqGfxSBboCxscylkbf6TZnBQIvWtIC 6aHqKrhjN+0+pCZbQYB9TZi9QOdANP5HOCzyIl7u11icRhOo+TQkupkbt+yZSLoOBmOQAYf7D6gj DvCvQPnPKUyF309Bd6xxXeR5enar+nziTwQcVDdIBJDH97SndZYJdyik0fMKsP0QtfC5bJ1uulu8 t2TBe9YjYu8iT2/MVEzHZyTijhHldHswd9baSrkv7CzfneDSQkP9WRIqKQk9dv7TSn/kfLzr9OYN zstKxNpBJx5ZBfepC3jUoHES2udRFX5r/F2Z/WK0OR6OL7o4amh6YUYUN3T0GqAA1Sw1+rpwf1mz GQorbEnmgmof0tRe2drsMjhZIaF4tkZ+wU7V4yyl1N/EeYiVkFlWxT2cvF+7OBeVb1b+rtaDJ+Wt e69QKwhhQFzEo2AAQG9CTdggxLgFJKrk4H+9VZ/njsaoIAER4iROuriwLs1/y3e54rpmVD37ruUv cOixFv57pZpcsgzJmWFd0dkY/I+tatQjBn2SLjv9I9JjCzpSVkKQToh8QvVe1arDQkg5EHIKaOM1 6uEgFNHj08jz0C8iyi3bOuw7eg4sWPGE2Gs9cEMoovgAIO2JjwwJNY5+0csu/dtMUbiXCNfojtpp Y8FsDzjcMSFAZ62fbhQ3VTgh7sPeHuSXftd89UyEkKQNM3+tk8fs0kdwhrNiAkIbFAfC94omrB5i hMCanrIxlMG1+xvEsf1agTmBMgqk7wJU7SoHvqwJOBEfz3QJYt0iZKRgceo2ODHkcz5p8ArX2JT8 II08hRQtjBXRXKdKXq2+xmmgb0M83o2TfeYsVddCmF9zrPo6iNJMULODYuMymKEfz/qsSNs1g2rH KGUq3LPUf2V1DcY2SKaQ5bEpJ5MwX0usJoQkAtiY5jKCjcoH3vxdMua2U6tNNAp9/Fnc2EpcpTqy rJEShjwjA1l4JQF2+ykb7FrniqEiUjTfgE1AgcU2G7485uvAwd3Cm6ffh+4f2TjB3XE7bXiOh+S4 iK1AeuNvrM7uzICcVwX0bJNQ7JubLw1A7ZrnObJScAewP+37IXXIgIhTHR5yIRSIXz7T2tGdTYfW +CU4/AKQ/GASrnUQgsqIEIDWQmyhRnj0AQKM7pM+HyXQyDxTousPoCgByaTh5vcfSel2tvrxPbgR g+U9eA28bB9t16Weo0TASzix9IT9bziVg4ZPUu3Lxzp+hKgEKoOqnX+K3RBogmZGcUsQ4gmYUhOx h9L1qbLmrIcAKkYs0usFLpkKEq+MkwYdcT6lD1c+zO6UXVjh2JKxFIt1V/y4w/5EHgFWhSj0T6B/ urolZY4Wsx+t7ReGZfGWoxGWcfS9Wi1OQRJLqA+h9gs1mmiRed9GJiUgzd/J+asfkH6MxjWz0x+W udPy3oAHzIsjoMooxgmRzui9EK00yrKAcnEtkVX7T1pKb/OytX+u/d9Mk6BT0fXIl4hdi3LsdB2L Lv/mcRpNp7WF7P+bGGn8F6N1ZRDpPmovHOvWmmD2WLPwMiMr04XZ47WWezLQGTqu/7Tzcis0BDQ+ EAh8E0HVMuIX83+zQqV51KxY6p+/HsVRhEs6Of6OWbL1bnIpb1129ohA+1K0gR7UQyfTTgrZVAQX XqzAurhgxd/CcVMLW4bY3XOpgkMLUVXBiPEKzl+yE2twdVu0B3eJRAlOOX0VHJZi+WxoMHt1l65b QDulHjNsTH1FkvQGMNFuAY1cleGJZNqRJBVsMQnQfxsc4TimQ/bnkodCk7JEMyQQE96oRFeI+rlR 2lEuDRGthuFiqV+P78mHcb03057hBqZBF+u7t/HnfzMunlp04KJxd1VxnjZfQtzoXoJqWgFxykUr BiZd0YnjMOFtNUrRS57yqelnULNBbo7ARYW0MO+0yrw+gJ/+YGsCxyXSGfrAuP1BUvMnsCwRoYiI +KcCO7sBMyx8RZ/ssvEmCfZxxtc2gNqxe9JLLZEsVPHi7BZF3sklrXVAmUbVHENjqgg20MLy32I5 1AYLsZ7Y/5m0rD2w7ETlVriFSqS9w6gMo/Nvicrdky9qpCu2vXQZRxo/oryPxFY+Oe7SBp1AyGTi ukpNIHAFwakVWH4jWF7Kq9IdGHhgeWUmyusZYAUzvus8ONTYfxJHUZFRDl8rOtGCce997u6O0iGE 2PXJFfCiRLKwJFd/SIT8T6jO67RkIs5CXN+3chgk05YBwxfL7eXf2JfsYd7mYShV4ot/WQDt56vv Ap5oTzd9JVriAwzgR9V1Y8Jejz2fPYSUznwOHrIOCatT4OBCMiYd0YKYcgx04O7i6bpqkCNwfR42 P0uhzjUvsGInRuUNRcoCME4t6m14dPADGnh0PFwN1kROYZ83InH4vVNuKk6HKNkyU6uXiT1CVSd4 QUrmeaLTSLwgcyp23Cww2Ia7XVoqagbdyoieOxwHcGKGQpQteU8nKZ0A1sm2J2Co0wpuyqsPsy8s VjdN+Of9QHbRjIiA3hUVaAA6vfNOHeQHrIXa0X6P1hFO1Pd6dPR507OSakby4S2TEsEG2HpGlcV1 guBkinZadUvLtCCc8PVVerDoAjgH3kEULFUz79htSy8szQUiTWaVIfEcmGnf4HIlsTXvWX1QtD/H qefsl9dXiw1eEwck06EQOcW9Srl/1JX677a8RK5gkmNIc/cV7gt8lL9dTvKqYOj0lTb+1aQWgpQB jZBT+zdI82o71ZkEu0nyUIizuargKxUgQkOKJLbYm03JUfZ2AhND2oECcdK4VgrpoyQBKWjgoSLZ 7GbV81lke/6/R2tIHz2FMfNB4jrU1Bh8Hbxh/G/NXk+flULBF+thrNQotyRojGHJbfi5iy5WOvfJ H/XuIArZvXysQkWJQRmoblyDiLUCGMg6PG+aawF4I4RMmWPofuxF8yhK6daQUE43muWACyuhZus1 eqbdgR/ADxYob889KhCwXNxMvmMsrpxjBwKGpWwIo+oNm4scw5vW2aB0oG20uNdzA1jlAWSVhY3Z Zm8cBTyE22+6UWzTSOcbHbKZC2v8V23IBiV6gpY+UfIbtie9N2UwOvpFC2agMEceX9wD8r/+DLQo uLukkLenjPw6r39y2yPJuqywHyZAm5/Ww+OeqEoZThc4ES9ZKcYcYlgSWYB3ktjywKxKnyqdZNKJ 98sxa5n/PjCbfJR54nS9dNJRvlL9gbKuG4JELOC1Bqh6T3nu8gHvmzwi2FD7ha89YmvXh57JVEuC 5ZNxdXC9MWFNm3eV0rjz0rfWBs5EqvjwvmKVAYWzRbTYTkqkIbG04IM6ThaoUyIvVvFONWq0TC1m XjPMFOj3c3BsjQBFjKxWI9g8OX7x75+FGx8qZS5haCYLPGNPs3WSmfrvK8W0nABBA0ZSulManW6e 74biy9tXZBJ5h1gLz6DJ0PAWZ9mY2LymfZD47Rl9+3l/2ucLxQMQJEUcwI+MjJGc0L+g5NBsxaRQ rAcPXr0iXInKWU+QRoNikWzBo/XFWa/KYWJ351FMqoSqq28Ldqb8XPEOQoJAeRpXj221eucgIuuO FNmeb+T7kbtnCxuwMg56OvDNeS4Fl/65+RFklVQRD6gqrAt2V3GTp/tVOARPhg85dKD9L91VhiK2 QDtzXEwMBYtXbxEdlhpfI8ZuJ7D//BijazqeIyRJTBAB8urOF+viDAwNUlV9XTNIzoLyukYvEQG9 JX/JFm4Y84JsPSfRDbetTDRpYiKPg6FHK+qkUjYp7YQtKac3CAZVRePNao6OV1ibZQuOVDbuhrP8 31OtFmUSoIewZpb3IH+4RRR6lF8kI/wHn7/D8uDOAPxl+eMRrTRAnNrCMcs0aI+RCHdHoFSkLAJC VgoT18IkJLVFS3I2eUQP3eCYpJhWXrKQ8cRn5A13xv1KzgK8kG92edNhOITw//7dyc4y5fQeXBB/ Qtf0E8iP2CFmDy16nBFO2KPlpw99ntSb+2GdqRTPAvScLjHKfDKomNEGP5bMx1ANN2raBwSKlipq g0e4iwAQYn9qqpREPSUDbYusHhsws07jJN00n9S6GgBo6bQOT1yC/dVo516AMhOpQDv/x3ar8PCD PDPnyrvkDcx8GN+r0eDuWBXm2pCGHvSxSV0Lf+7YbNRIqIgT7eC9njEjj+Ic4MtrVFUYrU7Z515S 4tbdGBIFjAorOgyqL16TitgpDLd8OqKuL9LPOlUmIB/kA0o3BylXmeqiqrChcfSrOcB6liEmz5qP oLRRL0mizAAtr5HB0ynZj0swjAL9yqov3kDRgbSDghFrc9/rm4cXrgYxYcMMj6pYtnFsHu+u9a9z RNplojjzJD1ZhS01omRL2oQBGFoMnWK71NfdczAl52DBnu+EIgp+aq1JCha2cjgsozT+R3sZNwn9 y2Jyi1X8v1N9V9zo/j4LQofOj+kYQ9bc2/SxRzLQs/bQ3ZFeuopae7Udi6D9kAxLYdG7mcRf6cGM St3ijMbs7QFbSTKGTOi5KfV8/EF4EkqtwSobOaiDGrIjCB1G8Kuer/M3DoGrGyNBW1HCHA1XVbBV Q1jAw0iWSuXL0S4onfm9IPkoVvE25O6t3RuZQpMpq79F078hbRPtx9/bBpHgyted4Mhj+jBSePEG WREdLRNlQXmOHxMMRW6iTtiB37u49NODtkg/7bi0NC1janKX3aB2oqKpDGaa7jEKtfhxldFt/6M3 q++MlyNiMWd0EStKPD1fzXz9NSBH5SuC2uhwBgtjvHA8MhkOnk+Jj4vds01ysWpmSiX4Uz+b6HYV CkFjLNk851zzWO79h7XA2mYYkoyFOwZelcRd9QyWGaN2hQ9VbSCL4ED1+ygW1rwo8H89/F2R0SgD Hn9q15uu4n8MHjjrqBMjT3+3fQ8cTYYy0F+BfKC/I+3QR98bt5KLyKk1Xo4Lg+U6CrzJnPULMifW l6Inqth1wrqRIIYDCN0l9SI6B9bbXptIT5ZFDOb0HVRA1fM2wq9BgWJGCIE0fACShn0iedvWwmmB AeGMTddPprZXr5goQ2NwoIFqRLiOvequkg7DYEtrKod5DE+zGKl7guiMzQMrelWpRpcySFNO3zZI H/iOHAALsm28bqPjdlIapG//hkmIhCtS0NHX5ogCaPUT1Yj5sni5nQUV2ewFJHrQ9b6mLKF2JokC 3eZA0GNsJ9cgfikJW5IGeFaUkHDSefzhPD8Icso0swBR4CkYz4IQSZj/MegJS4QzPL+5eSIAY69O IOdtphHsg3B6PL1PsW/si8E7OiO396f/RsehzZ5HYxcRGZvQtoFvuIHSoUskihl1ihNMAEwmQ/56 IfhE10ozMDsd0O0/Gvjyeryj/ntdjc2iYdhmf9va1sWIYFUHFUhSXWjT8JF6tqHV2vfy9Vwv4+vh WAFU8S86iNRm7fGvtyUWY2T8165YHj5QvHex4msnAxO86BI0EIALa38WAFsn/rUgKFmu05OjyaFh aKvkf84/u/WkrVm7cl8R9IAB7q0IkerXMO+iH+xx8jNbhjrfurdKtxM2sZrw0HPYtn+Cx8jXsXiw bzRbNkJrRRoLaI3MGIDvAeecj+9nr0UTJLrCB7VYUD8mvVDGxYG1aF7S9NpW6sxdsTgu0B9evVsZ P0TgPFBL/pjxkh+6CAmoBRMezl82G0s2WK5F8XEwfTXfRH+tr2RzBvgVqHO+9f2uChndsxVFHfGn tcWd+sxRMiJhv5hYFfkj52oxEOvEmF7wqC+JbeWHNKKt/Dte3jVio7cCgGAMzEaO5qRYDLDDZKmh kH/2O/rJwLQs3OzCjkX4/bjjXSY9RdqKBckwbvZB0fZTKzp3zBCaYrzJzIurDsMGdCV3XoYlPyFL rSI54VMITexoTWAk4var5mdgQWVKz7qq1K93GgxQmRxGC8QsMYDY+ZVWqLDaoGC7lULz6mSxT2ki x4fHSXApsWEYFTXVtGHT4tqUd1rUoc5V8k3vpLZduj+NdPwwOu4/mMOgKMIaC35jwECIXMZhPn9T W92vcL10X7SNysjzjjH/oudASyLKLPlJGjXXMZCZBuPulsafXq/gi6AMFXs+7Q054UGEuPrwtDoQ s2oMIMW/I5GE217lHlAU/mAtSb3ZFXEwctiSuRKg3q7J9GUXqqFVYr1+wMMCfqqu9TU4/KzuIiN0 MLVQGuLVyHfMesQU+j9k3wreQYSxooFJm5UDagpliP0k0BEy+SFKaz5Fz9IWPEdKXfOU+Z/VEYyb 5JyKmOoo/QOSOGK+J5/pIDldNIfD2hofkaSqrDvnm5gG7hx+wuFs+GG1/MzQjbqXBUT+x6/Vkhdq yJi8AVr7dRf7tMRMrRFr0Xr2xTTqhM0c6B0SD09OazF8+2IubfCP3vXA6zmQ7cLe/mWJR8zYjB1o 3f1aqBbkpMoKwT5DAuZ7cDah4qR0iWKoOmzx3DA3LbmoCiaopzUWRVWMMSUkyeBo/rCR1p8KRLui 2491hOwkUMxke4uLddjjThZBNuyvXRmVc1b0qcIEKYZSlUQn4q9dqnLazxo5eqsdbc7rJFN0ttMb 3kuw1HEAR22Lkecqn29tuxqupSYXV1TBQM9+YC1xh9R1FB5VVS/fz9P9wyj4A197uTFjSdjUpSpV yjwzhMZUPGRq/qE2p/6sGbAMVw+qEjVjIVyW3gptfgA4OU02HVxMC/KwvFzkH6nA2WYJhwhByBCt pYqEyeoqVNP8IdF1hYxvO8XGxkJmZ9MVoErvUCa4+veN8WE2Y38vKuWdvOOxn/FAkeXqvEmC406Z VE/T1FxxOLKJ3cUIyPaIWKEGQThuHhmjl3lnhZ12D6HQTLCn0Kow76KIsb6eNQPYKCciJahdqskH /SseRUMc5TxonxzX5Mkv/V5dWIZ6lVtdlhww2BNpjc/lsn7lyuGBdYrRWW6kEuUQhtWI2TmD7P0V HkzL41YcWNvIOrmkRqQYuxSnn7ygaoWbbHuOs/fzVkxJN6qlYMZNgp4LieIdYOCBEpR7X6IoAlL5 g3JZ1V29PxJ3wpmXAqXSmptAFue3ICNkWAT2rfrV4rFpyXbnHOFbIZZCPJ9CsxPgB6uWMcJWI6kP TRlLMzxzcnOsYJkyWmp94YVoIfqw2/KBgbSJStIJevPKooeInWw58q4bsNOjLNCs8GutjpwB7IVA uaY+a9phx94h/rYQfA97qxVA6eNtFDIVPstFA0ZIOKoo477KzUdSfMUdyuw1r4wzYutEh29cM5LI kwDS+n4QtsE9pTHRQrUqJ6oQIiA9IfYD2VUuqyDwHQgUMAxh9R7RsII0H7Yv5tZCZguG7wWGpFle ugWCbpwCa6hCew5XiHDnyJl9oyMpBnbKxZopRn0ZOrijr4QKXw8Cxl7mbxjLwEE6kj/AlpktWlMz bsW7Bds+9A0IdF333aA6l1+nGk9Z5beq33qkVDUBxx9gIaR6aEPYDxyJTI+tQumbF0PzmrnAn4WI sLir1sdz3KFi31VlT+fsjm2pCfZlqQurZiPpsszBGF+Hz5+/G9nTRpbFEUJnPEtOhG1zPFRCVm+1 oPXdKlcLd/1qpJSSgjDNQWlSFx63tSq6Qt1mdLrJm+r89oqaSFqiR763BXQCRwLzeCSmql/agN0o WcSnGk61xU/zDKrPPlhdGr3YqXz1w4C/E/KQuZ4Pavs5ixwr4rwXDjg75VzkCrM10ZlVmNWZQ4vY HiwfrwhPCyOQL8L1XJs6WO7fyE1mYbCe9rhGPDJMy09kNHkFyyws8ekk5VZA2ViQEeK5JUsaQ0jN 26fMZZ3UwldJVzOGrWtkfnUYkdxxk8tCqezEziorfriVrgAc2I+DtfrldD/zba67DsZOz4StYm5c y48r6u9JksFMtB/cgFuxwXCNbb4NcPjX4WfEgF3ESf9y/8nb676RGq+QcF8wjUXSfqb8u+Yv3zJ2 laLVkAn+Y1eoBXWmk7BCCtK1KU4tATKFcx4t1CuIPiSUwzcpxssxHarWHfNIoaN+IdW89e0+f0lH +6rtPI1N+Doh4iLizYvyH8rN/tEcMXLJ6XsJ7+a79mq9ugHnNFpV8HEQjf5dHa13eD7qKacKoyO1 +RVdYaglX9/y1j420GbMI3/+xbzA5eDJs/0IiMlnTLFwQv/T+7ZmFXxfymVop1wLc7IrSnULLaal AWPWVC49hLtLz6HpfakTOp8Zw1bHLaz+vbH8uU2xZpGqT6UBw0EJfb+KcxUEv+rEo1UK3bKjeYHY /S4aIDNvIoKN098S2xrMa1b0Vps00T6Mmim78x/qVVbzlo35QkLlZuxOyXtda+c4/vZrxvgU4hCE /VKBD0By9/sF3/o8+ahoeYTpF8kxI40q/zYqMwalA5EJaiI8a6PMHBzyZK3HzA+Le/x0SO0HklPi DS4VTnKs6P9dctQgO5NTxK8igpyjjHqNNTVihPPsb6gzBXwg/vEhEhzblMShd3G99Q+Q6iMTAwrB MKQj6zd0+auwqQfPtH/wvCxZ9fgsoq2DJDCL3ANi2W45NzpaRRyLcbh4G35L0sq0ZeezsR2RRatC r5Qiel8HhlERMVJq+HBES/Ra8Ak+rx+7AFIUtb5LIMvq+34ATOeHrJHDhuBJD23yerqpDniZ3eot CySyWX3IMDrBq3IxSCbCu6OlaxSkeWb+q2lTwMFBrbraKqEPVXGQdioTjyNh3nZoLtsxocM7MbNs 8sECRspDYqVBzD3Af/pCuJ+A0eR/xmv2mA8jQI71C670CO31P7uj7YbdpyUFNIusmPbt5iW/q4pC qYHg84ONzm0d8salA80ldxLtlBMneCs6OwAbxWpRxhrseEJi7UzcyZd8pMHIYjeQEuvQQFgnQ5ku sQmjsK5HEJPi5OmcB+utT+HA2CYUnPC8DJR94suSujmOgp8/PwSKc91vxG82ml4YClWMj5BHII3G ZBD+4X+uLxItOi7iR/yPiU9tJRcpd2gsRChka3JraYI+dEexKJ+zVuNeP1awHdSpdVPuMTL7UEYi CCj6GSy6I5CKxpmzqhKX+0XFCnOMTKPIpXfkEUA+uQRIYQcKStRbguQdgtUX9KkqrZ03EpUc94az ajwF6OE8YI34AFDpuOtuza5aMt2e0JrBSxsY1ebUcBSoH+7NgP93Bkc1bmDKl9FrMOu87MJ/W8Lv ef8EXlMxxs6HBmzViSoMYfsmBQ3k4j2yg81fPQIw4+l9mCeasYmajlH9fm+xwmZ9JLHcbVAbbOOA JmEt24TazPm0tgZuHzcw0x3SUPwdVeECyG/FozItwO0wDNlo7h3AkX4nIFGqLTYrMAn0AMK4VZTk jQ4bre0uvUms7MExmksWWuxfu8tdLkBrHr16JGwf+1Lt6OnKlYx2Xba7hcAs9wzz0cvKh2ZRiJx2 Vk4FkQXDuo4IJ+oanvq2DwY81sAo9YTabm2qk87SV4llQxXMfp2ZBdQaL2jiXoczeil+D9nvumBu Hu1VH3eKGx/3HBjLMlST35KtMPPE46oV1yk/DBWLVc3VKhls+tOt2ltHFThaJe4r4bNNfn5S2nLB AvJvK9LmR0oo5ABWGeIODWDB0NCKE15F7DGcRdadnikbCzf8ELBVfozDlUhNLoRyN0zlJCTU/r/N G42kooSr/HWSY5hqVmlmCywEFQYn4ppwvd3skR/tRIaL79DSA93zZpn1f6RFUmLOSg1iRe1c7Rbh Sy5AA28C6lTINLvZ+NmVENbz4mkPF1rlTPAsx+nVYyvaPAyPQ66b1R0M2fF9xI+QhaTpsUjIP67r duPblX1YOWWNNZ049mCHIviMknaWtiFm7nRrXLlYPh5KRipUSDbSTUq7ESv47d5m8AeyEpg7Rwdm +auOgesCiegJZo7Z1eGGUFAFGB8+YYXyzVfqqzsUHGqjldH8eifytcA7yuizcXqP717XnWjkHSgO 5bqr5qnFOcGXH8Za7wwVpZFg1nW8gMvacOaSPbaHxHOS7GLYpuIqniX1D1ARMS5js/mGF5LOO/3H eeeMGyp9A2gu83Hm7iep6BXkxzWF4yBAkYH6OSal9Tv7q2fO7+FjBcu9/5M+Pno41es/hPqwdroR O3K5PS3FSyROBug+TnOOZgDwDMwnst6kc0va/v8lgRkQos0V1cuBmwQ00GZhdhIQYAHGqrmZ0NWB yWoUtuxAeUF2Qxfpo0EDRsWgFjcN/VW2MB6FgVy6JNy3m4a7lxmJDuFMm2U7grGps2RQABVq5T6X +aMIkbZhFZwH4/1KdWzgQYx/b0I1obO6InHkUSAbHG/cUE0CiEeQsIICS+xY5ZMwm7SIqZ5UBh2B OsCJ5yHUANf24d7ri05mRtwpGNZc/rnuDSuo6940Um7Ft/lLVGcldMQc6Mz1gIao5opxVgWFnnF2 WjS/tCmj8y9gJt2KInjbCmqle6ok9ktgcH5FWsaTx8hY08O3k2A1iXdSAhJ8NkchEuFBqkv/bEIV LgrPL1Kz7IqM6YIMse69+pOUSIcuEwxIVJG/EaTfcF7laK0BRE1uQ08fkIwsII83ehmtaJu8hLuL trCjecUlRQ/eFOg6vLWQAqoX1Gbxl3H/P+nodla/r9JvsSELRN4NHLsPf1DLE7QTXDhTBcwTx1Sk Ik2iJdsZBzL9NaNrbSYJ9/seaN5Ihcj2xXlhZsvQa7tczi47uoOQqaNoD1WYMiXUm/GdacU/Apdr XIXc27JJCc5/G3OaKv+DrzgFJjQvKY7HKE5kip7qqA5yYrrM5tJIFPB9tT9QRBBYJqMsnZW3yRVP dogk8EbmsbVgKg/ohTLqoVOY4f8GH1GaZfK+xjSLFlJx6MaGd0gSEoB2maqCHceRMqmKWxfP5xZ0 m51vxvrVEwLZErloC5Gix25G47V0XUVhTT+igj79QDvGUPVpIh3FSkGJ839Gzrbb/m8Qc+cPQao0 TEt/kaZRdWrI5EATH3fyjZGiioliL3W984+aI0qv9zYdp12rAh63HBHGxgtzwi581Ht1C5/SJuVW PE2ojdHwcNSfmCi24UsjkvoC8f4TXJzRuCwSv9a/uWv4+GxW/nmGzKFmiO3QFmNXlrVtH7XF7xH9 tAQNrYmDltpJr8fTW94MXt+LIlHXGEhTYBVzCRNuuxWpL3YZ3bEo5nsha/XOay2ZX0m/gak8U74E q1q2wSRm13OkOMD9hR908/tedBVxJqzXr7/AdKdl8wODvFt6vDdFmwC4j1Tl71+pDI9+K7EEFez/ tTfzqMb0SKBHz/wchdvjLh9op16gcge9mrZzpThFdInw2GBAyqwug9AfXEh6pImcZNemm9qLOYh/ D2DxB0gkWW67xEvnKLclYLXNt0Ud65yKwrlq4VcVsSMxU3TdpMbu42HtYPUUsig98fpCc94uhiex xJWpoZLroBwecTXLuqljbMHQdlau9HI6EFmJZ/UJ9keyPWozZgY+vH34qoitlHlT88UyT8If06VL 8Yz27GoWU4FPWc45sOW8qaBBuQbCWh3suCQ2DSKLMXxy8YjFp8+piBqX1jNttBVveDUKWp9qI21o m+vltZpBQQNLqia1bJe2TCFquH92hWCX12XrboVRbtvVuZ0PZGfV68xoSeDHBGPtiT5PUBZMWzOO 9sz00/H3YRtUI1Ie5oqvgWPohABA60hLIyg2cwwxJLD6TejBpdkIA1EyKZCkkIv4heZfuf6Vkukf 7e+VPIXtOJEioOnCT0ZrEj759J5wvGZbLrimaxJ5/N20YObQiAfOja7al7zjSqMlzHKMvCEcg+8s AantlTVNxapT0q+xGDpQQM0mqAljgov09G32q6vyVFaXBZTmYLgzn+VdU6cXwmcrycJ3mH5ho9IC V0wtcKgLWEMl38FHB+WERKoze7GIxYTCwW6n5QipbOdapB6EvXvO/UBkStv7uqvFb2lvme5wXryd qKqpbtOTL5w0rrke++4tb1HyvlUaOhSOn720pjYdFzWdHD+T4JFbY8a/ZS0+Niasd0Lq/B/u187Z Dxn9gym2Ucx9NuykCoolppzR/zd6G37Mz2Zm9Gl8aVfDETmDgwHqJYuwcUtlRKiYlBjqZQZP8U2P bNDkEpptWZ2/l4zSf6woX23yZY7mM2yXrD7LGSq+qUV1F28oFmXtpNzb8LmbT2FxocQK9L3UC+7U /19qZjwn3rJFldJ8B+o4hFXTxtLzG1cR7+grTMgP4674SfoDzq0s0EsLdlCGYkimjnE8nTGdUC2L zADjU7EYNw1T8X7A05alCU64rJ/t5DTC8SEPvuzaHlS6cdBoumNwEBPZGQu94ikgP2i43qkOqq04 4gVq0tiekKQ3dG4cMQroNGEFzR3IHi+G/VYZdgek51UZlDokPjr42RG6/UNH74te9X6xdUKHBhuq y8nwpee5N5caAxX+1q0PxXaoOt2LJQDpsSJTmHvXE2XXpe2ZGPiGR9y1S/+5M0Rj/uMUugWvxSmW ygfD8D5yUfWYXRRrt3rZyTjv6aOOUwGjT5LaI9ZrJKaUmsJv361Db7GCTuaedvneivc+QNHlYGnN MbmEo+v1UcROiooHBnkZBo5xOrgf5U7J+NkxJqcyGSRhBTfvt6hz0huR6HYbV2Q0gH2Ln45uU8zR Egkcp6ea3SBqMq8iKSeBdXG6bmZte0cabyf739ub9eB7N7SKp2M/oj2Q+Mlmzg4nvRD08WrRhsxX cXh2ghhSAKYT0qfoooVvo3ArrrMbvwfmk/BTGIV2bQ3Q+l8u0dHdPChqlRdIQvryDtnLeEF/HbRy Lf4pTjCkeC7PeKncZSmS0IU6Jh6u7vUeU2xCPrcFI+wPzZbeqHxzbH9xX3E6HPyCm/78UzXZJK2Y R64Mh4XjwTVFtYevqBWetmMSnA7uxnFTlC0Tlx5xgd+Z1EkzW+BTwKPycEn7vcbKdfhYE1mLY7Pl xKI5oUrl+ASYqO9S12E9/KPSMns5OjUXm7la8a4AnlNK7Ava9GlcBWwbHyUA67IWmJ5z6qjasO8e 9j6NwS/6R+s8bbiRQqnp8iRTF/o3wji9EzWWGkd5RvIXod9mai1D8qwvcmKmlW/u1Xi+dSsh0ww9 Xh1z4aHLzaJGGtxfXQme81/OvBtBp34VLInDXg+FVZrasvVLZFUAVIea5yq+zbLRqrNnEmPLb4np GMhn2CmuxHk32kysWC9Yj3GS4090ArXgq3JuQG512/fWS8H3rjPU3CYm9tz6edFWo7XWpEw2m4xs IrrFR3YKcvo7zYT9ppxPd8VXo9qvrr4luJbDzVhtBuJSOWAlSpFFKlzmJVtkmnI5pNCmGyucqM2u IAKJnF05SI4RM6V2Z/MAxHjOQgDxwAJ//Qh+WO9+fUbwbFeZWv3oGd430gCrP5bcLhtcrxZ0Qy8A mCRh6FfT6fR+wWIdqrHO4fzm7DSCsBb1JFqg1vgiJ9qyzfMjb7TlkIdjWeCw7Dh9pZlGSHysryv+ vHqkKwSp8gDbkYBWTXVYj+zCw0vvMMwzqibgWRqcgO6vntXUaw1M6+Q6ynJNLKtR1GiB54ANzILd loCStVxiXq6YkbFs13/XqnOVAF9nbIHYd510d5geWCLwcWWrj8qDHTHgewNscKnePXSMXIXnl70H CHmd3xtn1GIqn+XjtK7yhHPj7VSLcc3AanQe457fGPT8iNS6UJ3m67+ars+0qM8pVf+mgeBOXSYb NwyEyJo004nihcQ0vsuW1kssUBKe94SAyOZ6oevMuvieXIaX/aIeT5QrqrCumMPrlHjDCBupfrNT MS642V0u4QemiXlV83t/+G+hSiN/JCT3pk9z4ppNQIBQc3R5B20jGlT0R/LS0+ofa5qsPWfy+2yU HvYz37+Y2ojPn0zZB4iSjkUbB2sv5n31VPolIvwaqxSjxIfXVfd+Gp85wJTTEPDnOYwRtV2sgDIV ntQFWagpf/QCEY5JW3mGWQlF8X/0zhtXIfzd0zu9r0iiFkOEq+bcMV91nuatvJjjXcrUkl4ETBE6 wPogkjwehgLy2Ja79ZcKGMprF+9fP816pV9V8pZH/RXNYsJyLzbN2DG66tXIo99P+JNSTkv0Uqir yZ271NpoHt4SWCqLFpKmcfIkOJsI3TnLjG78CCZ2QGPUB3UYHURNt3FH/rNpft4jfowmGopbPOmq aBkl2AIWFUK84EJEP/cX4lBIViu2Xr1Am2/vqe9PkeqThNP2yH2xX2Y3jGIeLXdA+VCmTZV9eivl Y1oOkQ71vL0MsksHn00UHiHNohkOKVtUUlbgK0bLyD2bgFyWlt+QU0Z0VWWM0QUp+11rz2jMZW83 czxtSJH9tNScwAx3KtuOmuuhJyHTvd38RCiIQfzJOctGQ2BKgsFk1pgYZfObWWk+SB2n4IX4kKCz htGR5VB0ntl2NGfbR39lxHenNwMmq7LH2+iFH1FUccIPY7QL5IFDPnB6Ujps1VreRiVMT+FMhpGz Hlb/ghFYa/C+BGNf/d9DXe4XTjxVBf/lVm2N9v1kDgt+TeheDj0zngp1wvrPT3BiYtD3en2oAshU 0Sd9s0e76EXMLGIrpGe2qNXXIvXhS5zyYIu4drXIqazYiQlfn0SwVa9kBdtGH/spdUmnxLh44LHM eaO1QMkppVvs3BQmrQyRQWrFdEU5rFY+GHbXt68Snvv+jc74clcxEwbLnmOvjqDpRx7CiC2GDua3 Jf9m4peVlCKj2RhQr/DTjNiztKBpAd8sHzlEoaDHssrFBblic3nbePOY++rs5jG0VPApoKX9CXzG 1dRE3SP8obi2RqzPEV1qgCtVaXWf6bl0fNSJpKv9jEyj+mnsI0yjZwEDkqWUvk2LfNAA7XSqaxfA j+8m/pOtEDaZG4+slSS1TWl5TwsQCw86WLMFLz5FQahCk7nnx1vFsNxVa/PzecVHjM7n1y7TGQdQ dTqWvt7t85SDxpemCmPGGc9Goy1ZjLKYgdWu8q1TW+XrbMMFLWM49/Xa1E4o4ViS/FcVoAbyi5MC yKNkff3xeCrBdHqSydOJ/vm/7T9qUtDJX/HBOgSplQs+VxTVZmpT//as+gqTGE7+dxHUwUgMxs9B pJrlKeLtYKnR4phrMW91vNeA3zgix0MaOYbQ3ol/0okUfvIF3zmx3HRz7cxrZwdZXn8Rs4x75f3o MWilhxsoBuEKK2F0fwakgB5S5GBZqg+0DhPicjt++8Ek8r0bBC9cgvpO9OujlJGgZq4Ifw4hJWqF Hkc1FS3Nhi6TXeouuj9XTEPnmUWGsdTA/PHQ0yuI8WPhz1F4oqPG+++IxX62KlPdJCa0B1Qw5tc+ 82Xo0wroismnW3jNiUVt1ztM1wbyNXe+BbJ+IvxaQTGblrFFVZ92t1/FH2d+ZgIvPGGTvOq12HEa sd9SLVd60lMHEaSmskiYh9MDXOD5M/+LZVFsvmcE+EgVCws5xbsxPeVR5zMsddMvTKrxpXtx1KXr sf7TepI3g9qAULRm7JrfMDl7CtE67xe30vO7/5li6QgWphcCT2hV7YowcLFWC66EuyibeS7U6S0l LvX8fjzHPLU8Y5NFjNGLaH7/u3jmfPar6zkpV0apTDoI8CtRjC6i+TgbVE3m8/pAsfvqp6edqJHr 3Bg3Vfqc0XBrwwxSZaRf4f7fdGWCvXTsxKWDapwQxjFtdeO2i2Gq/5dh3j+D4UGORloj0PEs5ilC HwkYo9ws9SBRAYSqwFZR36nc393Qhm37WXQd38pkbJJtOp8LEvl5JAAmoJkm2m2FJNpu+nxwnPfO /5GAJ7Oop6vYgNXvnOxsU+CYGHWslukdepe4zM+tjNUs3HmtGCWvc4uDaLCnctv6uTXIZhWWZrLj zgH2bfqXwIMO4r5TwjF9LEvqsvKYTMw7zFQAm2jPErvzUk34FfKlP9SboRW0C7o0/1S7bHaF0gEM ufxjA9X7nsAHuiX+APhFS0U3kexs+9+tXbuXdSMjFjMOcOec8i/BJu1bXE3aD1owLnyu2P48d/Uu BFQHk6kWMXSsSe9p4dNNq/k1G9AGnngpBqVsX9l0vKpLKaZO4XZOYdimfmv7EIeQCM2Pjb7BmbF/ 8MySjuV0rhTDOzb8ESS8pMu7YSjI3GZymob2WFAWv4WUH2G2NJ3rxwuPmhi9qddoKKCNqgXcr7o+ MG8ZhmdMR/0VeycrBPo4J+KDBkr9mV+NQbG+rcgpaudpnO6/VTCzApA/U6HllPe8AT+r++4BPeRa X9aD5252bBzZyLzaHwewpyAUZu3wdDbFe/FddIy9NYfILIlmPBTeJB1iV0eDtOdf7akaWHWNOMcG egC9e1+RfXwidTF/T/i3Gi5ojbNMVwpNHWyEsZ8pxpa6qp+IMiwnQuEPAIaFgKhITwJqtRRYvLeK BWPxgt1UFrTFo03nytqocA6x381st7e5vrxnHivCVBh7L7LctJepXqhKAqcom2K2VjIZBMrdOEG4 lvCbtvaRSex+MHTRCSrRGk8w8EE0AnJXEIaG+R952xxnGOln7u2pYmAN11MRZ1RonXZ0Sn8pllHT zV5SJ7CURpIafYwV2CjKEjED5ij7ClyBoenBkmFM62Rr5U+OW7Sai4wA8P6OXQM4DiAUP3fNOs0b WrllHVre/oY0R8vaTK4+XJKuWTbVCTKohlnN436LEVytbjMvBSCE46M4thTQLn/T802fu1b3+PN9 GODgH32eJ5XnXxd8ptIDzkIiBgnip8+03eJvKJq3k3RjD0IZJnPHpcsbuDQefg6Hde/XUfgnhB8V kyRYZCFRGdPiC1R7K5lP2VKIuM0e94DBz9Drw/0F+3F5VRHS6z9JVfwy5iEVUSvpSQBJugZcqUNp yvQgrU9UU4x6ksHdOsB/5XSmyQ6BzzcimxogyGOcg459EcnIbGdGaJOnv2P5vj1Ob8XvGal8Tnl4 qAuT9xqhqty7OeYVdJ0uf6Xd93dZdPEmDpwrNtkerJACKyNu6hoA6udiwSt0slN5E5XK3y7565K4 4Hx1svayq5HBI7gYCug6m24qszrRephno4/W9+kgKsUByxEvgJBGwPJg41h51JhzwDPKcderafkQ AXD59bNYqDopfFIKkts7v/NlYqK9FUmSHPmckiKBPKNxfAXLpSF6/rsNYfummg2s5/lneKLQIEn8 oPAKiZXK8RABRmn5uukkZOy+0aPo+Og9TIgYrbXycZ3uU4/TeAXqHkwRCRgtr7/knEzDRb0wqXlI V3jG62uGMkIeSZIt+oQZqyMC8sxVtKTFRObG4xtmRixEjN7j4ldQppyYMyYevWUg1D6hVekw0QxK gzi0GaOmwK3GJsHknz8BKpPn9Vdf1bOMFqhFSuIetJfOMLGDRkj77vIjMLYGpFCFyKx65zNlv657 N0LAITHNfW4rbV/GTXrJYIcLxpqPXPbPF8Z6mFGOANAghLeEc/64SfzufynlCR3qOqXUj0m4db2Y zjQN+yo+9ng2kdgLviGbNbsF6v0v7ejPYAH/sibIUTt8Rgzcgwj+xiNy81H/lQ2enP3xGMyCEe2c rsKJMYb8SjhgKarG0QAlnqDZFK7EsYJA5xD+FkLeVOgry5dbuOZpUIydikvsSCW3zdH5slnBT+ZM Q5ZJeG3b6vLIL72xt89xvTsA7FMFmjGvgobwqBKkH6/G3UAntvwqpcsS4bu5LyJrs1CNQ5caajwD TDeBVbKi2Vmteb5hutwlkPDKuZh2IE7TjaZEugAcVX+onycEd7bjcaMmEU3fy2C9Vtd3FGpJse2l rjmWSOjlq1ai4eWN/zgJcDjhpuo0Q7KNoEp4K8+/Vm2oPkI/H7L0/CBi7pODACbuoalkZGG1hQed AZQvJi2ySZnf7LXT6gQUbz+cojbvyUpPj5diRsjYm+B2Hv0ZFqGiFmk6fvIm6bJ5Sk4YmiLDU9Rj mvB3hxjDXeN3EfVa4Po9SnJh6LQz5Zne4e4LtFrL75i5BRY5+vz1glwSi8k12uVWahZRhnDhgJuu 869M73c4odvPYusMLCG7vLO81zr3/DWHFY2hDxrsagbNH458nVHjRBgkBhXfAuOYIFW6A9hYm8t1 XlzjIcF9dVYq56xRhmT37gw5D2U1UKH+ss+7blo5bj4UI5+PcWIDS8IlkdcPiaR1EAb6tV43v3ar tlW3BrwP5UyV/WzNPAkz5qiG3o7hyN4FxmyPyYermP0F622XOifIwuSD51uWH+BLfdBaXVn5McDM l/ODQwadScM94qHLbCEh4wpAC+XjgPFQd/WCxkI2c5qhi7EmzQZIHxFQDRU0tdQQB7XidQso0+J7 8FOhB0IB8Lb7u4yv92VkgsD9Xnl3EYQlSOk/yFkpWt+vQGIFFAK/CTJDaIz97qLlQi9wXsXCaedO E0cY7LKb1vGGofAMd31Hi5gA9IICbNXePf/Vwh7XUFZb2kSh5I+Te02/wV9BmA/DfdU5qI4Fq6cN NqJfR6Qk4ozkgWDe8nmTs82OMqgYKZHdhYM/328ybUIZEy2PI1lCbUVS/BhXInMSNMfaq79HJzva XF8as5GeJeNQDn4p+GhgHf34H51eojhuxDMjScm5PMbEI+xt4N+Xj3qyY/bA0mC4QdKpgKIFjNij f9arOYG45SqrXrINuJaSzm9c/RJx5YyXrf7/Bli8PTcYzbWPWVtosktxkH2+hFZq2IXS1YP3PpAm WHm/IzjCRlgcbfCnXnfsqmK7FrrZ/PrwTjjpRfErcoHgcNMv+HGdug+gteCyVsRzfGicMpMA6FOZ OB4oDbUpWROBcdzNkmqricdtfeIsvqoJomVXzCJhjxyRF6oeiQFKrK1qmEYdret2Ez8Rf0kbwip5 qW6NNmTWGnNrj/R/kUOx9H/rT4WTS/vUVKaB78chjQqbUMkWZJuY03A3dmIDVmWuGMcvqDCoF87z 1UqZVp5WsadIpuSi+TxOCIF+zmMZr9n2l4U6NAEzJapACLEsl0uSE8TNA3OuyLDswOdLdRLMG6Ft UTrkAY30J1kAFruy0Nkk8Ja8D/eech57ZT/BtcNpfAluMnSWBkYof2+pRDz2PYgd8R+xA0LotxVU kgvTamfaS+zvUzSdUfpJlsaPwBSmJnJUBmayDkgIlEgGraF4ZoC8wWjkwf7OO7dZB/pDCOBQVzCU A84Xwfy3i/a+tE54cWoFM1xp7+iLx2LTqT95mWg9KfhuBE1JwBbZsarL6ov/ng4gH+V9dCCOVu7J 2wbVyt656gbRxaa0LT7wkcaCn5rNn5voB623Nz1TkwWctqqCvrtctYj7G55uQrPgHa+COl+i+5MS aDnb0ZV0PY4xHC0MqlZAw69OHMzcwWKQMuH29xUeeOazVI0NZPBPBU/GtKRB6tTG2V8hKXsoiAdM 0MdupmjqTpTCY4E0ykLgbnUeEmPHA6qwQyFvaxaRe53/PujhfByfq6hGJCF3mzaU0WOl4eXcocFX ZfHzZZHpGITKSKB+JkuMlCX3SdPDbyaYmll8eS/Dr4tt+cSo66kiTUfB1dhPv2x25p/1surQQN22 kdBR3SB4iH0d7Df0OOobkTAKQvr7Kfgjrr9tG94xkxz09qFH3Yq/10tSGWX7C4kPevni3bUkCLqp 19yap4PArp+5wxIyJx7YGdAvIbYsCRtnKJd+pPh8YINvnF5wMtbD2fMzjAIKShxwlbJ1MV9biaC2 xyQvwPWm5DCZoCDsWptnYUFyAxt0XMT923hJUs4imSeK0EkqZQhOg2DiThQBAxKQ33v8SIS1HQQB jxyY0oPjxfSZoFJK6hyiVk+a3W1HELeArVn8wd9rwYaIag5sOQZ2kQUV8vSOl/gY0rX5hdqasEA9 8BhWBtvUyCGG8NqfeC7UtFbiCtxqnuZeB0F3WELEFzQqCovQzwORth0wObnJBmGGxc/AxC1iFYdm HMfaTHXKy/9mJPMXkh+THZiOnJcTiil8eSMVr3jxVtVufMc3Hxp+zV5JluIbiQWko81NLSsgf9Zw nZWHcbuFcz+9yO9lYOaqfPtumFnxAUHIT5Wqc/wBtJEHhmgkeIrTQmgvFjeNLky/T6FtL3MQyBko +5CzCz00CRgyiwtacdbh7W8VHGpwdeuY/NEKg9r8yXoTWw3lwWjehvbtkA9PDUCcvOfW0lLSTlM6 nE6OX/FxnQEBGRXgTH5EROTnF0nF48Iqh1OllnUq4rL74Y/som2uhplQaj0ox8Zt1vuEJ9j47mgs elfUu77iQKKe9lbL2Q4XvD44VnjCuaPu7bBrbnOI2fFO2gN569swXEhPGmSllT2sF+18rrj2BubE rfudMhYCNqL/rDPEkfw6RGzlIHm1IdCrgs4Fxv5ve+maB2w40WF1V9O4n2JUDsAoF3aikp+/iLi0 wFHAk4ITfPPSwsmxTdkLCrkQDLy370gQfelVDSzrQBYDpdNDxLRPxC+ZJFgUywMpf2IPSNx1g4V1 6bSwa15qrHzSiYf2X5sn6+x3ERbQeBUGVT/sWDF446yYVy70LhaoQhgLqQ5eX0xR58+SMlQiG0Nv jJYY9v/hc9OF+VAF2Yf4dxDOKUoO/UygUvXRb5pcbRuPkfAC36DGl9QE8QmnfA1rq9IaiAkEXjmA N//4sYn7h9PZDND+JaHqvK42zAuqOBKh3nR9ICXVl/UUpZdDU1FT6Fmd0GE+xbm44IikFm8SdNS7 9xLsoneXNbqL0cRZnpM2WQ9PcSe/mgj61teNhuZIn1FqykgFsIcZD7Jar97RRJiYFrE5W9Lk3bW+ mYHk3pIvK+F/Q132lg3+Vy5gey/Fyb04JgIp7hFNVNArpHOuyRuXNN8X4igGaIotxaNIr1CgMJ88 I784WveLnr5IKafvor4lCTUXVTg58YCHQAghJOhImeYHknTZh/6ndi/7p25Qht51GdS73Yb4OI/9 yRZ/fK8Uc5RL1hyJFam71zNMFkAMpu5rMUo0eRWgSKNRotJa8jMceIhqq6QkhRABOA5AUufoN33o 2Un/HhZCwLF9vqczrO+7ZzuM+8FP38XNZEmWTmElBpHJ6hoQOSTuDrjS3ZhiyccPoYOukMjl4OJi JlSQ1/b3qMiwQmgQtVSsFJZ9GAX55aDD8PtLaSFOveYP517dDf9nWvGoQV/rb4gXPkYor4T8r+A+ S+BalKfC2mJVoEA3aLYmLrKSD1aqHtb5pYQKeWZNnOSdezAd/2O1slEwazXGi0feecuJulvDJzvM BNPdaK/EoHBsrK3jvKgwAZLTYX+RtTRcNQjz9YmHljSFytYh9jR7nAjcMgBUl5ysaBTTx5omnFQF y2aoINgIMStSuz+fCuv9U1LpbP/sXYabI1GWtUf5HJTK/Y1KGrvRWDdzQjOu8kWkV+vkB8BFoLhZ N7Zkdhae5h//0o48zyoxTcG8FTN43XvZj/+vtPWBDp9LhdA9GmGcJ68ylfSPPyPQwNIpvTVvrRE3 i1KzcCQlMND9MxQaTmEnhn/mnaTt+HLYy6pDIOv23GxnsMuwow7hAfVUaAUiBXI6hMdRmLi7q9iI qPl5tc7N7ktohs3ISHZDF/7MOJIW3cy1swk6EzU4c2Vl71njhre2vgMa7veaqfuk6uAuu/XeH+XV tyEjf7n4Iwwu1IU/mqpYE4v4MRhNS7UV7Pm0Rq3k3EsVhKjHwQePLekI85c5hbURHMiJBQJrraOL LQDYHPfLpp6PSGWBAKzPSMLzM7NVJcWaGcciw4qws0bIctnzjeiA/yHoZvHxZPMM6glYw/N7YPnD 1hKHZOS4mde6bXXF4zXPhLBcn1DjIlBLgUmqr+axcbgr7NeI9VoHsvHpKQv4fEuyhTbs7XxMsLS5 H8lkb1efg2jvDF/2Ei57cOqwbNhZ2COBXiL6eC89mtKOAUAfMH9mkBJsGy97/8FgE1Q4V8zWLTjm 5fQWSIOSxyxxRn8Puxj2PRULW4V+xSTGRb/gpYosy9vf5bNI8F4+AUrUX5nL7SPAiPMpo6gJuSmI alEdOFLDwutwfVuchEg3pN7i8rG069T+d9KC+LbYNNy0CsmIHvODHOn0n6jFno4Gkc5X9nETuA2l iUcmNWDxSTGd6ujgFoHbhyuczESI9QxRLMFxJ87TaPVQuaEK3bQGFVK5Rkt1pZcaT2RS4IGsuKYY hS2FckQ07mogC9mSBGufsqOAi77WSrPRZf4S1m/6nLuvKrUcqnIYj/MzOVzIgyeYTYI4vUE0+o1C uE+XRq8u3ROsa5QhpnjDQokb/mpGwU7lqc2S0vljXR3cycK1AfGxyzfipL/BEOZeNzwmaDcUGzLJ BRJEUBtZniNSK2w7MVlKY8rUIdlnDLuigjR1bxOJjzVJcL5BWoCmT65Lc/gR4w0JXsJxK680Mbxd OlgZ5O/D5L6czGy9EUc3SD9MJoZu77w8CyS2UqVgGjyfbSpeTr4t0iPts4JHdFF8o4aY2cvIt1rR dxhBFb84RFaa/0QA2DOv1QV0nPDS67k1eD2/HKSG7BoAu3CqNlJbPJab1Q0BVLQuQa1bZWJ00N1y NywpVB7bqxU66iN67vMN3fRrqpYtB+/Wf+6LU6A0x/P3trUWnU/a/1D07sxOkFfrMmlYusvgRh+8 BY48cjmD1woKbX04dMbNTxUDQ8x01clKlh10Kom7nYrYV1PrCKKAkkBpXuos/3MrK955Ex6JsgND uFJyDgJrZAfxf2nLyABKrw7pnviHMEXjO/wYfPsshLYuGooiQY5sUPuVMKLpa6j2cuyoh5O1eciX KqhF8d4UMWZ1F0cAKYtrj8KaqiGNBC+F16udccCg7LyOxWSIbb9lQWFNeiuv5yHZO8Qa1XpOwkr+ 9gulpq0o8zFDH6Hjsyx0W6IyYBecKjJJPEGe+M5lRnMb5YrwFZonubyJovjaI1qHr8n2CVyYl57t 1vHIWsXtLOYFKGMFVFHjC5f+rO/c6Pg+yzqSqElR4WaSFBItccYcCkoOojOSylezqsFRsrJBw9K7 UeWRafNQv0QQn+uaXcGJWajhW6VHLjl8lROSRuR5f6G+BHz+KJfNlp0fLdAohiLg9YfWX6Hva4Bq NGR9u52JMVQ9ichzIo4MnhrCXJgT85RDzdyK8RR+sTEc9J9UwtRjP7J5R7uoC2Y9f5Vt0lYGWlnh ukLT52eEIZjUpC6LA035YOEYrNFobNJ8PLRWKPvGGcUoJgRvNDbRaQkKNuWXzUax12GyUU9cHAgK T6vL9mSKty6cxg25DbW4uiOBTgcAhpo/O/t/iU11b0rbjbibolyLajPtBt89+COtZ9xsMVZuwUV/ VKlgoH0Fc2ayD7u1/CcHjHhf700uww8mFMARTb4gz8yDonKccU+/shU4XSpW5zULiMCxQKRbBWWE RAVuqR6DLh/vtRykYuV9PY64TCEP+KN6iqhKZQ9q+YP0WuNoPzDlnOBc7XfC+pUYWtGYTEvtGOcV pwxp9DywptAOLcvRnWCzPzEJmKdTBRWep/kXOp6cClBKGK92ZRpeQ+bYVmSXPApw5S8iCsfky0aM nxkKtvSuso6qSULOOy9TUB1FNwvT1x0nFQ/2ilKFoq0wvx8RvDIslZhLhGXQBU86bFTLktln1aNa Ul8yOQozNNNzM3KUbbtvf3a9d9+XI7RIe9gVDl9TbJZ2XsGZ1UvU5nUDuCXC4taoBxSpKqQsg0R3 zRArlTjy024V1D5Ydlhyfsq38zZpndr8yykldVWErPgyuQADtsw0qCO94ZRtv5rRCzmKM6dCsk7U nQl8L/7A6+mW146vHQ2vkeiBbRLBIg5YyOe+jhaO1uzT614HXGri9thlqSpioom9I0O9/Ku+ahvu 9i6KbB2jeBEylN/rq3N4xb2vkvy+iY0rrbXqtco4HH0DXu4WGw2kCSH3Vb52SfBJ7haQsmIbNhRj NDOAcT9zMvornSkoyB0VV0UStfP0+LupYX2SdWjT0IPo1pwDGuCWP2ee88NEfRpKqZRFycTMZWxU QIGgZpnIXaiITcB/0Lov9jCPQl2ITHL6DjS02KMHSxeijGcUo4BFX27itek/KRUpbA+Yhppc0p+2 qMED6MDMkK6QKnAbrVMtzW/Nut8WcEr6ER7JXdqm7bIpSCZfV8sRnrMcsD0N4vt0m4LsZZz8m5aZ F/h5/wOg5rBB/wd/XgZRu3Hq1OP1A0avnvMyNLZ38djNO0cvTt5jv8D+2TdrgAJWLuMgXH+HzYVV IrCVFtPbcROgLYbHAv02aRRSgs8MM7NQB/L8wxB+9ZLdVNW+B0nxZb4SYEwxibxW9zsmFWrAiCBb tzpAkvY1T1O0F1wXxNSeZTE4hqDbaWzakKZ3toLuTfJMXHvZjvX4QR0yervoZtuWFxLpyU0mrNEF EhrIrAv8YdfjFk3uxXK0+fHCV+bIYcO9fZRx2k2gd/YO8ELikSq8USGrK6snQ34US3oOzy8HLIrT 5//5ENetAPsZ5s8VPaLJIxfMYSIlPmKKNzpycTrUfANAI7QDa/J1vq5Lx7V/RQWWbf06e9f2hzeU RmGxy3yWY3DtOhEiaGYK8uOsnS0W/+HWa/YkOxww6yzhgoL0ykZw7A+PxYQhqFiGTjDuw5NUo94U xB4lLpdDYCwn1z5xwTTNttP4L/MIClO32geeiJfXYbKzHM2gwldMtULWwGVXhQ9/elJxGzt2MW3m mBhPmjP/2M6o14HBgie+KSbaFQzMfq7oLV9IeaHWp+W59RhjjkiacLcPTkDxErSf1xApNypM5wDD r0tXTINmzeyIPE2MDE/ePR+HuEB4/DwvJxoEXOzaowvYFluWlskYZzuUeW+Sqn0H8+67k3Yg6qg7 lxZWcOoY8HQQvVhC0GbmlgPREa6YrOLl663XGtbygb/2QrYrqbNdIvcS4Qz3AJLvB3NdszAQL0kG IvRyWphEJWe9wgRfieEUO/RREkjxlwJQF8VCcbz9RExiMUkARuf08317ehHS4UD/FkHJsNde2Uhv 3ESrsUTTYEt1a5V4KUanDXPAAyKccewZIMDueysACoduMRHeMKuX8qvrpZc7Z844v1ughgQuPk6L 6cwOYpApttOAOq9XKbexGFaleLp048HR1Neh5sDJ9FBCzaGmOAyUKD8CC9Ag9gs8qO6yi3Xa3siT Uz+6lJcXn/hhD6xcfGNsFtNzUdLiZZbX2jr+XkFjRUXPX/2tfHyJYFxayjSAFEqd2wUHazIVGz0o L0IFHOYc/9vlBY41ioyzswvXxOlx35hRePeiq0HPQtkcVmP51q9YsTNAI0Niw7afZLkIwrwhJIWi RgvQSmLWORo8O68MIaC36EOzy/dIKGLsZGHSOzIgKiNZHmLvmdcm/GXh/6JUMEzoq+bCOGrlKlcf D5UprJptQZpL5Rk7YUt27l/zEdcH+0ji1gh6hVp/NPnQiPWYZKKOCWGn7LDtDo+oo3HK+lf2dPwZ I/sF7wd9G2tp6ltzS33PYdRJxZW6wnJaaV9qsRmd0kWWReVyHilhbfNsVUB1H0smbauWIzHMOllA Ft56Hl3ehQ8JuDPFim90Pu0vSu4Q8TQWY+FeS9ibkgT4hMkf+z6yBjtq0hNwZtFhfM4b252MHx0J nhrciCzJOxv4xALBEzWPDOXwPsjsJDtdlf7GDIyMAPTmvw9Z6bq6QWRtyNnQbzShd0hvCJiCnI5V Wuivrm/keMZyynZQ+MTxzu6H6N+DI/o27RVKIkVbSztLisbI6rqbYYp2WwYPzXyln81aa5YZWfaQ 2QtGaWgs5enOc39E3HU4EaLxrLFY8GQ6erGjTCmIb96DNlm1dGi0Ldmt3ELE6js7gbMn0i8DJOhW ZK4EzVyLdd2PGhO0bWjyVV03usy7aOKawtaUG8+ktRRa1SYAY8Fv0rQxlDfyLRaKVGGsCUrjVHfk 7sFtKcAFGBFAqmgOwzdu4335239BqP9BR4/fnkx4X59ebfH4J2NuXmICkb2yDKgXbUQ+ePmc+lEA plNFeqc8LRZzhOtOlY8VziJ7+PNs3BU+EM5pGQjqoX/ACp36oVgr0bnuSDLxuUB4grDNyW2H9xDx 3md9A1zRtyFPjwNY1sBC+mNGzGs3RPPrO2okfg+NY3xrz+Lmn48qBa2BvS60yHs0AmmqUAB+Q10x e3bPSFl2YSL4x9JKcNA3IC7g5PrgdhHw/1qRVdysVdlL3+cWgSGLgXuzju0ZMrk0QKY/ge952VhC MlBDgQ2989e89CE3C8yoN35Trhh/OaI/ZWbqO7gpJXMigrWydQSKDJtrxRzU/4lVd1eoiSjIu5yf KS8aIdjZHAJcJCXBDK16C9BS5yUfzOuOVZw0m6Htvx/qG+OI8XebtlUkWpV6CzuqWmpgOdwqQyss yNlQ3OxHes/ZEXeUl/kPCxHSfc/u73CI0TemUNVGksHwiNmXXdvuwUKkdhYwIvBGepr8UUKM11mL pqDkhxcH0IRXGHIyEE5RtwFSwKu/Mi9VPD3SfXgilgr+5wxYR27SLbeDeHPT1fRp/iEJiPQyiAO8 QXdPk7TTnbxSKWjno0KFk3DImMRRFNrEEDjiU1cxCrDEmRNkzoLI+aRX+fOZmzX971YClv1+//u5 eTFzu/5cBp+MNplgR+JS1gUKUT9m6dTEIFUBpEbIbFxAjUPA1oolV5Zau9oUMmFqLTN2Ax+NdWsU PCGABiSwHr+poTp9IiauS21P+2MSBggNclclYAcVGW4sbMX+QTmJWRn2M2BJOzEenZmb5BXJugs6 uAwrokGWcDPjqnvhf0s1fBag/L7xHkehekHF7r5hfvyCcJhTObBJcqYeTg+Ta5gPpMvmGNhXnBU8 V7jJST/GPlmdexzQuV2PbFB/uMMja/epzozTsoqaSC1EhsGiHbBduynFZcgog6aQabKSTjivQSre tjKhfouXdh4BO75I50ceLyLx2/UmuoPzgnFu4cfsEshbNoyK+OOBSBE/0uMtO+1P8FPYvj2nX+k3 cvtrzwDOW6maTz9czX6TfX+csMw5djceV9o36rHNA+Kogg3cf3e+M2iPJR689jUQ73ni5c3MaCWh zNEPU4I49ZPO/uBMoB4jvIS4BAtRsg9syU/xTS/ui2yBtJFwS8EIZzj20mUy47ZUDijlpv0vXIc5 wIRGNBWBn6d0+fpvSRl4MGwLEoiJTRW3W6QNf3kFGQdlcC8980uo+2lvNxW1KLACA52yX5MApX0J bxDdkvcAowv9uSStgfIXJBsCfwUTCUGaikiKh3qE/8g/dOGA5LhA/rdF9FYgMu5A8I5M/9RSY3ku 2/O6njhnrRH1hwpAiTgfiyHOLPG71sTEJ6nKxqR/8nhrRSXZHKPGREmjw+Qp3+VZL4+q0V48U0D/ ASAaNJazPyxPuIQfDW/cMNGXDN9yGcPSxMe5xDF5QJj7MxIdOFNmJh6lTEH3mQrOYDoTYpsRoH0z IsKpEwkRY7+PfwA/5Y0FHA5jfk1Z7xRu36/zVx+7OxUOQ+vB94fEEd4j5cRbLYhPgn8E9UDhm1UL oM6fi7PrcSgrFBwGH2mGdt4rVdgKK7cRdC/UOLW0oY/SBsuzSfjJxX+vBdW2Uf9QZ7vX37Fp6xhi pXEVMFWT/rbmXEPth0NVKDFe5HbYoYrOZYdVcGX7LfD3gG1AMWL9gDROM2PCUPSAOUCROkzVD1sY XZk1t3aC6sfGTk30NrBNptfdMQ0ARu6n3Y3xuISgUuuzXpYWOK80cCZZvtZTrPndY3cGihmhls3v 9R4jo64jSDMiUjEJ8ZeMHcec/WNxp4ahdgolfc1mbO2p+3VU4w4ry+ol9Z8n+zGmlDUGatS4daIZ XC48hp0jVsxsEhOW+VOqDB18uA1Re+N0+FrAlEBn1BoJHwcd069Rb1SV/6fWHkhPySSGs10Ex4D2 5dU+uq2UOM8/MKv6+v+mfFzuZCP8ov9VNA1/Z1iI0SmTH1ehFGgrwUZx0/GlvjBCJ49DVYtZXFET iesWAQXbEs8XFJlqPH28u3N4Ukhv+qxTjx6qe2kK0Dsg4h0snNTUvwI4PmTB5z7PlHrLgmbuZs1O t7vz+X9VkF6xxct+RROJTbZhwG9jfvivBIjruD1Mt2ZMqHnY5ogaKU+M6D3dXfogy/xa0Ou0TNWN Gjilqn0gHNfOGFPFRTu9G9kmghTEJXREl8nw39QG6BvhKHpPHBlfEysgM06GhxXBrqOdcdoG/5Sh LrB9tl2Wygye2DLlh30Zrltia2l4pLhoDNz/CGaQswIy+9fLXSNHZYyJwRcUrVyJ2GUXWYQ04BQl Yshbw/p3RTnOitcO3dczQDQoRYHzb3YKxQAl2egyx5IbzfLY0haNj8zjmhOpe9haD1QerwlrtbI/ 2F40sX/yZ/Y99rm7Ao0EJ0FWYndTOPOpWELxUHGHn9N2Tean10bXdP4cChWvcKIaiI6KBezsrM0z s32FJSzEZjgwu0cyn1dyR0v+oFUA4LlGcGYmjYGlkndUpVIJ5nVSS+49o0KWJ3h3xGeMRsitOPYC miMB6JzugRHWgypzLBUa7IqrMKWbqK2CyR9xS2SlYo4zQl7IOXK+mb898u+yDDYu7ZWy9ccFKnyQ kZGzU85RiECouBFM9yvSdWabnVAx+kFo3lvr0+87C9jwFi4QObkrykJY/hn2JG5V13G8RnE+mUeO zM40KkEuV8UNss+GPYqGcaPy2vWA4tjsPd1Es+fIf/ZAd3piNNfU3GjNad6rgaBfekfffsPyyBqq zwVecibyhTe80TAMwAH2qjSqY6jWR0TDmTYcnjm6VMpiDArrhFk3gOLB3U6vOGRU6S7OIka8o0ie 4IEqdqqFDl6U6eJU1FjpFmtBAAzxK8qWPT+j4tvLZWHhqAKBRrNBwzvMktgmSEEPNRSLaeWrcT8M I0UkiJcbImV4LNgq9SoT8Q7SbE8JvkIj/22L88agvRHZZexJ2SFbIxW8QC1Vzlps5grdTO9zUGk3 MkYt3MGBCiEKDoeT1LyZq5CrNkO5ez3MnfqQW+/L2tdRRQMe8CabfKIjKgrZ9guhujf0x15tzuE1 I9VfHMeoB/14tkyjPeIHL8M7bclQ6nRwRp/QZhroCOFkGFaLrfW3lIVkbdYFUPhcBhsl5wtRc3CC 3QvtMobSwUd2JIpcORuWXtxEQXKDXDlWzzXv0ZJWBk/cDZtb/RY7ehg6/BSVgYWZJ2mdZkX7vt7r eQIlbnw2AJXTLAs3Gh5WrpKYI1A1ipAzinfXJEZ5wnQ4FW7p3pIT3fEnfHRU5lK6D6XDhQhlh0Df KSZzVLT3NAMrokAuC1UHObbPIcJ9XEejjYFnJnehqUXnxGwYX+MAt2ZkY6/MSsGxGE/g0ZugL5Gy KTYg1yD+lDOdqH2Sxc5WkTb2WiQw3TbbXExVxx8FyHyjDYxIFAHbJbIFGiafaFOdySpH/tqgeTqX dhbhEkFGClOqq8hBZ2tk0n/YIO21sc699ebX6ipHHRBe2st3o5H/KPkdSolGU8/eBjC7XPtED+21 I5AzWSGspD4lm0CIsIGxBdZ31lQGN/jzzJbO2NaAgRokgblF+ECrBvv9LDcJoYcf8vYtxBbrt1Wr ZQeOYaLvcqkLTCwYtge0R6XoAlkqupoyQy0vMUFAkuMz9MAkX8v25IWYEhuBIx+zcDg1R1L+mGcj 9xRPLGwmUs1SJrlif7sR+AJtjmoApuN4AtmI8aYleOBDZnyyeo6PY3z+Ahin7n5DIAnpIImj7WPj 58aGnolVeuYt+QEcFWw0ET8Fvz2uk8fOQPo+6ztjRooBxF6oyildU4OxoGG4hXDPNgXTYDkz1pYu Wt0fbfucU9NsU+sJ4cDIdF1p2mQ3xKBSeYAWxRUYpsx3yLZAyLcmslM8VDUM7cMsMlh8YIkbYElO De/cJr0Om9zFjfhFhGGV/vEIvQ+pJxQoXmekJj90iKJfbJ3XSrd7UtMF/8/Bq1qwkauE24pZeXZQ KNZwp1ilQFI0iPPDTReGgH8U7+RvapWwz5bkDABrVcUPEEOEb5E5zeoeqgBbiryH+C+2CTweDNbx Zc+/sQDem2jkMhrJxCWKgt53Xr8NN6luCQE4d1Zp8dtBa5SodVS99yrZinOo5lhWLdgrW16scXxY loNiB+5E1sl+cOLARHVFxUdou5EohmI9/ucUBe1u/lU8tm4M4PcimAejg/e59g3RziSxsteRsLCM Ph6UddP2YN+VwP9lQxivQdpzqjU1U606Y1tWzIillJaRySUQGHr+SOKlzgLEDQW0NmvFVDT49GD9 /I5unI5sWbGwJY1u4l0IvruYiGbVpYWx5TQ85PVtq+X1niXbfH4bk5eC1CUecobyADOr37jQxueP fQFfqXdg1NsQA6MuuvBXLPEAfECwqzxRhmd5cN2y2+nfaJ4PEDSxWb1LdYUQAB1S962iW4jReGdl OkfavCz6KJMQ2gC5Y/rxLqUMUEFjIBiovKLQLcPuoJkK0V6exV/4xoi/X6FAVNRew8jecQf9hi6z AaMSg3DHwxV7AiN6I4zZyfOwarFBDkOmYQnIXW0qic+GVqYw62sZC/4Pi9vB5Z3Jfjk6ReC/CLXk ST5/hnNTCfh2DeGegvMdC1KG4jLF1XFaM6Eeoqkok5AYxDvba1i/LV8cNriZnUOIImMSalbBIr4g TlFrwp+TjLHGxldWprIswK54YImO3GRZxfVrg+qSMICL5SVH3Ff9ZFv+7rwDdzNvohiL97HA/DRu /KBnKTQB+LiYWYvYTuYqaLDUq37c3QfMxIrau9CbAZhnqXWH4Z4casGrdi5wjnrCW0e7SDMhMXWF XpR7CiIWA7xwVqUJB48FwIlFYJaDNYscWmdHlC+BhB+TzHe9bJy9xnof3btGREW9MA8/CrqPgGh7 KnHDS07qLRcHLX8S13fIDXzgUhdUqWGMrFnOwpRURFiqjSLZISzKYGuURLfe+1KJ8W6pyMY8XOqt k/g/qepi+Mebh2Tg5N5dzU7Fh7nMWAFIrb3upBu2yvpV2H+ChK7wewyXfitwPC+GJrvb8pQBRrP8 WHF+pj4G+6lthrz+ZkVLvD+a0/klJ0pbTya7kok67zCTO6aTsYkQyGo3l2ZgQ3gRSY8BD3EUh9g7 rfZf4sX+ovH91s60dgGHoPuR16oeGo6bfpcUwmnrl6zi7ZFWbH06/WHwebLELC3FDwMPBp96cnBF 3aJ6H0RtuVFeeQ2lcrikS6CvK7CVM0xeK5QfuEIuhY5ji0BYmgE+0g5u+A6nUv481psJdSKGzsNl FdxCmVg25xB/3gsWvTXoHy+oesWApob82k+vLyWKqau460ZmResfBwAlOOanAq1xQVkHvGToScFn Qs9iP5CAWc5JxheaIYOyYGdA8FzP2gV9HUHAT9x4DBkaz2qLq3CX2gSURMdwdNDL3q+kh3nKaNw7 B1JeOIj7IC0RHjhxyd5EMuQ184syLtnunfhgRETXJkKsMX/xiXkqY2Q+brj9m1z9GLgRNGAC14MD UHLNDt5kC5wVvJ3JTkOmV1ccmjrRUZ1+fUHJFSDE5OTTbGYsNrPUPk1dYkaFOgX4E33udoigx4W0 KUUnaHNalqUG/I3HKXlQ9ib2+FDvm+BkkrHktizh4ZFNrr8LENGVmeoDPhSq5mYX5ufu9LFxwylk KUehbEqfH1J9YjKoLmKqU0PK5wWyUFEeTLazsGDPBYls323tZPlsXa7K1w2Dnac6IHGPC1ent5OK Wl+v1cKWQBLq8Z43pUe9xp51gjhrQK1XLK4+fQd1E+pJ5zvzdth/yedn2XEaOUveTCSbN0PR587g Xb2BjZ1BQQD6NUqgKRQ8IrK7RRFapd6z2fFYBXMtPdpJP0C/MN5ckuxPGfqtyE/e0a4AxTd8zWEv +tFpmQlILf7dzY5U+VXLpS+KrKvC+E0iTL6DDaMfF+akrZXKin7QDOyN/U1g2EftGRkT/PYaKE7Q tmNfCyj+7Fm3gM7zy3iOhCjaUJ5fhSWo5yUNpSXLCB3T0uspbMoOZDiM9qD+kbIZEANxFnRqi3A9 DQpheD2K4MUNtHFoLmw8h+XCczNVoWv7p6r7jLTGQQvAQIzAncOiH7mucPXCJ8+O5HtT7LoS4a2m k9gSuk7PBGuKgYhusMW40DmTWP31CpSTy6zt3O4xSOdb+brwd6voOcLhJOCVVM/ZZNpSY5X6mHxl J+rdesDDywqMtbfSCQ5esAcjr/uwG52/mHxiMrvoJnkNzLXL4b2xfbBK9oDCOmtoQZPUezSbMhRE SGyP6XQWwvWclsY2f1OE9nFpRs4co+XVKT4Tcj9tw0R+sZoP3BWdX0CJ9ULuRqvDP0mXGVRAUhHg Dj/JRH9kN1NwmvNmi/3dEu50JIfNBZwKKT7Mhvm8EuHN83FNkHC0U0jO/Z7rHfGVBwo0BNLWDtiI Tqkqifs8fLpGepY54jiy7h0cErYIAdSh9Z2X4DuscrB2SbRPiGTCrqCMkqoLOPCIVQl/PMnW5cs8 cYmBxHNhQ32GA5QZD/oQ9vf2YezG9rwjlxDuAJi+BJ4AEHDKGdiKxxHbisTgY6vIuIO6oix+qW72 2QznnUgq/xyESpyGJ/bVWeZE7JgFW0cxe7yE+4PGEV6CXz62ZnyCrbJFpoCTTuEVpdbaO2+7sPJT bwlkNLh/+w7Ubhx2QoeD23e0vrNj7eNwGolBihWdoiMYOPr1MJIpoDVlwCNRt36k91GypIvnmCoy SeqNOGG2V+fTgyiNw7d6K45kMa+XDyGN8j5Y/t6It9w81DNjqt02kIT2cHTwKJy+l6h5g8erWsxg gjXV5dZvogkJyk/gkPLOAALCR8Jh2g+6mLHbO8KNhMZNvEt8LWZiMbYM7NQuPpjR3KSt2z0v9UX+ t6Br2rlppEYTb6EPxdHIv3oEEpUf46X5RI+LBX+K2/KjKem1D/spww93zXSmtkkUAuU8AzkzYs6t fdr2inBEgQMkdSb0szANXbo/jBV6BPIfeVwwv+MQE98FWnoM1u6pXQRFd3Gq10uQGYOYQiu+zt9Z z3eJV14LIIpj3ageNboX7gVPPNb39yHmePdUJfnBjFOFJTzei+m4ebtqEzZgHsX7aPwc138fSGFg 1Ea/zL+aB0aRP3HTNSZ8e3OA7m12JVlQ81zJm7AtlRcztocwRMb1KIhJZl7VbotoPFM0p8nhbqWl oqy+GDJQWWoO5Y12InbQPK7Ft8hoO5NF4WrHtUOfiXWoB5L+WFzHHYojLogQg2KHYl7DhifC5YhB LR9wmFCcM83GsdbJmhz/rz55xUCrnajeT52i70WUSLQwI9ZLYKqW8BJFufeTS3fe5oH/yZdBIKrA pdmNNt9ZCQmRSAYo2tkjihGYX0usiajnnHV/dqS7Utm1ahjHqGM8wNcUgaS0iEXtq2iDX06LsYW6 elBaVITAj2B412XXhqqN0caw7tDPTqyHrrxfwqiOxM5hiDDlUd56vZCnJbj03em0JjiYnwPkrG+B 2fR/yrqG8FevYoubKzn/PcmjnvPfKxK3+PqqwbTALkM6UDtiT2XaCDE/yxquZ0ETQK/WAuCoJeVt CnZPJrd1q2G+XpXniuU9E3wH1tUyGYstwC8TQWYBd+ZWEWJ3bRCHcLXpj6hgbAC7+S5yPflSKFDn XMheihLd3Wt0Z5vF6wwNiCUq3yl+q4PmJKEL6I6G37OARBjGykQAHykIFO8BuAmMr6L0+T9t7r0C 1f7RSWQ04VWDlPEmEnwgcPEoCBs0JwClgwcMH7Acma5WdgbBCbI7Fq7qnbIxdvgWmKY/4aMtICvW l493lzc2wWHM7hUPDdCM3ARIUxGp1Y1cN51XEpHp4de0FVpuSTlPen3kASzrkqnuMs/Lvk1eVG8N 2lF1wxgwyMyQUveb8rHe3dqDI3thChG+3mejNbi3Y0UGZmcl+J4TrngkYZJFCi5mCuvUo60qZB3O XLEdg03Lzq06sP3XQVd2RJ3R66KXr29O2qqf60UBGUSmcuCal+PvkzjvA7BaXppUHteS4e26y11a C/8iFxHT2Yjx3xi20pEgEWnGmhLnRh6aQegxcGy0EcpnFASNXvvY0gSG2YhtFONxxNX66jdkPgBq dJATu6bt3cxIiv9hH13sTAZB5r512sjQZkuM4lExCyBAfAfjuOAAH3ESV33bACT+ClUZJ6sr/GgU 4QgxHCvtr7TFb2zpXKjv5PJqgtnk20CYfQzJNwGb7Imgdq1rg+VNvuWi0gE507IJeg76gbOfGhgx rlegNRlwtNM6pNM7z8aYR128JmaKXLzFRhsnNojofzVfo5tqXcKh5OHTGvjmVXmarbUqRETTkDbG 3/+bhhfudaauQbgcwalT0x4oGNecOfyrTLGqk9KO6vHk9r+rnAO9g5jE+s8n5mMN9lT3XVAU6TJt Ld6UZVlfPQ4TutQTl2078mJ0p0dOLM+PQx2d6+b025BRA4chm74AqI8Z6kYK5ktgjcopVRjrH5l9 c7Rk/BE8Pio7q4jtKx0nM0+dwK1MA7HAgTalhXikvmLW7Xv1lfFzPy2ZBYsjkywLRkwci46XjWlI jQ//PeyL3Vi+FTblv3awoOQW7JCgHxt+DNalApfG36EZqSUn8WpnXzcS/CcUrmHfpbkODerGmtZ1 3bNEof2wMuD9MFjr/8heMZWm7yV83D4Ol7izo/2UHTNQxpMKR+1e4vc82/2slnDl23hNS2cBiZ2v 5qohUueDY3w36zivK7IUTHquITA9qU4z7tagCd/ZZBOSO1HdbB2gSQ/z0bfChPfPwsrI1ZJhRNzg 5G/ssd0SBjC4vdMZG2jNkUrfbRsYaCDTIieamhnTeGZxcSyHF69okz9UMZhrL7qK3ndnlqVa6SQz 6LSxAS3Y42T3DvTjiN5u6N9fTkyQx04ScuaK2yUBqvbBbkj8XY44BXsMVNOci37x/KV3oKQ4Hf3H dZpBuYDTQcofDH1DDgOEAHr8AQsWDOAKT3sjFqQVeVMwQ9SotmK/kRwzzfIPKlAefJbBIh63/GYs 3NhMA3039g1vFRs49jzSmy2ynrzsHWC82/Mop6xrpeahLYmRCspD52ExRfYaRYETL6CS0+w5DD1s hGZPwX2IhwebCLYTgkiDY+qtuleXVl4XCe8y61wMfb34R8tDjtQIYddME+WpsQsWcHNsknWB0YvE Vgeln90BhvsRIMDb3R2/qfv5zaLhsD188BBSxFy3LHSo3SLMw4coFJ8vf/jiAuVctdn8nSFzKXZh FEcW/9HjaTjyW+0NZkz2scfNAgw2WTKzFE4aUv6FF9YC91+3FMcqU/0a8Utca3rgWiXbTUVsJ3N/ ijWsE2btSHYxv/XlfqgeHrK29x3NatnWV3GPODciqm8mkGB1Nt21vi9hfSn0wtHCeGBsBjv8bhfK QowLsN3wLWSzV5OAGUScM2hm9cKv3ziPG/nLPtN51WQkDXvU1BuvbMX1o34O4mdOMxvFC+Apf1DD JkP2vXvlwnisxEvEZ1zHCMHLaRR60Z+4nMIEjc/ZmJAUwgzSjpMNq4fct1IZMbIcsUACEL+8zDXo qHSLrbKFijolPkYKFcEgp/zKZlCRIhgpYug0Ty5nLB67BOzcVka1ne4XxZm1ObyGi6mF06T0oSQE qTjYWPCVDAIzyJNMMLWqm9WeaftzzpozBttr351+MXlxvfh2JE8HnOgiy/1pfC+R+RIzn8+I/oOI m3ASAbM5rbq48tXdg1QJtjL5mcp/V4Vs6SvdNs1VshPwLjqmWW83nDJQTxR3YJ+T60vvV5df+/cT /Yu1DFgeezgYDDDRvPPFJErL3xPayvh1fa/Rpz35kKfsKzVoR5embuyNN6W9V2tVLZnvi/TJ91Yt SfNqIAobZA0Y6hdIrvxEsAOwd65uamgR8N2xjBZVq2c2MKFWOdZf5ILktl8wG9Ei8yB2slSJVmk/ Bm47xRjVUnFoIlHUA9tw7izlK/ahoQ3s9I7EnHKgJ4ryX7Q5oX+c+lEVaAwyEAy65+4aDjKeJENX +kDrvUGvlEbNpMNQvkR4hcCMn9Y0jWpkwx55dJrEktxBkuRfyLLD1WcZ6VTczghV+m5Db+/RZ+wO 7LFlELmzykg5Q08MhW3+du3rg8RsAYpeZ+fOOU0r93PGXId6X0df1dkCN7MMITRNRRS2ZOCd8sJo 6dUvrl7uylQ5o7o+X0Z39+AYNxJ/jvVlLZx6n6cyM2+SjnmpjoJji0j4xn0NQ40/L5izzaTWUFc1 ZM+bsWrDbVq6GPsg+v3Hsyw6s9at8dsxvL0HiUy+qqOi1T+jZrtXeFZC82dwPUqivpvQY/NcS2we upMClGoEWNXF3TxyP6BQ0tdhd1GKac6Ha7Exemebir/IR9SP3WKwUoL65fwGMI+TF+TRmIGEDdsm 9Nei1OQDObzr6OwE4EzXwK0dmF4QIqFKunYDRXhlr11tH9FMcBAtR42bn1bY/xjq0PCWdNzSCHNN B+OFvI0bRt+7d7EjjD6tEvFZFaZI3l44ovScIFvCsxpYXTu8lou/JQxjMGuLYWam1UD9WemvooU9 o7P8aYZLWMx9qzPGmYLKSL2S8+s9wGbKd33h9vt/VDjG1/y4yGkvZAvik3/Qwj7CeiXt5SNbKgqD Mciy6u7NTB2fSw9vfGrrMHG0rrME90IHgwaEW4O4ch8z20Zwx178ryVY6Qc32pOhzT429CMck59E 20lhoM3j9MFgG0PqJ7t1+l9/vLA/monYz9wYBH7Fyb7J+p74ibakFvlYyLYnzsD9WUHX/vsBiwoy BHIn0AbXxxubAY1yvkuetQRZZ55XEdpjPN941D0mCSwzQEL09XL0ImVClRIfDtyfRymp1O0GIduh PA6OzVMqCjcT9NbkqOXVsLNLg8Q5MnKKNp7HRJ2NLpzs014SW4amTGoeS3PcDEktxRcsfei595ma wnx3Fs/uUiUUrWp/KKd1K7vwXfm25tmMDO9KI/QNaLMW0PckCN1cWCtiNQOy83mVAkCR7Th6z6Sj 7i3gbn2g4Xd6i+J2UHY3W3k8ArkD76YoWznxamTdQ8bW+Kb0R4DDylGOPMtZJt6ezrtEC4Vyt5Ez ZGqCs/uaREsNzFpCCWFMZ56E4w9z2YAugR8HudPmFxY1SKzFKQ6witzVVmQQy0zpT5p6qrTig+py Is65I31Q1lOw34NjyGxuMO2afrwh98A0WD6F7E71JNGyq8umaMuL2XTD66p20tVt1Rfksy7OdY99 MVb+LNbiEt4uHY5gw5c4tEfkBgjNbnQCL3j2nzivYH12yTkLV7UxCPN+UshTg8g/sYpKd/AK2L4Y QrRe777c8KKZ7ditMtumWNTCsAGHPpOMe5v3QXP3NfSEgWtfrpcjenq6av8LJrMjx+KrpPD4FKCm F3R0NG01KPB3PVCPPJSg5fy/1V7LRvKLcIkDrBZbeh0Baj9be/dUmShsNZa5E//5R627iSVPSsbd 68k1kFhRDg+YXrevKc/QlHkV/x0RE1xu61dQ786xpLgH0QUegXgdv/rYxohWmHnRsIIv7+OIy36s ihbSyQsdzX9gW4Wh3FSYFrV+qyMyJdcqUespet58Ahi95H+IczitC814Aae+l9+FFj2tdijAQzPD RpcN2EmLVursjENlXv9hVGokFjpxbaQVL02Yde2B7nWwDZGuhjNYgNhrQEze27bAhwu7AjjanC0u 7vK8KEgIFXb3uDAZspZEeu/WMXhuQY2BL3H3EciSQ9WsYX7L5HC7gZVOfD73UbsCZkCMyuPFbAVc tQDXWjdas6PCYF/QHRaO4OibZreYafjkCNJi6WOoKJDVPuxZOR33xv2Q6Tj255qhW6HyLWShDlQn T6uKhiIX/j2ua/7cNRUWv5nrlx8Ft170vnwlDynZhqDihGlw8yjXRQPYJ+jsIpG5WqmCxfsD/3Cu q2AzI4nUNQNArVB3TowIXqWxiIkiyznYCO18YJEqOt1RzW7KVXtK4Fgd3fDbBfEqr08lsRjbklda 7bukeRaklps/EC/wjtNQduYoM2PAy1fnVLYpk/GOeCknehKi0PzVnMw4/ukVURk7BDt8wH5QSgJd 9EMeoNcWnbUKSVVSSsqGo9TmJrbMbrtVhZHQIyo07oQ/hWuyFiQf8b+QohSYMNbLAVvMnLblwujP KZv6DSiaWBI1Qz/KkQth/m+yGp2bpURl1p//j2/OC+xKvctCXzrLrGaWRDCF/VF5p4Iwo98OqsTE CSF26FRwSWk/xIhFIKiDICT6aaocVTKOkKFFk4p/uyS1HRCOEQgQcg2KQhTQcIs2d8hklR0F7kVe Dt+YWpmqypqqjBI8/orRFQO7FJkX2LFZgw5Ue9e51jc4WaF7LUNx0Gnj8q64VaqexXNIcS1+oK5r iiJrFgvPAuf+LYEtLxel5x7g5orag+WolP0KhVW+tgI+Apvv2UBx+spUQDaxbJs41D9Xaj6iqvlu 2MFfaLPPCTUcwLu2WCsx/GZWsil90O4ItbiCgkNNBmGKFC9iygpuMCWDEWlGE0LYdQcB4aW+qB93 GV2pXHLaYWXKTGM/AlUx9NGu1oANTBrY1DQXbQhL+APzZRYABEtZqisd5cJD1toi26W5sj3GUBkI 9nWulCQiVgGPoN7/Mdq4nU0a8X+9bYi6iIrYoGTAvUXEZORzij7Y/d6SNenSIdfsau7nTW8BOxKZ kRttSmDv0j6i728uLQNIM8F5nYhi11Hf483Qugv0kG/TvDktHFh9eLDJOsrHaAizpj/oBAdp49Wm cGggi3EmpJQQi5y50/8oIWri0HKTakLDlIfd2KrJqBarmIv2qE3XsFEj4Rqxv8y/B30OoHEU8dM7 86StOE/Zhq1HM13Stbb0k/LdgQHHSp04NfJIgiPS25tG7L4FPEU+kJ5+YrGo+prm0iS3AGvQCsIh mib/USHV2tqdArfFQir2E6Hx0lAl+FEgAnmPwVZJ9e3lXavkPB5Dk2F94b7KrX+a94K0djiSDSbQ 4ZX1x24w2CMf+9Hu9i5XZw3F `protect end_protected
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_extdepth.vhd
9
51718
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GY/t54pHGVJ065DU6iyeP0IGhX3m3zgWR3CHMNUJGCD8ByTmZvAIAWCgc3oJ0QrzBZ5Oh+5CZ5DF TavIqWUsKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oxyI+UFqXETEgjfkUdrR6/oq4uPQfsOtJbfS5SPPQNd2HZ0rul1ERMXIvFjM6/z8KjTrvr3KGyRB LpW6EwTNQMFPVo8kx8UxvMR1sW1KrbTMRH0BLrWOt92G6NHnQl1+SxaUQxsghXjyG/JAbre2bfiU iqvu762b9cqH56dPi3M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLrh4aSFXN7QmByMlZtYyJztkY6d3rFbJ7PWkRhPtSx/XWI+K1WC4TL2ijRtDHMOJiBw+RVp3lwk 1FfMk+JyqIU8zEP2LZsHmL6ZUy7o+6TOArkJ/Mh3F5A2zMIMwJYAuTEvYxyAjTRqkw4ObdOnvRQT UvXsM4qBe9cVD/zQ3SkI/PJ0yTnLmsLFGvDSH+L4PSmrSa9zJ5LtQExl8E/rQ010uAmpJQcBs5qe TY8yxFd/lwUahRY2UPauIpqLckzivoWpJVY6uLt+ACqFtHlMvqoXGsad3ZCEAz5UCJSDA8NmfAHT CXlJlfDWM0l+ghoNeJrtNy4N9vMmvI0uoogmsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDAVqwPG7hqeUdyqAzhIz7YzCsLwyuym+aPVKFFS8Fps3vIEo6sdV5RHsEDbUPrCQ6jdM7ruwthe JPU+gEyiKt8dyDOUZ8mBPexYc5BP7WNiFVOGO7gUvhoWvOvbvVhUBEGEq9Ol1XBQfyFYv7jpWB1v WM5ZVOF//asIUYkG7sA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dCrzdcyD1JsETNea9bIE5OyXc5ioTGEEQFvKhCNet1qh5fr3v1lX85EA3qOV5TiKgrVyNPCcjluM Cd8heRQfm0MR0CCgQ6oGmxOK2xtYfxc6nZliS0HYH2KHNCYaIYS6326fkfEJav/eIrgRdCyzXiHf FY4TuBJNCocKb7Vn/YG80tZSNBcfs7SO2bbpL1C08QiWcEuRHGXu9LackllgnoEE78kWn3d1AMKz wPYWXhNuUf1z+jLVvJGODTGXy582Vy8rIQwrSy0NgKwetARBqJE6e8KDQN7VzLUWe8DDyI3saLdm Qk47B0jqPFqKb1Zi2VPwIeE7qFcy3tKHnT1pSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36544) `protect data_block iyjRAbVDp9PfjiVl603SQ2Hh88Ot62hvNVm0U9ao6PgzeELr4XeG9zZoB+KVjvP9cqZ6iFVUJ6YE Ep+18HKBlFTwIkmD9hW7ptIWxPCMH/LEY+p+KUbQQutd176d7TuxSaip3ZDYxVPXLa4Y5qrowUWY KRiBCGxavQzsd3v1eI5E+7PKaRBp2puSkQ7R9lr8hKkC679gfUQprUG8TRu7cQx+M+mp1y0fecYP 7gGceoSz0O3LJoPyzT/Sb5a4hLUjrr4UdvA5w6BYJOOq5DGpMxSp8JWM3beHrOXqt2oSgdhbQ+rj XqLTBTc/zMPXSzTXLYGll6LrIEWQKygLGALYY9lQLNZWlTWYH/uAnrx8L1N9xAWa4YTRsf+OGeNB 6x2t7HZ+gm/r4cNC9EvcbCntH/L3szowyDO6vaA2ZXcbsJ3D2uEVE31kQ1eNPjDUFF/7pLCpXF+i Yfp+CpFNUrqt0fxX3nK7ThOSwgVti8ZYfmPRyN19ImxLLxVYaN9IMj2PgZ+Pv4EsxDHghdz/CwM9 c69Vg385ubhapZzfUBeSynFyo5i54VEZuIqfmTqJPo6xndKDb4j1w9YHBUgZ9aqcnCa8ZfMq1IfG 8JfKz9bJhmhVCgsrZIwhoxbY3WqxLAuK8TLYdO66tHKU5ByaxK/XS4F3IWaTa3dLGsgwzj6bVZeQ RzCXcq0u2IdI5P0v2Hl4TAbt0yl1ZTKkLbJpXtev4sEr016T0OeoLp30ovxUpAGgZVLbCpCaRqmY VXC9DEnWJSaCrAsliy6qw78PXdeF3ZCPuqF/mg1CsjUd9/RZY4mmmH7lf78DtP04MUNqIrhS5PrJ 8/N9IPNpQ2vbo92nL4ULugJhb6EpGkTT4IPfkLclxm2CrE6sT8ECXsih7ZwB0o70Su8lLBuhntUv bbq7HZvkBuGHK4iMtYUchLA9NwfUx4s+qyI3kUzsttsY45aHbD/F4jzx6o8T0RzCrF3R+94RrEaI sMqFlAlFCRdYAF6AaxJG84bQnNunFAMdpDrI7CCnonc65NTxxzUsDTk3/7yvkq1ULZFgSMOT6d/E E5XxU8jGW73P4WjdChfuq9lr8nzj9hU+ETZ1IHSfUwy18lNa9uqJZhHO7PEKrIyPDF34e0HbMQ/9 WamWT4jmY+bvoXogdIWGPd0WoBcRxnfVRjSonliDjECj1/KaBkk/l4SuWaB1ZnlvMvShD4k8hW8N x5nwtIVDiUelVc623hI7bfvWZEeHN6q1p0C3r7sRMkmQT2j9+kz0wC7bxsRjeHobmweX/yKvdLto LT4DA4siwt0y2x4H1I3X6VLfaaKTpsC+hIW+0qM+6jcQ5MkbOj6tPg2vY2m170gtzkk2DYpUV3ow ZzJ5vrwshKC8rCtxPeY7KkbF1K3jSIAFtfjmWvVhomRQ6OtxDDkCS4yRn6xDp93nDEgWUurNxCEk IUF0YG4NfoONM+IHoAlNNA4LJjAJy+wjrXqeogCYZJx+BlhUX2JLij+SbhGXP/1uEBR0wTFzhXzS LvwlJvwkMt+cIx3A2c3Xnsmc2UlTap7IIFN2eyg9nyTcsU+fTCz75yuPUURKXQ52GHyncJitPxNa bC70Nee/F2pFEB7nGO76ENe3oEvCV83456IiJuTERD1lxcTJ87vI4vxK+3B9RME5zspVnMm83Ciz C9/ZSggupO2kRkW4RjxUgbobqp67A1YAMg6L9DcGuCp9ZuvkbVJoPhL15dbhgyHAoCnU7Vt3taLo 5i3NZ2Xw0/f4yJWszf44tYcfVAjYop2w7C8Es0Hrf2WKwKQX2MSIQHZUUpFbvRHMDHSANeJBcbVu z2sc+96hJsft5lRbI3nL+dcxD20DGFfZaBwOALcqSGQUHWgUSzWFT2vVi0nJSU2FRq6EZSdEoFzG yM1mEe+jVGxffxLPzAq+3R+f9wuQZcDKH4jqxOxOhC0TuxkmmAoL5e38hV7He5N4sZwRwpepDM/a wj4+B1V6dyyWSuRXvAqZ3sELkDAXIkXXbm2/wwSPg/nXe0XYcWhvFEXKhAdomAvkUwJD1bYnUKaK rRiwemTwzAVGJ4YfdFte+ieJ6DRMbJ+vmyPJGfxwhIuETmozIRjpsMZKw3CBmcRMaT3HeL3N3PNf hlO8J2hlvLRITER1X8KUnBbIL7uV4PeLNnfLCHS94RBCJs3Xlafqd0zycqBRgQcBuijRW4+5imAa jNP8Bjka9RchQQR4ubuef3trPdX2vjkNDocouOhmNoDD3X9HfMna7PjVVIZO2/C8+PFerSavhqzJ TVeQ0QDaTz9d0x90nf2AtxWoA9ey5pNv6j9BADojbsxjM+V9HoHIJ/YT1TGc023v3uxm48dyg29P v6WI7WmRP9KFgh+p3W8oErPJ6mRVCjB3rV4OItaNPH4SlzmBpl7QcFtAf0h9Db/yXGQZ4JqQ9YQS t4k/l33CAhVRtTm4YvRVUkqNierDqQvA+bng31gS4FGZrG/042pQ3GqqxIBZkdB7wg2Tvinr8+bB ePbrbqx1DsLOGXm5hyOLeDOSROmHXbbTMFFDlbFXM8eWhvSJm1i8Z1gIFH4BC+iOSnr4DiJa+ORO /Y1JVVPDpiYL1jIA5Ar2l8RxJmQigXLv7oThDmFE8cbEYFspml2XxcMHMsXcajud3K3TYNEcKYJ1 ofA1X2G8y6nXZuRKVcBFE10NVJxpN+nw3K00slkZss7NQaOUAq/3CPpQGOWWxzO5Y7Tr1EMUYtB2 gkcD43oChpBj+1nojBwFnqBx+FOpp7ilQYLaAp9zEzw14cktz/Em22UyPgWY2RXpVYRvZeIrvAPe 41Ei7/P4bzE26N6W699JTYZXfGPJzxt/U5/vpoAYxS+OkzlBCxH09MVNOJmZZVBKfU9j/ypLudpx XRXXPl9WebZsYdBg4lhDCSVuxelrYNBwC3k0TfVcwWubQZypoWk/flmd0OM+YnRXrUIEx/fDRayk 4NbPvGZgWoCC5wfT6Z/AOIQV2dLbZvuCI03vXR6Qt9gBerx/8kyw2F0egjxhpNXid2xpyJsvCIFJ 9ECBrYCkPava5BIr1KHHr8BnF/RwtX7kd+FUucgBRPDrMQ0cGzjKMb/OnK+RfrfZBDvz26cJmqVp sZNM1HtYXfSk2GNZuMjoR/2I2MG/RN40CavIQCBQWY90vz7xRjlF0csNf4qXkryrcMS1D7oM4bXI Z8TDM406jb89Xb1woTzQlqo+O96XRZNj4WBONeN6l9JlirJyew1bWHNWHgE6C58RNuY+5n7mZFGF iBb6uYqbN4KmQE9WWCRAvs1BjsWV/GRs/h4Qt6iT97WN2Rvgr9RwZjwmjmKCj/Hm8F71XnVWI2MC DIHtNAm+wF6j0kdgJDpWVgjlLP06vs/d12IdZRUfcOtHEW9ydxIqu4HzwYXncSKZLmNRDdumOcrO FxYgtMi51zBr4luFqt9Ee9Ov9e5hrQO3S8RbPJOuHyJnbzCVLXnfusnUe4+xWjMuquU//diryW0v rVnNuhIZ6GQ9/RfgvcLktqvC4Wfvkdmi9iQ02xcSZHydROhnu5geLJWVCxCUycYF67kzuPHCMe/6 21WbzazLzgXDMf7NJbKgCX2r4PD0htYDSrGYBk9mx0h4YGWij+PWU8KxV9BjBbT8Pa3LRls443oL /QbkUlDflW3qk82ofW2edwcNCVKlWb4H8JRGNXhA4UkG1uZA6grX8H9A0pruHfUysCDFkqhKZAro 8ShHIKfCA9M2DdBDJlObbMsPh3OvMBBnahJpH3xbFmRjCYElGJXjnomU2JeHFgds3Mz2KDSQ5CFI Fh/h6Z1bk5AvWw/M/pwd6tg0OjHe9H2+gxNPw86GbvEo2VXynCticdFFR28UGltM24t8gUrgmFnU C0acVOjpnaH8H+F0AUJL64ZJWmMGP0lrBe4M+0LnRzSi0/BFZ88yF0iGoFlP5aDR9ExuRyN2S+bp NfcQTjd+2CNP28kmjtiX0lJRHDjjx7hmhTG1T0HZuXrL8ylXI3PRDQZQWPdI4G6Dar4CQIA+aXkP IaNMzQXZAV/VchP94B42CLdxXF42FbZ062qQZYBurY54CB0x56rKmRmzjtxhgBG/mMYcaHo/E/lg uuUJIIfUojUvEn4WPTuATh2scVuNG9tmgn+SldVA0sWUP60njhhBLdhLcDB5Bmro0w/OfRAtV6BK DsmTEA1LmLDLjpag+aCmeGR1rvvvdaCDD89WOwhom2rsS6QvCfpPhaPllcM/x6xfTCUqFRw5e9nE ebyFznFPRaYKYbnM3/mKB5T2mUJviibK9K20ha3JM290VIxw/j+m2ctJz15IPxRTT8dhAP8KFHPB 7Ah0JNGua26W4Sgbvwo/ArLJtIstQaV2U1u44L8TYGfZza8GeZVRoCUiVU0VkuAmV2nVbUq/fGoC j5ENdJPj2J5nxzZMHD4EeJxF1j5P17wtdH6yCu5DGP6N3mI+EK9EutXviVobmtOCbH1UbU0pHRJZ yUFurEfPoh4GN0qfNjKwjbNut1RPgD57zcrZMgPILadmCxXTOg8yEnwXjFNKveVx38n+o0wQnizt rX4OZvPaaw1gLBAccwh77BeTbr1jy99Wk2gdCTrCOw0tq3Dmcqbc/8B3+l89mGH7Du14qji6UgkK US5gfDsrcNS+GDm82pxQHZya2VOSGUyZzQURxUVT4xw1soPDFUL9vDKi7s6iPdTe1a1spKVJ9V4E Y4XgBCivnMwDbI5+wBEIVG20aSserT4Xqy6EYleUVg97X0cVTmSl3BSRrnvtTDea4qVTGGpmSToq nE29j+Sjl0HZcYWXcyb9nOpO3TmYFDXtP7BDsL88SkBdTN+inCfbJkFwgCQC4vTp7J25maeeM6Xi 9vXd53EFybrpbQlDMiw/xnVp0hI9VxiS0LX7HI3yLQcyd5jhHsG1RK2ejhkfVpnCG6DW4URTAZYO cYERXqwWgAZZryzyrYLbuhamCWeY6LiX59Dqy22SjIus1nKvPd+/TrT8ogRBscu28wTGOCxx8c82 rtiWbDW2tdtXTLvd92ilSOOkPkLW4KceDo0WEqbnc+3GGgm3Xm5weRGQW14c8ftonui3Fj+8H08i 9NUVSxbG/sAIH4u+lT3SZLoGOR9uN69QKkWN2G0LMG09SqnZoNVtmuDFE8hwmBnzV+pEcVyV3C+s gRdPIf3EcSg1K1a34SYLYK0xbPS+j9Bi3KmcdYjYl6MLhNEc+d5zKVpLjgv9/sGalHdw8cBpq0mk 8NUXcokDfCAGlW5J9aoFBq6Jx35OTPURfArr+eAFiui0qb96nUCJgRwLenwdnFY78648ik8tIssb WV9MQFB0oat435hRecV14jcFJ1IpmOVEcAmsTVLfJFh6dEuCah66FxFotPJHojxKgU+1TI5iRqDj DmWrtOAdLLEYPAH4y9hphHNpC0ht2a6URYf5zMc0BQVR5WNgVVu9d1CGCQLL3AOrRe/WchozElTX RwWP86jcJ+u4RNl45m6LlQTLc5dXcb+is6VAPZDnKpuYftCcuSZoG6si3r9S4MmT/GI64fWKBbKM IfOCMRIVMUM6eWdy86c5gfVfz2K8bjChBqlzKvgvSYMNA1UZt6ALLpXowuQU2Do+6lEWf6C1opHv WEhyB7wKtDlywvc+wiYZwoDZQRusOGRFux+SnE1lvKiaXL2XvCArlHgt5/uSjsgIyteivtiVgUwU P9kAAGwuK5qStL3QNvf/AfI9KKx7/wM3LPQ10q4YKq0m3tfQzhoyMW0tvnaL/7CgV/aFAFGa7m/y aPLdhCJ8ZD9qd17k2SYuDJreXmB8KZJJNcvoZ1ID7z1adMAPxY2Ftrtg50Wj59SVc/v8zD1rEl0V t3Wwyzs7+PvEVN7KusbvvzJhnynRKXGWSQZ5b1BrryD5oAlaCTIZ8CkI4JALuNmjgag7CZcWfM/+ KbpT/3/RYOXk+XJetF8hvFPPfe9ycaMl50zaNXD3cz1b4Z7FXpsOhhNduyXMgwjTcA0b9CKRIfYS sQddV+/eZJUKMKXUqluRhrkzDhXTlM9BAjFm55Scg6xNsBtOypq4y4neZ2RdouvqRYSNA8Z5HFrJ a12Dbs7S24+RC/tvgumSfLNGYTHCThXx/QVHKIOYFe+C3NBpODZCmBYc9cgjKGqr+tJqfS2KdOpQ Qld9poq0KyP/onTKafRKh2PLaVYqWusIq8UtKkIUh4Fgr4oufS50fdGVWahZrdglFotWf7jPv0iB MWzz3B0OnwV1wdDuABdHL2yBYWcB4sssfgVEJlBN0kh2fZdF0rmnsRAh2I/h5gWGFVXlSbkNjfds LInpwFFiTreMtkt/dTbAfZJf8HUdGgH6fPaFgWgT910Rxzv36ANzD5sxenPdOgGXdtxyCyfb8X/5 SqYZ8l+reDWbgZxvhKEPNlqUGcEcu28E+ooxoLZxFKyxPS19sSEeuBIiXcsvyTM10IFK04d3lBGJ SCI69qGzVcdoWVSQ8P3dYP4fm9Ge2p7dyAaWPiLKYbzVK29FuekZ5v548VHi+fmZ1eSP/EStI1uR H/Fs72xUymr5pHHWnO52N/lsjmW2cJart5U9kowX1uHG78oQ4TKEqzYl2316HW6/hsRfaWu7/NaJ EIAlhEJjPFOzALKPTnm9t0lyi32fOTp557Zyd6/256nXYN7XlZ7C+M8zt0RxWQr2CW4RbOHwZov2 nfXdbp0YzQ0xCVuQZywaxOx1vAHTKZDXLfFCY9O4ccxYy9B6CNEmQnjCVahW+u/0m4YV+ANisJDx mgvXeUZZfVEmfYnfY+LbaxX2DL3ka9lUyQlqsmtfg8yOKcEfH6m+M8dSBVIx2zlnRCCxFZEwUBT2 pK57sWmhhp81ONy8pX9Sh+yDJEJbRE9qOeEDlkwW1ymdVFrLFJ9eY7z9ayYr7w+9JqQM49tQ/Co2 QabSZsj2+AMTAGznTQOWBZvd4E1QvDQpHwjDOEaxhAm+ypbt91xmevdWAriiWq4lAgFCxwZYoWAo Rxw0y7KSGzqd+oqjV2JLUWjWv6OSPtGpbFZlYNAjyWOqxtgkqC102Dd8bxfIp8iO9Xh5gGLV9KFj m5NNkg08WtOz8aMhrmYym424zetXpYJNuRCIZBjmgknrr4sjPqLPiuB1j4BDMiE2vtButyUa2buS jC9UUBndCWj9VlKis1tMbiwVA2qrxwDXnyD1cg91OUm5tgfx4mTr7uXlGF7XqAo31SnayhKP7n49 nfMU5SgdJz4VdZa3UvOfu+rYIXIy/ubqvOTR1JMnCBVoOeOaH/ecwrGXm3FXA3gwyP/RVt6bJnc5 j55O3N2L4hH0UgIlEDueq9F7f55R2wHpHiLoWcNZg564abXGtQxu3w4TLJK9PwZn8sjZemE/GIpp qYJbrrgJaJw/MxWUhSnhV5SsiXTlUAtRprqF7T0YT6H7b1NQlzgUQJld+LwDXBRrI+pkh136DYcr NrZESUD+rDpdrPZO36PuONDeCsYo9bbnEP2s4Ig1XA1MnMhjQ3+kcSXHjRHzEx44fD3bxoQPubFk ZDYVh3pmZfv85S74Mb3mDp+xZ9dI921tjpA2FpRRfaEaYidbbVI+xtnA4I77gh5llUfCpIrhhP5c jjp/2Cvr7OUQ2L3Rep5eIOWIZAM3bRoc1cjJtjQXkgV79RpulxgAkkxR2zcKxLPwjsYz+dctz2xp DSGLwRq48Rltd5m3O2idYceb2VGy5rGSYAcXeeWAwCSLpugeN6vj4CxxrkJ0btc0K2B6e4NU0tmY r/LWlzK0hiUYYr0RnpdQfE9SNHXFsms+olN6Idt+yFXOLHt4MUyWJOzPvCGNQuViY2RdXB3Er9f6 LZKwpRDJHEQB4RiU7hBeC9RwHV592y7t1wMDuVkMu1Qk9ewMCF6ICOGjOCjweWuLK8yIFRcyTbw1 j217PNK8mfBPRnkvH1QYgvYdCUQNLDxu2SsgcVl7hcG1VpkH3ibaRwUHeEGeEi7rURIpxiwUPVI7 hE8JdhXUx/1fqvKPyVXjM1ZFG0VL2x5mwzL1xfLZP4JGfKiMkJUbv9ssBlNRxWWhmpaY5JjbdIfP mIAHiOQG6R6bf/8wewlCU1cmHduBpUFfL2cshq1eF/DA+VcZOeGeM0Jyjh3bISNF60kLnKrRvjaq Tz1gTydJArEMrgidfpopc9AYm8WZgmKG9rHgTl0WqFfRd0tvjvnryMl4PFpj/jwS0CIFrY9vWjGv XUSQM1VIkWifx4e0PpZxw+X67180VXtx2/7It++AhZfy2gGgkdxvSZ5Mwr8imrCyNbAsoChjhF2d AKWpREVL3l7a+KFhBp3ur6M9W2HKJLrCZ/0f/G/cLhfGN/JXkxeG13bxAvYdyXbOn5c0GB24Q92b NI5dF+X1WJhUdtCdP1PRuGTOLoky1+ldcFhWxA6na4M7WIXsO68xYSE+jN90ZNHwLXR2rBqEyUjm /beMnO1Tr0EUWsAbvuqfc/Mt+tT1JT2q7EUaTeU284WIB4Wlo8ByBJ1zABlP/4x5AFE/gNZpoYjZ qz8YGw7dOm6Ue0bKUqV6flo4fsBhunnHu7Z1Tmak4YidGKwjH1SKogn8SR+kwAPGVpGNdWoVaGvm +cieTWLe/scp3KaHEELe2sLXOgSfK5gSqkUdVDmIE6vXFzsZKPQzsxxHhI73Zz5h5M+QXRwd17do Yme6i1SZSi9OUktNKLurjvgCBGBh0swvcKf+nKj5DaZ/ThomBA1ERJHld039az4tSIfkabYwZcPk G/0Zmd2HRl80nMI6dkTGpi/vrosUqAqntwKPMYhzAMFYqBz1KelO2jjcGdwkHDeXJ+4McdBeG6in 0BgeSlg/ZEh908TQMBe6z7gS+Tczm+L/1xd+CeJhBr3bVhXDs+7JfVCYvDalZQcCi0aA7tY0xaRw ePjT3MOMlKSMJ9tA55TqV/1ViA2SXbonH6Zzy+gO10Frqbd3e4LqqlxU3HN3OxIakBCFumihH626 d+g0MEMv2Yo0TDHmxfPFWhdkh7ya5Pj+vBpKT7tYAb0VLwcWl6MbaIULgtnFDJIDZKu8HhQbK1Bc E64y73JMMqv06EvblsV8cyuV6iN/oFFtsdblBCPA2QluNrUNZIbQ3T0AltY5sI/VxDpvq/rQAtpb hDAYCCQnsbh59EUPp5hYyvaGf0GiNViaPe3fKnbOJIExoWKgRFxTRwZONTpTYxfOR2ZMm0gsrVuY 5L7zPHCZtweA9KhXKg9EJfK0QfnFIwANxvySrJvWRwkYqNmjJVwBAoJBFTNNJqGrIWLh3boc437Z POiV4Ztz+lEEYX7EyNDo1HXylQkMpGKwpToKuXGCHKezZEj/2BjjQVhg0Ku5is8AwLVnL/4fxaqW nXHmjcYz+pxiMZpEPaToLrQ57bFiJCFJ89EciihDln2YSCF0UWHaZt+f9Upx6uYo/+IBojrCWwBo n/l/hBphnsqcxrWsoUKS6M/3BLjYtR7koFaa3sQDIYHTPEOSI2lYgJqdWwd8qxkxZlbWoCGSbkSY owBDNddLHZIBTYnqzuOvzcp/ZPhBMoUL9zsnaENAY2Cp29EF8Qg0X72oeMpOzi/wb4cynlnUTWHn zUlPlMbnSAPsskZN7kW2RXd4dKb8tF9duR1sdKJcxcGiFi6NwOfl66s1SOjuEgfKzymmlfjMKfEB rYHucItEICmLVDv4J3fJV1WWimp3kg2Bgf6Gyser5HlrLbuCWOUKFKRy3AzMxQm0WiUcXvipkMQq YylrNAK3dMKTlvJn8843Vvu43FTg/dbwVXbmJzMtvm6liO602zA8rPLcNvWfCxuCJiK9vzs0uUiu xBRShdfkKZWIV01M6pwFrt2us2TQDj9tyN7UejTa7HjV8mpko5PiEZ7X+beYeHCEkCMUzlznvz14 dfCikkGTUhqH6DCZemLH3kgTM1IFiSWk7gmzxBt/zhXboE+Oagpg5JOnSG4XPecYpIPKfY7wkPoB 30zXn2Kgd7WFLbqYYaX3hy0yBLbeHBqttTeIVfftr14IncyvS3+UiOGfmknm9KQUrp7RVlj/BA3a ZaGVIuNIaP8loLM8jq2ONg2YgdRS7pArfKt15+0V+b6WBrw9uo0DjtFDKyuE89gtuAMV0Pan3XMc rR4XS1Mpk0ESNMJn+ibH58swCkv3752U7ttWB1HMQc7h4zgHR6mau2BUsUona55rT4pf8nU5odBA lOnAB7umgRsr19ngYBWT64m6vWHnEI1aUTguDlJBbcqwsonJidBLzJT7vv1rBJe2EgwK+KE02FN0 tYcyBaMKhRyqe2IwFU6EykWDl5l6+9dwcpWYBABWiDJgBiYM9G0lbhFZIZ/XBbrtCaMpViZRtKeJ ZHwS4T8TielG1fIDNsNrmU3uscAV8p22CUYMdQxqrOgh94p4uvchj3f25uwAW7KjbtT6jkAjtqFp 3BzzfO9i+us5RphHbuudsipovGNIeQlT6CmXiWNY5G4WM+C8BJdi2Hsgc+mjbdaxzRYq8K8XrvWM PD3bSgPdWhbCX9iL5cHLWgwFu+sp03G+F/JEtNNsgA3CJsoH3Ggrbk/MIMMq5T6UlipDFYfVSx2P oz3Lrvg3fn+hvtgyNHM+bF3FPuWO/su2XHLVYfLgfpGFjvyQ3nYfROXbHbLFIZdVDjfUJYtDpLaI Kkzs0pGVUqgg7m0uqRvSET1fQpuWJhc0aiQF6SzQ2tyPq36kvV3kq3b3qlGYIGGvV3/uoc7PUNQF pJfMk9JKQfjC1O7ObsN9ztOAhmLDcUhEtCIVMzl+521dabGUvh+Tg4+VmK41n/r1Uyum6YV5Zhs0 TxWkRrfqb+TKx8PTv3XQOcyWYnT56t3c9gi6ZNBM+gY4yy1I3R2EPeKJFBM1jBbh1kmkuZQcjarv ePOgGQjJwFwvaR/V4CA961Awj6YThqpH1/XJiL4qe01DR4bY6+XK6YrfTZ2vsnT8S+GYkS2Uk7Na Aj8zjwRdlZ045hRL4OAHCJm+iGcL13YQVvufBpCVA/fZqvHI0s1hrZrllRbHpXlu7AktWHSH22DF oiu+8ru+8pAyj2EwDaCGqHq02dT9YlCcNE84IwkNLeES2PbyrFgKNYlPrRaNh7BfU85gdRKhizPg XhR6pfg4MPgPcLvZxzpUmR8Qb1EiFRXHku6WUcdeGAfmEjsthMOQ2XHM5cuQV1UwE4jTCiv3MH7P UWIIS309evR54/mNRKfMzC/uKfJAnO1jwDkz+ySIy3FUtUujHJZawwYR/GM4mq8YCuq2xraJ9ioM +5r3MkFjAbxT2IwZDAXrxpmNOBjcWxt4v+2noDhNK0S3mxqDlxIqhohBucUeGXTUqGfhT+Cxx8Sq 34dcVk8y+ySkAXYP1X4ngMtoN457I5qQS8ZeesYvO9PZRbazewzmVf7Mw8AnbWNITQItqPrLNeFP 6ZMkr/0iEwyse9fnaJiH03LdqCj46nhbNIKbCZnn4o7hzdaxfYVcJp7HqkKq+rzQKDbejbFClubH gx8b9c9wqDHVhilNVbbkugI6ALJqBIB1hCJ8RAW2x23fpWvmqxhHwT5jaW/qUOlCM4Jq1IpPuKSt 4/6ilz9hcHWvv/TDJxbUny1a/94yJAyUHs3OuAFWdLTYMarmNSjflMEE9QNuk3HGa1ksQ+bPC8Qv AwUZI1roZwH+9RGIn23Y9nzdHDrLAuGDm9bcljN6r9tbVV75WdDm/ASLRtqQn8S2I4tFLprqsz+S xHlkgwExdXrrh5T1h6ckQV580V2mB2iKth7DPAJMOMS86mqMXLwz5qaT1/BWIoxQ2NMJ5sd49/9G IVQa8yzC38qvgLXZcBP3sqppOgWJgTqizUherxyjfeN8UO2QhPrGYB3yBbKPAN/HV6c3Z5NVDKcv no2xRqAgqCWL5dkmglacV1kNZIyPZDqQstJrRZBTA5X7hLL6MIoNP2LMQUK632y/vgkUMELaMa2E a+8ZtpXKnMBYoKHtt1l2xzxXAF+wTjsiDHlQcjlxykS0yfhFJRw13Iqf9dXt7RVFdH1n2sqatL+5 6hhXzzUaBkPr7HbLE+LPhO8BjCn0sZFdToftbnaD+YxJGuKdVwkHUuJ93FvOdtZ4NFSV2cY4RnE8 wpN4BwFPV9OqOiSgX2/gDHacPcjUQJrxD4ly4bxz5WiI3AdMs4/pFKKqTUcjn1dVSN7Buwxp1Zq3 gZPZMJE8XskaGdQaJ6niQMyOQt33KyXlrrX2PfLyP8uhc1OzKu6P2iTBeNdFIrrwA4o7/fer1cso HZ1JdUUt2nUKEjXSCMdWA0qHUGbS+NA6r2CmilNtu1MN8FqXq/xIqK1BhZ6eV/yPrldAtuB8fXVf ISLGwY0Mqstu68ELwLSPkscHYsRrGKNB5+RgjVoecXGNaX1KZ+GZ+SoBCfSwJsPa22ThuCCVeV5W G1Gh1oQOovh8t49j8PJWVafZFJvvLxUR/e7narinffG5l996A+E9x6hf9OkoOt+Qnnzs5oguh9MF rundWIdZJioL6jnEhtj6qC3fmQYxEblKPgiOQQk59eY5RrTX4R8+2Rn5G8DWT0MX6SJFECXMhrMZ uFIVeM2fnLP9MvaAbThDWDfsAt8F2lQK8rDGKP3IQ0y1DCh2t5r4Em9iyFU9s881fHVcMQoIjKNv T0Pam6tAwwBzO+zsG+VlA4WM8kma1Ewy257HrJbpCyWFJMJc5CXodkd+cYROt3wT1wPqRHF3Pdsz TnN33udp2i8HM7SClnAo91YmqvFofT3ZtvxzidOdauZczwnOr+pQewZPpBN4Rd7E8f1A/I5Sh2AF f4VX927O8c6HMO6URvCOqCH6Nv2mzDCPVV5MT8TdZXli3vRnyE1/+VjsubSgXgY7dVVv4LNqWOtX Q9qwuuiTi+IFH3PCPAqoCh9ZSCg3RtCy0oRmG9ZxwIMmqeQ+s4zCym0Ko2AIierPOo9xk6vnhBD1 gYtCkUlWGKWMXFILb2A5Tkgcd7aDIdJdONe9+qvPavQ4ARZxYHEWWkpAecA3DqrNhYn20tKuAk6r oPJn76QWQgBtATIZ1Xpjv6UrrRzNLrzD1nBreScEP7xGvPWPt7iHyqvsy4ZJRlQzpPM2C9H5ocJ/ SWsVY//7/HpWYH/SovKJ9J6jvaxykjg36iLDvnb7uFkjpJWZ4CAtc1//PPQzfbbe7XTKOv2iGzfk 0b2l9xEzI7L+yNBvyAwLgDvTo3GS2sknYtsHZkmq0RxG76Lg5DW7uWvI9ePzNsg2kqqWOj6ifYtZ yW7FUE/nk7tAaxSdscEkic2tI6fbjyBR8tSyBhsszNaV6nN4GGZS8XgePPgVFOw14SGFJg0Njmq4 KTSzxihfjKjzE+1wRZZYbeTPV0h6cuQZ8FjQo7FNPhyyz6eGn1/80LTJfigAopv5IFga0ulpwMGf T0XS3ragkDJv8r+ewPr/F0DWHh0EPeZuVMqKimIH1R9ZgJ1bjEhbgln9N6kcHSPPN0yoYKSvIBX6 9yvolUiBYzbUgY6AVL5lvuL/E3f8qZl3+rY+meZ6m7YGr5tVDC/buDkNNHCJckbzcznRzE5QvUlO 6RdGLtwAXbnK/hz3EBzkjONDc+mAlTcR8GtFQjXvRmZzgYigxS7QqFxUoU5xM+xMkm6ebN80YtNp W5gr9Bqntd++Au3nhpww9ua3yPCK2sbIrysHqfpvNlaRaMmZ/u2+G3wO8tFWoOafm9D6rYkDEiKA QcHs4GyCL8dXRMINFXDY1DQoOnopbF/ujnnXLi1/YtrQm30INfnx4G59dRcula44B9hDed+fs9RQ 7Iox+fHYm0Qd9tLPTEv7jJiCnUogF00dKvfJhBRauGonDsuKI+oWDFbgHkhSM15AJGOYtIAzTUNb JTwzgyOiYwCMFfZEQMIyBxEkymmOGFJsMNCiDzvN6zKOPEnZrqxxMaWkn9fDZxQxuIevGtzqr3xF Tj1+CfMuH8w+pad9sLDwf07OEWwYAmvex8uGdID4ymbWtP+ueqaIHLa7tGMEDM2la5fDqnRPUokq Wd/QZA5XXo6qjRAYXGuYLH1Y+4f8N6YeDEyDUe8EGfBh55hfC2SFASoorhq39xxvHx5S1ziYOngr 2oEvlj/AUUG0xX4NZiKL16I5KoiHXZNS5QrwvCadTZSmuj8DXy+R08ht4DlDk5V8Fsmr2nAW1wa7 nHCjEp15FO3IhGhudVvojQ6V7euphI5HV4CfVSsFIjgvTxIVAyllMhHvpczmObWgb/jIwjxzIp8Y dDYjTLpz47Mgg98JH/PsRjzRoc+We0GcngW6K0TxBpQTStALtVKEKpGXv72TkM/zNBKCoUhKba+u RgcXMJbmpC7ftJjG4jxkWeKA/G6INd8zeq0mqK6mBw82MqQFR1JBeVAqAbAeNINfBl2ZZMaitDa2 zqlSJFFXNeAJUMXwM9tU3tXE1NCnzKAR+xqfUWB4A3KgVObKMMDX/LrTjtOiRIrgeS/dW19v2aGB iGE6lZiIyn2Z7iCH9kmEQoSlgl53wBbGupDh7WmkyBa7YuA4VFqz/VPMwiWKlNqHkpTEAAkZxCtE n4yTQ7/iNe0gFBv3EZU4DoBg1SXMNywfbnB4tme+jV7C8/BVgbTvYDkSLpJOXLx39aZSf7dexuKv mpQ4F28pgf3fQfSHSxS1jEQBAlhxQ+XoGSy8ahYh4pVB1I0VQ5dNzVOCvRbRzCNbUirPTnQgKYTU NUoRk+dTshW+fBbvrwJDZHUoSMvEq77XWXKwLoEtTr5tQ3fIVP4TWq3XNPW+8HbWk7O2jdFGNoze CGz76Mj+GQ09qDR/xMfx8oeczIZctlMKpc6+rnjhRUGG25kPQA6Fk5ZYhnaYTaawmS/IDC+WRjwI i1nBfrMSeyqVXJoHBBsVw6nFq/RjL4C5S+EIonW6dTSaHKCxNKW9HiOtakAUKA1x9GdlyFIv/Okz ysetITdWZp8rBkHOhzAjWnCPd3anIrcN9p5//w5VD0Q2nCkK15Um31ES0l31yPeiNg1tQAKhi2C8 H1lMI4qbMNVM+h17dF24HL/CkaAS+9CqQ5CWc7ymr7AQ2xLnHEvHfLXCGNpVkLvBU2WHVHTkAWcj luAqVgm/jKzjL4LgDGyhdTXKN8F/9kMASCt1WwCKOXDt+kzmh6xODJ0eyXWjC6GKN6gd9uA1K+6e xDK8onVy4mXLoq4QXSr0sWLitSgIUxxzPXxG2YOafSlan9ylbhTNklPJcwmGXi9KQjDhmIf6DGw5 VnwyzWF3InYNhubzPqNn5LaO1UFS+BjvdeYveL2EsjPoUMeXd+vTKSkcBm9zXjSegg8YiAdQSDWC 4JCs/7IbaudMvhtBVHmCGpCFDrIIXRmUEKiv2s2YpNeDlals1A5TjspuAfOYpqbpXMFwiuJ3Vm34 bC1LH3DTHJ27j3LatBK5/tjk9PYmF8t6Z6T4fqLBl9xW/06WzrM8UEoMqZomwJ07WbiiPcJr5tJI GnuhLu1Sy7Bs58gfXVYJSxzddWpDm6fxWpp+OKqSxv8rzvJK0ajPMlyZe4ogX75NNns2CcBoYVNk P3d/iG7/8M7CuwfVqD2eBqiHE0mox6jMqL2Hymc00MK+9a2uK4swVEXlBB3A9shFb+ke4TWgfBvG 1NYlcAQEs28kQVPvg6k+P6xW7mqdOfY1h4g6bfPmx/vZQVEon5eT5sybi94RxKNVzG5QrZ209rsc RsqmxYiw92SSRzRV2AA7ssKRniCW9IZhU1htnRgGkxGYSBB4Dt/REOnR+IhME1IvLkNqQLBNwfjf a8P2o8VsfqYGW7Yvb1Y/b1INGSUkXOGJB3+9KThLnx7VCx3rmytqh6BlXE8zMIY1b04phueCS+a7 XGYd8QetXaYbyCaw/QOZSv1W50YVIDFG0rKBlqfppvfx59EL53Tue0hR49GRqad9JZ5R22G+C6Of 4TuE/yyV+xcZ6BFRxswlwn2C0/nZhJkSFfuv+uF8zhaFCbiu+SSfGGxLwJIzQ0Qw2x0CtBsIdXXf l5nizbMryar6ZIL/4CKUbqP6Zgo3kH2fpF/xazG1ZV6diNBUJjhnY3mjX13QBlvMYfSwXsVu/56z tbhdS/dh2AmtEn8GJnz0PoVhDuKG5P2pZLeRCPU02rj8AzOT+qeb0dMQ0bWRj2uTAjmQftQkY+/W XyJ4p+Z1t2YWb7/McGB+JgmTS8CP26wEMQXT82vGDVdvt1fBz46ajsa5wEl9CMDRrQv3LF9jcNZe Ts/R7xd+UfxpPW8iO87qdQvlpKmolP6WXWfievF9RiL5m1UucH2zvvcl6PZqQYguPrCsoKc3Oqdj rOefKM1LcdrAb/M4L+o2jJ96iEDvajtj7d1zO+oZGIN9HC05DBqEfNytZbddokeklOrdANQyACrz zpRHm1AJEH+ABjyL+5Qd0cnT+L7nhcnvfGqcUznOme4Y92jfx0GQOjxRKINcuabPlvzxYpWLMt3M rvqqdZk/OH5OhT26uVpgm0N1RKCsFgMwCiWSQb97/BeQnWIY9VWq2djq+VAhmZsCQeMJ6ilrsJ7z gdavU60dyAK6UE6Ln75wXFNI9R9ny1PMyPEVkra6I5BfNaUYKQxqIijvxOBWdSnG5zouC+rmAcN1 ONrkQiAwUdrql9tjlRduNcHLASzuVQrvN/X/+ZZOpi77943a9Xta7wTu99ptT+zLahix2UUO17GB CCKr0zzD3RaDP2phhozT6Mc3JCga5vjo2UoTOmLp+CrZKmU/m/38FIQu+jXH54qCjdQZM0bZsRzb fnTrd/ddQ0kA/y7vv89G5YenD3nTcylZF8m6q/ApJImCK4Y+3UE7+Bx6MhDDzXLzB2fYWUN6Bdem 850j4Ogib6k1gyW0qbd0nWZHCBscW5t7Re0AIbyHyFAlnOKPbyorCxJsJoCiN7kPVzcjoFbC1CwG aT9Vp1TZdZ8/PbhUKOwbayb04P9nO3AFyGKj221f8c0aH2c37SSwWNVWMu6e4ECTBhsgFeW+u58h +dQCElJDEwNGw7h/PsS3hU5V+U1noPejO/Jk2uWsfCat3+MhYxmTMFKDmvmK3pMr4ZJgB0pYSoCZ HPy8CqfVoHhQznJlU3votzw1Pp6LF6Q4xqlb+XhLvBRFdaSnc6WshzMpxB7XovfcEqNLNPm8o9C2 4CcxyGGkAk7lUQ/c/qfNgsHlSt21p0VP7zMH2l+vJmdKr5HtP7RT7CDmiSn4u6XBMerG8KD08By6 rN0o8pjPGTdpbTEYGFq49Y0fsREjo6txISVrU/lI7T1s2thR+oiePpGXPkaZMuRlWX+ohUgYBpWn cRn6r7x/bVgTrZiciBWPRoUvtTL+b7f5tNLxT909GFYwXft39NTIi1sF3CcZJOpI1/VDuQayp9mY f4YVKLXKaO7miPyLNBFG5lA6256CuFdLhHLYqo9T5SFGYbBJzIumvnb209oBD50/gjh9n9oL3VHH lkv4/ZI2jRr2Da+iruTsseWmL8jvZf81ZA8oX6fSR93YK52p3NaJAV3mhRNt0lJK0xT8PDZV6KzR z8s3NIzklT0VqFT18tKY1zGubKX82RH706HwqVIfdbGiHBd4xHlp1aXBOKEB3fMDuE8yGJikplQG P3FRE0y8NCyDZrvkZVbgAp1hInyJXR5RU1DiYcKNGCqC4yBCFACcOY70P4H09Vfwbe7R+1lS2hpq ZYWQlGBTWJ8E5eFXRfP3K6rr8jeVZdR3NVJNEEK3S+HNBzQI2hWjWMBd8yjliikwD9IoxQKgkpvY Lxl3St4wNVP98Nkx4lLK57hDIk15ZInru3Al2eh0sBqggwjzU2X5qSgY5V35ZdjzP9DFK1DwpxmV vOr5OZb8nHYizQ/cuCPs/h1GFnpj0qFV0T2bO9iuJ5u323sLD3tKOYrNN1YosCDso+xrn9ySfDb3 MDdyb/WGoy4+HWXjcWaA61HxTEsmM9jFsV4ygKnrfDINZJH4+wie103RR4oo8YYytUMTxLMxI8Vf /GNyYEprl68s7SSoZdGVTS/tBCwNElnjDe84FxeiYdGHePlxE1ik6/RDjgpaNF1ZX8IoF17QD6/Z 019Nx3dS7GNqt+03wL+SiFgM7zH9kx0waalyKOe4gQn3N84YnJW8cST6iocGJYuybmfAN3xbZfDo FAEzKiyJq9MN2xJMkBiuakqKdzC2X9epqsn+QXSOhqSNs2o3yqm/+U5k7Y4pw20IJ6hLBYM8KGlk 5USvX363wOsFcU2jsh3igitVHiwLRWhR56OUr6FOkBAQb0sZck9wA/Kco3ANPLmnOPCX85toYsbS lJvUDdQZCKbz7T+Dj6FZD9JSjZzzDyKsUMfKyPCZl1SgmeOWLYWWgdIat8zqTiNVQ7PZ5JgECsUj nvz8zAPepfxSUE10n1QS5lVVhcTo+3HsBRNJ/NmgLJ2/GWhI2FMlwA7CYzTQOPAFvIeFdCsPLNEX fkicogupIWY3pJPSXbdJQmoU9OuBMgwqBLNc6cj3VmjZtMxRGL2RiwuskWApNK0648+WzvMkJWlM 37p/IkGfgLUIXtAGSBE55dbsCZTpXCWtJ4pVWFRBD7P3FnSWDlBgpVGep3lMUnv1l9jy6rh/nJ38 W4fn5vV7QlepVcebGQYPfZLZNvQnCtHkVi3K4mJt0DjEKWVB4bGpZSAYoICZv8q1nh8OHM80UHt9 c9Br60RLWK9/IliOGGdWULHETHT9viM77uJKN1z3lEJGo1f97ooSeSX7xyOQIUpA/70vz94T2abq DKOXS5Fu2Vz0r4tZBPp0+mRHZrfw1lLfox/Ryyxz8zeOZe+vq0gqfnGoNi86QzG3x37064dU2Isr Qdn8AeDuBIgUEFS5ymKeI227Y9ERlfK3QHQoTNdOfwpIe1goLMNWjnz/MJwNkwpRhBSKNHpiy62T Wed3pEc3hK7B7lraqXk/VXDX5+9NkA1PNjsb2ZHU9SI3YEjY6+NhImvMULv89kZoAkmpvv05no79 nZJi3LbGbTcojPw1mzJfvuCigevskJ2T+jN+fkQoX7I2NatZimZFPZPhYiQARXZ8WGxE4wABM2nP CDt0y/O2MgMaCfX5mS6d+JsRtkZNCb8B3c7T4ExtO+ARnsGcAgrSimTnoF4l1FpJlMo7jSXVhJzi Wj1cw7/H10G4LJd2v0AX7SNhES7+c0s/injq1p/7YG13nHAdbyeSQFEcmdIB3lekTX/IEKGTAY+U YwKuxSUlFv7gtCF/zhDrfBEUA3O/bZsBlwkKcYBgxr3QCPYxCauQTRd2bhK0zxV2DdQS6nbZpjGk zyX5bX4n1SYUQMD5Buz4ejOwE5NK9pWXAyBddxfT1ww8a3rwK+sedkuKFsO4iQOl0KgYxOQjdlM5 Xr6BYeIdUeNNjaURGdsN5bb896gOyEn9AREidxA2fc/+nAplSd3Lkvh/I/IRxsc8ePy0CoJIlgEg 0yPqtHaa/5KTbCQevsTQ4C7wbqE5l/ThBKoxYe99jkG6rN3jWTM/uOPP1e0+CMrBUIyJ9YEL+Pgk N6eFoElsuRIWRk6SoQNS8iUOhMN7W349u4rL9t9bWcDffFMsnsC0PNxnIDCLH8sMYGO+aWEoMO76 s4jlWdR23RQuUuUz8OMr3sO/N0XtUuWcwEYIXxD6YvegLwXe1SAzFR7qUs+7vP/CyMpMO2Y7epch /02XmCblJaF+U7Vv83lXQ4NSgb1nan+wOi94SuQ/iLSYvjiAhBQfVH+eLAfpwJ8d2gV/HwpxhrZH qKXu4QuWikUCyhy+dVjrSpZ9m3bAu4pozVpLrOovtagK/b7muS/G7WRcINHLqLIQyJ9V83ItA8cF gV+HGWO1imOI4SrziAZOOhUrEmnyiUAxzG+9jYQCQGFdpvP/0B7fSfSC899vRaWNwiBdojjh6N6i 02uZEMl5i2g+46zxgH/mcbh1aoihh6bvPaEMWASiy8K0rB68qfjolYUMJz6BGOa7lcN/do0Slv0M 1/bdhdLkt2P2OyDbsQ4elRwwHfg6WSVYouQJu9t8dMvkqTD5jfMiSpLRQowg6v2OfX5JGoWwlBzv JQdWdNis8Vd9MbJThfSHucFrbvr9igUlmfWf4+k2a4z1UBfsoNbVi/eBB8asbRC5O+fiP3/emAgx NbaEbWmWxIGyiLwF1r64BfqueQ+7aRBLk71daDN5hKaoH7U0Nykp0sHjCNA3T5gMXDRbuDj+8LoK BUWANafeaq4PEHT8ZPpYS3QLBkzSdiGdly7gmcBcheq3i2xEkES5nIgBI48sm/sNDFdBjH1uMhMo iP4gyAXrL18fNIo15z1gvcuSuC1yV75m2quVwakYYrYqUbMW0pUy2JJKy66+KVcRTZspfs92w3Y2 BnNMOzO0m05TeNdXJFOGvwzo1NpJnkd/BDM3PkaIPu9TsjQ/dV2l7EFFikTd+oMgK+zh7IzxOLFk IZuDTpSFFdPEF7VFDy4v9XQTQvWn+Hzq/7A4D/L10SpqVfjWofWXjS4ZrVZ5sbS5lBuShmDEUC8d 3wutZ93N997oh88ydZuzhALXwdMlWdsehRiqYtEbR0VUM2tBFlRkKVh/rsykPVTCyiCL19dYQXpo hEWYVQtTdonjO3aUTFigk80QStCJ7xzZm9zumgb+y6kVwQ7fB87yFAi/6ZNXsLktK0Su4iHS90q6 Bec3zLC/86cCuBxGu2lZ1gd0WDeCFJOGeE4SiJRTpWFryI6OvEIsuB1mLVIngmLf24YO/NE8qX2R nke5myCHE1PhMK4BOYF0zqcVB2p1OHqHvnFrfrb//M2YTcBpXuSoKy2eR8xvd0YVv068ALuaz5Gt ctoqRUKwbqWJfGIu0T1BROR1DUl/RNy0QA7lvOJq87opJsLCeqlLiT7yfcgSeS/paHe+EBswr3Qe SfSatN+VSJ8FYmns33XOuwelYnioKgC/huRJicubJAA12V6Na8yd8SXo6Qa//sMO6KBBPI0wcLHa gFXo+cMNUo6jtdCz1dIz2fnJdwjmAI9+mvuSdG8uNHwCuZOCQUhbOuhc7pgIKlxD1Qi/1Nqiru+L sWat3RHVMVZll3mw010CvhkxqVliV9B/Q6VV0s57dJYPhpQGl94ruJJNS6Zrkbii/42zFLUoP0OZ PNFmlR1YFRAm2/W2YejVRsgF3y+ZJQp3M821XjGWdBq6aHm2d5V2IJ7XdAyj6bLsci7N1c4QgXJY eatTMdXrq85WhKGWiV+Hzl6VmoqdfXCeeG9++yBBZy3BcLCliIMMgTSkRbBDFYua9AuWPEt0Yrwl Z53zkjvhpfcfAFHX22ybZyT8IVw0X08Qb4mYq5hN2jvkdW9bCFEj+H+cMhTGYuq+VhfLzi+SiBRF I4Qlw66HQ/B4uOyNESYOopdEb9dbtTM6BVign/GL7eygN6JkJA2D8+kwc+HmTIKEqPauMD6vrjon TfigkHHu4wuLe9CNaZp74gAHCyn9lld2HKMQx/jVGf13WtYXaJ+BRAb3l5fnalbnJz0y+mreklYa mFUfY/dfn7o2w9Vrdhq122SmElh3Bbh+idhjP2QvR/kN9QgiraZIdPZOd9Ig2rRS8QkeQhwbVy2T B0apg+ZktgM+42b/pKVFHxo6Q8UsiB/63WeEP5VQg2c/c9CSt85C5r9Qh6LKnEZDcDfnRz6JqH8I EPWuYEWFyN11y76EHWqvFF0eiRkPcNTVg5k1XiejOIlFwMW0fZWhDbSRfEGHvbC87BvWawOXO9Nk clzHX2xO2B5zmbN5QFgEixtqrLnmB3n23vC6ggpQGaFHWBIn86sMbxg5tj2/22P9sfjdaY86shvd IMfaNBKVWpGpktl16aw2F7KiZObUzZ43pLUTpkKLQe+q4WRLDMSiwoPNab7gaiaK5Io11LM+PiqO f825g2jNBIzHCo57XIRzBUeeQ3x75mN4pBpB03T4bF76XSmcIGi6nbeCudjMnbxKmsVctK/RmiwN SyZn/vcjKGaZi+yKhpuumHhS4meicWzM3hRH3/HEU2C+Sfyo5jsjqREys6m/tSKfdcRuj/RgOGwM wLuuMo3jXSF6yCKR8pB6P8WgDP+Yq6s+wKnN+SPXWtGNV3l+Cv5JbW37YLXGWcL+TRx3k85U2JcZ aWFvt/41LR0TXZDaqaE+up6RbgZyCBgAydKQkBk8jdkwJn5sf3tx1jQDIJ/mSkjNRleehYfA6j+y wRGGbHJZW4Tny4G+pe2VrOSugklq/2SuNXJsjJGHTZQESKAKKMZrL28mgBzgCUQnF5qMd64fTtFb PaEy0M73eKi350Xt5bmVUa83+3bGcZg9C3eGNEJ+d90Aq0Xj9yJxtSGM3ofSkFSgw2/zOxKKFN+H Pw66H4fM4t01QWvMJZKE9FT5ADHXZe97JtZ6xjEZ1ESScBLe6zRBvg1XuA3ijBZ3/fnmCVD/zNHA qHH1vAv4LvsXetePQLAOesXi6xNSzno7aMKOJwY8XR0xeq/phDH5DuWZPk9F1K/fJ2iQuY12tzA/ lH5dzs0136ybU4XQ2C1e08OruhFfVvdjKg5C4NDvAm8NLgdEHtLsmrZ1829zAerEuf5Qy7gslNIz babi3+WiwAWdeCo/l6jq0TUx3ETP8cSWLBGJN9GauUKZxB3YFsFKW/TTcjZ1j6wa7/ZQeQmPv6Ht QL0KlQhK7HxxfrXVQHTyw2xiSfEGekFOOUDGjT7hILLN6jC91A/4M7iLcUOpbvGXFmjPNSsyRJOS wBX8Zzc29Rf1KKoIhdoFpqqELTxp9TyMB0PAJkHHUxaDEhj1yXF/Ig1TpyNaqXNFQqWpFQvJOwa5 PDBx5YJY6IOcjy0zKWGpIFLM6p3bD4NwTr5uqzPsfaqc0ScKD8TdydJOigBTA4bv6hHFF+ofkn2D 5avKACszF4Tdjqm0AHATruvCHcLv9pJho62VXA3kA28aMrQSabX8p8jwZ0gBOXouGNp7XtpZmhe8 /Z032o8wNeOKmTjXH5TsjzlU5Z+f4BFyWWxOifH20CBdBdqrjG00viYT6PvpGJtBXYh901dNeZKi cPDah9jNNHJZQ09YyAlCUdUZG1bbfu9EaTjHJ6IwQsQzexBoNQdgaI4OPA5MwKCoiBy236hG2zcW iYBAvfw8Pui7Qa2Vq5CfoR0L3L3myjlBHmhcvCIA9fYV6u86w4P3anyfDqflkEcPRahNHn2SQVRa 22ScEonNfC8VbvYmJj1BDL9zz6e6N6nhz0wJnW85MwwR3Msl09w3Uu4jUJ3iYMFw3Sn1eDivxH+c jYJICUtw6yM+1SnOtEm6cVZX3Hv0fRDnc02hqlNB+hXV9nf4uH60r59Le7FW+gS+wKDQ4eu1DFMZ Z+u2LIEsgXNLTVhgY6PhNsgGFDdp4QeKpqc543Dfzx4cZ7JpFWWydS/KYLSkZ/K7PJq4E2P6N3Oa kTjUXNUnuFEECwBPw58PA0XgcUAB4n6/gPqER2cvVcb4CuZUEd6u6jj6HEHT9sas+LNfE/khZWcJ wbakWK5wtkVkLcHI+syMd+i2/1vtXr3ZvxWhAfhuhQvXzEgIc7fCq7JACUWZMJqQrlp+fwf0/lB5 09UnqQadIq91P7W5kxewD/2KlDBhiDmTSX1ddYXJY/9mH07MZmQjWdI7+bwIGJh7FqKVKppuGWSD 9EodoF88GlqLIWGI5QW3B4CgJ3whQsSIQZe5dinc2KT04Y7Ngn/qY9ZEZq1562hce37rh6DgMLLc h8+hxQpDRgo5KS8Xt16jjH5tUKEnAZaBOByAT67VK5fAxO0xHWYK0YezT+z2e3HwCTLOWaM2PwiH 026w23SF2TJR6kUaHGC+1TU2Sl35+BxRD4TG9MzJXI10nO7BtqOlS7axfZ8GgijjMaULWjfY0ShV d2ynED1rAoW8qtxbQ8f4Xbll39/lU8F8+F0OCRHSY6eIgHOJmPGvewHipv7ainH0/rLRbN0N50Ch FLKkVquMhuW8vNSr8MlfS7VMA4Cmtd1TTd4vJkpnhblScO/d917QQMCVZLiDQwxIRHXzYAhp9Gla D/jTSmsDGny+7J3clVIw8nvxNAl0f+Y893rUKaPIqWUwBCRmOiTnBz0V9eKwy3U+lon0aHyjC5Lv bjTj6WauCPQg10+iRHjWcaj+ho5HW7HI0VU4cH1UzZ1yb2xKYV4/rdFDxsNEhi4JYn2dNcN6a0cA 6+CAsLo/8ISgqcj3JsHbKhMQRaiAaFhW5+ujGew1bAFFP9iVudrNqqknl5pkyzqJAxCgj+y7h5bh gAu2qQyp/v6a+BYfSoKlGf/MWTnEnwN83yrv7PJF/iFBXEFD/nGq6b/t8Vhd4uc2ZfuEGnHdL3xH jA+qj3S/pQXVIhpJb0k3OePPzs/xPEC1B3yi4avpEcsuZgMTnHyqAQXmBYHNmkBT0LyL6UhZ30lv +ExGKz+SnVFxR0mKyyw0c2VSjqmiGOU4ObVOqeVoccbxiuObE6YbBQQjwzlm1EBWP0hR1Tpy/Nwp ij5STKYMHpFDS0hBnHYAVknvqh9OCA8zAt7gXxkGG0CEEje4qI9OafGp0jXxM6058gqUUztueqHn asJDo6unBH90cXvbLN51f/+yLU/MAwb7BUIzqqnnMbdWy1ZV7xYT8CLoM9aH2w2ZTZEApgxhsmjM JIrROKzZvIBfVa/L0fL1d0QlUf7JoOuF5K7eZ3ipgFuJBoPJcv9o7JwvycTWuOz6jOgPhomDksli 4gx6xn+sm6PIGJuiXXx7xtTPUKGGwXcF+2VK7gUrRlWhjsTWvisMvQ/HZbijJMjJ+IAVHAjBN0u6 S60dZny6VNCnQqKfSqKwOyqsc3+nLWNgfyvKvckE1U+sSMt1XckqOvHXLVhDXN5LBI9vYOtnyU0z R3h9PzTwidIMeVPHGsbX7CsPMVGOjNIf3O3dLLCRpluWLZRkRpqRZ22C8X2P/NuzlIpOuEpuZIzp ah+QvCie3pfSijNwvjFbBSRyjapwymgLeb3Sp3BnTuWBcPjwH7UpQlD7zt/OCnquYnGT+RDsqlYD EHjgR1XmPnIi2Oc0PawvVJFgh3sjC/1rDIgTlZh8s09YJx0R/g0V66Xzt2yfE0p2CSaApsWqRjdU /CM3fEkSFuG3wPhcU1bl/uq+cT57MSA7okKB4xmnvpMYJTNIXNS6jsoVj6ve9+PtS8SUPtQNQ7l7 xrSSM1YZf+vFruv0aPniYgzrDDkjGBJm0tCdtd6wQhsXaWvD0WlWRvG97utYvti+oCVwnVYLVO8L 0XJ6i3i9eHBTyZifkJXpC4GFxHZJNuTF8gbWH6molKQsVv3OTEdeVto8rrpQI6eDdqDbozCIe/kC 5Mm2thhWAmH234ejQ8p75RsClWtQttV3wth0ClIJWhheiyD5pugbn/0tQVnbCjSm4nYnSQhlhjiB nhzIioZ2y8OmCOrf63oTrtIFrPS6F1LqU1XzS/AugykrxdbwygvpFhASlEUKmZT9QvU1QfDK1Jhh zvWK9Fksbt6ssjEGw1a/urgMYlsXgUtc6r+Ako9UJVEAbwO2sA/6ua5ZNkYDyK1AVeXo1LC2t4nn Zm8ywbNH6C+wEDB/gi78i7zD3QHGZw+7m3BoFXyqpdOSBG4WWamoLdLCuIzJ18FwqIIoThe/V6fY rTZrR8S+7FPUT3Ked4xtrN+fvimQTyjqBV9KxTzOziyngHwO+vuaA9c3UaAAEpn0LB/0uTS4E01u aN/6KPlYMfT6ARVXTJE1o6WYaFS54kt5ta9hMCYG5hqGx5J8mnVbVw5QcbOF/5YwvZrRe4mKjvl1 yz7aAw6Hp9NT20H6h2Cdqlo877/2hSaEX8JWqrViiYT4/CDRiDeJkIh3yd+ES+ZSPLmop0SHcRVR dE+VhHldzYGMuhIeayYL0d3uRu6tWn3tW43fcsCeuafYzqXCoP6rSiYRCUH5Ctug5t2wZqnnRHkX +2g4jhai+BsUJOCsYADNelKl/0YXx6Jl9WdhpKCu0LRPMMeD0wu3ZZ0pT4RHt9HZ1OEjgr7S2bjb uh60YV2vtIFDZkAi4AYcFloG9suGXO+9s6zBp7aaQ/wOQMRhlU044R6kdOxhZV6KCjaTEuQsmQYT fmxWgFnrzyrhOhfu2E7aqCPpEozLlzBulLsgpMKA8Tw+36CclLVL9SsF3ENbNke4Btg1fMX+Qywv k+JzchGowIpMvcVldblZJgo39QzXApBIFtZ7h4aQWNb8jZgehShGOW9FsmGZjmSGYZE/r/kJ3+AP XuKTLW9g1O5D5QmP4uiN80IthNsW58EAgVP3byb1Xkx3ti5zAJLJY1+QmwbbufYdbRKkMUMqxhYK sThVXQKIMg+aNqcm/VdnQjebqPSRUfmwy9nLwshG9j5ZK2jCcNgybv4SLQVVbapDzZfTGAdDQ6md ItRatUqVvfLsRfgrlXG8P8DuW63k3VDK7Pk+FrFG38sb1jtET55UFbxFkDVk/E6PdgsNnNqIOkeU Owz+QKqFsgPhMX7qekmdwfUKP2HhopbFP8MLWU4Y4QHX71rQI2eCJYnBvAPT/KRwjnePpsw/HJtn 30qtwovudQ0eggtm6Abo52ZaRQMxzLl7ZbWcDHgq9RNeYkBRC8Lr4s7ScTr7n2MUgEyw5t2S9n89 J44/YKDUuE+uTWtkQGcy1kdji+o3TMgBb7DGOfv7Do2ZKKZ6kHKZWAtEsmvamXOb28fCzF1ZM95r zd0zP9qV0cMtfeJ7pG00Ixtp1meQMRN6A0fkesTCPbfWnRdFkH/2ywLzHKjpQ1l78A9cg9YeJx3L 4tT6XuzR9iEpqCLPoOOGgpPxhtB0XxwH0dwOT+sqcX0kx/sH0n1bTmaEp0Td/o2vKEDeahwqBwhs QjpiXEccT31ZD8Rjq/lnOo8KVgCyl0T4r2Je2dC6PTFJvNILTwbQu7jUd1YGDhpRxJXEfAxxPWJA XpRXBhElwYFsDzcq5rmtedp6I/rtaPQFPvVwVDKWvDIGIpi8W6PB8H8EqDTZZkFwY1g1S58r6I/V BQxZPMv5t94t3RUNNJa0h4lhyp6noW6YTVjyEyJsAg9rhhE5mHvm+ppLEdnZHM5iAUiuupTv0Skd WmoBFEXKximUSjKPpKA+mR+hg4vrxXS7SlfxLAPypB10hljckfYlUDd3/IXCd+YDCgZwl5jVymMc PtrDModHpSgdrWKZ2CdvUV/YWwAe/O5OaEjwoyc+ztUYTbAlAik+gObbexDF8GK+HgqMyYOI6yRf vh9lseEzbKvPlbUCxh0asTEmfcotuUZi7wnjEXV2aemSZvdDE+9wRyxmIjxDJmRFCk2IN67QOhr8 mfVuh546JIJCF0pOtY7qmZ2JmzrhUQDHV1cRVN9SNbPRFN9o94xhE1TQDcPG6BtObPBHg8uwoQv5 J+/zIqV59LyGnm6fUyTgT1GV+axJNIt4ssppbklE5a7LYjeIEL3U93zIdO4YfQaerdBIdqz3WY6R uB6ILYd+aqplcqXrk7uxZ+qCcYzWYxtm86nLaSybicSyGr4SpFftE/Kc/xkhRcXiOnGlhTddm6/s NwHqRE3u6Wv+8vHXdi9ofjLMMbfPJTAs3T6G9T482v0aZ02eR8+EUPhYgHb7HltN5sAy+RwJmgWs LGu/QXN/mf8eOAdxjAEJgu+A6v5qVV/YSl/PGPH2FdXmJOMpR4Z7pmzSfg2Qge1wWoNz/sxvw+Ha gVaTtqy70R5f6U/87VNXaB7HU/OMojza6iGD3Rn4Kh/ogAQGTss6w9imoTpcZtM2i83jISH1VM4B UjFDMWubqaOQ7pTc96Cafg5Gb9FHGgbbfpaqUaElxdOLhI3jDiEXCKbTisnmCiEUX3ag8ziq2YY+ VLY2nwWxUnD3GRAV9p33TbsnNVHMI7yjch/OdIgHp0ruymjgR7LDOzk2SOeYATGHpTEuvQznUXVV E/HcfAEROJrcyLLSrMWit6N4U4SSCvEDH8tPuWJu49CDCmByAH+8v8j6XLReJDVbCG/JUzGl3+AH h7tMIbLM006qVtrK+0XQ+KjLPCSv2STnPpPKyD/V3qV9O9GK/Sb655mMoc72BlNX9XAruN59tpgt yf+QQ/TRk9mR9WPOqZpPPdIhgW2Jw5jCdztqh+e54+DZ9mxvLAzr2tKA3MvWFozbgSOR4zsrbLc4 xODSptfI7jk/ooUa+PNKtn/kNJOScvPlkIm3ivwv+ut+Iyvb35HnXBGD3NdU7bce8uwDUVFtWZOY 5msHi/b6mi1wqtWrk88BgZvaK2ZlTP2rykFMNQX4AdDtcjp4fQjAARaJBBDopMtU2xtWAGB3MArK SJoj/N8397MA69ma+XfAFKu7nP73gpsftpXuoPRXpi3+WOpl3B5kkudVN9jTRmUuuQJIwiVHDdif K82ML9/5y0XG14Rb+FuthGPBVLtVx6gom5KVQmVOapokqjJeenFUnGcREEVWt7fEDwAer2D4cGg9 dKjAMIaB0q5q/FaV1GFA8lECB5K+0EvnU49X4GD9KsjosoA2rxZCl2b8Pw2b2gM4ZjBOGw+eZw9U TU8BfQxogh+BFS+adqW50mTgeMuPgoIKOqIYRt9hsOt8GdBwgJeFsNAyMe32jQBoqadhluHKAzUj tTuV1ZksQt77B1HVMefcMAzOmXpj4rup3VexwUGssMzJHQ1SirbpvM5+O4gJCJEbIuLLOty/S/sM 5Ig5g8MEW2FQYHcYfq1/+saWto32/8FBg5UPU74faRJcSs7ScJzHPSnlC5eDO1DbcRPJMHM5ruaG xpVvGfBJX387u/UgJl/Z7eRUnMRdyovuUKrg5oOZPSR5SrSsKxl6NqQQtV93fw5gp1Li+Rvci68O vUQAExCy+6HgnkEQDG7loLQcvBbz7CrJDJZ5aFT0sUzEOVYMg7Ue7/1szy0sABQ64ba4w3StE745 +uTPvcroimFlTpzmba2I3+twutmMYS6FM7IvjivbwIZ/kB8Bx6FYvw9Qy2HKk1CXRf4Tg1ovTvUV YecFOtGExMPX2CeUJRJ9HrepmUz8wjjUjIu6Xj8iT0RqseqxeQB+mc/4nXg6Lofz6DLP5w1rKc+4 ibNqZ5C4I6frEN4t5GHUyDyHizHTCAnMrqYmfmdJxmj4aRjKi1wKrc4G7XNo7ZwnDV+FfbCpOQMn Kh49IFFwrAagxYSw+WLnq92Gjt38a/9VwzZBC/UgrRTn6QZHdAZKmF83c3RICHGyxsamYWVrz8Xq Jn/qO/xNCvZyYjPXMC0j7OqzKAEo6bfzEuZJA5yrXsVU+LYlxPShe/NXHjI0BCld3a6ijOiIJhXk Y2OKyNugB8bAeRpRHtl4PkleHPj45GlTJ8EDXh9v5j6Zrx/2QZPoPbleu0IDY8U+ha6g77f6n2lE H9RpdP9aa6Qgx0sDICiL/uqmwo5npn9F9aAYKuvvO/U8obX2Af5/ctuTLSIXMdQJNOa08Dks2OCw qzOBWD/zzLMzRDZroNoMnMNI7nN9XgNse/zuP9hasB1nFaYF/Rn10wXY6D3EDX0PgHtZ3ufXJV6l lzqYruh8OnqBP7b3M02y1VLia3w/Qq1it5AR2cx8cgn7GXjW4Ls2vrmilrJT89wSx+kbQ27xkZqd aINhKl3fhanxLsC9DEsvSbd4LjLbFQjKD20EnrrIeed5IKUbFUXr/a6/rW1Pn8kPcAbCA4ysbCNd CboCCj9LNb4I6WVuzMhYlPQr2aUn+tHj/espxuz155bSqJwbO6ynhAxfPVHwLPxYQfCGglUABWyv Npvu1erTzOduZnu08h5h+Ii0v0n3SbkVOtcJd7fK+/Wnm1PvYPUywfJFNKIjZsWq6gKkyK3cSbEw MOXtsRe06xNdiidlGyXA7Ny7kQFVcuOynmqRtRCgHqV7skNLtG+FuHYfPyeO9ysfISvWWdCYBubA i+8Piuxkrqbi/tc30Cpgr4l3vrGt+GPYwd7GQalDApDhTB1TmhoaWlp3OZkDe1RDn4BDmzM/F23H 8YD7v3Z9Gtso30ZOfJuAQepgBiAZhjpW3T0TnGGiC+MV5YQc8X2B86ceb5bXS2zj9Yea4G+qqjqS Ya7Yqom+M65kF8QnOFig63es8e48vc/Wto9GQ7zSsdK2ImH2btAt/frUu+HbJ//YoI76dxbGivvJ IuzyRS/yhawEQn/0r/eN+9GbiAPlpsO7302IkFHYF2tTcu414YocSzpi7V45WIl2STni4kaF+3xX GZi9vGHJLLBNZk9gRcBoPW7Uucn2YPVgZ2TfsmzKtqbIk0OjgtGpktEh0jOoYik39VgRieec8vyh EWrcYvhE5eOd77SSBBxIz4gczL8A/CHkcNGjm/yqJqAX8GXMHr2YHdCSoopawf/wl3JapCu7wce4 pPHbFwSdbst2ezliWYSIXlt2oOw+7pHnnUPpo9r8GgCRSQCZEXBPNOW02KmOcPZlkV9Y9Ag0iMuW gAQ9+3TUGU4c2dDHCrTMIc9WaDUUXhyN39GzELhNRAP7J9Il+vvIkmVnawlFToHm3QXX0zMGvx3T Ug62gl+aslrRbNpCgDPVeZDgbHaKNnoZKhGK9h4283J/mtnfBTz3KHTFS5TJNhfgxtQf5yQ2NF+W x61JsmlUmoUh51kI+zWGfeY1nbPsJ/8xs0gFbr6FGRAxeTzGgxOMAV7smmJ5YYebFNqLnaM9Acps /y2ybroCugPmVb5n2XsVFNi2ao6akbFrH1gT7U89JD5SQG6NMQ3o0A9W4sVhS1gMTApqLUaguB+Q e98sGF86RskLPRi2Kh7O9BOWfiRAM42wXNwzF+VcFYpGlu0tYvhElx9KW7kNSDVlvTzx8vw65Ff8 hiHdoZDUt5QAHjONM5ajUawoBWcCUxxp8/vvT4uJaPAOu0Z297Xl/9SG/H0KKdbgQb4Ww0lkDFCI pHjhRGgLO8dFgZUHxd3yVYAbqYhtQ/ZQh6HTBm2YlOkolKD5/v0VmBf6AlI+g9+EH9I1VFHyus1q IxNlsDPht23wraDAclgXY/57+bASbB8nvO0NfiRjyPgaqbOga9opS64HX5rGInk0HZ5nYbQQ7DrY r6d+eMwX/jlf/LOLXaqu/3GZTuxqDRB+/1TURf/3q4lzb8CDXBOsDNruAXvuSUbO0MrpqCWuq30p RTJxX2MUVji9qM8ScNSBsEOFcmJlLvrh+iy+VT4N8wAbpbYowDCsqrOKrk74SogmDJ853pyKIZTz QsdmBpqC0qTdbhrwL7zqxMw5t7mlghYQ99CQAYmtobjGfRYEfkzoxucg0okmua78X2jTF+HqRsUx gWuu7FDOYtL6kKnW37xXYhg9V8sQVTijexukMDMgSt8zZ/0kYumGEuadsEQ4KFXLIPcbKQoKdL3X MToYP1vAcyaze2UienH9xFwPA56nZEksmFVGIlMD/UlXSSwRB2BSnKnaxpI6TetKXDBp0CIVPjj+ 5XUy6CPZgALMdLYeibrRkFHwF5gqBdlOuV8PmjjVcj5V8clgB5j1KJM07BYB4bypMhj3/MTnCvBR glWfvBP/ALJROOvZhNwitaDs51Ig7cADKd9ryxPlXNC+Ik/wt+dE7fc1VBMem4L/XbxrP3JztEeU Jyr7eNmllwfqRVRCF0F+knYUUZvKorBzxVKhpUNE/NXgA/4kSnkVxB5LwrxwS4zmfVFkS0CacEO+ FDHWziETTDLPD3CRFUcU6FQtBA/EcQEv4Va/f5xy/C+DkcsQNWry3DrK7kpuBMN2JvwPmGa9QSmS HMihsFnDYjATsBd+uwGtDOf9//1HKxn+lcw/IOgHgy9swb8dNkiLTs4wbiLqjIGDMlac4C0nkaQV 0wck7GnGXlAWWrnvbNJGG+GEuikDjl4zgPpmycJhmSN8KT0t32IhDuIIsdtY8KT5pTlhKvhysfzT if1JDCSUHaymFQnvCOZQapYEBknSoFPfBDI+B7ZIyW30CJHN8uFyEXTSxnUsLJ4UisUPfQAmn9rc jb4xx2BumzzgQ/ZTCASATsfgExhgGQIifVq92Z7NMqY5/aKov74bhwsP9jsuFTdwvtzbsmseJ1iR c+WSktrwtXecw0CSMLLbpw+44ro+HLMrm4DT3LiAg0mXQoOPZvMQjWpdCxuyG6bvkYuUESmkdktC fVaTffyAjJb3AINjsgdL7P1MScxZ7BQEvLuf+F2eTRbk1gpxy0YxQEoBK0XcfTN56lFmuGw/IXlH rsgg0jke0wZWHcu/nvtyyvLBtJNKk13l0PNRt2ZdzvJyv4YpZIttZxdoiWKvK4G+noK0NO+f2xpd DN/+aKAVNfOPugOsxCsVF7xrbhK/qF7v+zVQ7ZP3pwPDTLHqEZOCu4S1eeB0RF4fN1c3Uhw/7nq3 OLCbgZ3d1VetR/vVlGKZn349XR/l+lvPh91s6APWyEct+BPEqvuMOhe/DT7IMd33BJDnfr2eW9PB ZA3WmYSONW15iNYCAqFSiKPd2pwAy6HnhSIhLQHXLPfGLsrvzlfIeV+Q/EYeLXXzq25Fo1jWeuoc qMyIojmxUfb/ROvUxqi5xZGtA8nxyUAjjltJ7BS3aYWLzG7VZRjhmimiTAEJtYo4qF9sPuotrhvB I4cleYjGlFl1PXc2IixvZfjxHhlH84zdGShkNDkcFJrY2/qfe6j5T30nd8daUC0vPUl+IsVp5Ags OIEsNEVPkO+Dl7hzDB9lVM9zGcgFKshb+9ex/h7zRRVi4OMArAjHgjNo8OLy8YhcSiKGIp1SWH0x BYsAj+08/EpeLFlb76Y4l9B7ogbseH9iFgqHDA7cw463HopHNuEULJRKTaeq5fv7JiCDfmIqdte3 VmOb8HWAMP5iLuG8vTtkosLZ0+YIwjlsMpER4hXxae1EHVnvETgUmecsDY0IybHMzKHqYDPbn3a9 bSkbJc+RDgP/2ftGVJJJcusfy5YzeZVGYj/CTz7wIPrnO8TJ7yHt7aAyFqTs5FoU7JFQfVLwnwWE 94Ab05g3J5T7UdlWWkiv+ZqU+2lAGWqc/N4q71b3RQcjeuu9g2glJOkONAEZ2bIRGQF3xLl/LtP5 XMLrMX8VHeCzCI/wGclrPPOUYqBHnKIwClCNLsC/P242Cphkv1b6ydnjjK+GUzn0rYceV0iXJMOc HSp+7OcC/gRVFGUjp9uN+Valvg2FOhkNMkC0Y4LWgcLMq1U2cvDGxk5e1FDFi49skUhVQuXpbpJA m4aQO07Ds6GAkZso5GN1ShFUQc9yH8KFTRm/A8yaoJricBbzlvBR4F/oWSyfgRaosKnYoyln3cbl 0IQyz0MAx1AfwexAiH8XPCLyV5kZILX5FqrJPyt5iN76t4u14QUZ/36lo1M57Dtb2wTlOko0lQhr W13Xg9EPG95mx+rP/5hhSw3CeYbmX+lE9eC8S2P5yL+J6DcrElT3cMrDOl5gOJRsilE6124h9u9L SNGzTWjRei5w+mZ7yD6lS+GThN+mmyebatP0YKT6lCSxOrPktQ11Dni4CO4vndtB8ajCF7fk2cnR 8CaVycs5cdx4aU0KbQCej+d3PAfIQTu37WRTftzqJ31OspI4Mpu2zy24+jMsH20uzOjLrQ35t9gz 4hXcG1S+HytKQE+VXPkrAUzDBd6uW+UME8uRqNyB8JMpbdc3SagbzpgoQFSQO9f0ErxMdzgeCTlQ xpqOJIz97GCNKsW1bnw15ehfkR7mZCJu/ppumMn1kkT/1uKnr8eNMQtxFKhaCiMorn92wd3c6U0s MBs1VRPBbz5MX7LACtxG8jded3wGot2IrKEvirbZ+/dSK3u/ulQzLmPXB5LMgt05b7uUaAfmuvsF pJd5ux5GzSbpeTpT8ENRCK4aElk1kFZuDakV97fRjVpgi/t5cGwCapXg8N4oQ4h8pxjf7vtyQ76W 83q7qoj/Vus3oGvXN//o0XToONFQ41JWEOdb2Fgw21Ehd0T+jxii38ZcGJsbFrTeqCvfQfYlUOus XvRKbHmOO4fopcAC11OM/0teRxz2r2kWAsEkAMMgr4hT/82k0pb3TXPo7TzntqWXGAXm0XNl+0um Gx/AGWQsXbQ68pmaRENgGFAM9HonpgPkNwltGBqjl+CQbnYk0M4i334KLprh/2wm/wdksq4XIMUQ +Iaon79djQvyDE/iPMxGgtGWn3z3VonZfKMrDYSZldabhXHJBOn6QQPxqFEO2305UouBoI0pwPnV 1KE3+CZN45sEjQz+22SsZzvSDbs7L7ogPGaEpzT+A4YF3pUDMHAh7XHYC3X61v4lLvATVCyo0s9j afsdVS0nJVDq9UX174999UqFsbEeIGSK//4cJBCeRu3oCbxO+hpOoHYRMyCeT/t5I/PiIHbfKNMf BzhbsXLHapkXwcRzo1bXYyjc5fxq8Oasv75csHsbQXWXusW7oKfFALFq4isyKqh43VG5xgP1N1vh j0hxctEWEp4fFWM/3Ts7FgnVq7+/cd97+GDsq7tjYX7+J8xSoTIDyP+9Fo6xmWThp9LeHlyCsD5S GYzWy32GcvoF9Z7zMmK2uIqKFjb2qL3RggCgcakXQWXsYwCTIzDYLw9xf6MyWnmcBxbxGPuv/Yyb WPTAjrAghFItnazoEyi0M+1D2hRxwsyAQ2IbhDbdFWmOfjzd6CLJzUQcy2lhSfk/tgSTYjJ2DLru KQiKTcG+MODkp0fKtLSNcCU1Dnwz9qbbmRnq9mMiqTzchYo2vwgDCXhrT/GBQKTBhKvayw2r9NUj 4CWk7MA/j7pZ+84HOo6+YiDLdWyiXe3bSwEsQuabiES+P3onNxICGqPaSa3jjVou5ZaP+n/HoqZY /3NneRm12PoBQ2DO6xCvMnS8PyCHmTCMqUihF0rUcaxunw3+IU2bH7dngwinIFKIpHuhsPsyaDcE vnnEogLwR2s5TnO99eMDTk7W6VTrJGVGbossDhGcatjHaZweNDICWsjpMvbjB2gFlN63ul0VIuTb L+F1i19LzZxkEnzoIxuoo9I04EAR5q3wautwD1bsKNiJmAI8uZF84vALSxQ5qi4Mm2co3HTubufq xoOj3T11o027qd0+3xY1l7zbAafOXI45uHfpcolP4rRhIuJSdGyyhRZy4piHjyQqjIZQDqRlrC4Q ccXxlQjkqnL/aMWRWpclcdnZHaKazWnG5AfQmYEIMKkBk02MX7on0dXYptHlye9psUTVg2KtbLZM 49o1hjqp5zDy2d5YvpKWiIt5+JN17/bZH38QpF8pB/SgpfIF1vFpF5wg3F9Rvi17MXL8c9rauFt1 LEnFfy0CMX7ZoGzpdsb0IsTx2oj7TjtQo6tTsHRVAUxlhVCmHrwE3HB0x6jwOBGg7V0SX+BGOsZP Ojnbjj/boFhNODuvq8uh4NevfxdJ49lxzeFt75g1xJDO6N/ejCqTiySkjgEKL2YO8BFgOklXwsXw NK06ex0tcgIkOuUSd9V7rm0CmxCAtsHhKjC8lPfUS8HWxUMnCPqL2Kv3f4JLLG5vHN4pPwOY4l1X bj58tg358qDeFVHJXS9yqc5jnuGrR0GJ3+dgmA+ZsYe9+dYslojewLBChw/Yk2LZ1T8K2fey2vxc dDY+JAky6O7mgTX8NTpr5iOC88DvZbMsDvfnrY8tYu1Tx2Q2m2u8g5Sl8haKVpWOoDa6WQSqm/o9 YVE2rojVFRdqyqPJo2VGhZDsGJ7iWPSgzQ/c0G17DQ2sr+hQTiBC7m0GrFef6vh9OK22/gSf2ug2 4L1KRX25CuHaoXnzsnCVLzA51zN7IejgBku2dy3rCRIiG5jY2M1mgdTXGieHL0+YgSit/ug4fjYN NOGUt+aqjt6T/8SGXQEIXlSfH4jpOq87mjpzBWJvKOqtK9cSinwClFPx3DVuClhtZQsEcqxYmrym /xvRrHd31b0Mk2jW32P0Yu6t480w6uD361+rs9Q3DOvgIlpifgZrAqgoD3S0GMASGoTyrxC2FZL8 WevgcisHkic+Lg5Rnt/2nG6mlLWXGV302bWYYYYRbLb8GDsKfZuTLvwqeHSs4taNqeDHwfy+k7s/ 8qGo2MMsDgRHdCW0pK9dBTGyq7/haAjzMS1qWILFi3bRCiDlN+8ulUyyGywcKsiQJIlNgmyw0z9I 4egw1EjiH//rbstlz8o1TfF1DGATn4+OKhY5Qx5SdMpp8xpZ4AHdR4trF5muT3jL5MK3rzOKUNdk evk5lsd+6r4q42jOelmxSYGKz+Iwof0q0SG5Mrn35MBNo7EBodV2jIuxclWzmFjlQXes6LbQHkM9 8P/TYZ0WusR7XKibpo2vXZlxnDqBUz041YSwkDX5iqQoekDPGQxt3Xvz8nYcmDWuJWFTkFbrxKol u67dpJWvN8LCtdi+DcwkWZ8PLbTw1334V2XCMwqlQoxzeLo44503jdguvkWGhHTOXF2alK2CYqPi Z+sq4YjG5zKf4xLJZiBk+Q3YPJvuNGqrobEbGJT5W/V05N9MB19jImgApfHfa1zdksVtwli2s9cI vxiyhZp8mdmxVoNWivzP3BbMztTmPi3+no2+mBIlRconubG3YHVSeskB/VE5HQl3YQrfSvsQUuPx Y7HCe2sN3Gs1gScWlfxahKr0ifHNA0o+rXX2c52MtWGOSDt00i64SNBJJpl7rwT5sM9GMlaMylYe 6H+WwBi8mMoVEHNJMWk9G4lDNhVsOnK/0eU1drjrHWzZjzy0RO3JIOPZCop71JtM9EPosxrcJ0d7 sbyT/rktv1sD8eYc5p7dHijAbn7rFv/GJ/0CCjGypL5RuErlYVwf3ML+i6Nv7arirMx/nzqeIaWi gGUAZ/J8zv9ERJFDm+oxYlebOcr6muUkmKnoEQP9EOmcSohVWSJRR7PY0PBR0LlKqYEJTh0qFTmR 1VsCV61vPdq0BwwKlMUZEqKZS/mBW9t8/RS4HQFCX1ZyizKtqGEGUoKn1jD38dgAMCbNUeEeLZ4H hJb2D1wduPdcYzGbb5lnu32fmAmZf8uHPm91s0BrKA02NIju5t5mqDRENndIhIK8Vqie0kCrQyYG 7G0/EVyqNSiA3bBsKwSars/XVI8VYgY9Hbaht23bg037CmCBTJkRpszOJx6H17eaP0zvwUz7w59L nPToVBQ6x7tpHi5ZR2AVP8SE7G/nCa4B8b1GzjnKFcLrdPzi1akWKqio64GYOFsxO21kl2UWpN65 pQ3e22XJy8Q764EDenTgo+/TWkfL2PLjtfP3Tu/SadL67vvQxk+1Y3S3yFRn/Sv1JtgPzlNv6Sdx i1UBX90ZQFGF53w+G4oU94sscygAhLRdLYN2UNIHx0cM38QirZTsCIGTIewG93IRLhL26XnODX/1 pCXbmPXTchf4XcUkrQgrzc2+AZtFFl1BhdBBkmtNhZHo3UMdwWpdV1FJQNXC/6bde2qDG7YCxMuI uUwzQXxeoYKOuPF5LwjzS2poveJykh3NunmDs++13/Pf7sS8HwlaVk1h/mfijq/+XiXlO82YbcIF hncBiIjlKQygBdFN6cI8Np01E6a7SH4ZBdhtOpMm9nJaNcGADc63c4plJcjkz+2JS9crlbc52FbV hE+DtkQWLwhHG9v50rz4iYXOZDPkAuMkY0zPVbZahQ7g2cY+IovtTBM2QrHVL5+6mYygW1d1HvBm s+T7SeJ+jEXDXmHSTjgW/wSP9tKTyUEXlWYKyMfwsj7CZSFzzV1KGU32eAq64yI344ny7h0eGsEt jnAtByXkYItIZcY7C7pmbQTIqZrSOOOXdSaUVXUqOQwplKtfACwKyXcBYCT1hhGCLFC9QEjJte/m 2bgxgvXpavSDIudtoFxreGg7qAM40sMW84KJqLy7bhgZAJSYh8n4ph1/mmyJ8g1jSIQHkkarndfn oPKTkPNKXdQvQIniZGGer0br3OyNy5L+S5IoVpFn0cJlmgoAoDAOR+/ixbWDToJQ39YdwPRbOZ+m hwhoNc+44nTK/RhLwxtnF/I8FNtco8OzL9CAjrwQJ3IBdXC3CcDH3snbC6uAeILdkxc3EhJ/l4Dl opTgPeF+ZN76BmwBcWo0R9He5twdYWFCFttRuj5VdZrMnO37+187rNJ8zzQxL1MbpKaRXr7SRzUa AS99yx9LCaqAqhRWG34L8fuUHbW4fIWQ8uar2Kka4SJhInuTV0WsdEWgy644cqa2hz8fVRjLRb9j 1qI9+pZtGc3nIvySB8hJ8Z5JS7pjDxUFnHEpOZqhZp9lmAXbIJvaMvAQFkm3A0xPZQwOG/mf5suV H6EwZXqDfowwlJsKAoocpwxPy9koua9pq4A4BSQz7++k57y8gSNWr9/WDsx2eWeIXJ8KYlLNeBID XQEcmlugMezAVHZjLKuSUi5us07WKSfzIOb+1f32n9vpDRtKJb97lis0EHuDJwbzvPNvVOOkYeT5 utXNvWXi5Z1LM4zHyGqfCtjAFyFk24eP+pTHfZ3z8f9vDaj+ZpBd3EtctORNmk576EyD5uLxFhhL 27wSEQawirs82+mm5ZybSvapjHurXjI/LFZrLvpOujPRAQM1LZ/PbXf9nLdSq60ANgfe251nrtv8 vSDK6mowMS4eetVVAnYehByyPtr+BzSmNWBYDyYGHY/isqKg/BVPrH1RaqJaXACxEi+2LApUEMh+ Ix4H+w4+xaVElSHjrTArE0GHIJMU7CZYppTcIMb29ERdqW7lgVZbnr2vUcewv6im/7RtR9YMBOH9 Rl+hW3tqxLlNe/X3caMILzlQeDq67P6LeOJZmHPvoKfwAzFQsNaMu5o0D1yqKIsW4f7EGbUgFSMZ B+H4UqdMZM07fO0N77VGL/gV+c0ZNUiKgtUqg62wUYmtzvzpdQPoYaXgC897ZzOk9rIEO92LQDER RbC/mOq8nQvmmyCM2SVZVxXQ2C1tg29O9LiHJVW0qmUHxqd4vWgYprGKB45HECogzrdqHwTIn/di hel5MWrOBSpjPst4dWqsLYlfqIvI6oyzU4as2HmgO0+gaPisUE/gqI8NSeRiLV7Ye29BBlXL4B+z U8NqsXtfdqD0RWT5jPx6BW6T+lIRYOU1shCF480dq0gJYUh/Zvy+LgVADmJ9iTQYZ1bZBX8TXSb8 BYvpZ+P7xaSeHJYBJO57ADsTHaGNcvbITya2XbFJBOA98rn7W6KlNRY0YzbTJsla+xMF/4y5O9+d iV0Xq2KFDULqkqY28fSH8pG5Hsv5QRAZ5CpqWRye8hgRMlLlOFRZcx1BE+3U93MuB5JW6ofPhOKC 5zw3C7+gp0b5NNChWqNBC9gVdWuGtNR7nIHgpGwJxYeFSTQO6mP0Hw1e1rIoziOKDvfzPCQeDmwa ycECKQ8GGyuixrXkWXUUx3QzrZjxXwstVTzyg9Q41zaMDbY5NnKZRjy0X90+mPKXXAGWZs+MZ86S YTyJCeh8z63lzYocidnqZEb20xNnnwlJYwkHV2OYj7Kgd1zLCtf6ssuUawWwBsXsBqnFxHXAsjp9 +3dLkamZ/PVATgX6CS8Pg5H4XWAm3sYXqZK7/NwZLbFAi/fU9Hpinpw0vRgFCsIJ96qqLF10prRs iBjk8VRFiU48xeiCA2rTfzImXaxGEz0Hwxm/ejMIomudpPYMGizEjZ/DaoTOcP1QBmdDew+0Yrqi u6wVWkIR4t/J8UiIOkzEskioewlIOeg05sfE0ojKsFTMGt9aZTESejHWnP18/rp5udL/W1WAD/Uw T3+x/o+HCq+DE/4Om6ULjs8jkBhGMTjEdXRHj0MyXglJE1dcdesFde8Hre/bV6hP5lmsiLVGZOyv N+Zdp/thg4BRF0uxuamaS7siAp1+Xv2jZFnxqgaZDJiCR9k1VwrJQT/NDJU2F02sR3fHLKH/kgFd Lq/vEHnuMuVl9I/ZxV8O1ZDrIqgEac5UVz/sS90qVjIjiypFpuNwR2OCQC8/upASfbxIyFRfsWHd lnb45oia/XeghJk0OcCWK+UiqSDaFb/rPBx74SAhTOYwVeORS8YSBODSmjKe++Q7YSoNckRSszRc 8p3fEOHvgx3aEiEqmelojggC0GQHbFSoCknAQMDslp6cEpGMeJq5vh9qc4H1eneEZkE44nwR5cfF h9LzBJSJVW0QPwX7YZt7svMyR4hS1vMX4Nv6LHcTsGM277norrYFWtSH1kWyOq3T/j3PH7wdQzyV MyrY9lgBJvIxBPtfEVgxZrZ5o+QdZvm7lcIaaTnOG4dIft1cXNuVAXp6sk5POkdBlQ6QsofVr9ta ZJ64idzWpojs4Wd++yN99eE/glkGk67ci3VP7SmJEjFBE3iWQfQs9QIidgLHATNH1Geq3ihjh7i/ UmnT1RYI4n1+zqOljWvtzZiQXu9NPnfudUGoEMTV89cbozcsizjuZdr0QKWa6I6LuPHnmCk7F1FM oto4TpdKhLhLDXpM2UWTObbZMqlm7t8BKeexPLBzKPr+4ukS88MaD/AqfpkVFo8LS4zOSp53owdQ UbSuH66SBE34pILTnoqn+u/szFtfQkZbFSFekEQPINzqxWD0EVC/uonKufljmvIXBjcQhbZc8cYY xFVrC4HcYHXbMPss8YNgrnvBi5qe/e3TQNLzp3FH4fZYIAsGgg6K6Cj91Doy/UOFPDozrSW1ALwu sDWemHb0PrD5uiPf1XSEHzf4qSMXEfwX1Fj8wceYJvrhW8dVzqFtsKjG25yQ41lnpHwCZDg2NdNR bilOoL72RE+qONgi7e8lDZFlLu1Ja3G4drMsFeVqrH+fbNzRSQKeWILdUVHd8b5Dc6pkCiHJ3Z13 yr22uTBiTkRHrI0N6aanBVbN9IMpNYeW0UaPWK2yxEAv8qcLLY10djXw7kMgAFncIPVgzKzDdKg2 TapNx6hawiVSqWFX5EHRgLL9UEdF3JETv+TEeWaXDbZCUSHPkwTQvmPZya3NKX5YoVUy+DQPMS1q U7nYO3lHHuKhS+PCSqBrHd3plT6kWt/UoIpK2nksGOZ1rowI7DTsS8l5ZMi8QmISloosjwE1LpdF tbHn+SzGnG/h5SSykAuNTEJ+wsZIwi5rZc5G6FkwEPchPmUovp5fKxYUZbfgMOM8CHCpD5EG3NFw jcZCe02MzcpiCyOSogkP5At0Q/rbr3BTWT1lgspCt81JIc/Ib3t2fxrKIK2pFCqL0JqzTVG07JcM PakHmvC4s3aa+RefAl9ZM8su+jnSRAWa756r/HU0UB6Ole3f9kq7qkFY08HpZksSR9KZZ8CdI9xm IcR9/EeucaXadY9rsvhQPFmxbS2eKn+kq+5mKCKfFdQPeE3JKndw5pxlacRCl//iYO9b69oUyWTw aMU1C39VgQC5VYMliNk5eT6ynQbnCa8bn2sByS/A79j4/cfS5fBxyo0ulpxmbb4Ze7b9EmaCcM7q EQMJtIl6yKzrL9TjJFGz0Yckhs0osjWBGR/h4OiPMqPnKhDF59Cl5x/oNwXd8DC1R6ce7gm+0ZbY fOIgwTKrs0SWbyqcs6tdLrA7Rn6TFP7Q6Cnw5LJeUntknWvjtfYHyI48lqQ+w1xTcvre3MDkgo+D mU1dJJBEuC+I8xsLAalKv4O0eKUPolI7cO90XA2CIIVoPn29vyUwEzztzVif6Yf0LVL4CaYbNpGv RJtCMifXBxgfsLLa+ql5Z+IvFmG+mkhDZ3MBqDBxrKsd1kiRoonLFBnfo1zxzVsRRuu3S15w3+sr UvNQsgXae3RjL13hKl7AWud+XMIilnhda3r6RO1K0HNg2j+D7CoFl0Umb6hgcZxHpgkqvaI4kuCz KB+cPlxDf4ISzyZblXJ98L7prUcfL7bgAk1d4CmYBDxuJ9vSYApS1nQIIp4pmBhW+ofcoY0mqif9 RghvX1diDNA9Z1z10n/RPj8GkgJSMAG181xGdfsh4hyzpLxTD9uGBWiGP8BzCqjsr9zqaYENGEUW dJyKu12jndtlAp3USCfOkN0aSNj6VRF3o5NzxWIaOHdb8VQVUVtpwMwkuhh+8su7gtqPIfFKkRgi uagH6blOvlCox6bOlqxKnXLCliGmGio5qZatcnjF3AGZqj0DOtjFpuUzPRyRS7LWmKLej+3MipdC AAMr5vjwqWobI07XwXUnwrfQbonrcR3xpn/OaojIWLmFRZPyGhb78oKIBy+2lEhqphp3zT2hFaWq zwZcvWBkeOPppfIrmHORvRkqrowHkH4nRrnCwL970d90XOHB75CjLvMw4g8nsHoaz/YeaY1vDIGy if1sIgGw6x6M4dwcFOspWwLkjEhPNEARdht+UYP+eufA8Cms4OVdlE0Rv5wtG6Qun4pXL7H0SUA8 JrPDQoL/kWo2I2uoyIjO21IqGlHBSznQjuk1DJm54XEuRJlWN7xPLJhh+xJHWisPUeLHvg4S+BnF LjhTtbqlv33sKAQIrksCOVxuKQHXMMfLRiL4FiQKhTssNxbo86pOMHKj6gFHYORlWldUVfEH2lR9 deSKJjT/HeUSHBOpWpgNnEBl1hZSkdgo6dPUHhrcVApUXEjfu54Czg4CtHdjIQzfcxf+x+sIv+xl dikyM1nNM/bMz/dbwkOsanmVkx6YwaScsumF52oOzWmPkHXKrl8Wrtip66Te8i7rmJe80LaG9zLV ceCNIuPVNxITKU6GuJh8H+YQBP7Jnsb8FRh2+Hkk4jtw3+UoL15UUM3m2uclnfyjpPmbmKmP9r2d 9jmq4FmGTx1+6r8brvtipPRZmeHGqSEcDg/dg2PU6LX9cZIdTHoyFRYhuAhLGl7Y2ML4FL+iMrYK 25QkVpmOfTDtmShXLV95ol4Ipye7HeBj0RYNuEf9kbe9Uaasxk0Evk6fgtmGcH4yAEK77+kNOmVI zneneWSb72w+KtvgLcWP1wOjb9G1mLIA4GpQVt2hIx+KuO58P83OiYBY+0Ga7hR2eWDoGeoJVu44 y13rHGD0vITZboNE92jWccjbQz1MHzUzOLIQ4ByGWFsAfUudO2dOxfB32bfRLi6y7rnALHi6z7HX Ah0rB0tuCa4NtXLLU/jz5Xb7dEPi0jKlgXaM+JZ6HEUoYl7CUjq3hNyS6ptaBjVfJLH1cy0rPz9O lU4QxFhpaR28eM/8olynFVP9CHf9Nb3gvxRwK+NV3qQgjVVBD2YF6+UT1sCbrEC61r1hwEjjJ0W1 K2Ztqj+rC2EAQIFmNnzuzDeIpEnGH+K8DL+/69Q0h5hFAmrrNiy/8N1QKTe7bOtPOdNJPw55dHvf 1Tk3QJ7FuYgPXtV2zp8CJ9iE5mKjaormIen6avhRSoiZzSquv+9kvjG+cHRcBp0FjW9JYbBGGBle GuhCgJLIfR/L3gEqz7eAUD26k6Qv+horDJMD0Zqn3HU1oGKF/Ijtyw+Qx6ngNoekweb3AMNtYMH1 f2VfZSJemJq84oCHDOBqcu/mWxI21hp2NWd+/A5jpBOQJROGMlw20pP679sgpoUEYIeJ13XTGwkS sC08cLZF/fhdEbOrkLk/++RTBIBFJl7a/4qacS/FTC908xyktWfggiKg0WIIs+9Ur2lTDWCWUUyC xrOgEooqs4b9Py9UxPp+ii7SwKo/hyMHmzD35cJqjQFrmzPZD4UVxJJzzQSNFVcn6pWo+i8WsdqD 3Lw6OMpl7x/4bAnwE93QbHcVrxxqVLhw48QeIBxtMSwF9VqGEzSOFjxMI656iJqNxKlEPPlVBdfs MBTRCtTg4HVjnO/DaxnfJPyCLwEc2YioXGkIBSUNNmgqm0YLQ/GdZABHrursqfrdPNlImytwHetO zUdivb9+VD88X3wDEkqMYqRiRuFTUwFlVySe4MqlKNvHKSRCi6+zJ6rCZ9ruZQ7TWeEzVdmMzstt LgmNJcd/JXR7mNKmyvxgWpfsRFTLD5N4Na4Goo6IuM5R7RRdwDP42EWh0QL/QnATmvPIDHTmwRxU 5ZLOerpx88PIsI1ql0zXQszfG7DvNm80ap49QNnzjBp1H9/aTFzHUTB5bRnm1ONYR8Zr+wuBoNJ4 O8TCujYSTHBUOH9hIwAB6L5FaL22ek4IvH47nc7nFAAlyfjUH5NjHO1d1KnbVMThBQAXGMIeUP+A URu3wVe2zSFK6tNdhJJONPgeIWf8g1YYRyYvwbR2Aios90GhU9we87Cc7VL/xk8CjfrZ/ZYWh7n9 vyARqJpd7aiyNxQxPIrdvNwA5yFTeJrqbzyDT3t57R6tghBvk5iiaNtE+fFt0ttU2C1ztG2sYJXj CYHPWz33NNos1LLISphI7PEa+bwSs4N7AK58t1jfO4fxgD59jRZJlLx6OIanDhF+S75+nVWuj4jW 0eC73RJVwzO5NTKZD7K6Go3xxQay5BhcfrrapFyrWda6hpUzi01+cojzeFV1RD9N++1Bq5PeCnsT AIEeQHVZSnh8uBlUZgNM+a3rDBnBZh0Jzg4bYuKYp0WlEXA4tYLr8JV3IKIWRhcTrCACK1tdTzF+ K3KVCm7ljVaDqKmobTRMMCOXZccWmEqS18k0a7hVeGCFDL2Pv+J3ivTxqtXWlIUjI4wuH3qP1CU7 qQ2AIC24nUMGxPgvlDGETO2ggsDLnl+MmYeXifTDH1sLRJxC1nmNcMFwQ5TWr8oUY2nCTDjjl3/v 2xPsZcAvWHHsx++JD/Ki1tluA3QexbTdMyneXpoD9uTdSCNY6JBZl57B1ttmlamTjiLyiOmnHo+6 pssjo+240Leba5fA3l2nwtzzihhTSVNkH3X7LsOjeT6RasffSxo/3x4nVg3/MiwdhOBBDNxSlxwN vxgkTNhv0GxiiYD2auqsLLqcTUG6AynajBgWuKyRSXEfD6cZKYdM9KB4X0Sd2/w0Nq1VSpqxWnOg 88fRmVChut9sBH8qfkj+apAheiHq+fUIbdGEThnwDLO9S5DrZBE5tARwAOmgFRh3FXTLPjh1SqvQ /CnfTXlgdhB6j/5Ektc8CLS+37PzKCGkHqFzmq/BWnROvrYgKpSJdic3lT/NGUUQoXazCWtyvDIv LrjNKYOPQK66E2v6vahCy9ZbQL0iKV83LcM7sGBktqSKL9NB6OXb4zaOn3v25nfFBdm3FhPn0+uL bob7eZ53CfFbq3EY4cUoEygENjYBoj+49F1bXv/CCEAAfMUlh9XaWAJML/yRM2SGxCrghzd89Zf4 Q8FLlOVpIzTJ3lnshXORbJOWqe/sFV3yWeWI9/ATxpxWMJ4RRj51CZ9c5x7NrXF4fGEv7MyKjZvm NJbqQjDnhNMCDyCqiQWpqtHSnvZhzq/inqv64YaNWzrnNSEFI1CKYoClu5IbgsCcUlh5P3uIfNVR jB7kOZA44g6L5WvTBlo6HBlaJHl5Gf86Lz0tBwMhTqqWuDUUlFT6zj36gTKtd8PBH96pEYidwUgO q0exqvoDmYEFC6M0i9dF4qN4E1f0cfJ1dMKps6nVH9yDUjIc/dO0PZmdhw19iX5FUDNgJOXSlbzL 5iTWUudFhEW3+AneGa344Pgew+5stGP45VbGDBPTfbszUBen4EwwhpxllMm1Aw2FtWBEo4sC0fFT tdKDv0GqTJeIxF816lMu8wXMynvUwasHuVCGsdOwmFvBqPZze0lma466/t7z+KvQ8EqdLgPGam5Z 6JigkhCtqhWDB2RLvw58wV6P+2QEI/YdLmrxRK44ywOk9E1k4kDVTeY1qgz2g6rucdX0X7jiHxmd 0BZUcSNwlcemkfwPcuS0NGeirOzkhCX2unByA7tvXVbSS67ZZqRlsdLNm09KodCwGd5V7cJhw+Cw T/C5uTWlqHeyATCfEXWe0UpWww8VPV1qk+scX57cwXcv3LujHoy8rGVU+mpktGNYEgmt6qMUn+7A 93QufXp1A7Mjt0rttGhUkqJdzfUP2UWF8za2Fh8VNS0xgzryA5JOcOxOKyD9q8itJANZOcs7/dB6 fP4PSPOskClSELsvaP3+/b9GLj0nhgpKFNpZAkiJQHJFb9YmkLP6r2vYUAvdApk7evSjDsIbhTtI +FezVKZEGwCyPmZKE3/+ZzHJOxpzDotBP7jw+3OvsuNYo1RWX8+WbDo1EWUk0NVNrA3t4m/+ZIHt zIz8K96tx6QVAqY1S1FA7vtDRfiNwiEs2cLqRjNX79Yo4acBb52IN+q65G1Z6FVfZO2nsstG/TSH UfyoSZ0tX1Ex2tZbbYRehryMWxUXvzaG+ghr8G0jsLlByAabFFRgiq37+aRprCvaPmdYP2lvtMOk pHWNC3ptSIW6EyA08MEaKjSpfynZ8NwFUbYbIhSbPQhkI3EU+Gj9++eC4wZ2SBk7535XyjKeTdaa MvSJDg5CDtEO1reeodzBBDUKMVZzcpLWtzIr6jCliTKu6F78m9FwBvNjFIFs3i01LFdprtJ7++1M 7q9LMsZ8IgVjua4YfpZ2qNZR0+xybv1Fi7Y1pDjzFEQANplz/Zd4FF62jYJHKD7zWEilwhgBnJR1 HgKjmcstNr6fV4+r/FYCeIZ8/2UPwBD4Zd+WBzHT85ltOatFeGKBZXwUcb4gRlEw3X4GmIZS10el Cyb9trXjzf8akL7AFy6hkWxCcMMt/tAIa3XjxTm008lOSn8NcE3ixOWcPJXyOelg+TzMIRQGUNDB hVvMH0ih3EARr+d9eotQ1mIalO3+FJv+3tVNm2tTVc7naEcyQ/kwaWKRe8+yV+mMoXy85RyzBtRT 035LQrtdEvhjwN/5TETYvQhXDKZVZ8ktLHDyen83A+0zkVs5nODVc4qgvjinuWeLuuwRQZvzZnC+ CObBWX2d5F+PsEyccJ+E7tg8jYrmunCvNeUzz2CBTT1yIsut+VZPr/E1xm9BGagg325c3ZoXlWmx ZX4/Fa+yJ6IJk4bYCTTFIzhzGkk//9kvvFbw3REvk760snM1+6H90AvyIOwyb689NXCctr+i15nt ANv07zPiJpFxDdO4s8Tj+0YH5ouPPE/uogxRkGm7wbd6pk21c9pn3Zwj4+Uko4hIoXLnMnoLMwqr xw4QYu8HmXiqcPfO+5jBB0FpFr98ypfWYJiVV7EhUV/4FKomJiYksdaagaL8EhakEsYT5SYY26gK adS3EH+eQHqj9fXgdKc684vHZCROic60JiM6fYdxG7pcLCqim0C9Ligg9UEP4fR7VWJtD5E3ozqI ByqZGxfh4X+dTy+f2WugXaTM4vyfl9eUuTcOtc4hKzggPsix+qRpJ3OuJuXktb1RuAP794buFaXi 6MbxAoUEufmoMcyCCu8MhHmhm86e7NWVduNhic+tPhV6pa0u+HIvQJ+iVuTmX2VWcuu4EQG4UVlO qfcZUheOBSEKZUM46YyXyMuZSKz2YKtkv3rJ4GB1p1LQxY94lXhVjsztfhsi2vVgTeL3rBPuLdMV rf9wDgFcb1oSreBKLCps5Mzgxs6B3fOigQSYVQM8j7H1/G/TqPfGS0PedKpXmIpFdRcxUVEdzlPT l73BeQUCmrVW2OLQGZrnIvr5dgSRRTS7rcEFxCXDAmrFlDdcNi2D+Aw/lLKzwo/fbIu8qDTdl+nt nukd6x2Wgl/5h9mHrxdVDbqVdKJuvPO5akki/KIPN+3Y0L0dyXOXopjJO/SQ1EaJqYRNZg7XJ5eT c/2SZ2h3iNLi8NgRE2YjHVklluEgq6sWyCFrnoeH4Par4OtZew/q0N+8BLuRateqqHYHdOrYWwB9 vBUBZaK6jOQJOgAfY5Aot2ViFA3cw3gMcEFlTKosB+PrgdQRRHWVfInYbLeqqPauPbytK2XfMsMb MRZZHkA4jHTQ9I4EtVFWZ25BnehfYGt1bX2ZvGH09vUyI8X3vKGN0foQ2YWAenxqCOhqAhL4KlnB d1WgEInmIvQXh+zhh4dADxoIXogL0IUcN5crq+iUadZ3w7smBZ5y1G2i36WpZV1ZsrszlFxtyeLe OJmKnyM/wBKyHZmJXx3NOvGH7OhCCiNKB0GE5L/pgEcxk9idJCC5YHXih0Hrb79cl/VWf4SMuHK+ R3gGEhgbEX972dN61Km2HfzvZvNqls/AuGefJ34jONhUFNWUcbYwxA6rxndfBPQzIVdhH78oFOrZ zzvBhR6dFvN9Ka0Dfk0wYKlTLsxE8d4UTUUZvqbMZ9TkrjRb6P/ZxSm26hYZ+aQ8FZmDvKARtrqa SlLOyUJ0zm9Nz3lUs95/ADsDnIZGwehMazuFqFGajy7Em6W+dhXdqdeSic+uwBrgwb2SicIoH6mr 5C7eEaRLdFzCiDKRIHy4Kg9uZwFlyXrMIsxTYAAJxqXV/tQvPepQLaIlZ1Gk/yoaN/GB2X3PA/4U lVLwdLIvK9yASanG8TSCCe/dVDKld2uDm1Vpz9765y/7+kcJAUyxomtT+EzgJhocVNVMOlCj0xf2 UuwjJR0hYpouHDFBebLf6uKef1KfLFkbL6GWT/n9vlPTcYAu0CrUJdbcfnJrjMG8AZ2shS2aY3Rz GU2wLH9k+Vsh9QIP0wP4NeMccNpn96WuUcOJ4OVb2SKHUTELki8lSU0poNdUJHyhLLxjQABblo2B fT5fd1oHaO9lq/EwY+XitfeFPoVEETRWvtoP1FHzdkEQI4hfAEYJd2RzzYnjGjLs/g2PzMAL+4AY 8zGk1CMCKMWixYH+12udU9tNDRUzCPmHi7ez9bgf0JghJ853EoDIEimMuvEvpk56ohwld1knAPHI Yn8x6A5vc1pEvX9rVDfoL5/Ll4SDzSzbdBg0XrPF34AQ3pxcxOIIRxg1eTH4t3E73U29euwfHz86 YN/RrkzWnAABfhvJdBP6csIvnCU3mTvPfhUmTzeZApIc+U8YkIKu7yqwvhWCM+deM6FIHhGPN7BX A0qIGhSp049hUhbt3HoOY1gwitTufBo4eYlg3DhpMPc9XpW6id5Dyvr8OwepQEcOr88nmKkL8C3N 3A8DypfTXKkQGVq/Y0X20JiQdqIHdTRRyrKsSO1Le5PLw0AQhY+HOghgYPLa6OIZBOGSr+c9Eva7 xkz49nhNUw== `protect end_protected
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/network_files/shift_register_serial_in.vhd
3
1353
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; entity shift_register_serial_in is generic ( REG_WIDTH: integer := 32 ); port ( TCK, reset : in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output data_out_parallel: out std_logic_vector(REG_WIDTH-1 downto 0) ); end; architecture behavior of shift_register_serial_in is signal shift_register_mem_out : std_logic_vector(REG_WIDTH-1 downto 0); signal output_strobe : std_logic; begin process (TCK, reset) begin if reset = '0' then shift_register_mem_out <= (others => '0'); elsif TCK'event and TCK = '1' then if SE = '1' then shift_register_mem_out <= shift_register_mem_out (REG_WIDTH-2 downto 0) & SI; end if; end if; end process; process(TCK) begin if TCK'event and TCK = '0' then output_strobe <= UE; end if; end process; process(output_strobe, shift_register_mem_out) begin if output_strobe = '1' then data_out_parallel <= shift_register_mem_out; else data_out_parallel <= (others => '0'); end if; end process; SO <= shift_register_mem_out (REG_WIDTH-1); end;
gpl-3.0
julioamerico/prj_crc_ip
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@m@i@s@c_@s@t@i@c@k@y@f@l@a@g32/_primary.vhd
3
405
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_STICKYFLAG32 is port( PCLK : in vl_logic; PRESETN : in vl_logic; SET : in vl_logic_vector(31 downto 0); CLR : in vl_logic_vector(31 downto 0); FLAG : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_MISC_STICKYFLAG32;
gpl-3.0
julioamerico/prj_crc_ip
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/obd/_primary.vhd
3
528
library verilog; use verilog.vl_types.all; entity obd is generic( RESOLUTION : vl_logic_vector(1 downto 0) := (Hi0, Hi0) ); port( OBD_CONFIG : in vl_logic_vector(1 downto 0); OBD_DIN : in vl_logic; OBD_CLKIN : in vl_logic; OBD_ENABLE : in vl_logic; DAC_OUT : out vl_logic ); attribute RESOLUTION_mti_vect_attrib : integer; attribute RESOLUTION_mti_vect_attrib of RESOLUTION : constant is 0; end obd;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_width.vhd
9
70108
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YxgXi5ZNjd/KWluvWOlaYPkHk/TK+795UL0y9tJViNj6JUEDwmv8ke8RukSnSfy0Nc/3oGnKwikQ Xo7ZsbEMYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7pjsLJHE+Q0Vj20RRmo8hHA1nzTjuE9M9OyVZNkumTFMCPZuFsTweWRnA+ajkNIRsEqy29BY66S PQXJAjVVb9C+cq20yJh0id+M+GaMu1DypKZvS+5yW9OPzaKH2ewnGdKxLPv5buwxbVg85URUZC2j p4cKdEcyp1qC6vdPqtc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EagYSh1VS+j1aE9YdycZ7/wy3BJGmAesjmpfTxZnveLinC8pax1WIp327K5y07pZI5+7pCneKCCu Ch0q2xNDbiCSzDV59VuZOhCaDiPCTcIQfd3eACesb9q0lqsAYeSJMbI8hJxHHNmU3cjn8jDZTa8K UUnQApUiAv8KbU3ePnUe09noEmoyc67mUx8CeOveoqqzMEl2mEuw3gAOYWR5AfCW7Sq48xgVagGH L1o0UowNhTCGl3Ww7tRjm7YNu8aTKFM0Bynqy5zcpvlTjZywYPxQQrM8sc0nkgVK833oI/QK2OzA v+yFf4ntTCTacbYgy6kHCk92vswsMKIUu3STwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QyMTFbGY1TgMvPMcmnhS1DVk97CpDL/bnJ/EaHMgDYVPURou/gUOP8tQ6DW/w7oDbsYVo0Cxfu+m kGFgvaKOaIRdi8cYzsLU6S5XMgdcpenKik0Jxp12Rin0ijEprtEXdzC91Z3D+JydfGrfpFrNE87M r6jo6GTpARD1BUpmlus= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UlJamfAuw/HSTTAlOXO+IrKOrg43jDciPKjruWxi99c7wFQNGDcyuyjUf6Tq1FMpXs8qkz8jP4gi o2wem873tjBS9eVsWPfTRJTBuYEVNyMOnqt9vvzp5+20InMI3LLhxF/gWDjhlpfGEqFBxgqVkFtS XvU7dhgFCDVn/CVSbMzHQym/3nrVmkwnQAAt7j2XM85iif+vUgBaCfM+x87ENcIKpDL9PeTUB7Hn BLQ+u8c4HtbPnMaLSVpmBZqYeAGJ928Hq1AzX+lY5vxRviuhqqanGhjEwOOvmXfbL42+mf0Z1Ec6 aP+lTG9oUtmOCHmcTXjZ+V+fMvXZ5EfOA9ASXQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50160) `protect data_block 8u51yztWQx96RfIH99cL+voScTF4QJL0nOroaQheaRbsZQ48q5ua3W6Ktp4eYpZelIexVjqNrcUf 5l/FGYeX/ORb0ScvRs4awBJsbgWgLz/O1aYGOhqRvTcTih6fiVYrIrA/UigxbGlUdAF8icUI3hdb S0j05DU1NncHaCnA/6agNpvYl9MBwoAmKkr6mhSIRpdc6ficbasvkPdMKU9VhVl2QaqCcc8P9Vde Ammy4l2tpYsbHNfp5JAhJqfBlh57c+SNSTbKDr4NWYHIp4i+V5lZ/QyXT1urpdMwgCM1w3lhq28f F3FHDIFYYxhQkAZBBvsbLc2mnjaebh+QVxn2qLEnlrvemcR6e1xncvUGZ6hOV20XEVgNx0S2FkBj z1jpLMD+vjEtuJEWZCiE+vRFQSflnhYDh1pzCWQNy0GuCXp1wqFaDUhmUPlM6iY6ZVHv/wnvY4s+ 8Tl4LCloGRFEyz9xEW81Xe3ypugYHzFmKVubBIriJlFP5xE72WOFlMC2FHOYJbzQn4un3uWiXHcz 2uB5JlXtCdxUYYi8XCoDYtihw4OVUcwPReqMwTNgKbat1D7pxVBcuYGkU+VgaiowvBsEWiSlRjpz E9UH4AJAxCq2UvrkdYEWjehv3VHDvA/nuDm2LIVrFTa3zCTbbGYRf6vhK281JVUMg9eyNoXaanTx WACdVpQHkvHusZkNTmv4mzs2p+C/eMxia2lsxYI6wmwb+xovsIJN86uYBskIbefZgnj1KGVGY76r 4gb3pMfzzADq9vQBUnmYhNG+fHrnQIZimfq5TNBJxwNYa6cW98B07MzvRY+1ggHRmUT+sjEVCYWE JeHQahwzIS6rilKjuYqvlQlj/DOJCpvHIMvNjhEVX+vtosL+G3JhNJzLGDCkqKhHUfGy+QyMuG60 JMmxilPKoDY8svadTf+UI9It3Eio4jOb2G3xRd+evUkLYO3R7xO/hlkhjYdm4XwYRXOv93mg8x2d 2zfOAblde0TfCRvv24xF3s72e6CtUGGolrQ4B+t9O1PFnDq9vr3zMVY/JwC3oLz7aHMN/9nR7b/3 nh8ydSFf/xxhSE2GOZVNe0C7jF/xbbEJL0Z3/qm6+lwPKrSa0yYlFCW1QYvHdSsF/v2VwcOIjjWN yzUkH5lzm0mGtbGpFHvsknWFKTT7UncSvv3tg/kHSABho0XVCl/hOV3/1CZjTI4YLgo+/uVq8L/U QRJURZ+d5VUGL7U/q2xEIHHXZXo485AFVc4/yD70UvSbd+Jql/J6xwXuAfGO0BY9Td0i1Gqvb9ii SrlidVkOd0kZ/hXEoVn8Ro8Vxz5hiSxEmgVczwo7RIvPFVEes6ANqL/UOYNyMpsgm0k1OmgMxYWl 6FmJUFZB5MQPSosgq5d8nz9ptUC4FbOKiZGbtOvT3ibmcnQ6Ih4Q3oFH0oJhj/LZSjSJIVNVglwu AVqw4EUaQPW8g6ZcRacGfX4kBkaiocty3RTzl9uNRow7We1psVJN9FU/uA8dEewjF7YhygEFdz77 yH0LrFjQuV33MMGdb1ohM88QpGEho1nN88xcinixetRRNWOeLgx/wXnMJnvsLqZMEcMzSqWYnlFD WzfrzFgGUm/+nIHrPjfq/CewfOgZm9v/dtLoiJRHnMPAfKaOj75/G5bO2XYGEgotcISqqYUL+bVB vS3hMzfrCTkra0I3E2la/W7jcYVn25qYwI4uGXc6ih27JPB8qqwZQZoEYGv90E5cIhC2gSwO0cr7 7LrQ9Phy70qORegyZA5wtiA9mMJn42AN/7mCvymNNTv1bnYRV/QV9Sk4gRpL8IZDk4/cllN50DzB mlOjEq78jeJNC5ipZzWaPtGLefYFAI45JWd3k3nKDjMgd2qGqeAmVjSplwcGA2XI2OeES09ktgap QbvLE1opMTfYMaOGiN0PiqQZ8OogOXqCx5Asy/HAWAXw1q53nAlUfs23e2CPf6ZwpH/68l3Z8vhd QvKOUhrasvSNWRp3xfIioM7GFeEl/q0wsPzakXlt3hoQ80APCbDuUvEqM6csPxMw5ehK73qP5o1N owPtWR4v6zC62XYhIiY89oBhBtgWtR35vxr2DVWCUyEmdv4DVnOp4jMym7mZ9TXBsK5U1qUYs7Sj IGs/1F3uLqY4ziDF6NuohvrYTyHQM/fNZWqquwJB5OWcS1Lu6NnQ2lQ2QZ347Fv35lhAOu3WvSXw o0Y/ILeecs+YntU2hxE78sSqD5Z9CrseqvTrV5pVoECE4ikML6/mkfGUYowYC7WPIN8RTDWgrSTr N/GUBF/ZfHRh/s9v2qRiJ9t6gDKvBuZoVf6oeDzKVLnLlD9Pa5TMBVicIcvAVSeqpa4NInvvPW1r wm/tUV0bDgbiH7hNyhnJyvqAHZSIM4uXhYxRYXAg8OyBxdqITcS1vwhjQyVBfu/Ix1fGJavj/M6k hzpLsF8vf5p5OM4Nzw+33w0kBnl1dE3bag554fHs1cMZfWPUNK4OwEVr83ziPrwrmh8Q/t/3jvCR bMMAxO6Hrjfodz8IVK/2KzyJbC9AXff+2Ceb0Vm/Zko1PZadgTeDEntv9b8Nb4ugcdHJ9HDQsRGy ehfadrJWA5WOfyZlTbXT9hJYjy2XX8j7ikUEKUW7vFcuM9TznZ0khRZWllglPDdAP8IQ7bQiVerC Anrl0x1DuvKXQw++dbbWCyv32h0kcp7w8DgOWWyaFmnWDh4bL7Rt4EJN0MYAh1ZzezYHbJJxXk5M q4Y5yK35WHXy/3An3V0cXn3LkYEuq6bCsrMtu8jVdhAn/ScLg20LxCWEFcXDoUW7RE2cbKGLF9ue bgDKn8/dxD4trZQljiqHnzLgE3abIDww1NKpRL9NI84tHVI0oAQv9N5PxezR/9mm6+1JlRaS52dt nISFbmZjMxMfu2oUxUR5J3qRWOzmKJ5Rv1cHSgXBU9MVUxbYWr2uQuLA4RQQSajiQ1UxDK0sGFNB 1XalWeejs4IWMDM3ekJz4RQFxmpRvOZP8mkHGAOan8hD2V6/IgWVERaX/VA7ivBqYRQlJ3rI0Wg+ K+vF8SlQEh974/VJmo2logpvALOi/Q1nWvs8G2r1zZRGAz8gaVCxoXAlrp86JOzl2MTUI/f2Ygb+ eld7nVeV3XE4G1qcQQjZuKa3mqu+sFx/OJqNrDTphEjasifALe+djO22WPg6bKwQtpthwwj6/K48 mSzYu7j4G7k3SpnRUivIlj7eZRFAfGO71+mXNLLglW18+hqlSdlpcyf1n639Dxq/hu3FYK1/4jhY rudn3Z6+RL/4fWO3RTZBqDj9HO/nO2l7rAtpmW+Oa1tSlnl/GLuY5aFNSNQPZppYpxOn4EwRF9yH 7C4Ds95WL2EYx/iVDpED8bLEgKKxiqhfTPb3g+xYX6kPh5yHE94zxmGMqDHqE9wo67+IpXYAemol LRRJSypsJ1Y+9gHKp4+fbMw+uKfLlCVos6Bfds5txzqMONujmqkJjERK+kVc0O86sMX0OYGgJKWP IOKH1tWHrnj2odKbhSicnQ9qkTY0JjARC1dY0hADpfXT/7y7pDElU24vwdh+WPtctoDH50ecuipv F9Xzah+nHJGv/4Wge1boj9PEBDxDihgEJ4QKGbeq7B6ur4GrOmuT4DaPoE9ApvkNiTIk0whXWA5s 34iIrSqALVbpgKpkUMF0egvX45f/CkTOqJvsSCF20m81z5obhC4VcrAAd0TpsdZEYs8D6ds/qi6o WmbD5DkMmIvF3bRt6889S/hxX1I6d/I41yENaa7x0fbx5lmpn6ZQvfJAlDoREhtQzHnN1hSVh8Vj lBhGBHFVnB7WvRgDWJGVfFukk8D2IUu/z5x3mcA1R4Xg5C3tV1gq22DpQqkoVvNw5yFmV0HUdAqM 19LDPabFpvgpT9GzVzDRmZB9QosLVWyT0XKXp7ddF+K+OHR87CXoe45lkGn2MV/e4jcLL4RfetnW oel1jqT7vkZed2VdWVOqRnE2QQMXQuMv+mSw5lyGMbGt+YB3rD619HOy3/AZOY/GiYgiBb6MvFrw 0+a2XFNBiL4KLG5APwPXQY+57ENp4B+Oqw/0iVXkP7aHGEUu/GiRJ1ox6HPcf5I/21Upjotomw7P 0KCFbBu6NxQrb4tbQsITMk+R7kwe/zAwmnBdmbUAOtLMVuqAmgzCMWZxAa0VqY6aQb45B0SSpZMp LLcGWou0q0mlK1GJxO+U7sYpL95E3HsaH51AzWIxNf/+aU/r391yyXfTbimCNE4f/8zoNboC6vvZ Hiy13IPb+7PMQuKYW4x4Rodhm5MCUlBbCK6DK/mdIEa/SLYPLNyQ+IIsQ73eCJjoFQRGqhTeSmEU BqJTPE6SuFSyJrDpz9M6+1cO1HcDCG5dqoY1E3vnPhHscVSGUMW+GK2hchSTc1qRu3AIa5ssFzYp Ovloen5KODfAGuxHNza8tQ0tLBPWeIf9GWNdD0+a5UgWXNC1+Bc+8aQkNmKJJQJSBMQ64BdU7y7P UILTTBTN7YUMU7VxFMPd5LRLozvxC3Ryr6Zc3U0VpNRoz9pcjPhmdn4OXkzX6UzsUR4VcGwR0jyU 85bLKNbWPO2OJusrn1aklQSC5xbPNJ3PSqM4w4WowDm77EOKSgWTZVy0reaMK35uPM6AplaAqgb/ LSmZkUHWpBqU35mGixejf5wsp3QaGE29tk42fYWxL3hHVwd0ErW+oiikpuPrJ9ozDENa0CjIt3sf fW5Ho6v01xMayzyeS4qt09HJ+DF4E3j0cTDCpWT6yHQBjX0XEqrsxoldL978bvpGHkYqdE/g229+ AZwAtOjv1Crpx6UT/bQoFh0w62WsaLZoW1WSiueWnyHmKEV9gZUAjph1Y3R0rI4l7BZNFYmT1yRh ZUKw9fGwuUd3OcB2k5GF+gEkLv7rAHryBjc4IEttjLWaUZWAp0NtbHS1f0xdg4tui1PSpU/q0+xT kFlQlLvIsD+Rv+avCrjn8yJLk11P8U1QXWNdU6lCUHEls/C8tnKFTq6tQd6DPbitkJpSF/v6QiFl LWDrhIuR5Dh34WturvbBs0jXKGt7/JkORILY0+xzC2l5Hx0yNXLyGVXTCCvX6JUmC1yWtPLULKEp LhtfFCghl4FjtXawN2uUdgGhv+Wc1NKay1kjW5llMdP77sNhrwHRNeGYgRjOcZ4ovUz2HP60ldql jswrOapiwOYvwX+xfMGtz/e+Cp+tO1SxX1bLqafihmOi0kE5H3z4rN8VsEeQA/iKX+y9aaH8cZg7 AtQeVo1sihtGYVKnvz5N/OUCDjzZLPNCWN1qeVrbAxgnegfLvOG0cuXQghvkebvdZcpfPBUfyZS6 fIhOyvifXtCSc1PHlZALvwDaf2iQ83Abkktq0qDd8UAI2IrA+SNR82gRJuToP74RtbC/8NH5B7Wc eZzX8ZNBh478B1P1ArlrAiFhFBv7B08wox4B6SepQvLHumf8zCJUAtuXu6vQBbD4ke0uyBJ4NjuR ER0tLyHGJ3x5qSlNKe5iAiYvnRSbO8x3n3ChhIG11J+G5up8upnznohN9kubAAYaR4YKYqhFR4D3 xE+Z010sKAQQ+o9or5tzZV2QpPE/7WDMl6CObdIDqZvagcYF2pwi057N858OntNIDGM60yLqz1dO ygo79+RaemqirpGtLd1x1p7JJ09kg9YNx7LB3Y5OMSi6baWMRrZ/keQoNlOfB4ViSJ2pG5ah1oOi TnGtP+CIL5nMO1KlOlZakjcSC5rxcQ2s0KlKQO7SgZpHdFCWGSsZW60exNShyaZFv8QBJEhW8d7Q GKRKyZCLSTORZAwO1Xv6gbAJnakx13D8KmOsi5xGGlFv7EJ+EYnSq63g8CaM4J4Y1eZU14PkNEbh Z+SuYkK4yLUVHPG3tR0GDww2SRZc8QmpCJ4/HXNha/1AdkS7HCMPntI2tK2ELN3uKzpzvHdwHh53 rCsoz7VtT4Vo7AJWhif/cIkYHO/NTVa+qEiobtRlF4GA1i9cJV6ifwW2OxvUg2+hDNvyOQVz0wD8 W2zpbxrx2l0McHmjNQSkmTFX1zlcENIlACy9yH51O6kl0FPStSjIvrhh3vGfhNcRb5lpPKPeN4NY 2DVuiVJnrbxYNy1SuLbhTPKJy/hB85VDq51jtlushCUfYvmX1NpolqzA5X3xss36+4KOQ5HY4AhE AUa4N0IUM8k8EA3jhA75P01r4U1EDGb+RJZXwsOXxlfqFD3D9YAyvteQUus+xP/nr9/R28nRzsFh p3/J+9ffX3hkQ0H39CpU9pKWj2kBoqmxX/Vp5dL4+pcwGt9qmiw2C+1ELeJcfnhN6r3qZxliL2pj kvs8dlX/33nfcbV1++xtclQlg+uV6UZCM5uzFRYBlc3o3dU8ezrOzrkb65BALUA73jOOrNWoDpmC 0ng0gaT7lBj1UtSjw/j4Nlf/O9Uh9nJ5MyjLWI4hjrzZUDqcW6VhUqlUGPHK3buNtn+If1ZLr6vx Jau3gF8mncJXabARxYvOsmhFTwd7AIGfo3Sz5lfH0nb7ELeFp3raLhJKi7EX8tmdSqOwujcEPE8H //G45VoVVYrLonzCB4fedHrCUGqMzz27bUaDq0B5+8lAK5hFtxwa+SJfRXHo9GZAnyBd0FrhLUXC EcAB8AUyHug5AoOcWKV3VhAYcMqFZzG4xdif/dzUZYh0YUg87xiCYGVzMI//po5+NFbpOxXkgTyu JXgbSE5VDalC6jcWzBEPAy/Jyee/uOom8a4Hk+Im8tuasMXs33Y9k+azeX+GYQwzwsF5RlGPxW+a GDtqZRBN4cWThoW4FhWVlVqU1iUvIC8cplF373zto8YQJMkpixS7A8y1VY2tir6UsB0v4jmameGd e6U7g7zjY423xZdDo38AAlxPUwnHr8TmyoNaQ1AOud55kaibhBzP0snCixyx6gHb0ilkSIHBiwm6 MCMXQCxXXBsRZakGqLiwAavW9u5oR5A6iWm70DpSKxFt1T2CvcO3YCWKhX3k3afRsP+ntlaY+xmN w841HZWrfM0w0tZQUc14qDxERasEoHpQ/xjzl6FfEpYKRC+vfS3N9Q/loCqunxg8APb88N7GIg0e KDxnpgtXQn6Rvd/UykOKBm0vJUsVpgEzX8qQE902lKVFbdmwFour6ObTNd9CWJRTnmqHMZd0hS/P L/9qMH58dwFbJPJE3wIEDvALkY+OmWXGJovp1DfPtx/qL3sUN9Gcr4qFOR+12PnjgOiki4hiZFdE mhDSsCrOdzcEtqYNo66iKc9XBT0E8KIUFBGvfUY7SMnZvf5d6+yLgc/P5vzvLNVoJWtHCBKeeg+H avmjQbzv2BdrY6J6B+8kHc4Idy6ZMzkp2PrD88IHGay3YWlaKJ/YNFhAMVsVnrEQokRG7TJSlPFn tdMgZXyI6JF1Rh7cIPlegfm2HMpSSidLk+ytemEEqrexyTaA3mkjUvR2MXb3sTitu7GphkOAoo+4 g+2h1eezc6WWoMublCDbx4tYiubxHXh6BzZ2614M6jdUODZxl1nmokprKtdlbp+qkVxbJvNKJXUe fJm4hFCP1KOkUMliE/5Ogr0mGFxAi+17G/SQpKxj6ewhEt0i8NzCB1odp/Y8lMYkvMdpQFlFpSvi To5bNka7R10QSKh8cm2hNSeoLbayfam6ZMTo1eLMoFBmR9mg1kkJMIiozdsMHOPbPtD34uvmRB8y J7sqIkVWRXROCSiM7IL9nGHpRghei5/3yZIX48HiHS/oADni/sLqN9P4ALTP8cJbmctev7fyzqVI fML6e0kJxDtLSLNHYVU8bG+bUPCLtyLaH+7C7IfOyj1QB3eeff/Q8hNzjrh36iAi/ar/TBBPkbyA 6c3xEMqkv32ROZ/lDqc5CI0g+TXl7kxMOozvF9+kriZsGZMjHWfNalLBDFvMCWMWGmVtC2Fagq1D O9krIaRrgXeVjsN3lX6jqh1IV7kYDUMC/Pkr4nnW6Gdl1+L+A0PAyKd/rBroVCGvhi0GBWCSWjoS n623wqiopSFtbcTqP/2HKcAFy9z+wLZCsFKkJXl79ZxVbbM8J9XtHKcqaR9JmZC4JXIJRmt4YwVY SFPDWRfjja2b4CC933yFRr+O/Nk18R7nswWOvOkuf1s0L3Ce1LJnp/Wvmmeicl8//MODpUpZd3KJ ybNZcPxXgpph7MtRvNNgn2yso7z5muD5/zLv9c+psUCkRwlvy13EJaX+8rMwTCvKVrTQJjXa9Lf8 3B6Rg4bLbvq/nsbfYs9/KhoAaNGHssjV3TffarO/H6CYMQl4PXX5wa+PF8VWa3m6ohrn9lFTrZEL 2C45VAq03ttb2Nu4uZGN6/GZD4HnEfO99I+zyRrVXiXbPZ9P0I307ZtH1I6g1F5XcOOftY1C02sv BRO6Vc8IIVojRpTJSw5JhpUa0BIIAeKj9lBCKlKKwLUPKf/2vHxHWou9Q/ZS6SPWkmrFUnIz2dAT tFk27UcWEUC4YGZGQ3y0sg9Lla5glBHQkPzdHpiBQY66YITGr8PU3tyxWBK/bNqJhH1eGqa4iViM dHcsIIX0ShaMf/q0OnhREN3Kn5Z/IYdS4blRVfM2lPOZUGZyt8RET3UyAPJ+yVq7jBUegpKkKek8 YYj0me+8wfqu/lQcAtpc9EDPJFadpcwfpkO4ADwDm8OT92lbREvEj2mHPMCtnTBbNSNQSPrUTLmW IkdOF+V8zWN2HQIuUBSr+iEIGYppMyDi4GzISC/0/ja6QuQfa21k6z0EzSAScLM7btlRdzC1MU5V JeNqB39kDwkoLZ+zyE1swJNyNT85AwNkMVUL7o6gOGe7TyO8vvKW8+zul8cKPX9zLiyeL/aod81O ROY+QGdpZmaf3FO6iBICXpJF0WyotDsvqnP+K1Vnebxvitnrtjj5ksXocHzfaIBGcPnUdOL+4CSv ZR0IBb+E2VWGridwVcnEym4henZ93Vz2WQVdq/tLaJYbNF7qnsLPjgNL5qb7a4eq+EooZnoiHB1r n0cAtXZHr+12nMpR/QlTYlfP3U+5XtlQGcREYDIhpNUJN9xLY0uS2ry0ie13nU4xALWDyFp89Gbc TytH5qx0D20Ev/aw7q+uDGYU3HjK0ZkC4qo5Xvm3ERQyAANktM6Si9CqovppJ5j8nyYpfC4hWGXe 7eziwL4adhgjSTT+zjPxzsORlFF2zcW6m5B6rcu2KTcvHUY7IKShWxCFDo5GfuR0+HLxu5SDCZeT 74vmnUaOBCb7O10/Qa1z5iTwvvhJaDruE15pjYwsieJP1Iu5C5pHf3kZ2lg0ZSS9obbogDhs6Fmg i79ymvl6nxlaZiYyIFKiJuCeaxG/ettqOnZZL9uWkC2L/QCKb+VeJY9ytxP7RNraY6NORNwYYvGR ov7aQnpHz85vZSjrnaPsc+IfgBtM2mgK3H72EsohQBv5AjaiMmZrC6qxMSdOezavXeuAiLU6xHDY zUiCLpgzAfmjVPkx7OWnq/9Ev/VbJegdo0nHIWO/mUWftweFEM/oFSMdi2sb1zERgLWjGENpxSBi 5sVqYV10j46/PS/+PdOPOW8lv0eTp7sMECxJt+nvgi5JtXIbmfK9xcEcxdlnkDytoj46gh7CZJCA AgcrLk/Gj8fUvVf8T/JEmvyx+IREBl5sxCXjMHFi20L2qYqikXu8ane6oNtV+R0meeECesV0t6bJ H9T1NxGJVNsw7gZxO2nVoDDBZGyC7mtaiBa7joSlyh4IykuSeQJcC41A+RzMvDGGmrbpI5lr0T7p kIfzWgU2+xsJtgQSPyrf90AmAPK7cb5JCXtlXfvz4r920Gjoe5SI1nTsticSMD8p7kXnQ85RY9JC CsLFuyUJYTc6KSn2NvYJwLza8Ms9guXXoGyaaJg3kr/jmlnlvt6gSsVAVx00E4iLy1lBsfBmXp1z oeKrgdsVIJSuOac9kRBrdrjIK55KQnbohuQRW5GH5EPOfgdWayWxF0BE3puokGaOti3ollQEijyv rWzDIrW58VvtJKcvUUdNNOBND9we2UmTmdrNk8OO0Nl+50sFaq6OCMMfhBf463nJKpRXgwvV601a fTlIq9TCCxtSXYc53ueObH0MQhfHdarsnYHuJ2/Ox7oHrxZWBU26vicDVBL2d7mLDNxpbjWoYwXY Zj1UPe4tzF/4vBG7jSGfE+1KmUIWLVbu6Q3G3CHGRtQH/1klH87so4krNo0ccMIsgHKeOC+9Z6sc B+I0MUK2Twl+Y7kQQw42ykAvcCl0qBEMtjs8xAYbPjwVGecpIre5fmd6jLlvr2TsZjhJesTwjCiR zyduVxaSWRcLgwzZMHiZKR6ZRyyKxCL/FZm5Mm2Q0lcOAUOgAK5wVpkT+Nr3grh8avDvVZklbIht O6yp2TdmGigZryScrr79iHyXqgnfHu0FoI+JMINVOTVfGqo21Ty9xJb9GbIeXdXqKvQhxU5czONG T/l8E39ZK6v/4BaOXfmtqNCEPA/R2/3cBeTkULfmzLWEFM36R0z4iUK/vwwqD0RwrX+7OmJY1lK3 rHKLRUudkVy/Jjz1AZgTsY4HR9yC0NwDpr9zqJZYeIbySQhvD8jjjmn3P0jORwOe11Sw89bMs1X9 CSftxxiunA6IYWcTpkkkbzpQyi5KQaXaN479Ag6UYhn99kimqunnBZR13YCBWYEUq/Eqlr5bVTJc BsPW0b1pJBFX1I+qg8649KAkBjUXGHK+MPL7CF27w1VR5tm+yXNsbETiMFgbJllYXreV1HhAbSBg HDHrXFCgOHdxy6phbtJtMHhXuSIMCgw7qcArnXOahjg4Ll5x5qHjf5cOIQzoe1Kk0z/3KlX0KqRw V7zqlWDNzNet6+6mSixDNi38t6QgqbC5ENj/7PEcK/vYcwdENqGtmQrx1x8e0TedLwuFxp8FSr02 Zs2g63wyraifOyaACFb/CmVvDw67gnwt/BzNSFmtFC3LQparF0BeXunHLEqG2piLdvp3v3r8+hzg qYDvX4aL6lISYoO8NuEPZscOJnmosYnP2AG+828tuMYqDAF/eKWtXNhQKyo9luglqjyQGD74iVc2 Muwh0OCzktZJ5PWcHPuoeHaFSdKHUIBdRzgW2j61pf/JuttvNBL/qs0w0FbBT8EmNoS3ES6MdNKs INrUHkvegNpRFH51mb3LHmUYJDggHUYjrg5UsXKA/7Giu+ugoS9ydt4ih/39xtjj4XUN5r2teln+ qqNNLdkSvvaSBoMIJgUKVH1SvJmA5FCVHDoB/fRcyCOW+/6Rb6kd9woImL0kd82o3/VmkYh9OCNR 4fmMrTPzy4ZPPhhO5RGAtzH2p5ZU7TGVUCYREB91Po1CvqZHdID28iB2p2/49mpkviHeWdYic8nf Km/fssXgoqJDPCjJNP6j7/Q7qOxJjiJaNh9hO2FAq3gK3463zNiIGVpPCD+7rRIXAgulIl1+02m4 AkwNJgQu4auvgBn3eeOk4b+WN63PgbqkgPxJ1jua9HF6UPDwRflPMVIdZnqSu5rSixmMrtWSRknB 9RIG8gxAzBiEhaA68WKTKr1b4uN/ty55faVy+HtmYH5TEDK9ODOPWijFV+V9Nq5zLaWzGWTITgI/ cZ9vJTR49+BSvZDM+eqzBLoG/vHfUaN9FsugJqtqZwv8E8U05V3/q+p8xJI45NpXSxhzpBdUJIBc Bddvg3qT4EOaJp8OMJBHSN1snVtG4LBUXOKCHkhG4p+enh4GLgpr6Fd3pGjyZDrRlCs8urdJDQUk J1X2U+2UhOSwUMin14HjQWA6d45hX0nEFnWYoxA8y4DZHFHbwWzbt5/m8+yjPY20yK4wmpbe5/50 kunxCfzv1+zSx/WySqWJPBey5NvUoFNSHnewYGgARKqGtKOPDzdBcM0FArBbVa2qK+NeQmg1gZyb hR+SnKbQ/1J0IYGHBgYuWG6MuUxr3NhlOUYQxiAfUg1RrYvzMZHEE5Yj28Q2w4+SEjQPWM37Mj+V VZ1nessVqPh80YncWMTE0IXXvGccWF4PKohAC3VW4vqcf4dFqyhotW1XSiKvVjpHhKJOe3rU7Ry/ XMPHfOg8un9m//N7q2cvX9xZuC2b3n3JDUByX+flIDQ2qozyNYnyolHkeL/4NgKJQLkbP9TbLnjy VfpSEscBPQYjLMi1cysoBpxGxXTiWLgopKsXrm/X+43pETHFOinp8CiNaiB/dWFhKX2LaWNnTD4y 1kWpNGqqtjMTEkehJ0yVJUw3ChiWHNY8kv/Y8wzE9vg++l5kJUx8JW/anPhDsFkiNZRTiyM+Lsq3 FDFvwDQA1JL2wPcluu3qaxi++RXipoS/wreslWU3BLMFbe0BjJY2STscAKbdX20jJo4uGvpKnEtd GLk8EMZ/S+W5wrurDEF1aoF8NRccnhJBXFC+4okW++RY9zLJ6KnoTJVi5nHA/5/omPOY8qumfbxp fXccw2oAyjQEha4+aBqin6EwTMKNiP4mk2I1jiuzWY49EqovueCy4GKnPWQH82jm4vyysd+m+3kt b9UGBjpYLlNCTmfDjCncH4wPZRaVAgNwR6P7tq672EQ1joSvF2ZQhNkqhicpjC4dpu+OYgifL6zy MDZJxnocoMHhAmt52cLMVyzgH0E14j56HWJTwFZtVlBwfOlcaqVM9JySmlGjyA1MmDOZPVi/17KR N1+rdTjpzhLLOSO7jpyZy5Vc96KAT1zvxezHYSWdYBEfp3iEKYjXzibbcxl8gtS1+6AXAB855oEl +YohPDLqzDgdOrfK69HdbQpUhpTJdlGNyz0xrRrv/NG4xnDpe/MrDhLzRJq7xWFimyO2hm40WJqH HCvOh0Ygl+3v9oClCpZ25v67egX4OVAcBVXEzdSKA6IZvdi6BkR+zQG5Rkx3pYZn/jXhPxn5+QLZ Sg+CkXWfs7xuOfonQiJlfujGtu+CoCjuGXxMkB839Y6V8iRsQKdJ4hoWL5TpdGEtPAauPVPpgz5R I0Snrq916RNv52mqhSe1934ja+8WjrmP7Rm3DFDtVrfvJODCI5TTWt3ksNtDqVJdTMr1bRpVUqGx etrefHv4QvST7KwT7lWgPFEnCdrEEDpbnVeiaQaBC8gMp6N5YuknZAzPQ/6RukvJ/jINwJR9flLQ Px0VQbONX1JReWDPEcuTGDYm906OwiVpUe0z9L0wzqU3jE2idSw1pyr0Mv9fU8cJYszvkCr/aSyt Z7jcwvpTLzCCD8psc65G9pCr1OzFBDf/u0WaQGpj87DudqvfglGgNgfZLqnm4wYVgtO2Qt0cGcsD +xkr64uxEz3Aj13QdCN+xLGwOr9mjcxFIG0IM8dZApND7elVAwpEhypg/C1XWJnANVUyx1OXWYdo eqRLCBbC673qBBpO3LR3rGlWE7Um7YmBBww3iOiN67/ClR/lD1pIwpNZZYThEu3WqwHH+sehpQ/S 9sMKk4eICpggRR1qrsFdr1TAFTXkHjPqJf+MYVZfweQmWIv+TufcEyOHUKPN8YLtpPskWKSTgKHR FUS48zDKpFSI8cbIBHeYFXUIQwa9S8efwDrQhntu008cQAu477wHYgIvUg8Muxp4M0OR7j7geQyi hYLU2Rte8czFoy311mw/0EKzfuTAx4BYumIp95QqS+0Sagqrs+8A6FelBcKzOH7K4MPxjGp+iEu2 4kyvmLNpzOqOyaAwpgpS/1UlC3Gd9gWBi/VZ2EVMaOj0czYI4YrpVANXcNakSyihrC9tsJSTW27U UjiThk0aVuWNRYPhrU6Wv/NCdwwkaXo5ICVqGEGwLwmTET4IR8zrEmY5wIE22I6HUc7qu94PHUm3 T6KBzNoJTFMNoWdoj3ELZ6FH2o2KYW3qHTVRCKf2f0tbL+tHeW8JX1/3SWktKVRljRoXsknksXto z4FGq0G62XepMqucBPoGzRluIeFK3cgat3TsQmvgQaBAyJgQ1I/L8cZ+FyoVwYe8ELkJxi1/Ahwc UReCdlHjVj/OaB0zvqMba2FC/mA7ZZZo7/HHgJf0zSmhvRXvlWEdW35orGrPwm2FoAHQuA5p/NC+ GzTMGzyIHzFiyV1eEXb8c/TM6uG/iUgAGL/zPtpAwHaK4KNXH2sf8qez5UkNBC2lvDSSGQDv/9VE VumAjG+S62TZEIv83/uLGgs1YT1/OtbEieNTaZ63CosKNHh0o4v2BNIp+x1Igz/1hggoGlyBvys8 NICCLy0nRyxXGUbDBmSzQuZyde+4/UWqzoP2rnYKGeT6ehLDmL6Dd4EYpbo9feA185YXbigl7pDh 7Sv3YgBolTauqjaKdyEpA0HfF/EmppVJHMgB5MHOvO67zHxPt+bNuZoP9badrpl+TjUbiGjmYDAS HLwdmKH8kHB5zjatHzxXq7Kl5QujDxjo3qZR9D0THTl/k9KW7u60+x2NfT26UkQlMYpASEGKjxC+ KraG8k9708821VGgqWpAfoOzCZEsmPIAz5JBYj2TFpUeHPLMERejTC0oh7UohsZYSyj8iDDtRySE yF5umV5XIpdko6jtEIwU/WTlDvl6+WendeF3NG7Nwgkexzfs/FXKG7f1RvgcvyvzPtDcniUr8hvi C85X9LFDM34LuW4jfDiguRSAG4SdvkdIXJA3Lq/0WhPSYlBOAVnvQzKyyfTFrJNwHedUyJcSTUDF olC5PdNzGuuQgeuxacOhhKGlbFrqTafsOBA99bu7IqqyiA2JOQpjWw+Y+oOuVjIFBGR/aYR4wWsZ SG/MBBgmgJt2aUoVSk6Jd5zhzUYEeknsaUmSD77IDb9PAspLuWF3H81rNaLaJHQefqaO1A97bcjT WrWxx/bHKu/xPMP+sJWUOc3oUV6m4IXyBRX5IV5O9NQDo0OhSyuGUaT5b5Tah+agdzqZkYB8iLO7 z0FcWDGRQTH0g52zouAjRJ+CY6/w8USrTST1d8Dgxot8h3z7Y0/W1BTGJZda/+WMUrwMOiFVhWRW 2jO2x7Tq6yP4uKyeD/VTjWhxmGMse8S41OCprsWqTf57Mv24+9Ny2oqpehEKnaGvZhdAlYaghQ2t aP3j2Pe8chqxT6uEQhN5+/Hc8P59VnzJgOsaJyUeB5Z4BDSXAc9reB42blQmeD+i/JPRfFhcZ+VO RnAkRcpHHB9WWNJHG4rNcNzzErZIrWqS62ILISPf8TPEJ6QHwMsBs+gOpIa1aPfRKQvnyyoosCfh rFt/f99PGG3Rzi1M8X6N+NmXdw1AqAc0D7l30MjBzEjgNg0rXhX11i0pGHewatfGRwOmjUi+B0nz mawSCvyeYaBQ1MNuUwLard5nfkodS0iLBT1Uc0jj9YE+Ow2jdA9BebFb7g4MD3TXvoLG4Icvy+01 UTJHvS6egydzNCy2xcUTvwJL3oEHI9z8hLyYfjwNk03/8Yrfju8KUoWxOyhuRA64yp1aReGWniUK QKlLc/ExwxiyVXrGz4dHnloKSB0njtnA8TJGK5kSqlPdX+K+2RLbWrNNoWuItPuq++Y0Qj4Yq7zn ccRU9/hO9T0qxL/Leeq5ZUYM2l1tHtMYefihs5+npUauIX/B0uWSJSBqwoHgBG3BMYF+r5HNlxm+ HuoA/RxPbunDLgMfgZzDeGJmWXKdZti3t28oBsR7XWPsCBcnv79CKD8HHkmA/PXcd97TogQQe/z/ 5dpwJYHAhSTowYpXOs5Hdtz5+eeCORrpnW1Sf7hUdwphAFfRNFaAbEJyE9f+0iomi1bNqZCSkxd+ 3XocZXMVnTsi/Cs/UwI74iM3NkqIPUqO293r4Die1/U5lDIq5uKCVm77SDZOmfl++rTN7/5HEaWf Ri3zSm3uIG/ncEfuEftBXxGQQJamr4EU71hpAWgAu5i2ZnH4RA5wFBw+CtULQJ5q9ZQBqeIJ+naa r7eFkQjb+hfdOcrvoziVfXo9J6dC98duA394pgvBDNYQ/HHLTUEJFvssPZpUWSUipY2NIqOi735A IzOMXT8n28KGx0l/W0hdqE12ZtctAyG0BwP1AUMe2pyFeTqwiO/IwnHYz1ubuC6kr+x07J9OUW5M f8QOpsiyeYrqkFYcpcarjsQR6JMVpmynptCoT3N13rR4wSPqoLAsywB6NXa73ipEjtMfOHotBeZo RtI+zKsPjUrzhTsMGjuyQAgPUipgLzcJjbELAyYhFds2VXAWk3HVnG+FZBizlvNrMNxahom9iJlq ORTo/Nx20LbjJbugLg9RvM0sH7t16tcoxNZiX2wcovZasLLoq9FxzeuQRtZOfPV520nFs0m23Lt+ Typwiz12Q40iU00/vmqL+NRPTv3jnybRSauYy7LcyiAKc0fUyPAfAtoEm8+xev0TXh+6HbbjFj6d j/yDx2afOx4toEixoju1DR3d+3LAiaoiZZjmyzDxxPmvjct9wr3v9ZC+IPgvPBQVLNC0IbEULXNe D8Rmq/0vhIJm08E76u/Zbx++xZWGTcWU+r5iLms0U5RRQE4lO1vjF56kPf6UeD5Mch/4e6ZTelB7 KKLbyz7tnGyFS62ni/rpt4JWnCCGKDG24oEM1yzSTsKVCou287bttXrN+USMsSKYENqIq26VMbrU 186oXriJFQcDl/z6OxDjwmc9dW96UkT+o8RZVw3y9DBE5yYXfYIqBz4A4YnODByHyv21vYTrO75K xDr1HjdoSGVDPSVGlioYgpfWdEEOkuAML7hLjQ7zhUBkUJcXWbLtl+/clMBfo6vrnGiJVFOvAL3V 2mcp4R5Pv5MVgKVQr3iYmkjlgCtZy1H4iBHTEZkZD3ru7+HlEAcEhtBVkmotkihGS0adKPieCWlm gak6xFZKC/rUqYVAyrugPInWkaoiGRhobgDkMBChVu7wuhk9HgS050q9vMZnhyQuJX6RTZk2ie7u BJdto/xKJbBeNdKcjuQbvRcFeUt/0eGVMGoDUSAErBDC2p/BIAwZvXDbdSbaMaYmnqdImTwPJq3f PHKV0ahGeXBmBI5qOX8dkL887EQ0P+3ACoJ4pssmWlSR+G1YNB2hwcx5rWkpNM/V4Aw+NBdXD3wk d20/Ys8aWe8WNM9gn/TxWULWsNl1Jj1gWykLFLhr5Db6HRgmqtZbxunARjQh4bms4Vq/Rhx/mMZy ZHQGgTWMA4qrbwKWo2hXievZr/GXOe7tiCO3KEqR0xPgQwpLgDkGv5RO7JyMarqJi90MCGAcZwfd sVoCpukWQxzdF3WYc3+VZc6cT7gRyfQRd25OL5i9g0bFce7gEmQsiP2HX9r+06iMhukHr4MRKbdc S+OKFSXAADHwpf+cbZXF2DqG7ifSjgT4f6bOzuxl4yPcfbuksGQMSpUbf+UeJdXBr1BZdSizOJAy 7GPyp2pP4ui02RkBPQl2KBE5gD8w2RWGD/yrcO5vh3EsQ1PaN+UBGd6izKirZgDoXkTq7CMIhBH8 Mj5Hfmu2z0nsjFafOfAOKCjfXUZjPVWBaozDYXjoJpb3n1n6WLYgaS67c4UerraCU7C84gk9YFzf uczCKorANaaoB+qTflpoeiUEd2cvV1lFep76dI7pawh4ctpmipc8/H8LIDB+rnW0OnJLrZH7+FfN sWgS5i7UHE8rFI0yvdBjiEKSmWc/FoOJVSPeSVWCfizIiUkjejU2rpH0DWMvyNFRolH8RlgceLD9 MVnQyL95jXPbi72Laog5mznGZ6O9Th5j/te5Ry40QOqVTdZ5r4Uh3EgOG6e8JzlbbbyQzZs2QhrV NyONfaKR4vR3u9lVQHJAjc33gtST5tk978/1yaKUEGYiNjjoQ4RCCQDguBXvrUsr/FUEvAPKjopZ 6SUjWQnt6+DyKdpWac3n4bV97L/V3Esq2j0D9wIQ5BM9ygp057Ai4Kb0n8A4MGHr3w1NiaM7rqid E3nxVpOclwlS+UppdylrdqO+4UYNcTstRxG3A93tsOLKhCtz3v9IrbQYWa5qqyIRgqld6AMDWF7T g7anB4mjQOvG+O/lhvC4xthHPvT4xRTIe3dJMhrtsVyr83fxFh5a4tWzc6qty5nXCre2su8/BuZJ y9fhDJJkJhHs59/KrpKMMctT2CFJwOanMpD38HEsC108HJ8UMhsMlxM01uTOij3yo/LQ25OWXifx PXZ2Lh+ZV+z99yjWbBEUIM4s0QBOmm78bC+tdQK7Tnn4R9WoMhJlW8h7edMb66T9kKsfbl7ewj0P Vt0LS3BiTZIWGHtL7QIegzbiMED7C1W+Cf/Jgjo5Jvrw8ucaMlb8jm3zj2F9tpcLIrkw7Ql0TDAE xeNIHKRTCCR797KsQcM1MvE8ofg+ebrfH32qebfeHK0PzArh/eQveF2c5Oi+h6SETLpAYrhdEyNo f59RN4pP73NwT/di0/RG/amQ6nd3MQVIVZmzAMykL1UsnphhRE8E6yKZpPwzWR592iB4cBKqkIHf 885x6WOFr4xIXfz3c6LCxYrbuaeBPrbmTRkecMj7IakxoMGbE7Yaop7BahN9akquzQdTt7X8EJy7 UGOj7xKK+AEcAxAr8vF2xnB8wm8aXqToKyGuxSkRUv5TnQkhGD66l2FFgf70OtXg0ZxGPWuu/1OC RtESEcpCX5svtknXnZ45bELDcPTwqH+/vy7bEXtZFsxIaROo9Bl/FNwxylHzKdSCShynigU1q7xt vjwRsiCWmURTDYy8QkEW1FVhB2tOV2n9CEwRb7Bu2sYjtahH5Iw2eor8Js3cBaPbBwny8HEdv+ho MxTIBVV3DWWgzwv7mNAURx5bf11iE0QQvrpOTYP+LhI9FVIaBtWzHI2x3u/VzBPO6OuFNh7M5DQi q7wnQcrPn0SfoSt4qUumdzIfhb1OwTiJ+IN/AmugnsrVj3bwrZ4058I/6Qu3apCjaGFB1b7zKXdk iF6PImQ0Dt1Z2RRpCmaCa38gMFfzBAUbMydxXCkohWwOfQaZA+14qUs24/TSE1i/ijUS2h0LUutV kylZgsvGgLqoywzNRns8Si1+8ePz5B0TY2WbL5mx//Lvf95ohBh7cxURguewuY5gYCRPGvzabw+v Kgdp/P5YKezsCSiR6aHjLxHkXsdaqeLEP5yJRUGgPpJLKxt3iL0AqiBG1kaRzfbgrYGTtLu//s2R flt3OyxJPEdtWLZdELMfeqoiEf1sbO2wSBJsnA1frRXsx51z19bLxJSmeihoNJoNojbwtwWJCnBi ZWt+JsN5uI3nM8eecAKo2OrdrMcOp74BSQFWrrqwFi9q5CTDDu4A0weh0sBykuAK7vJ2Wagrz/yk IB8M5hUmTi6Qn3PpJ7GnU2XBkzegMzzAO6MsZRFgyNi8pC9y4SVj2FbKp1ad5fgwn99oyQRLaYM5 1GB7egcmFKdWwEuwBOQ3nC+KyuGN2ZrEYeSAc6slRNycZI2DwwbGP3d9P3Ur+LMR4B8j3EA6oo3f A/81UQ7hrDr43OBKWwG7JqjZvO6J+DIq94RdwJbrmStD7aKMBLu6dTjra0zgkIx76gyRg23UgfO/ I14MpoSN1NRBHNC1H0bqXOyDNPJIVqqWgDslujclEzzQejzNm6MWxmSlr06EGyhopUWLu6IkJ87a bn0bq/kHs+Jh29vPUZRUfnHkF2q0KNIpwZjz38L0aMUTau50x1p6E25BMeYPCks+r8wIlPFlbSW1 SdycI9ANE4lE3ijqC9TcY9rTr4R5+i3OruATk7hdYADdXDeG1MdTm5jx9kPd/8M2LacVqiayDPiy WOhe4+sx/U/BrrDCRM9jt+PsRn9EScAm3j5aGcVo2Pu+AN268SYKEcicuIor1vFPHdLbwEvtvXDp wKfhHYREgI0/AXEVUKFFi2H3aLdDmE6pjYlN7d1upEM/ea0RhS6h3ok1QsbjveKkC41ABbeIISYs uc6CJ96rrQO3WSzj+MGKlK7oq8WMetdXsfUqwBYkh8zwQ/2NjBFhKmBjR/P4de3MfgZYcykma70K 1OgU62e4dxaeJD4ZBUxpmyafsmZ9oKDGRSPKAvh1SxghlOtNMF8IgYB2jdhSSNoaLZenOW3EYw+i pN2gfc0aV6992GACj93wNVQpomuZnUVnUtQgkc8G4+4aiBCH9Myvl+moKoU0ZXBC3SKwMGN7AtAY agUZ99iJbsuRG2NafLOjk/amWEYXdJUiSrvE1UcV7K8tqKPjeoKzu/KHtFVOraeP8AUrCIvWrdjQ 4PrnHn3XdLzt0XC1aDntu2OCUUFnvKi/l+LhblR9s33W2totdvP1bsVL4NyqhQHP1ll6Sfzwqs/k COYwwcX0OPUAC38kCCL+GSFYwdEQfEW2jNyTFEv3sIZTtZF6te/w5jWQgQJinbSGwao6IuXGTPJa Xu4ltPS3V0H2SeQfUtyfJb6p1ZktzBM5ZoBOF3jFxSm36uSOJzFc/ew1uUuTIR0992ksUBbGErVS 4jkTMyP8/MHjSUJH4cFQ3wyUFi1LXdFcb4rAZyLhcpB0ss5JJPrXdLv0gVTqRUu7IOE2eeDJsSZz Yn4kgdsS2jA4ITyrvRVAx2Sh+yjwy2oFSCnydWQV/aVzsFQkCF/E34JC5qbDzbOfQURF/sMmAvWs 66Kz0bb7Bx2rlnZizfPW+soIMV/r0rpoUZsFo7RF29jyatCCKJELoXWW2gJ1vFTH3CxbFkqLsTqD 0bxSev0a3Eer5dvPW6Hlg1in4gEh8kjn0f24Zf4OI3Ov1Klmrnx5lCgnqZmMpP5KVfJZYBZ9XJZq KITp8iAboAAXutMTgjSiQ3tpLoJRNkpCMmlOmpU9VvDoXg1QUFMeMHCTGiqtrwNG+NbhgW4UPaRd TqhpqdAbfILzJ1YUUtiLj0c1snQYE6THvBic3XWH0A/8zsgI7i3nHbbgcmLm7tp+2GtWMwwXga4T +ifuzR6SDcowatUZZiYFhpArO7oNvD5PTPnOZ32mBlBx6wB4bdq3Qj68gOd6TfzBPeto3DEjDTy3 pHsv36JJAgL6KNSRJIQDQ7yI5G/aeg5YPKs2/BHr+Zqlu4iGYAU3YVInNWJoiG9pr+ZCv36xKRAL SPTVL2klEF8tcYIogikHBIloFmVmt4Wq3uEc6n4ZN7ZqvOV8XMX1CRntl1xkRD9v9WFaGPjeAI9C ihRW8TcfaE508vLs8QJwJnFrOHcK7uC4Ea3tb3tOx7ruklMWF1VJjFpJ7aLFp13QhxJbqLS1ZQxj TwS4s5IFryThQFqgyKPMGlDKG0mxK9MghBx0msWo/1G1+x7cJzLD3qQXD88I3pvWcITz4k1AFFtM k3sehhmf/uiTc/epWGiuxvHIbzeuUNlY+2BbGnlAJh+BQpMrtPm1GJprLZkBL1WHqhF/ITsERgpc bfFL8Ts5YG3vg6zRh2KOA0SlfWk8cAE3yfaBy7G7YWgMTqBs1aLGaY6wdFYkHI+cnA+eNS2VkxCQ dV3EcOs8haLDOpHbtumlnMVHBGe3yJQvJZw7i8C3CfS67ocbcFL1juam4IZi75EqrsQskXQ29Z1p Ws0Fsjw84mB7m9Y1Ge0K3YQW9jCtLGDrXJEcHPKi1oGwW/FkNg9WbdUAAnSiz5NzyoPZQ38nyKRL t5iuosOea2dGPX5fwiquTJ+rObvZLr/Iabxm8IngtbDZVgOdRnvSy9dktJZ4co8uGG+UGik7jwPW haSJZqA96yErv8ld5zfomDyj5XcHn9+bzBxGLpHHHbKvsyAajhu7E7kODz36zqxVGDr4RwztF3Q/ 1oHJMyuyw5H6gGMJdtzOCTJlH6zi6ev3RMKf5fyJrVfvSjcR5qRYie0lQtJooKP0c9nfnQ7LFWWR qFLMJJKyjSxmAH6/fwrGymemATcldI7j+l0xtg9hQyfrqmbNyRgZPW4G1ZmcFLu8I//l7MIDz7Ub 44XLVx379sNtuYTX4Svinwv9JEm/0IwoHNvsQaFJgKtgT2i7MEr81sI7DlNQfwh2Zh9WpAi9sMSz MWl8Bnr3GlU5G+0RAvW6gJD/KfVq/kBm4X6R4r9Dv+ZnGUU/pCOZFxTSqK8dKIxrhTF9rNxnyIHl JxH0cwhfAJtxAetEbjqdKkCMhpVik9k9qQrnuggHLKOXo9SJsAuYXU2fCJgg59YKa6iou2oQ117m BRk58zL1XD2mn65N31/vIGS3uKKb+AyyLfafXCw9RmwYVBs15H/za5nnuE5+1SLQoyBnJXBns2Gq hKU8mj1Pf7h38bGoEOiZeLjmM2x1voyyRhWsLiD4wT92YZyjVV6aXqpuo3uUt2bBnKgE6SO2pd6O hcVKao5vIBk6uyHANC1TuLPm39mef1p6h+/mrF2yqG2oY0ccSnMfxsR4jz8zRgnRUTFc/qJua5rv F8dNuQaTRwhsXtTdMg4caplrVrb4TOiT+LeQGPlf1EjleeZrgtEeEumOFkasPUl9yrXEtGKK9EGL 8RirAcZTnB9c+oIGfDub6CGghBF4oR5sE4kVXzeiGLCn9gdwDr2wJLsfuNCrmDvkSbqKlR0O7jqz 4ay+PyXwBb/1NWVlbzgyV1nWtMhFQqC7MTjNrdgPK7Z6wvYV86zSHufOhtgGlN0mHdBzZn2A9w6t EXsTcLDret/8RavhD9uBGuqraPKDdTJFW34S9Q7QMLcFH2cLdE+xY9zc3eHz9yHqxaynkufeeVbS 8aDdvZ4baGulAcHKVgxmztRtwQGARVZqStdYYBjj4UvB4j593GLMAnRipmBVwM0TZsBpltUV5Xet NLRXn+q6kataDWhe1FUXKSCA9LbmcWOXxmkvlNAuh33suzlK6xQRO2ZlLuP5ElASHtdvvLC0xX+Y IRT/q8cAzXhKPPOqKzXKWFwXw03sFOF+1ZpojUVdCd4n3GcB5aVKFpgbwmIxPCxvtiYmhc61jSwr R4JuX9zAW84GSUPcTOLtOSBoLOv830o5gKgTwkIGKIePlqxU/aVmNvzXgxyNCM+QqNz71YQm/gTc 8ZAwiP0YQYVXyOKq0o3V4kjAEvq8+yx/zoDtAMbjS7oz1oVQ5YYe1dZ51DMDuZZUE8LBFfHpUi+k kRQ/EWkIQmZk3Zg++GV1fgKmWYVla73Graymnl3mIGq0JZ0oTEMn/Oq0V65EjF3qLqsR0YDaHFrk QyCJ3wwcrG4YhBrXGOftqNffv66Xj7Z9w/HjkOSpXVrEvrvVnXgPMco6hltRwfn7LXlJWQo4XQg1 O8QKpeT0L7EMp7VsA3Vp1Lp3wqxzriiuWg/pb7EG9nc3Pa4nAobyMDrfDfF/kHhEHqueGg096qkF f6l3ZI9ubz54CJoJus8kNGxZimhgEtx5V5Q8za1MHbW3yDOsTF5HjLmYnO43btO0DwwluVCRTL07 SUcPqhXts31lL4IZ7M1ZziL2AhAAkcxJqn5XS8w4D/idE1OJVGRuiitSuIJdYayzTDyllOhFJXDP +hTciE0df3ypgYLmRc0qHd6q27Gx10R1oVGPPBIFmogWjXbSj4TUB3dvDX6IWT9EdhIg0PVr2gMi VLJylD5IMo+wenrNltfnokqx61YcR9VgcGwRUgSFCorV4wPTlSX1ABwRny1J5DI/kyZFKFBOjUqq qkxlc2CBYjZ0IOLQxJgNGYjLiH4enXZUQupM8MnPtGWBxAV5wTWncCiPr6/2YcWHXkbbQ/nM+/CY A049uc8QG6I5B3eXJKKe3ZuZdyrYhHN2bfze/4SNhIpoYDVna9dfQWK3ZmWh2jfl6v4Nisl5KA4E NqSQHFhAByg5buIUwVPfKDZpDE3Bhk1fWrqFZXDcqJuMKt4cQiku+JBYNdiuaGPxUkSv5o77xbrI MTP1z0dztNt7u/UVWsqINi4RZcDO1Wdhec26tMJWJj0DORDJOigp/EmRfVUseh6jU30TJIJDCTit pm1ntdzEsbzAlUOjV+sL6x2AOrzZ3H+VfQU1zMFsYlLpj22dcNv8p1LgrC4ap+irN7JlE31ug6YL +HfY7WNRHz1qpRBvjxebg4cBD1KhH4m6Pj+dyeV0FYerBLKDzmOfLCPeYVehRUkPtru5F2jpQ+bk TQm8QVi+Dhi1b6Os4vjTmK+DM1BKPNf4v9n0e3xQuyDiaRyIjlIlJZvj6vViBGnbY83dmzzoIw+0 o+qR5TT01TKBz7AHnoqvy7EFoJNU5FcIsBAtEwfjcIWlMeLKmN6xkSMe+TSZYKqlIE9YUWzB5+OS +ESCluYYo4NhBqs8IsXEamqAUBz6i31m8IcspOBTaVp38gti+2O6R5gbbiqU+UyEfm2WWQuFvv7i KktIDe61ijGFMbOZSdB+Zdi/St58jtNxDYLN1q7ekmqkBHgKV7zZzqTFPBSb8JnYSw3BNBmi8ECA p7e17P1HFNoWC893zIdZhS68H6lC2z1dixv+SCoIGmUpWbg3U1w6EHll7Ij0p9gt4qUc5ONfSbO6 RbdJqYhFXnOi50w4zyZjHKfmcfyrYbOFGZ0nNqxoDDNW6buM2yvds4FaeIEdOaSdhjnKkU7/ekSj CwKKd07TsYxsXQtg91rs8HvPcOz16b23Vs3EZDw0su63BF0F3sZg4Tx+wXuCQPK719apCvejZ8wi jzw8oMRocf+k1ZRUSKznWhrHGBBGo/Vc8OiO2i29qssJqV6sIwZwATrZ5l3hLMyyowtOLuq3uos8 01ttxG0/QURlL6ordt1LAOmr7aITudcVS4GvgPqAaDkOLfgIgdM7Rlx48jXPP+Ds7rU32DeekWer DAEXGIAnJDoahUuEbKPBAWD9RgTbpOz9Uhjy6kyrbcor5sns3XPGbQ/nyxY4dWyDgyz+yx3xe7Lu qSmTmNBem6vZnA7nDOwhlHMfWSRGPRsrVwt8IozzLmnlbCAj9zw11EeKO/66pPdf7JD4dOV3vb4V yCRJRhBEP4nGGiTKCYQgpViS+adg/3zV//ZzZhdtD86j/epVUbNNIXxKzM7PA4bA+HGQgzdgQIGw WpKYzYvtmCMxjeWYbXmoBZI/xf9orEnvEyQ49XIq5QjONTCVb9prbs5AnX+ZWRiFhGDSrxDAJtOD vk7vMS4y5ZB7O++sBYPGYMDC95Idx5JGqau28I7GJE+XiVUItMgSSh24I56RGTMNSR9BFIbGnaQY j+cjoyscjr/OT2THPRzeq+rTevE46iW9YBCIFDUwepp8GiKpe+Qz81N9JEaL3PAx0EJEqEpPQurL AGjpLLcTg5t7KLtAxyf0FDhGrETWNX+/fsn9ZEMLiorgUdmif1gYeRtENccUCcdznWSFP53IGrsc STsXk2hXYxRDOm8Izzb9jAnnFeoOFilJPhrCjtBIhVbfZF6aJ2AT08WTjM+RouHIbHf1czIgXAB2 lzZtEPIru3N2wSzELD5BfoIWEnSzSPTkQZ+OGZOTMDfLywp4pqT0b7DwUAT9QCdXv9BXa9gWE/OE llxsWOJ+GLWIDylsuVuwzqGoQrknSCQTuhcKbp88stnxr5Rmk3Z7NfKkMgJEM6uYd0/FNMsGIP7/ Ye/mC89NcaEfG4WK/jPehlf5lMcfpgVkJTeLLe4VHeG9pMPmM/ed2gSIviihMlcrEwLvF/MKuTgh OliVc8+lKMTD0haEB3qWhaXaBtwYnlrHpl2zf8sdnKTA07cgl4WB41plYST4z2m3XFWpLLB7nA35 hdHhn6o/xxrgvS2FiWAWpyJ9AZe6dQaLuJJ93hyvb6mfHE2m8FHZTGnPZvCqiZBrnfKLqZAq8ZyU E10EXEyfua1ZaPmEqwSpBjX3U/Ds+JDSGyKFvHFrhwhIoThfar9r6aZf0QOKGot56YIo+rQS/ZOo X7k6bIipF/0IKyj8JhWK1gHfcQqINn9iBFU28SgkT3T0EK5+BaGZcl7KIO75nz4nlFdQ1Vr66kdx xtk0tOlVp9FtRiXrcXBQlWEwa1g2raJTQcJ4/1OdctunYxHQJMsckASOq20mbc4z22u1gvNyTTkN G6nPNOw/spKyFmSg3+F5NCQMKSG+690LBRMfTcfSscQBJ1AMk/KK15RmNTXK9XmlTYyzLBsb0aX5 jCSNCPA3hANF55JXWy9YPu3OMcVzf1K1C0quQiP5T7GFmAunhIZ90D/5PtrMefU10/uqZnOxXyTo mLF9m/VxvdLIL0+QuAbz5vbF1vN9CK0mg+ielRz3fJJfpxlTMKtmv9ttwOsdTkwjKdmi2JVShFGX kZBVWnO+YEJXWhyX1JSzmDXgwG1tMXVzcvg6f3TQpuiQ2YjUZjonJnLzoJoXQjTfDdFjWCJLoC/y 1Qig0NHE7vAt3n5pUg0AR0n6iFBfvw64mXn5UyHt8LH6yTeVQkUzRwDBrJfszJp2WGSXZi35E81P gvHdqzf952l6h00dkgHanoO6X+iKcHNNm7dpVztVRlP7plsoEZ2gHcd8GX/S/6J3e6KM26N6oYJn JdJzmprthyXueeJCaT/GTdlq0voyHBTyAfxrBvC6XkKGtNr36JHToTjzqFxWEwBM1kyg8TI7GzEk FH7NpVJz8HGKtbAtzxNoLxom+7c/vftMT39hIwl8i2rN2Cx6EHDd03MM+LQ9cTERcCQspDpXujoj Spq7g+lhrxvtcgX4aunUgzaUbVvcSvd7fOtH+5rG+B9Dqk2J3C14O4jhQ1Bz+c7j27OiFVOQNOaU Rk3s1EbwlGDwOHKxZUneVqo3QQS+aO1woI4fsWHKWKFmpjTByWDvIYN+wJtnvWIqtfdWtpKZlenQ NuNfpeY+DNe8/F/aB+Jodnih7lBObM//yfDTIqMH4VkGT9+gHo25RKf6wroSuvkAyWP5EOCst48P AQr84s2bllSKkJ1d/OtsqEP392X23SLiC0cB4WfHoW8OHIWijGT0iLjrNb65HsZyjYR492LZ91FQ cFge+JlPRQfIoYSCh9VWpo6SEAgPMvr9zbiZPOl/eXNmymRYwNqDMCbnOoNct9MtgVvyhZ/8rLEV d++pQABNZjDtwUAR00mCUl8HuVjDX0oUI4P4c6A7j7m6zSPKSVH3DIOPHvza2ui8fnU8oNJIpYjA BsJgsi3tIVlevPRu9ULrBZpr2GWXDyR7DGQfDCyoVPYy8HVEETpEy3QdYOoLgzCaweIxD+KpY972 q8RKxHlHUWj3UsVVJhSSzo9barywceRKHfRRAf4NCj2KGIlPa7uWEaf+pQ3bIBA8awez0xPPnr7C S+YGjrwU6JeO7TdjJyO4NbZWLcF5wbWBJBjKl9a1HTqvoPkMnXRW5NXH74Tbo4zPTDu4L3sT0f23 kmUDhvSDsCRKlUzB0WabcTqo4liztgv54U6ztJOgn9K5OF0ba2fI9iJULwfhAXF7hJjg9FfALJ1Z 1mjsM83BkDbs2WbtRC+kRr06VvLqBZIWo8mvxjTcX2k8gQOrd0hd+cbRyftJqTnU138G3uL7M4mC 5723ON10DQ4ysppizi1QuQf/WWtKRBziRDSe4mUESS2BlMBbujEL4mxrUu0+YiN6ny2Em4jds9vS I5CWbh+xrnb91TAyPPkuvGvlrXzCt1QwHG/UWGGJq0iSl/blEfvtmQb516KNz+PtbFOQF0E4AHig xQLRXuRoiOpwJYTRXqGO6cQfVomhvynPL+Y1fOEEoPfpFymVpCoMY18HSfabP+yAb8Wf6g9O3yCn va1V2PQ9OuivKaa0sRu11YlezsA2oLQBq/yqcnBb/PoZv9QJCYjWFgi2zt95tchbhkwmEsHW9FFp WJeGepuOj662a7smIJVDCQ+4P2CKIwEgFwsvjhXNAjOJuJdEZR9pUcj6BUDLMl2aSK7WfrWIotDR 6g2l5IjHeIO4SqzNUeUZ3hGy7Mc7uFq1VsIzRD8JGnLBSM0VHsOP8FxHVTKs34WPzQ0fyOkwzf2W htusolmxpD0fPlodI1WuLP4TGiAUEhXiBYR26Wbj33AANeuidod7lMcYGVbXIgL4SlvEDnciWArb PPmAvWp/bk5ldC01tiJXZBaC+2OU5n8qKeoGEjhbGCjv22sEvN9CYZHKmypgDPn36yJ2voNvFc/O nWY7BEUm0sDhj/8JndCD+QP0+A8nocord91/hbuuUyOtNQM4T/Mq7VAcngmscXPG8FPZ9BsdgRKv Iv/eIk3mGp5xOwNvc/zoajrHezBbfVYF89+47I/KiNO9kccxciwPQOq0OOPEDP+dNI4aqEOXYGZf fIq/9AS2wBb1x3ZhfgkkNFkZ2dZGiKZe289s5tOZE1Ey4a6IZ+s8On5kGQDbOEEmElZDLTXC+SK8 BwsecbCvdI/vwiAGdtbXBD66u9Fho+HJe0/F3UY5k18EHH8kQ6af+1+67KGMxaHt/Ium30m0Na+r EErbVa78m1SqjDmde9vNkkNm2YHze4cgFwrM9UkMS1/OnapN6UnVXA/7AMu4cv9218bSq51fPEAW Goz+x9tXS9QwNCYsFFF2BA8n5XrbEcFVLsugVhTPtf+pA7cI8p51c4GP8NuKGj64w8dQ2yJMh2EU MWhq6m0q7VSUHCTyMdMlfCmhXi3Ixw5d9XzhZqyngs26kPUM7zEnwuL/gXyKU19b6Q8qd2OLy0JQ iXmrG9SJaL30AOw1vJfj97Qw0TsDfMr3PBzE5f/33jALSB7CNA8x5Qeh5oEk2r/dGK8mzQF7aMta Ltxam2ViOUYBg+TeVuK7stCYuHX6ySwHNDHDSnYw0Gjzitrjuc0+JhNl7YyNgFEgDbMym86yP2qp Pjtsxon8U7NHVW4p/GKeUYGEVBKkNM2IedlofbydCFsnOV6/050t/mttWXrOe6M2tKZ6DpXv8FY9 mkeMnPU/XZKRFWCyJ4VWyZRfJscMFW6MW0Y5ftGgVfYJkxKGLrKfIIIGKEkLkDY2XEDKyYH5Z13P UsV5/fdAFBhhVaTceJk19mjzT9RaVIlXXpC2ErAFUfN9+5acEsVeKcdmHZuWBIc0GahosFDjKXrA 0NoECmZ70cv4XlXDF1C/SPGrmWF0lrMS95yfROqIntTkKg3s+mBp1z61XIPZLNIQ+LdELl4M2hcW mmvKscxaba51nOZk5pb3bFzFfERwabyZ4Hzd2diBCql2+AeMBQzV5h8Ssvvk4qoUEtCxzhBdxmSX PcZVLI0G42Rw7c+OF2EOYIcMHnqVdLAWS5fux6TuMZNEABuUeTLYgmo8ePp9Q0/4HT+Plb7sYrXC kMJC4x0vWAwWE+Df1KKseget17wEWJ/C+pX+ffYY2aJ8AjoZ6rPQRqo3mxXV9LH/48I2wKaLARSb mzIpAovl0pAbYoNW795r+mplaiT4zpUWRTdRZdvEpJEv2psUkrRFuBJiSRTg4tvO+G2Ogy7LYTS9 nBN2I+cS17/Ze/8LRRpxpkCzoZDdHSzIYWuPd0rUydieWSNhn8Qx9qD9zsk/tDJ+BsFBQARZGrQK Iyyk8pIDjUqTKNF67FLUIvEm5pT/bJpy8c/wJxjKeuQevFwuMcy4qj01vocP4DX8Io4V/xJD3KTX VLkwIoHoINM2NLQV0FKXkIqYsqsMdINQmCH5LIKsmvanTpJIb9fVfhnTqIAU0s+Ecb//CpKFUS90 jOS27NmwmypKyu7L//Rz7MOF0TkaqLhdXBUvuYY/Gf60v1kGfSlOlfzrO8wukDhb+Kx0UlbWBtqR rrbWb3GI2kUTJXxX94JiTK8MqaxLMQfny4+vcVJTjcgDrcwhakXBIXlQjXHwbT1VmhQihENSnhEz 3G+H22AqT8IrcJJ2xy3K6E9uhgnRzyyR68bBsP5ZtrGdpBCYMkg90y/kzMvU9Wb8qVbYdS3emo6U XfLUleFFT0GyH6RwmE5aYP1zVYIKZUNnSlwmOukwtCAEb31KcWDdvkgDK6SXJPpq+Tq+OC2BnkaM 24q50kjGev1QJL1pVCuMkkAeTj7i064uwFNQavlPMVH3WPvXijXVPqwy88EtiGJhrZXDFKAZonUA pvstHwcnrMXf+lM+YYvkVxAZb7yC0C7CNaoo0R3KJLZmgHLIKBnnWvQ79i75jz9lN4S4gjIojn5a /Mx+K6warA/kWH0zIxXBM8WBge/lWm6gCgo725dXUCnxS2ReGb4FrGAHdq3KZNqv2O8PcR6cE59/ Dxa6JFdgIvzXI2d7TKmPbzLWWnq6J+T+O32lW0eoFDWSY5ng5Qa23iSvA23Kc6zsJm07a9ciELUW PQrG5ydLC3pvODHqzUzdCp31uCUP8flFdphLkTh/2Rbb5mfEnql/djvwDbZyx8cMoXJj9pwYAB9Z BCIQhWW0WhrGB/pUPaj7ikJCD+c/oiBhmzY3N3tC/cTdnj1MT0DjEV3L4snK3z+VwfNDwdEwxMiu nd7Du54TDd4yOIn9NgWXS7WWf1ohwjaw+a8819GqzTVUxMksJJIk4z4RNzfXeTdXsYr0gvUgBpGP 7FNPdElkw5ruyDX7TSwWcPMWB5PZZIgCQk44LXgOhJuQAUyM1AySw5Bf4v28XlTAGneEBpvHKcHz 0VXyA1uI050nRkOwa22TbIIZoZ+naL7SsBhBZrbQj8761cAzEIoojQuHQm1QqEX3drSooU+Ovo9t A7uxVhdJ/tAeNYfzfp75oHA9+z2EGJbxiI1RZTSfbkbshNJjEAizFSxHYDu7UFrULqkWnxeJ25aj 5iJ7yY+xsLR0yipvpBBip6zijiUopS5yTuwuupvsm3Q/7NiJm6zxKnw/g5jFscz7HGQXA/nWl7PR GR+/IbFj23zqRaJFlamMnERO5Z8FcTYSh9nokfiyHk9Vd3BE+UMZG7KzRHLoKnYdd2HNUK5IoNJM u4z1PGk0erZebpVGo26FN5yH7zSMF/eQNl970kKNhuzWpuP5StZjGKVNyk8KLDIignFC8h1iKxKp jkA3yCiS/R0ft3A3CPALypPXPEjeAZaS1uKiqjoAOrAC1r2MWmXQNncFV29Z0ztjPbcYFXl77PG3 W7okVYsUUVUAJbnrLajuHzbzuY1HJfDnSVLeEVIe9y4aqiTHGDvJC6T0R6WhaFFAobTUjPH9KFQF C7ih4UMBfxVus8mdWwaSYgEVeDsZM1L6XGcbwyxPA62g7vrlvakY5+KVa1MxB6bInAkpDEradJxH RP069fBvfXsiwPSCYXlhETXYP63lHmRpeV/EZ/bQXiqcd+C2qn4rjNe4XQWhNrNEQ5Bcyveiz3iD nz30DlR7juc7igK5QlQQgYnFz2gXFD3jsIU8tbydYicdH3tOJCkaGDVpuca6GHbiuuM4ZsC0ZqYf /xpN4NPoDrFK/LT+15MGtcT2TESbY0K+cooODPRQuVarLxMItxPTe+7ox6cyj2sF/kBdICb9VFTv hWbj7N9e/saPdG42Su1KEPGWWkGUwPioRjZS7tGetHrtKhDANnDIDeSm7H3gh0RbO1E1LpL0EaEL QdaDG9cJqH2UC+uzps6AorswFBzYc4NYMP3jZewThFKp4gCaI8Wl9QxXyQhaHTLiy0W/2fBVJ1Lm K9q80gnP39PNtvEqWY3j1Cbq/+Ohc+cvYFaU0SKcJua9XnDCc50DZwy4am7t9lupT2Y886hG1ALd dJlon18AkOfUqWJX4ExEcUFMXbc6OVCvGgE9dMvNlluMut2jH8HkO4l/PljkrELlohTejOMSpz69 HCqjLyZieZ0t7BB3Sm9g0KACj6SeAW3QrwA7CQ+2G+VVEV3EFD+KCXngztPLZn8c03yI3M2OfHFE DFDcDtkTFMpNrBpavq9cflmAo2Jke9AkLqGZCEBIDrKgM2F/eOVFBORTWai/MSx9Ui/aKBQ51ZdY xEHU7i5tX31O+8F3Gl+xQrqWvh7AIbT1oGGbD1ZqsEdaRqOc6HhRhqibWQe4hetMVG+SzsHipAuZ chp7WnVmLtBxp18FfhCcCASUQVFJgp7KvZn8TBhVCCl3LlqP5CjMQk34SZDl+FNrgFucinmFRAkB xuhk3wMhGJ0QVEo4+Q93AR1RcrCnBgNr+kWTOa0NJf3koq5Haz1/1pN1SpwlNYiDXpG8nZBZKRAN 8GPJ6AwgiGSeKt6EhtIFmO+iQW6cPmNXmA43tc7+B7BrJf1Krv2Z6DGbx3MljBVB7WndiR+5JqZK mUmZeOfrCMarHkZPUARdh8JIAgqI91EZX+bLVKFadOU8LrPBS34et/CpjCFP9sUNOAX8Ig1lL0Dn Pp6sSc68SliMM28LpPbsb0NAyNjxasLRevFRNXPDyzIoO2WA5qz5cDWKLljywBZDfHxrLnkuRg7J 6+8VmaeQar//fBhdVaXy/QqgFY5Lrh2svUruxye+xOfqs15RiK24ivCX7TFPSPl73IiTbQqLnDSU PP3GZu6mjY6ngQ0HIAz0ufi8a2G2whAREcFy/RAeDNMVlGU8kEOYoTTq7JMKOTzDJ97NHYlS4QAb EBW3fXWW7RBRAvmptinNWh8jWDfWP2gTwnzzs5iiYYuvJfjZ6iCpZxQJqTj3BgGWXkKbG8PlDcd5 6yKEHxjLPhUqZKZFpKSBK1l/zb5YPi6tQe3+Gdy/++mWfBv/Ji4/nzq3+DkU3DYOPZX9vcbKzEvL MiZamA+aJpK+x/gom9AD70X2Jduk2XjWfuTwHPFc/23aZAhkGQd15SeIeqfh+lLgWGJSnU31J9Ex y5xbEFZOeIFmvf72K6Um4tjFXrzu4Jz7WTkZfJaAQ0Jhyvi+VSZc7YikwAoTjT1e8y5O1wj3vzt4 gWKGhkUfhNDvWfwIS9kUTGN/oN69Mu0qf85uYu4TNby/RwHhzfi4yY3YIT2WuTqjE5XrByD88D1q zn1fOrJ/gy5zI1UwwzTKxQSBo5bkUeKSoAm0kj/keK48zkxYeH5GAiTxKW832UoZEQuquz7NnBif 26EuOXk4TsWU/m0JeYNFEq4kVPlR5yVeC4eMorprMNfltl0OQfhv3OnCXNuvmh1FzTducOLRWlEr r74ZW3R0f6u2YzSOIJlVuqttIjW8iRfh7sFu25xahFU/mA5LmB7xP5BczLipIea//qzEwVhyItAu H0Tgj71QiygXKWvveHLkrir1yFZwoo+3QHwEtiyJFM5oxDTUe0EWF5riY5BUTIRgHU9KRIpzEpMl VdliFOhb8AFgEj/ey9fBkbb1/3il0Qs/8JsnVNAIfp7fO8tcwSnZzUs9JCbhF6ueWkeNu3hngiwY E/ofEnuSxo8C4XNuBbqP+q8JZKeMqSiPelYNvXrBorupwsY6pVC/2MO86uklul2fzvV/v7Iab/gK mZI9aeR/9g2O/dNxtcEXEGwBf82eSDuzHQwD+na+ldW2tZuQSRhA7YR13qXomkDRFVttIBQBs4IR ip1HKmgaI9rc1FKNzR2fKHURmrrwXStbFN63C++EFptjOQ5wih9f3RFuPO/3Q/cfB1NjsQ+kM6oM hq4Sizu4hpZpV+nR3UCjx2R8pDVs5F/lQSFYUMCmLrR/XybvK64VBiZZchv+UNzNRyHcO0aG/i+8 MkGU/c4xbFohHQP3RrFWuhY4Bhm70+0gY2vP7XfBrne45CL4WeKpT9/sKngtr4XMgGUT546IUDWH eEf+6BEi5q5WHiHSfv97dj4KWs58BfC35tX4dqP9Xb7hDBk67PKpAVeYAyvNCIZUNGESsAWhlhuX a/bt2UQAHy2/hX2dAVvGmeHbwaq1yptDpp5zHIZ/4g37WHRff16yvyQIBLvRUnnaWPHZX0j8tZW4 LHnfptfNCC1bDX78fjpJvSC1KmUdavoMY487Czab+sHja3gl6mNwrARvKbtJRSPG9a/79m/3JZa+ h23MoPMJNoy4S5tR4a2ecS/hP3ZabIATEUCL5EYAamo5YaP2ghDMC/chkjBj0A7R87rMUuucbdaD UC12SivNWnomqHxKd/k6/aCfGt4C0kOivo0sgyiK2AfKwaeJnZLRKP8rFSqF7kCThNl3lTagtypQ PFHvXq1Xq95ov/sphvo8XhyEhZt2cdqzrDPULRhzfJM7QIAW3Gq3Oqn7qfMqGnqOQCe4geojP5ZF ceWtHz62VitUhdP3w/GBweucp68ooIsrPoDuYie8KsA8DCiCvRK8HGZbQznb8rviR6nwvWAMgz4m MYFlOFiyECgxYxLCRrua4V3XHRe316rmDUHmE+kJwXsJI2c2pGr0lLYI6iH50o7pnftY0NCWfONn 7zg5ua8xMS2qeY9UtabiE48xvt4qiUVK0p6BHYhMpG9Hp86ODA1xIqTVzmJ4WjQM5fyUxBKXTY9t WyLkr6OhlA/xINJGytaSGEyhLrIygo7A439F8qFWfYtHxxkDbQTfNvwx+RNU40sIIyKXehkj8WiC yufaWg4ztImpaKI0VSiFJhCZQ4vLOgHsP9r8HrRCIYLuDbkh6yZ+aR2Yw9ZFN/+zHbdflCxoxQAu 7Xb+EroBPew3jDZJZogV+YJ4g2qCh3HZT278B5xASvuI0MT1ukEHhThj10QxHNCARLPwnxqpV1Aj OBxexF+cwJcGgZn9egaIb8ZCMmpWkR1C30NKHpJuJgGUUPvcNDDJY/VXR/iKtX+qp5EokovosmFQ W+0DjqxMqSLzxn87La5DMROmXq8XIYOj1x7d34VptoHJ4HlW063Cc5RV3eaxL3ETqTH2L7g0zvnL 6nAkMXeOsihQD9gb7XRmtrHtABF+ggECA7VKVsKtScAAU+mt7yxGeD0A6dQ0FPsVNb69zPLNkgZC 3JRBQQHlkRt5E8AEmVQlbqwpZrjRg5tFYxcqucddM41bWr3QwTwhkm0N7IyQ9eUIZkOyoit6m1Hw xzxU85hicXY2jlbW2PdtkVmaivdyHyAYDLIfxwOjNf3rvglYkKggAikw3YHHON+1FkrkptB2TrjV rvapMb8KgmxMskxJgY2y9KQGTUKnC0AVWJ7iaHr5KrI6Z1WS5uj9IqzWJQywX8ikj85g9nnkjIoD S9vYUmTLhOczdQKBdB/C/rLiDp0WYtFAvOPNDZ7i6OCX7lsPMeQJrOWUV2eEo4xzTepFUHzttp43 57MmcfsThdV6JIR+fgvOJx6Gqxoq0M9hTdZdwV856Q3fdB54GLNYHP3pEY8j90CJv//OcsAiigG7 qkgiK7WbjeYBJht1vn38esfTbBwXpVeUDy18/OmE3YL2qJFShLgBteoJYiXUKbAU3TJ17AG+cG/n dJoLcOwwkbEqyHhIIpCiuj2dmLLaDNxkKS94X9bL5kXJ6LuQLarFZo90PEw6kUdZehGef4Q0ImWT /q53Hhfyx3tTQf6kP3bdHKongDMpbUO7Msab28a/8DdXroWlLa1XEVZa0E7E6wsSOFMe1HH76YYP l+T4bys5+MIbQ/l25Hi+bbaBO76dMnz3krSdLHm6ezTDRlcuF4JQ8lHcwtZhKnd5kbth1cvS2ypE LxLGEv8PYZ7tyoR7DXe9ZV3qMNRcyjqqqRZeMJxG8nfLpiR5MWAJ2Qbhz80TDBVp3I8yzTBUpezQ 4E3iwGWWT00efSpEe5xbyMnZj1VrnnKJ9Pk0bniaYn+1JHIIPAySXcI6ZFsSVfcempJmbl/r+hgq WG0u528rkU75GlEOprxmFgWDlj3Yr5ZRz4AA6iirYvbBlq9oxlsuB3rkC0sAH4aRGh1IT/lqPMfQ 1rXmC0chap04xDaf9+GbYerNmvs/27T9ZcG4Y+PwdRjzfdBA6+OBJlkxl1Ycgcp/a4xtxfDGL2HQ QjbHdZm+zVV4R0nfSh5W8n/4OH3pvrHg5Xh3WuGYVespelS1sn6x1d4hMgyMHQPOcQbPZhiuVy+n MkGvVWdIZt91krq9NwRcAD49/Z7Yd/TXjO9ZFmHUdz7alg0sr0PTHe04z2OfShkZz25Iz43AgKYH FTlSK0YBQWWEZEAEb0RZa7y67EEt0lumzA2Cw5k9Wa7UMVUMjGxbZl76bv9sc9w9SVNPG6Jl+W7w w+6EpdqNg3xboNqGpiUbkkgLc25/1NhBHghVt2KcPgT0jSOCdXOxYtrlZ/Q+ZyyMfVJ9RHOVN8LA wAnqDIzUifhpnLHa3po45RpxIFL3pyZJ7ndd9vRk+7TQixQrKVVAEG9mnnpQaIg2K0vKWpXRIrj9 cFthFq7IJW009/TAbq87yVlmcOPw7KjvvPs2QWWiJZB03gIoGY2siT7eFJ/nmT0oiz/K5Ke0tqLo f34GHP0iFOvFpS9TfXGjFZ0PbdyID90HYyCnOlA7qeGTTKuheWkpdBkMeOygDIg7Ls7DSdE1b9WS RzkTSa9kLnEBZ9WFM1YEWod2YIFQFXYqAcoDXG89/pOUqntDEVFT9w98I+lgw/A8ti5wjguLSQh5 BawRgyn7scE/0WX4xNezSz7VQaIIqUYRjdgAlyGmOg77KDSOO36RcWq8dhzXslhDCzZFG429zGTu dUas4sNcp1ZrA0gQu9JXUi1KFztX8eczFR2r+XBJK1MWWEQwOYLltCiRca1QFQCxkgmWS5J6RmDI QEGnRxnJtDZGUzhKDubhNsdFROjNKoVCKTnZmSFBmuw/eFhPg2EYUadnZh64O24mNO+OTlGhfR2X pGIz++view6fNU2Osiq4GBZ4nKVsfiy/XlMWW6uG50LStyp79Ln+zwuOOzdoe8pDUxdd8lYzV/Zy 7nU6oY/Vzi2J2pHmpR6ISDtwWjyVWBL+uy6xsxXBlZP5l2IPxmvW8Bme9R+h0lES9TjnZ0pBGffj 5beji2OSfWNitMmTQtzKfQyiIyqRr8/rvvsV9/zJ4uJ8rXKnv0D2IY8KXjQ3OvSaaHfnvbtRgMEg 3yly1OJhDe1K4N/TVrm7ITWnxvoTOXC9hZpeLC6+9LE41phPaYhyaJZn2dB1GnsIUQawhEy259bR wpXAVBls2Hmc1wSHvQLCdc94XQA78+lFhiLBnMPGUd2bOwZzcXoEy8OWnQcTnwj/XTbDx6czNXEf dQGDGVfSQrTuBZd3b26IA1Dd0VOnYUsP26FJWrgFmqA0xawrl8eLtvsB/CGWecmZRO5RtzoGfH5b g5T6rBiyogTRQGjcVEB4LI7/gRqO4A71NteS7b+F6vKjHeBwLyLOnsVYnBUv5xvbaYr2UfgEOmXz vhgxH2hsds1xUnV/T1fHaKz64iwN/GQzW3jPf1/vwGx7qBsk1ByalYcmRARNc+mNJuK0BRZ9D1J0 IQcpnFxb8olaXyNPAUhg5eeziNgtS754PnKniHSYqHHEjBzlDfJsesAobnyvQJD2TwLiP6AWmavp ljsZbIh63oSFK2lZeaTj3X+KNGTVE24YGv+YsbRLB2fXr3RIF8WJy5dc3TWiqQFfQp5vtwsUGNJk KTos3K9fGHd8N3JDof9lykCJGgMuHdF98VtVYe8p1/xtnpqtbqBGiKesZKaoKTKGqDPg6o916BLo LVM2wmFls81WX79NfGOdVvhvEir6AURZRXoyS8NXr5fOhotisaTZOJUhMv2USjXBnmCMouuFGieS OAeyl+mtJYE8EdywhvYAMHDDTzH/EMPk5Ae0xIsfOkbLNxQtpdAZ936mQFB1gCkcaV1LWpZUOu7J 9ntAjQkmV8ka2axKtSGNXY1FfH2HZZE0UsC5vWg9NRV8bg7G0kIna4l8fmw1+qiYREaWgy9NN1/X 9ARBWweFjW6dPmuNNZWoBHi4Gn4EHdeZHeQgLD6zKK8CHbfXikULC9mydNhqWlCAQ3EGKJRUrGk4 bMgnGcZam02YrlNT58rIVHKQj50CWDV7etNxrFtw10gkqf+V9ZYUfWeKv+x+kM0AGKsryQq7k82H 3BysWivBXM3qK7z3n+oV+ez/iswgRRQ3vTQusjdrAGjnROWOqG8y+bri2MtTVHW30NLdHSSXSkIF i38xUDzmo4a/4wxrCTizJ0THq4Q60cBEODtzgXue25pJHTOqe76CT9Qxg52TKHtDf0NrwAbKtvAY aHnlLAI7aU3uMPWpZQJDec/LjdBBgwojA6/C/+YdiHw1h2027YpetHA6eGTvRYgjx8Efs8RhPutr 0RxtK8BwfEoSKzXK/kwVLMDfY5FwX59FfU5sHFsLzg1Rp30zOPPE+2sAzIpSU3bMLcoiMGYexFaE 3R4YEScLgNebOI3hQ01ROKe2Kr8JcwqauFf0yY5r3V0i/QYp1zCv2nZVF/aluhgJWKYHXAzveJiT SLteFu3cmkYE6S3OznnHK2Ehy9zOc+aePmKy7ihzjoX/8IgQE+ZbewNStPVv0fXzbBJjwF8yULOh YL6nFs+p41byTxFYDaTqNrnRSiSNvbVwIgQ8VkLPCJ6C1WV73C7PmfkwzJ5eO3+jVdKgffEzKLQm tGCVn7Yjymv9t3ZabFVVIo3phLn19j2zKyeXFZjLOx6fj/Qb2L63UeIVraYR7YbhRsFho6xOamSS xkjIXoNkKhTkeoWxFV6Cjmo5cQ4PE5r9z9lAlZDUg6BfKCI+B2MvA+L3PC/8sOBaclxlBFmHcXfu 6ElpKr/v1Em12FExWwWJFbvDarBI1gqZHfzWableHuOnjcnYM1e/wrYS2ydt0H3hRwmeBStlvq6u 7+n/iEI3XrT88Vtb/XwytGZDuZTc8sGNQU9HDcPQh9jE6Gafp6qp/tZ+DkIRxiX6yWIueARZoB+m jLv5rKnB+U9Q2iNIj9wgcS3nercxgGudF5+qwqTIW+wA7fa4B+6GiO43F9Q4h3OPTtAQ7TDrJfXf DjMOjCopzwI0UzSobYmCPkttbrDjVSowJLGHdJisOOz2ul8zSR5LmiFmSntIL2C81/GV7rilC+ug 0zbKPrgjE6OMyRVaZQqHD167xOwfCQEZZZD2IKUNsdWhBiMalw/366xrJjp95j3DC/7K8Pj8pZPE ++/+oi5YFFRLEwH+kLix9dfTDJWVaaztTU1YwdzFac9D0tVGmA6Mg9G+6RrphfIlYJ8CR7VIfK++ uzAd5iIepakl1nPQXzTVXC7CytIIap5ZYZLGWcd8Tk2+e66O8sRwilQ7QGRYMk6U4MR9DnGIIHED ZmXw6kahb5WtnMMX4h3h1hOfBq7uhO4jw7MfEGXnWGrCxPodyJDsTyDUZYBIBVdSzG07HAwp1JAw bAM9zhWSg5lVKK9h9pn51EpJ4RgRDw7o3dOCsM/0pPUsYx27KCrLyaYJzk1xPS/uvmmUKyFQ/Las hhY2vvNgJfbMAL+mzlvm0ncDMvHOERaKZaG248DP2qxWAss5PhFkNDzoHcGXzOxDVPgb3zHR3igA i/J9Qb7EisfrjSN8BG35hlcq0wM8psa7qPiblYAQVnrvWowBmPARiCDNu5jzB+t98pf7g+AdWq3k ZgJZP6fJICb6unmpmuIdcuT5Dy7hgFwfKpukxBz0I7/sp3IjJIT5DncfG4G7biJliVqdO+oX3I3M mUuksiu5Q0fKv8durdKmgpCNR9VHQvq05PPmEzosSqBnqh8UYP5X6Eec2tfLkMMFXHeMelG7ImWH Pr9bB6s2r1FfjJEYKbCF3Qb+cXJV2aEjZwbpSqHZ7ZC+FVBuGASXl0Y5Ac64S6pQePpTtkodRa7L XwtwtRKrk8ZXjg0FoiyUfikB821TflofhXWzZuaHDY9eJHUngG72gz8JY/L0EIgrJF6/ajjkxwb0 cxkvsjg1rL2cPo4wHoZNKiLtbDVM205U/i52rQPvbj/FQ/dzmaTKXls2xchDe9QzgjmDNgh1JP5z DD7qNtMTs5i55SXISjj0R/yYcanHP71cTsUlE0fYN/0HvHcl1rZuLPk5R0aeviw7oLZjk52G5o/J qUboyY4EsTt7scd+Ep4c2tlNuqsI9fjwuOYub7rsz4yPhl7F/ygMOQPNm+HZUEzaN5zbfD7vzjGX ICQLIqhS9RnXw7T0pwY5oXLv1C3YssGTtN6KRE4vV5yAIY58a5naKMZJedAvSJY88pu6DRH4h/L/ M+dw6VMSOKQV+esI7181KhbiWQPHNrUN73ulKVE9x1jEx5Nz1Qcni7kgvLFSGJngfKN71e+JkSah Y8nvs8jVo6JbYJn1O3dLRqsp5CZIHjDPsmYZqL/nnwHPA2dq29Go75TO3zQMgYq93iOERaivn0w/ qzHnYV0wuUEyxNtxjwO+Gw0nzhotSrzlcwxcuWPgkCctXBOplqychGHOkQE0ER4oqkA9V9DUIDYd pfV3njq+dmEzF7mj16q9EPt29wAst95MWgr0HqwP/nUPVlEhYuxvH1aBCOPuQS/ebzBSwFZDPC34 eOHgQF4GY5nbTMCR8ufp2kF5Srm1xXPjat4iyhi9bot/KmPjPFjOEqSLJ4F7RHvE5fd2SkeV6h4i bHl6ZQ/7cN9gB5FQl1/0j1xRgzXzgPdlcObSchR2xYePaT9TjPBv+uFg0NhzcWUHpCT5CqqSMNhg S+sEh68T3QInOoY02OoZXCVo4GSzlynj/sfrrRqspEDsIx6X5XuR37c8MUeg+1hzV4KO0LiXNN1I Z6CB6L1iuOuvTuuhAlpOxenNLg0dMZ4x/ucuBvztl9piqa4t7dyzn9UTBiTS/bXQQ2R70E2gwlQt mZsyGgskSnJGGMBWrndfPM+hI0xvEILgXvSgPVh3jCpxkrhbfGzcWNSCbIkzqaMG5JFudSnteb6z hbdK97RpaRfGj3/Csq6D8lSPDChtwniI2PO21j2L+NPh3uuKCER5+fEDwJESG2pGtIZSI6IEmmIx d8ZNMG7pHbLG85/p82CmvLJ5EEB2K3mk5H/gqISZWDv0ip2IvrcUEgZHBUvwhAiHai1+yT9uKoVP W0iXgSe3fdWgZ2z+KCUPwh0uB0im9d27h2FE7FliVWXmu4CLuTGkue+MmZbOkY5XNaMxf+lZ5oJM PBWmKmzOyAGTYYNz2+0SqmMjF0XAqjHAj8wGOB5epbXbAu3WgG0+vsw6WJM6jVtEK9jHRcIluNbL fibxGQDxLA27tk5mWf2emMR8NCXGH6RNkLhuKHCxdHomgE38b8VOUnqzi4GQ/TIqAoTiemZYf5HG Tf1yCf4yFExpK7vwyKpr31/dM4vgDrjkbsRpyKGknEBKpAKUaBbbHWD1Gsc6XYzeFoKqjUmX8Vr9 xE0ZHpVEYcbphTB8lU0q+9vIxPni+EBs/AuRs7k3LH05E+0yJz+1/T+cLBG0jIRdhsmhXkonqSO4 +7sdsio08h4uUV1oMt92CKuA2r6RMl/xWSSOjz5+ycWflMqdsCcoz5mI4iACVycAhPWXDN076oUM kCN0ancJCGvn+Q+WmPn0yZG2FgIL08+eromSSekk+GcE8WxtHaputTGdqjbQQyVLYW+UrUhmng51 Mf1o68qXHSzk1kn53PozbQ3hN9LsyGVu+3Zo46/WJOJmHSF0O1ryHIvvW5OHVk+6iO3oRHcKymeS +Yzp1u4iX2GscYSHfYSm+DJcHgb3mzQtPu6HBm25gSHvFcPbYGxYNMPpfoXBoV6vWIFLnCwdzrQw /eVqx2U2gS4aRend8BOamQNuWmwsreLjC2QDvulh4hs7YNWNEgjaf2uCVh2LHQ2NPsg99cbgjwvk v8BfbV9MFvf0c5SOHS1b6CHFLk1avdzW+nP2B4ZQqFwiV8YggJhbSdD9oD3IQyJ9Q0cVNbVe3SHd 2kjBS8yYYJhUqPJJz1GVMsAqMIYy5lNrRnznM9Kz5z406T1lXUbT47uvCcYGPOe30Iiz03SVN4zn 4mnOIM4Xz8GRbH2xsdplkQjSnkd/sCDCo/ByazNzHlJQmP8z90EhWtbuAGeGfheSniwCVXpAu0gz S9ztUn31CEfUVeR9WKSl5lwbn7ytwiiXEGl3I/16+uqOnlzmhjr79Fz5kkxYcLFfbhyIuB8SRwU3 F71i9U99naXvYuITgbHoD+4seBwqg6BAkRtHdftcIF94avvfVgH6HfGtTjSwLyViYxFGjDoSLBfi iL/QC3zjqhESkeH14ptCMsmaIEl6tfX96s9zEhuG57MaFDBw9IZxHK79zinKYYxN8xg227LJVsoW GFAUqWRKUL33CKp+pqiRWM5qoTuMtkxnPDTT7ZyuQpAdUguRLc9of9liqOVqqzDnkSQ97PJDitTl rhY6ZzZ1Kqou9veyz+PTM3OhI3SSbjx6pRxFuvDmhiusZYscatICfii9DMTRLB8bqvDtxxbB2lHA gUejR21ZrbHeeCfsJ+h8SHln50ikvKPt1l0OZO4NTJsH6q+vdeFDUMj99PIvdAGZ0GchCh9/VvI+ CB3KhIDpAP9zQrHxBMOLUkLhVTR7vi65Bz0+s8JTUQR9O21Lm6qiDFEBzOejtlPSywV/l2rihIUi mz78oyM3KnvItcXv/LaW40K3YKqpLaDEG+lUVrhFYogswg6uI7oMpAJAsAF8cx++SwsOM9Pmln6Q QecdkDpcFQDofvLb0wjP6oGW+Ch520EIfgYc16a4QagaWBhHWYFEJMNoscnPFLmArWSM1sJfM4G/ QnCFzWA7F9w6ELGARbr3de1EVetJ5guAj7IYQdaPiRl858uXUcNm5l23UYc/J9Gf0Zffx/qbs3Lm WM2gik9c7qkVF+/dW3KkEkx38Ji/8Fsa6dYrUXsBpRcyIzUcOp7ZT8buSaHAwAOOcufefW5A8Eih KzjbMdttJQd6GQQVVgQgD9wLK10ThixaatSP/ediYPpDtE/bQnRhPNzidc02ChwMXyvnaXYyOf7V SLt8c/cSvAPkmnF6uSYFA4GN92OAQqc7wQU2YBv87nU4bt/DQWse+yC3rdsje5TmB3pjiVumEGKJ TYqjGvEvuKUW78cCYQjSCPC8GJ3IaNq2LB/EF3KWQyvW5TToKPWQHDaXrRLXhtiC2Esg1wPAU8eR aMtykVJKclZeH+Lfea2Vg/OBN9Ufux7RERXNMB6ski7bwGX8o0Om6V6mf9j3koClVVpNPrJ73b+M TXUolsKfzuVq1ZZMJxYDYDlagGix/Lt/EW+DEYmqoH65cSuwi+JjdfpXqaLnWGvZmmY26PXVCT0I uTGeqk6/uK9+uCkm1/8lwmZ3OEe9FaRBZp4u/Mu7zdBlMlh2/Ypq3Obvuvt8QkZqhag3EkOBV/rT 9kbQTuv/rmbSuqQMDtGGAqFMjq0fc0aH587QuPlixSqrHP2TuvfC5iNyUsEI/1RfRGCYDXz8LaLc CcnGNwLy/zqTkVZQVNOgvGHQpVkr33aXEcMhrHlC297fCuFpO8CGUdZPAmQMWIml7HijzsGsvT01 ep91fDV9pJJn6slVyiPlmo6C/xpT6hRkR7Cwg0nWBlWceDbuJ1hX1upaC6kvrUM8pLaUXWTnQqbz YiDuPaoWjmQ6/hb29MYFD8sJLqWnRdmc4buswNAxx/tKLIdOJJOW0NP+5ES347OjD6EZVhi5d3Od 4gEcp0DKgD5puoo93qgJaoytvOolzGNncIEb+sMEafV72qLHD7G3/nGI82RNYAz+kCBrxY14zf6p +g0VWd+2uBttS4XpB5gUEmCKSXSB2R53iOktbysWqKlCf+oxAh1osENl35botSflaK4QJPI/HYRJ izhpJFq6LSiP5FtOlUQH7k76b4ROVjZdGTgp0DuRRv6YNIQJ4g0GRXlnUGCgIxlAVWvn3SsZ49lE Hdzhk4hu0DSnaQPISym78uFV19nnYf+39RrOuZt9zg8RcnQgp1oTgvDmF403ZYtrv5dyEG3x/NmJ CEyTxplcVZ6OndD2tEKBlGwgkb39YTqJrtLll1voHQOwioL1hDAFCtltQJgbsJP8C4gVbkPHLDcg wVKoTp1HV6iRR7vlJWOUDE9esaCg8N6OBA1qem6HlULXeALyvLfVvXIkeSAr8tK0zV7BUxibN5ZG DlefAuHn8d2bxxT2yYTsW4msK4e+0JvKV+EgSklXtR3K3u2jDgjG6jmLnA19JILizdoqGNReq2r6 yvJoo+SMuZ5Qhi1EjMwvserpAtjq74h5FSCapVyGwxkLzEeUXs1AnSO85XEoPQzliTUcZFc17epW MInMH3dgE+G4xrvMufyBs8/f0p3gK9OrsRvsqjmYFrLxu4mWUiaobM3AeY0n1h+L4nZi7swrnwRe g6ZBBAwy71x+At0T/uIDMTq5VdfuzUb+kqYY3Qw6eFd+xjDL+Gdm8SxGEIf1MNsCRYknDKlwoIRW DAbF5Ux80Z0hsKvUf/IHTMcnhnCgBc+QPd95Rm5cKkcjE26m11sUhIiGPc0/YKbboRsOHTbCGOcY CXWSPytAGMs5DYwZchZprGo+4yUZwzDA56fIq47qfjgRx8uFtD3LEQ56HHKGDzSUbjeTRNiUO0Bw 8M6byrmE0u8LxdYyLjaX584Y42iJxADAN4XjlX9eWYrehjJ2pxfRg/3mDoazPHZsWAZhm+c8uTGK u6LIeh0WbbnELSGEdf+dxomqYWcDppCUE/RNanCy9woA3gdcAJcfx+rH4sLnx9c8e+HRt4RDgJPH u8myCZG2qnt3uj1kof2iDn2+bnrLMNv2W40kioprVogd7n2VQVtxzKW4YdXOrPnmNd2uMtmTOEDF 8mqPNqtw9laRCf7vaDn9mSWa6UWI0atjHyonDxIDehFR4eo5Jna7J9it8V/ZGftrTzYyJbGBN27g NNQdhrzbdQ0KtnwCU7UwGpWq2vETHDa9RVby0aM1R+qWoIP9Eb+iv8YPmQVo1bFHdsBFuSUewpYn xvqgaJe9M6XNPXHk3It2AiBU6JtkriFM0Aul7/aQLBYcBrYxe+tIF9dYJk7EJiAjrFWGdHEKQ1GH aajgL0yQGrTV9rQ4p+vjQu6vPbKbF1Zaa/+qQfCSFroODOkQupdsLyXsg+yawIMmnpWiGsz8uQYT hWjDF9/o1pC4lmODuMBLIfXMNHgeBuYuU5rh62PcKJseGFto42YNOeNu6tBtrKMsD/JgzJQaOSGO Cgnx2B60ABMWeZYvADw+G6OUaO15id8jZ6PFqN3FFQI8rtBYFpc5zplkDoik2pGO+6H5J5J08Bg6 zYqneUvVPu0f0czkg/xo7O4Sgn3cCSXkYet/x/Ncbfe4H5coaVCmz539KeaDUSGk98mFrWwvb9Bl FvvATuUEqQ6J7MkxG29WdL+pLEy1Vpccc56I7DYKnzQsgE6K4kgCzwjTIWaaHy47oz2HwSONfsBb awEDDZH2WfumBZpck/JsTDLKJJLyiSiy7cMoaRlHQDC9/k0kcXkiFk50tlLSi+7XTiYs93cHy8jv 5Xb9cM20HWm+vTpeTkD56jvfT9ovUu3C+sD8S/FoA7XTG6j8ubpIoJim5XxuliKRnhtmhxq2+nhh g8UvxTut2PNo5zscSEcTjz0RhGYfuWrGWQEptepNZ2Cbg8df2OHPF7wmBw68nMT8uOU8aNLF5YSC JUfl8TR6jCPXoaMdhwxD5C0lonudPpDR7GcMLis6K1gjMGDHUH+bRnWh1Zq0ahWrsSsV8p74vlz/ ebfp2lVETHMp+iGj6xcF0LP1YiEUreZ6fOy0TWCbMNn1BtNc/V7pbJ7eyr9dYsTIB4nPE9G67I54 8rwVW6OihoWHmON6QclFG0inOgYdXB169UKvavbwLMVlk6ZyVU0wSozXDMutQJ2qeiAEBJrtj4IB 8MwN087FzdT5B9+pApY2knhIl5cufQ04wRDO+k2gYy9tnhBRqKHDvw+FYSXxfjLLerLvCYFE2E+s wsRJxclu0+6JlrsDzFLC8HZoAdcFBTLurw2yDGaqxbIEs82F02qbDsupHaDwHNu22jzLYwjBEqdO 0l/XEVtLu1SFQssKOMyVrU153nRthSgHVXK2VLzp4qUHx5CUCwgdu6zSPdf5D+SGvc+An9XoHZAM jqQnHM98s6Ey+A17xEr64pstBO2b+EgFdbYbKzzX7h9+s3Huo2+441o0Ei3dVg5NnlA7YlkAMNyb YfyQSkrflXsBqi+NVASjpH45LZh3mJPbZR21faHT20LPtuAllxDMCJVzxBUl0rM+ESmb9bNNnf8p JEWxNDEwzFR85afst198SCX1l1CvaQL0Xt3FM2/LRrPx8QMPrYEbSCPLxYRGFya1eiTexd7eH14C qHS/zoPO/H2bmGCCKTEEEHLsFDRW/rgUn4iu/iUsjB7ZSTcVKNP94f1o9ggp8SkIgv0L/UnIX6UO XTbTp8YA6cDoklnj0I2t+i53goRLQcq6PjWlTK2Y39kNHtE1Xw0EwUKFIu95t6f8ZPg3koS41O/l bruSVF3foZ6b5LQTEoSjnOsMmnwFYtFb5GZdTmx8/GBvr0ESm5s3cYAhV5UGvmYJ6CGXyKRxj+W7 1NN2sYmkq4riJWAxEZ6ysiEDYi47IOF0UN4yEoRsdNxmMsaVtySlCcNM1D2jqXxXR7PRVCypZRNO Rrkb3FOXgm9F0NUjauHgWWVrn/2LVMsq5g7XV3RkeoURA2lwwXt1QusZInhDBSOZhOSVdcg7HYYq uJiPvboeDy6U1PBurQEO2s0xkB+tzEkbi9Y5OL/O0TZaTKyD9iKJLYLkkuwGZhCxwl8SEGzCUDzG 7x0qx4ODg2GqhBTrZZ58QlENehCWLjYjZIVAdB4rbtu/Xa6UejqQASh1wkX3z2WCMaozd3ZafKkW mGgBQkJ/Mp0tuxlimE7GMNXzYnrJEcruo+3k0ldMkpzrOOTEZ28/cvL6CXcU7bwH1ncCvTjTnEQx 6qKtp+6e4sslB3J+tiUYlhz1SozOZoviXwZNkW4ePsoJBBSouUkUTU/7cPekQjjscr9v5+GsGPW+ VoWTZ5KWFDyCgEjKhspNlcJJqNLIxoNa6gCbNSrvp0LKz53SRnQBK33WwBYvAXxrzvBvrjko+a7j mkFfuXhIyAgSsXmkb6+ohEdz4jzjd9NhujSML7IMTJ6/RB4lDU4vpM3jVv4fnAcy7RZdnnyq8Ter tgTklt6iBurHpxuwR+FE8QHqfDKGzF5zPudOMBgPDKuaMAfwwxKYKBC81TY1cLZUeFhqyAffcrbd oUMp8mSsvndnIGa3jsWnh3GohWLpVtNbYj8mWbl9Q37JktvjEn9Xnw70EnMyektXTRKkQlzBDoWY KgN1EXR5AytgyamqGofN7pnnarY1T0+F98HjttmYjkhqsOx3lwvHuA18BMmPcrOjDR3CgP6L/DlP pg3Lug1F/1l7YXVl4sIQajG3MJz1kwQk1xefaXkZQTsJA739QyomqfdwifHMUVpigC7bZ0n0lOJf Cz8hiMzTxvVYqt3OcteWGs7ehoOPWiDNnCrLjRLlOp7SfkIC5DKUC09zuLKbmDKtSL5D+uokCaMC tqlkXQseJCT1GihwiWK7fvrRMsbBpbi4UJ+I2MPqrNx99BOfWIZd4B5CZuwha+L8/jOXGslVDkpZ PQMt206tB6tm7R9d5FajT/ei11+Qm1j2pZupD2xtYKhiyoMKoVVO5AjbvRpc5Ps0YY3+RvKUL2NU Vi3CSvnWaz2nBtzJlr2WmY/H84MH7PzviETM2P9E00utd6WbmZf1MwA5wmV+aZKc8W4qG+/+wn+r Vf5x/pOVKYeZN92hxJrFEleOUURpUuzIaPGT58tvD5sEfE1xBTK+FE6S8djQlf76INQtfqAYZAa3 cD57BRh7t0PmBLlKnHCsJN+Zzj8/E5yg/nv5KW77dq87n/Pq/8EzcMs4U4UCH/Tv/7y5TNsvV1nQ k72wOByGWgD+SNfNd8DPO2lFpjp4SQoyoRTq7VQGo/SMEqWDyJijrv9SZcqZJjr5TB0HG/Cft2Jh CgmJMcZMN9K5hxMxM9oBYVVGj/231ik6DO5qylASLAQlz8vx8Fwu1wMFfCe3LtUfQqEITxyhcyr/ Os3kTqaxaCHHwVv54Mfxa+ykPgDNyE8i7U1JV7a0h6DIhQl8MyVq25Iys1XNin//0gEDdVgefp+s BDUPq+WlNrdykskH8X+8AooYuAeXhAlfX7rfUImC2dBzzCJAHIcztqwrfl8HTVw3CwXdohKmvfwr tzrDcmg0fopcNw7XDl6P9W70dBGlGyC2PWwiwvuusfIezJklOMYI9l3FHd4GgIX2/r1JFhbMPg1b LIwK0mI6GGDp2Zqt2cF7LKR7wZzCSIWdXUxxlXrq7l5oaOGIhDYeWlAtK4bMFdwuD3aGqZw+RqXg 5Fn3OWrw1IcGlBiEJzAcgvTSGY3yn9MxRIyXr6R1gQ50LifGJVmxOhGqaOGldxDQUH1UlQVgakhz WlEP2IbHmfippbtj6mEjQsSrRgnbYXcpHNab6nvWdgm7bwp2YOH6nfMBVl9vmulcTXn8MYXl521I vjiBUBPpwdsBpQicn55eXL9A08rnVeDT+elxNr1k/L/H2cT5zcZRPQ9eK6mtJGQ/kCWifX6XAhaI G5HbAgdy2O48dztjRi3K2K/bpqaFtSryHJlTqP65+9rS01mHWyFF8nRdwAsC6x2KjSCSr/qvVjtB JF9T2W2EpmWhSyHO/8EWrJ2sgMKooQve/Hpaugv6vdhikSObxbZEI3hZmmSUEZGvLLgsEU/lUx1s +PVrSBBluHAzbuiIg1e4pWGNsRnWTQIg7mmd01yeVMCSpo1DcdpYkerXBno57tBUw8IjD+MR8e3v rArd4tKEJKnPH1uLxSh/q9ya63OqHRMLig1Nw6/iQFaWoH9JyooQ0oPKE0KHXf7+OfGuzM7yKdP0 zE9VyD94wwN3+nqsi2Iem8XnTImi9pSa7PHUzETtovUeflyNr+QXL3dzOa8bwpOS4/LZZC4OdbfG QUzGS+EEGd6vLvrWC0hqmh1uiqchw8wprxqjSTFAxk802Oc/DOZfZotLzAvPaw5IZhQXMKdPanUW YHFYz91jy0/pwnHR7kbhgSDqOWF2xge/DEYM5XeJuhmgEDSgicPZgEkJiPfTe5UEhR8EcR0qNtzW a6SYfRLyAzKJgzW/VCdoeamJviWCEaebVvBDKXWjBCn/lGdHJOoOiMK6YiA8/7dhv9ortWWbUzus h6E67asIUTWj/cU5JfILT7AYYvoBA0FcidHrFMbAcVex7qMFeBYVjDB6I8rj4GVP6B6wMeNan5eE MEkWvNNaSVHrQ4owYA5XBXMmKde8EVueHAHYM+v8TXWvzz1Bl/mYx2Z9Efp28zpyfE+F7wQnqRbi FlQ0Q2gs0yxlEEmx+VwGn5++bB9in8QNZu7wQGyc+nUCj5t+6WRFX//1/4rC7aVuiLydBg1JLaxz VYZzI9I8GvMYEO2kPjgNyAUb4thH3bZ79JG0M3VN+1NNecS1cdC2iNO3CzJLbDEdhy7IHf6LlyuG 2/vZFC2lDcn2O+bk+bWJM/NqhBYhb52Et+WJMojWgAHBwdYWf0fhDNc8CJW+mRcdfq3RAe5vczDE G/NUb5gGr2icZb8nbWHc+a5J5b0TjUJA9mBLT1DbuZSIlqAaz6TxiuTlMdG0y8Zd2hxK9PPSbkHt +/fpxgRvC35J51Orrons0/rlVAj4tq6dx45akW4NKrDjFySX7Qoxq5UzrkJ4tl49IyuCS/QMkBdo 9BHC2rhMjiRcWX5gGzgRIKIuMXV9LTskHHV2NBfiAxNkwfciU2M0SQLGZ8JN1a713pJUKBcZaz4r c5LOA+rvScAOkuohIW/gzOtBbpVSB9o9IF8EtzlIqjMOVwVwju1Ofm2CyrVXgFIby0NUEuDhw26m +jG13w+V/9o0Motrz+D1voWyRdbpaCse2QQNfUHy0ZBwJGrgIyEXesfMaTPWfu9ATOXvMZ8mA0B7 7P8sirww6OmEE7gxpBOYRXOCByBNJ4odZxk4vBMyZfZOWVrgJZ2ah0nEqyUnnOsD90Ek0SlKFPyT n6i0FkdZ7wXZSLxabQUjnGM6RgoJMJJv3+sR/LpYhHS/oUb2xawZOOsX4yooLoD6cPoU2gqMpyIF LtBsV68Q7Ck0wqAAunZWL4uFt7+6MByn8N8QljnnKdKrJeuHLBEjRK8AavbEw1QcuhAmK07rRz6p ddguMa0vyoZx5M0f4iWoNwiXxWhH33Rm0XwDUPIlAWXz3XZRDj+QmIFlEqfdC7DJuh7/ly7oq9nc nC9bu9IjqV424NVdi2vQPzy0asQVONGsnt2e/pRZFx6feRa+X/IIkkBY6LJtpqUs02ntIDLIbUGm SeyNco/D5VMUnMMDP13W6l/xhuC+r4a+qsJXVoa/9kzOxpT37dI/jbc3BCye/vkbkT/ek9GAWYl1 izID2Tm2AFPaIdJplVj3sGwzlhS+q7mJbroix5kHb03WIhTSEHxkBEfjOkChAGGQ2B+53Tv31qFn ckFTS99dRWPLpT8OP+ZXWwmQ80AwICluZ6u0pljzDHjzRtiI9Rf4/z0G+CiJjLgz2IRmYKXRCS41 YtPvxeaG0cepw/kyIHWiSOrNPYvGBE+db8toCR/oCFLhi2i1eenHzFErjE5j//KMZWuhB4h4W9pu KaNNHNPVaESWvNiuI/LcjxgaKYF36wOZ4xfctU/5xoksO4No50B62SxwXQp630tcX/LrrjrXDf2v cdpYgxFPeHkVOFP5JAaOeedQLMTckz0sGV4Z9+ABtvH5WosPwZ/3htMaPJAocrLX771ZkVXLEDwh hQXuboXYw+tB+z5ecJ1liUk3DqiylsxVRlcmv1teED32nHtNEWz+kS+tN+WSum8rBF7JXl3At/zp 8MZokyMmIQjn3bOFTkBkJI+ZCtnTrtxPfKTeYFzvKA0U6ExGjVVmGaC1AXtuFVEDQei34F9jyu4o Bw+8DdcA64EfT9dO3q09ys0d3vunypnN5YRzVCLoWNGwXLfkyBi5zSshBh3tldKF9SDEnMZ4VPbf cB7zAVTH6KX9EFTm132DZPwg7epEzP+O9Tm1XYZ39sSbjGBayrACCt01zEHRafbhZhD8DY9L0pU1 +5iS7SFQvt/FxAVwM2cOCoLoOTMKILYsLqYUF5GYCBnRAQU6ZAPudwh/uSzmRadS7sp3v8UKpvFD EcZCn73dv8Uvyo1MpeYo+9C6+jpwABJBC/UvXEQwYCofk+flTW38dj44JANwo0IlF9+jhuxnaSmO ngBDPW9TqQzxJx0aPDLSTmo10eiCc+1GFsa11P2/PWmMYgUAtzET3eNGgcKkRyq9sOs00bEZqNzt qbo1xTKi7piA73MEnzy/+fRFtKool/EFG38XOJ82z3ywfV42BmePDLPZDUBT/XjBE44f7ai8zkMR +JePe+l7ey6gMgy08PtaFZTGw5Lvy5ilHzZQ9wYfAHs7nYf2xe1yycl4V/qR5bbehl9D0QW4oaGe NQ24conEmuni28MFO5zFdEiMkrrqj7ttBIJRCZRX3o8KGC9qnU0RDkzpwtdao1L3ySDsOwRX72zx O0SC4QX6T7iy5XXTN2K3oyuukSotTUrBT/r8IAOglZ9j8cMQnD3eI8APzs4ufI0U7NF8lD1lfw0L wnX5StDtBbKejPsOuLmlks1tfTb+RrbBbZbi8pnUeqL7GOTUBxIE57bmGylvgYVxjD1zSAvmsAxs PiHBDvkyz9Z70RBG14C0Fei9UfFpmC1WCOh2QTQPTliLm7R4oP2wlvdOlS9o9kE9wQDf9ws2lAbR A0Dt0Ssl3EbkQ93YX1EDvOTH9pb0mYIBEFyheDEjOsmaJfIovwWyIVHhYDzw6xe3MQQeOAdO/EV8 6vCZI6xE0RdzMXIsiu8MkcfANWn4tjjfmzCpCPk1uK9eUlTzi27cUODYxMsstQzFXXZOD4izYE9P Uw7JO7qp92eZGHaKVPT5muE6KFKjryovBEdTQJlg8VOAdQl7Lp+DmzgkvV9K3NT9nCgM2uEVx4x0 IyyrYNiihhxpapbxI5z+G/ves9YgohbCH8oaRYVtDdfdhae+oT3s/B3/sppTG6nn7oyb/wDP9dlX QKPBHDoW0pz587V9457TuT+IKxm8CFX/rx06nynRcla51ljqBeVfkstCws4Fy+xreOt5Xsd/eQ6h tJH7/X2+n1JasKrpj8NK3bdNKzoOK5je8lSnKOk1sAlDS4yVYbgUc0iV+b20xy0INAB2ACgh1732 x+dHMKVWiX0azHD4TylPKqbOticJ+ZYeg9D56tARI+5iZ32k/1kIa1vFIRVzo1CCQ0WnJeiGRjtp tgqIwIeiLBVbcQFJo1MCFHKfdVx/2YN+pmSI/bw9fyAaGDfCfD8PXHE/77Fnxryd9nn0e9gKLEPQ dLKWv4BzaGOafL9cNftdKYD8KvndBDFfV8CCkHqImm6t9fuCLFZndE0Hwt7y2hRhiRFFpfKzDfxb iVYamqF71C82KBAwF0FzmjXtaiEP5Uqf/mD8I1LeZ5amkJU+9bCVJyZ+vwdqDGGw8Qj6jZCIZqE9 px2isHchx2UTe6faE9TvAslBEnLzovGF5jx31T9ubPRNEa+yimLo/wDjoI2qrVkVIWtwOzXaIc/j BImmCu//HEfD9weNhgeTtUVFLGHPdvoxvW29TCfCImIzJLh02QaOHsAvwLQq0uTvJsm2sRO6RLoW 2XwN/Qnjx8Zd3WBI1oTIlHCFqF4Ui6b+lo0sak+5Jcp62E6eHicEcYDtAQyUDiGies+95U8oYWBD QjcAekDrebC+4iWHOHYh8Q5Nq7o8AJ8K4YAmMCKhAQU1k49XYZo5KHx0QiFEZ/H67u1KR89X3+CQ j0ntL5fP6T0WmNBDgjQS/oFplCdAff8wjraejmJPIEph32Wr3l82IrbsQn6W09fDWMrnEuOUusqv aWL+9fIEFOV9e61m3PevqlzR7WUPAehIM7JhD/tFNXLtz0C2uPvM/ubxRffA1c54gEFLrAQ3Nsx3 XBC7dt1rGLN7lb5tcBjxR3Jg5zW6p1iKTvCe69jgK7LAHRK8JfdhvnGCSOLm4lZj7ONEcewwRv7l vtjftLUdX0KSdz4bGcD2780jTA4dGVCDFRue2UjssWaghFpkmU8TTbJhoSdtyw5HzAZbbLdT+o7l hwirG41L9+WZjlDVIEkVvflrFlrMmfXhcbKB1HWGwc2oaaQOPhIiGi2eT4fngJrNCPq4VKS2Zs++ j3iL0T4k69P5TLhW6DdaUvGvB2pPvFGvTUN9fTDRIAabBNfi63eQVSe7uIJGDt0l8lmhw8d3Xg+N qYuUjDQmhOAGDIa4kFLaiBYsfx6+GkOS31V//GpGqbdT4SEVqbHbw8uQhZhr7IvSNpLtk8ntxgjQ Amn6Z3dqWfvrlP3h94sj8gcbwJ1BRSiXzNjrFxR1Rf1Em5SGx2GjIXBkiGliRyMLAmMH0w14pcXK M85kWfZkH7OjpR1gzQ53gIFwy7drteKGqSl6lxVznl3N2TdJy8vQu02J9x+HkYy9BWTczMGAJanq 8/ll6DwaC2MYpZnWVOxAFPDS+cx+jZt+S0dFEXmj5dFR4lFkYEku2Gs/rjRydT0mPiZO+tGBkvRC i/PncfX+fzn8guRXFyVv4spq2gsN4G2iZzkM1kzwi8QYsmxsM4KBBfgl+KMFBBqnO8454vSPIaB9 7xbGPZrwAl7/M0YnMSW52JvW7joR/mr70ZxIq8QunllO1SNZe7tVZD2Wz/ecSkj+x8BQebmVC+mz AXbolIc/QgaibdB3WztIA9yhla/OC8bcOyoXrZAje+mfoSjqP3ZjuvMDTx/mY71/35R3hQgB48oD ZUYWW+6gcu+wtWOFDJ7UlcmxPcPBZPtXhDnTk2kKnshXkPkXNwbZ2RspQWbnZ/ZnJ1YxS8JwaPkL C+s7O73uQEJZ67bwLWkcpBcVuXr62N00B6OifAJfXj7Zb3+bwTf9CzAR+xpDpaczESPtyUbPsm8Y AQRp+6yop177bd5o1ajpceROPNCi19MZ9HwZaDjpm2+it4hEPTfuETd9CGtF22KQGBEbfDnMO9+0 tvmtv5BlVJVCKDDCJDb5osTKQ5sRMK315hmcVYgYzvPxCKknDTUoMiZ3Jzw/v6GvjXC1J14C6cus EJTX1PCkpWhcwi0uQohuudxk+UaXqLV+qOk5u63T/zBX1m/VZ28txXYrBx0V3q9EwgXh29wbjiZm BY7lWHCFJLuI2Nnrsia2CvtkhrBc1TlZ19+0JnH4qD+IouNA3ISDGskrWNHtJd3f0LPhQ23B7+79 WVPVACiuCGSrK/CYR8CwH3h433bDPwN+ki17xuUdthMx/d8QDK3FYm3PaJjI7sQy7gWk9Sv+IKAG KKYdLNrTLASaPsdnA2MZaG6XaCZ+mWg2kx3I0Azf6bybgROXSA1iRHV32NetTf0zShcPOzAnWEeY sZOkK3/QZRDWh2k2MaBYRuID1t01sB6cfUVK1WjzM8n/MSm/8/Wu5JOKHbFg5kAjtJUGGagiZyPQ m6liuGndzQw3q8D5QDY4ekkKR5nRlC9FRSUJwvgIsDLZxHBeGPHTdU8/lEgzS7/eEsCpeAZCPupx a0A1pzv1ECNhW+AMDtUjtKz+0YyFk/AhtdvZKWu9OrbqBp/MWCky9sN9uxU7ZLlaq4WzmFUQC+vQ TZqVYsWWg6BcyogUlGAZi51yODPcsnT3Mx+D/z9AF+Zos/Ec/87ktORNDlUdDGf7MARqEKGOPK5J dTIKqkoHm9raE1pWgf89B8GBTxMHKxX82SZl20RuothDvA42Nghvc/uqm2AkKlaRvZecai5IebZx gLND8EuI4m5HRGyPY0zz7I0EHuqSBrSu36sE7q1OEmaEqNSX4NvSWm9iWKwtZlqxPiBuTHHy1qDg 2ev1WCGjAbTZAM7xAAVBy2BTSU1P0119WJ8LfFvdxR0aMx+pbMiQgaPITWhc9gqGcmgfWyl3vRiD 32WtsXJ3pvbOQ5RpMEE8SZknno6xYjVRJUydLUgWmUtPLsPdK4JZfnbmQNbwpDxzWfELC1KiUTa8 ol0X+ZuLzsGiKmgHFWe6TcbjZ8PXzPg18G7pXIA/uLcxZUWafLJbNn44gFjFNKPqN2WRwLZdX/k0 oL9UW0B0fft/qCw0XAcV6zXP5cdjiZrPp86Smc5yAu8aLpszKSaEJJhSX+0tUP/QemptHDEA1jzC 2+4hm0H3rvZBVqRzVLraRvCpVGzyXX/0xprRe2tbit+UcxZk+Rsc3WMhWuj4X/bFmWfAZ8EddMZz RThH3k+02FPE2hh0MmYji+syswBMva1uQ3XR29PP0ZejRUeqamWrzDGJkVpPVmIO8tObVt3BrBkv jcwDY+4iWUAXFZKyrUwZrjgfpqy5S4NM2TMhsmAiJWvwapmvaEmuVeTrINh2Akd1/VriF3ay3Rnf hWNc25rnsVF28Lw1xnuSJLeM9A6EbwhkBEDVMCqfrVjGTATvky6K+1g6KGJB6ByWnmz0FgDQcwn7 ea81v9GAA6xWXxIJOjBh4tgtdxTuWk5Pn7L/49XB/ANqzQuwFlh3R2jOiF5eqTkH4HioQVKdA9MQ /MA1y9SJ/w4Jjgp3eJtkx8vRDAZf5+B0JqoQCTjWTydUxYzzjFKbnpf2C0EkCYLwyWavnhnEWvSz xugF/jwNVZm84oW+Lr+Xk2p/bv2P3ZyV8sIv6GTkbmMj9OQ2P6v0cq6kSipCr6lCXW2Qi2EI43go TZdg8dadMOt+L4dE8D85BvpOxd+1kBZTBO6ATvCAb94OuSP2xOrU/o3HlSvYqttYqYFp/PvW0K0B pvsXpjGXoLT28cNYaf55t9yssaBr+deWwtHWcIxROCsGYGM97KfjHBL0BJg5+x94qbsc7HuFEWhq YZNA4Tx9V5vkfgbii0fBkncZ1wYX000EMGtMimSaQ7X9ILwPuQ0dkwbZXQFVhuaaZk01Ul+Jde+A IqkYWpukfXQqC49wcWMsdneWwqFfB7p1MlUsghJMmzUtQqPxpaES0I8qA6WlW7QtjhzAg6NrmDAz bLaQTFgSR3qOVC+vID7eNFKN1VJ65yk3ifBzTwpD40bk19iUkyhNhOFDEQxIpy8f11k4T81eSwPI q3J54nE2ydWNJjbgonBl5ndLOalN4AmIk06I0Bo20qmZd1wzdQaz4IavEjpNPFunpZGFLr5THfcJ 9f28MhK2UP51z1bIa8lwt5aTvMXnXcK1dKjvLdY+ga9e0I5XEmjT0QfxDqwG/u27cf94kxGz+XDp QA2NNObSR0CjU2WD+mg9nK350mzlaYFDpcoNi5hn6BAZ20YSS7MczE9/NkHU32fajVAmdf49iibZ ZyLEmCJFddqLkhvsHV0XvbnmToLZpfc5z6UK2QgzeZ4NnaKKR3OJKSZ4SCfW1sCEwfRQSy7AKlCj 4zoUnNYl2CQuunwNU3ZN/74Srt7lAT6Bxllmmxz0X6ygjtsVs2J3ioLlV/aHc+mWF1OrTPyVulu2 XRp/SuzjsdgHerPlGS4rKqonXRUFmB4lvaYvnRMdglt7m8Ptzd8vP/pzViQtFS4U4F4rE82Ouge1 3V4hxNpk+Ttj1PePvu1u/e8ise8lAhgwNwWipGtYdcimjeMV+JleyXLwaV9WviZNoIisZYCznD+t un1lqAfMqfCpnHGCdYldvWI/Cb64Wj8PLCeOvTWNQTbELV9FxuIAjFKGanqjXika7VCHWLcKhdqD RAoOPdkuS2zHrjhH02AiYf/3vcQzYyJ8K1RUCXOWHdxU80AgsVvsHAlbo8uQkXVIRLJBvcSY0A7h Fh+fAkzmNZ0kPid4XkSJjzANIRpZ3RpTkdeyhc9AOKiER0nvESB7WHOMIXYVHrafqjAysV7tej3A D/KXPUpIWBZOIBoq6js3/BTX5WNlSpBm6VSeeIQe8mlZKJ0m8BcMvVwxXqMfVUm8uF0LcW19+3zu Zvcv5gfQ3f9rjUMVQVNgSatHbHo81vZUa5IihuvakorWg1tHKpiZAZLrMWyCiddW8GkGjDGtF13n I7aejsUKu91m8djV0LhVZm+wFAwzGVREALVy96B/+BOJw1MwMCmY2pYWSnPMZfOvumWMfptQoaLE wM/pzOaYmF5ctd+ECz54pcdGxIvctHSkYa7ijU+dfOg7kYVMim6oYgp8tS3C0CXmm4pVBgAVM87O DuT9vlLxvUHzz61w3abM31gFuSqGISndug+9fxEuvoS+yXIzNt6fWLBv3/NnYaTqF0ryqaIug6xr brdT8cQd56c7A4eorfQ/Vt16DrZyGOusJFt2xmW/ebqnSHJzzj+gKcApEGb1PKOZOrIdB+SDF+3q FhfG2kcr7ekCLdJGFwFTjnYUkCvSTUyXPuPX3cM7/YVPD+YlU+ToNR8ha7j5S/ihtvGzq5oWw8tO Dfa4lI187irT7bAOi8PB2htgtmUq8cNV4R53gTOOVq2olqct3eXODK1NfM2eRXAm3F5apboM2226 XPa0phwLV0ygQrxmCU5MA+P4YqjO6z7IvYAYKi1TpzvyQuUMLPJWy0cd8JgR4SGWtijQhTBNdygU DHFHxLvFKDCRlStugd/qSW4uiNw1xMa6YbZnJ0egkKOvpUwkqUVQHqUq/N5bVuRq6L/WYL0YC8t1 FhPDiwaZhuvlI7cwHwB6oxgsEX1XAhtDi/6fjmQbHVpt+wzt2nBAUVNIqmFMSaIIJ4HEn8c8Uhu8 eLFyt3IcrctPnLJ6e87BBdhqknO0RZrY+1nNXMFRMAd/QhjURjwEqdIaUDjsW0hadFu/hbTNPtX7 AWJOIlVda943p4ONAgopgDCfVeBwIFBi9355tKzYVWXFaiKADyxvKV7bfhhvxOhIrhmRL59tKYY2 9t10/p9kUHFcNX+WOfGV6spbmyioE9b2OZ9n6MqX2feAxk0HxhFQu4P+O3ULvuNSXlKhuHWjzq/q WUhONZhn0L7VZxAXJNKS+RsihMQS6eo/CXRiYqG8+6rSiw71pN5L+EEYJxfc35tI8yBIKvpT9cBy bqd0pnZNG7kDz+E92xCmJyhBUcyQEcML1GhURy0r9R1LWtUCxMgtxq+m4GrrkYOpG97F8IZFJNe3 +GjPRW6NdHiibXYTeDUaLMeT95aobDdqXuent4VrHFVJSqKsm0FWmtzysQYVVLUvtn6vmhZTWMlD UU3pywn7hdbymTx1ekBSC5lr/Np1pHraHZShEweoIezDWEj5g5ATbRfCVjh5f5iiAV8bOxOpmZGv kBNQVyz6owN21evfNUEd4tqt4FELrqDbiTBwlx7oSN3eYDe1UgDiZ3UCy91QQkP1Ib69zl8QH8i2 VDVZhbLSiST8xkKvWycBdUW4caGLbfvZX+HcOk7jIFxzSMy9IlJ3SNu4JPIpe1n+8iVvMXd7HW2l CwsZc5Irurv70NpdbzP+lWE13g3g9fQ1yMtSF3WmI07bToVrd8g+aVbN3W/GidAVO975AQ9Pazu8 zFuXAR7MpPWOw8iaFEvXc/o4OBQbyvh0nSHX2NvOR6OG0fRSrhzITKLh9jo2YoVjYNn4g4VejfLT 2sVd0KZjGebYNYAdjtP+3NmfZKAXAUo/FKkBinBxWWJPgxxKr8E69Z204K1Qimx6AQvVNOMeIEZX 4Mkh7xtcRGbId/9ui0sFIDGAZKNQtGdAg0QqqN9E/DmmLgOfbNpA+1BSZyyrlbfAt3nGKD/A9hpk ycjp5AQKKqx8Jn+18+PtHKUrdBw4P7KP1qQvMbXc1atlcZ5qkEf3hmzg4ZoMri4G+42uqHZoA5zG wP9mAaJvPoKCPkCF5h0IUfW9VteQXPUooB6QN9rA2kSD/er184Z/ZEq3cbM//fqN1hV6rhvz2yDH IMO+6xHb2iaP3V8B2odFp9S0aVzErMHJx471TjEFNM8sybGD2Zm3R9bfESKxPp/NhuNJ5O6/e1D7 FetigsCb3LxMINi6qcUBzzRE0UADakjiFzTeGOLdHFDvSFZ0IefzAwS6xwTlpA++FcuaW6VZVkM2 A+3YmhK8y8vHAt9yrIUFG/+lm5iHQu8gApKh3E5qtl7GQ5rPQoxfpI4Ck3kW3Ktm5Ncp7AGewk1+ XsgzMfkoeV5TEE41L3TV5pc4tj/0/i85DzYo6o/AhzdP4+vMV7NbEabEK53aEG4ogBsyaIOq7EDq 0LzTKWnSB6rRts3sNDo3kEDfS1SpVMyfNUTAEmR2S7ikL2QJppQorq88v/6EfmTy1QDrQfLwI1kE XRFf5cI58H0jPxF6Uga3j3joPSURrW9NcHHYBpkvIVgZ7q/P8u0HvSGxX6gI2PG4a/Cp69m3R3T/ vt+Ufxif2oe/hiwfeiAkNBy522ghoOG21wNnNgpT+/91CyNtH2PjcpA6rnBe8q2aXmI7YTtkWA2o UcdZzKLm2DDSbx+OjlPxSz3cioSHuCF+OjQwwYjcswmwGx2TDU6N7PE3uUtZOVy9YfMiN6hNiuRR f/htUqbSWftrUfdsbOm4a+6L2H2ct0SydUWTuWXZk7WiPVNfWg5DEFhSIO0iuGDrdW9dfoQKSpBW hWL522V6VZcgbqqSS1PHRzqHZhz9pZHvYP/7c8+0zcq8cV9sAybDBVcpNoLrzxDs1Rbx5lnl3EQL HR0+QZSAOnlWH2v42yCVd8YWMB5skQQGT4q9D+AA3w/N73/K7rx5K3VjORmXo+EsWfkKYRz6Wik1 FYUmgSK3H/luqXuehy08SuCs6K0m3IXba5SeDRV6/RpLOneBHVoQbWd6uapiojPF1w3C2Mgh9/eL OXWB+PWllfsYKgnhdBcjO3Dw5GfwURNlME+OqmVD0K/ffGE3Vl4W9oz8yJXEakAuGIr3IYdZvgv3 1R38eOJ37DuYeAoQJIvj7AE9NTII5IRjuXW0d7uGQ73rzQMf1vH1DQgrlmid1eDgMZc6p1zidDLc kM+V9a3tVljRqlKT5tvH51HJNfvbufVqOf/SSFZoiIagwe4lmX0s4zYtR7BN3GYMK5OQHSf/OUyS 0PUrAsq0JHPFQSsqikYkAI89fAMnPffdbhhYUu/WAGgW7kgKd3XKh4TbJDhcEG6HmNcqZZ5gZDyJ wLjZ4bYWlaVqdgLL6Oyxm8Uii6s+AyPT7lnwN3q/sN1sLwbVnYRWeHFBT5tCxq/Gn4nWsAegFNDT WKM6DnGodHJ+XvhhGpT/6dfIijsM9U2PyLtY9MiqsK7KcTMMamU1wxxjft5Y2OHDN63l6/S9zUul Tr1Rb9zUklrBn8y/u82w8iJqXOLYNOi5HTIqpGVXjps6i+XBXdrdWKZaa5iGdbRyTcUQ1FvsjFe8 szEoEh2CZahfWOJfI5acMZrQs/HwenGc7R/hgZvdQTbKbTKZyNlj1cF0WvLEVI75R2rMWW+unIIP VZVaezkBszh9UF4CrnC3+7CRUKQhw604ct7Drucb/0DIvuqekRdKWChPbgrIEUi0LrxVk2+qi46C A5Dd0oARPL64SuAtoeWLwmvOrHyWV8Mt1JOjiQZhEOFNrZGcxp71m2GvOm/mqMDhfOh57vd4LCKd C1fEz7njnTUDvVTz3H+jpZ/oqCOnn7qSZZZAleZxzhP7PKLExrmmb9gVYeqp2/L3gf6iVgUUmmyw 6VZ9SwLZBBUc04NCwT33X0amNDAUWhHvB/rgJ156WhwpgxhBKsf+Pq80vRapoWVP04wTFZ6k/4PZ FGhbdZsi2es78TYyjtk68FF3ms5BKjq7quyvtIr/DD86Je0afki+7FmrgcRry9NLW0LERBwl91wS Q2i+e7htd+BMh8naOHt/YR7vSa7lhRwe54djFZLBRYlePKK4k5OJjycbIQqKrVtLU7ZPAxDzxfxM lipNNEyAN6+6TalVPsLiqhsbIo7YavlvmLlzgysbCxlZZEpZ7y28nK1GCe3CoU1TBT7dD1hrpKZR Sbc9qRq8+AxF029FIkdpv+u2Sy9AjpFdRPSr3NvpkcqJO4eh5S9QNWgxPbENwQUbESv7lodk21ri Wia8JAGkinrCPcgXWBLbdGSOn+cLuJoHH52vXodJ6YUaBX7i6OuyMbNRhInclegx8r/Vsjcz1jR9 VfXEv/oW7A72HKyo32Zlq9ADx4AkZ47bZwr8HxNDLV6WtNK2WGw/5xDOibiKZUdrfX36yCCbBk/G gAY+4r/LjZTRDkj/7XWpEJlgNJqqw8OAKpFR0AswqtXizQZtNmGhMrPakuxffsxF7yHUD4ZRY0Nz W7Jc8BofwTRwXleSIf5981EvesORTPjO6KWd3c12kgGLDnZT2p6jl4vW4Y480Chx86gVjB4iHTxN CvIpRaVWOVvnfIyDNCLjZOg/32GY1y8eG265tAh37BxVO7eqzLERGRQuEV5sftQvFyJzswekZzHI 2g/fJqRVjiFXbjV6r4wlf+2THvVPd0GquW41hFj8pZlFxAE+QcN/nb81p9BGk+TodNNTF3Qd0nLT Smo6wadjtSZz9PvUzVlPKJGvCj6E2ribfEy1PX4xLQ7lifUZOqCChj8PBfQlXDbS8aLA/Je+exxY NAThZGRwk6LmQPslj+cxAy4ViljnoQly01PPH0kxw5M4/0nWN4WhZOpnrH1O7rQ4SOoYEvS4wh/p iDoU2uqaxTEVIfmY42kj3o7mBMM1jjcBZytEvmOhm006inw6KOuYYivRNLZddZNLaeyUZCVnVZsh Uscqt9EiMs+su/znKIfg47OF1BBen+B/BA3hY0zbDmpRdgvV025jj6NEQFBLUmqxAuIG3915Flxo ajalaKpNjoqVBYjWOWqXUIu2ZETyimzUqpy9+Al9qSlYoZtdECR+uFdjU52b6vwSqAo3XgMw9tvq x2DLyitlhCQmiERU5bK1ICebJ3ksY5ZwBjVKTmXBFAwJGQ1AybCqTsRpurnU5cjL/WCvDdykzFpB JIAWpg6W/LgMDQZChFpSAZdWBVZKCkNmns48q5SI3+9bw0RV6QP/uAE0EcX1E5FFZ46pk+ssbtxw eeHEFHmBDXiR2tEbMfiq0R3NxDANHqsDll/sN96Xix7ORG+3Cz98g2icTCXEXaQShmUUrkURLiEV rZVCfHCwL+pS5F1PapZTozqQAd5P5/JoyFwdjRCq9dqtCZcQODVqnB7ToZCzqKXEhhzT3cEAUNoF 6IT5QO+0ntNu0krRzwOAKHkdfLPss5AF8Ye/s/UIjlyGGslR1IPMpsevi3jI3KqVET3JrOJ2OyDM vfjyF+IbsEPgQv9pZdxrS7/1q1T/rADnHXt8PChZLkts+GEcfAgJrF77oBMFHh7ZtlhH6fmAJUg1 6nC2h3nw1gjTUbWV0i1vP0eHoWQL2UHp+/SAHRJTN5LIvIBAfh7h+tgHfa/7tbdAqm/YLD+BOn/j DWn+K1wn5w6Cp1DlcnW0A2vdJTl1K5lE5Fqm4d3Mt7wnaInz/EG9qFAODLzpEOpy2IXXijNboPvq DVpWemNAkxnom72sGbPMA7GbEdD7UeNzKcxdaMVwkBMi5nuM/4MgnuV5UjEdpb4Hbkii2QvaKxw5 gUiobZPib7CzgIrQJut3PMYyG4dzZpk+oSdjuIcC9bcznPA/BJjDeZ05mygubmLnsfHwNYsDU773 rA8/wM314fWWqqkrXn9hGpUI2QQDBHy+SHZ0NQKvGbE+XGtk4NJR37fg8JnNfcObfj5PnEBOP244 CDoHcoeZ780/qEhBM7EGHUBY+GQcRAG7Z6YNALblEGR3EOF4qEGpsGVHsYm8I5uKX9qgLCT6HN7v 4c9PL3jJvbc+5KLHxnF6KSGxhQB/9E2zLVmZxu4HZTaDEdJs4c24iTklrx4GXIc6Ib5mbud1Z9dm EkWPLeJMSXWQqI4IWMkqSwhxaFJoX+tau/ZtA4cA8jl+J2rnwcsym4hOmm6Q3w1eaGwzAlX5Xqne 0nZmm9kj23p4Sbs7g6WY28wUQ8gK1LJzjJJlcFtTaXTAbyGzJXp6aMHATYD7a5wzCQio2g381xvH CV0+AoYTGYvOuU1rVPt8bodFcR45LhAtJs9A6L6MREVPZ5c/FNqeEzlzZ6Zdin7LfGDB9G7Qt7hz UT+akPosLAUGTI/E5GqIAZp13V+8hMkkNVnzXW4BaBTncE2C2EW47IKjOto1dGuMlBuD6kMdRq4k nty5cM4RaoWuLXWo7l9dmWFxJQYkp2NgU9pE9cLKMWJaoV3T/9/658QCITTOUMdRw2EbazEylYpT qQ6mns1kw3+xg3qW4bsUqmQA7VggOB/Adim8cSb/vt7rhAN8c9QjNrWf96sD1f0RC6qNX+GftY2F JmWsL06E3vxcTNcUX9YOA94MsnoHAWloawoBZ1Ue0ZWuBWSbmwTMGv0kuLa9ucqx2a14Lmxcql7H 88gJ+GVmePIAvJrZi2UyMHx/sX1HQuwgx6kas3a8shzwPARqTS5iRlX5OTmQ4cANHPbXcjrBoj39 LzrEjFf2z9UNhH2aRTkz6Tn9tkjaHPpSQeABohNkKXqn2niYOasLX27MeTqiCxRMhLy6aBZGo76R 0WNwAsxeSRG6pf05fo1tvrSkC8z9AUPfXmKmsxUKkSxbtb/KqBxxgbr/9JCo6QaB7DfLWxK2YIba oi02hbksI3gjltujKYz4lbkPqpBGdESh7ANzTb/ifTtj3l0Y4k3BfUw3o9ga/URXic/w28HS6iF2 2htX8+gn/XI4QIvZxU00OWnUISRkiLk/mdkWyeUqXVgb50UcODH3EWVq5Kf7z4BlIhhIj3q2Ayfo mACCks28Sp15rgs5KFEMYnxsGn/3We/lY21NWmzUmafHa3QgMZcVs2RxeyJpr5+viaj+f16irWzt fqAXdfUNc6jD12KRpvWDZZ61ivbJ5pq0o1O3mNAtow20t3vMqSKQHLTGUl5UavZaYrshq29bmQnO /xF8IeAbi4/ASR5s1qbmvFF0XzhAWD7cvqhwF2nfo1JvudppHsrquU5pognWu9HlESJCSYrfkgJV RZiqOkBPPNqPjS12zUvJkod4ziOrHX5/hUezf2cQAuOjOuWssdYmXS2RDPf7QZMRCafUZgMGqKMD 0RDLDWDYlP9j79uxLJ2vUdE5QP/KXbqj2nwA73d9VvDjIzj0uNQtLLAvIq6pTjS74Uyy0VAUaEJV xlmP2UXEX6hlOiH0KVBxEI2BuvjeYa4m18Mq/xG+NtfXuZYHSxjHD3rTTh+sXoYFuaioWyizSzi9 PzirJUwue9C85dmg+yflxAkN7G/yXaDFQ5uXgY2z8vTTkbeVaMfPvGYhgPWAQP5vh/04ruaJ4qo2 jmRftkvrPmmivYZl3GUbLYmfVpI4z0J+Kn/47hqoOX+eocSN8jujyEOqce0BnlqLYXT3FrajqHHI pVfGSI96kkP6e6pt+gaBhmqHNwqkKYYjAzWJj3IvqZe8/KL4TTjSHutzyq4K1IR03+fMs1mRCaVi XzAASRVBjQvCd9lc4fWq7wQFl+Gl5Yioi7mCDa4TfGekVTHPwpljAe7XPPGmbASPHAORlJTGpcLr 3oqjNou137Qqktknf+29CyJf9yZ2L5eP30QfZJzoGEfjJET3vpLFjU/QOSKQfEXXRsixWDBl+osm zZpkW+RAk8/JFareufY/5AFw1yg8kQSio0RPC8hgpxf691TpIEGrq+/usszK7jnvWyacW7JrfBi5 3dWlqCPsI+LOFtX9Lo/VqV0nUsHsqBny+ZLywKIn35clg46Xj1HRfZvDaqlp/6q1R+39vVp9iIiP 98uRNe68ojW6uegWZBRPB42tVEE3+8OGoADrXN+btpbh5npkW6F7XtBFDRLegz4oV2+wbxd5oX/y sgSD4NL2f8fPE9smA72fcAkYa3d4T2qBRENlEU6YTE31NZ4hABnIBIrWOpEAfvDP6bmQmIoF7WOZ HITN2qJdEAwjJAf0mE2l8A1rbUwYvaUwMlnDbzPBttmCjfJQk7nWXW8csO7KheA2CggtX00FusD3 2kf0nF9dEbdlGOoQhaXm63fPE3MDTR/gm4i5ZPAT5cBZjQwbbDLZVWHZe2SfwbKJ+pR1l8rs+Qhq M7mchFz9ax9ETHtDFfBogJJ+t8yfOu4WU/UmgDQUQJrcwsZl/hvaqC+aaHj68H7yM4ZpDYqu+OIY C3QomCEy6KUR4Fp9O916qNho15KPOeufRezvIFKCkdgTbf2fVYRs5GdhVF9DeHydc/6y3IlE1KQz iQvTIEy/l0bgSYXTbVp+/YnBesMkl0TF28SI1DP3RkcIz2odd7CkXBnGpDgDdD1Czg1B0mMlUddO UW7aFavePMY7xDnPmgKzQmAQbwX/Iv6r67KXm8lKeKGxqCtolKd1976SXijXKD5Kv/vgrq+FZKvc LuIqWZId0yqjGfi+blbACj0YvRPp27pk5ayoMX2PTQ0keugXGXhMFVKcu9E8m6AmnU3slgBqHsgt qjubFBaS11X+aPTbKT/hSOBYzAFlLRyRGlVFygECtnFbX1J6tuXWFSOU6135Wd/jKUqjxfyRiFMC Y9CQF14LmJ6HmUEOjzYSZUdSn2pYyWF5aRK9ZjOXePV+FHqT1IqWM/EZyrDyizN5mZPWuAe/t052 CpXbYGRq3lfH1hB4BlnCdztRDmpuDGxcsQkv2fGF5ouzvsB4RrLtgE2PtHqB//DuSSCdnHMs+iYl EmAGP6YzSI2RfZV44Xn8AA7ImF9F/gwh2YfBDAgfRDpZ91XgChihtLUVOq2J5F3hje78BhqHGRwk pHKSNJuKascoqK3aWuAVeGbd9exRFnez0KoHvk+a44+/d/+1UhBYiDOR3PIfsHmnKv18xNbSlHLr AdL1ttXrt50xvQc3dhQZ5W7LUij/KyiSo82I+/+PUesLHJZ1BMa5Wr2vIaTQo8ZXMVNi3P3Q8pHt UlG5plE1KXPHAFOLG0wTI7zZ+H5bqL/vyC1qNsOE0WhkEvnu4XT/bx4kMk/lLL8URrL03iqOc6VM qoj8kIYiDkI8bUY+QEuCopNjrnUJpSGZMvw25Mml637xp0DI8UgXWExC/VeEQrnEVWnHDdzDeZF7 s3ECEnES3Zb3fZx3LbahA0/Hf45ZYFgf1MI49zbioQTDHHbDpJHdYWU03dIX1JCSdWcRdQkFEEcT oUsDP/WmIDp9Pjf2xHHHuTkZpaBBgj4Re7iksIHKfH7nJ4zaWdCbXRrd3FNWf4R1p8u4iV9SZT0U m295IECodSazwATaV8DqMPbjl2ZZTQf4u70WGHMVVls/PNMreDIM7RL7zbHXcGyreQzAVBkrmxZF Dopa3gkVf7ZDt/XMKzhxVNqukCafvMdtnjfg88XxFCbCGhK2LONJeRjGblfUAQXxLWXuGa3KYlbR iEnjue6JCSjpwBU5t7fm0TpzkMv2zcb3VIIz6Br051j3ZwJe9maBeMHxmM/uh1z2lhdhHivPATrM f5DijbsydbtEnatENaYASzyYCqm9FSLdyHUxU41vUr6siQlPN8bBzlslqW+J2kzlgXHeP9v0kGOt cRLeiwuBHM46K6dlru9T9AeL6SBKysGrzh+RIMYqAkCCFMz4FwZvG+OZSAF1pCIr99KlPSSVO6Xf XcfL4xpnKubrzVLrkDsfYyreT7CAPFU4P63jQ7rqPK/ABKiYC/tLghLj+Ni1L2TBv3Fv+9+dIV3b hnzVRKsCau1XsZhjvpDQKJ+7dpGbA/w4POn6O07ufqfqyAWWoj2YEpbF6pCEA7dPv5wRNe/N2YJ6 RlqPAzK8hQZPQRwAnSOovvpFHrJmp0m/FtzMwaOQOrvhQ+hY0pZuieED0GmYJk/nxPMM7l45Syr9 VJdiA1YLD2Bvk7dPQ0+FguEuL2sMgT9sK7K5CIKwR5kYuDbh3DA+FkpQW3/qWe8MsqJ6xmW0a5xa c0bFCXRdOX3eJHIL44lq28ZnpQNQZD3UB55ecAv4n2VVz7GMyMFCOV8Li8Kul7e8GrW/ZCJP2pEI rPgHQKIMiCRQoRkc1nrbeAs0i6kjnn11HAx2qK5NvuEv51RJuR/mLnE3IPlwrzd689Fej2NC76MB 0KmfVT+edcLDyPg6r281uGz7tFUZnvNgWqC37YyvRY3v0MWuw6rxRDAyZCtgURXFn5kEVgw9b9/l MRRmtCkkDOmApfVRwLI+S8Na20Ot2yjtJjhz0WirqxsEE1dAWsAiNV9eVSebisQv2n9RjS7uWrHS zR1/xlFOxrD5ECxT9EspD9Augy/vli7oPHslfRbL51VIPwvoNROjZMC6nT50NCEk0/dZ56KD3fMz 3CKO4yrrNACj3ty2UeG9hFtoEm/WSobqUdGvhs+6hHjhbyxaPSRwPN/zEk0O7auYCdcRb6t5DsCb xsi+4tK6bq8yWO1DJBGd4HYXlLwnTzAKxtNYgawO0o3AVCKjAmkCW+ZDhlilhKYfQH15lWKWZBTN SoOqQ5PQm1dB7muC9/eUjismyO1hzUHCkOscy9RBVDgICgfWmnGDvvWXg+755FrRJT56Y3fbdKOm 8agsbEPS7RiDw2C5Dzw9YdGm4vwgcpn3G2deHmiIqi5Lh5NAKi2rbFCh4EigeSMAbmrLXj3flXfE `protect end_protected
gpl-3.0
1995parham/FPGA-Homework
HW-3/src/p8/p8.vhd
1
5279
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 26-04-2016 -- Module Name: p8.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity p8 is port (i1, i2 : in std_logic; o : out std_logic; clk, reset : in std_logic); end entity; architecture sequential of p8 is type state is (s0, s1, s2, s3, s4, s5); signal current_state, next_state : state; attribute fsm_encoding : string; attribute fsm_encoding of current_state : signal is "sequential"; begin process (clk, reset) begin if reset = '1' then current_state <= s0; elsif clk'event and clk = '1' then current_state <= next_state; end if; end process; process (current_state, i1, i2) begin case current_state is when s0 => if i1 = '0' and i2 = '0' then next_state <= s0; o <= '0'; elsif i1 = '1' and i2 = '1' then next_state <= s0; o <= '0'; elsif i1 = '0' and i2 = '1' then next_state <= s2; o <= '0'; elsif i1 = '1' and i2 = '0' then next_state <= s4; o <= '0'; end if; when s1 => if i1 = '0' and i2 = '0' then next_state <= s1; o <= '1'; elsif i1 = '1' and i2 = '1' then next_state <= s1; o <= '1'; elsif i1 = '0' and i2 = '1' then next_state <= s3; o <= '1'; elsif i1 = '1' and i2 = '0' then next_state <= s5; o <= '1'; end if; when s2 => if i1 = '0' and i2 = '0' then next_state <= s0; o <= '0'; elsif i1 = '1' and i2 = '1' then next_state <= s0; o <= '0'; elsif i1 = '0' and i2 = '1' then next_state <= s2; o <= '0'; elsif i1 = '1' and i2 = '0' then next_state <= s4; o <= '0'; end if; when s3 => if i1 = '0' and i2 = '0' then next_state <= s1; o <= '1'; elsif i1 = '1' and i2 = '1' then next_state <= s0; o <= '0'; elsif i1 = '0' and i2 = '1' then next_state <= s3; o <= '1'; elsif i1 = '1' and i2 = '0' then next_state <= s5; o <= '1'; end if; when s4 => if i1 = '0' and i2 = '0' then next_state <= s0; o <= '0'; elsif i1 = '1' and i2 = '1' then next_state <= s1; o <= '1'; elsif i1 = '0' and i2 = '1' then next_state <= s3; o <= '1'; elsif i1 = '1' and i2 = '0' then next_state <= s4; o <= '0'; end if; when s5 => if i1 = '0' and i2 = '0' then next_state <= s1; o <= '1'; elsif i1 = '1' and i2 = '1' then next_state <= s1; o <= '1'; elsif i1 = '0' and i2 = '1' then next_state <= s2; o <= '0'; elsif i1 = '1' and i2 = '0' then next_state <= s5; o <= '1'; end if; when others => next_state <= s0; o <= '0'; end case; end process; end architecture; architecture medvedev of p8 is constant s0 : std_logic_vector (2 downto 0) := "000"; constant s1 : std_logic_vector (2 downto 0) := "100"; constant s2 : std_logic_vector (2 downto 0) := "001"; constant s3 : std_logic_vector (2 downto 0) := "101"; constant s4 : std_logic_vector (2 downto 0) := "010"; constant s5 : std_logic_vector (2 downto 0) := "110"; signal current_state, next_state : std_logic_vector (2 downto 0); begin process (clk, reset) begin if reset = '1' then current_state <= s0; elsif clk'event and clk = '1' then current_state <= next_state; end if; end process; o <= current_state(2); process (current_state, i1, i2) begin case current_state is when s0 => if i1 = '0' and i2 = '0' then next_state <= s0; elsif i1 = '1' and i2 = '1' then next_state <= s0; elsif i1 = '0' and i2 = '1' then next_state <= s2; elsif i1 = '1' and i2 = '0' then next_state <= s4; end if; when s1 => if i1 = '0' and i2 = '0' then next_state <= s1; elsif i1 = '1' and i2 = '1' then next_state <= s1; elsif i1 = '0' and i2 = '1' then next_state <= s3; elsif i1 = '1' and i2 = '0' then next_state <= s5; end if; when s2 => if i1 = '0' and i2 = '0' then next_state <= s0; elsif i1 = '1' and i2 = '1' then next_state <= s0; elsif i1 = '0' and i2 = '1' then next_state <= s2; elsif i1 = '1' and i2 = '0' then next_state <= s4; end if; when s3 => if i1 = '0' and i2 = '0' then next_state <= s1; elsif i1 = '1' and i2 = '1' then next_state <= s0; elsif i1 = '0' and i2 = '1' then next_state <= s3; elsif i1 = '1' and i2 = '0' then next_state <= s5; end if; when s4 => if i1 = '0' and i2 = '0' then next_state <= s0; elsif i1 = '1' and i2 = '1' then next_state <= s1; elsif i1 = '0' and i2 = '1' then next_state <= s3; elsif i1 = '1' and i2 = '0' then next_state <= s4; end if; when s5 => if i1 = '0' and i2 = '0' then next_state <= s1; elsif i1 = '1' and i2 = '1' then next_state <= s1; elsif i1 = '0' and i2 = '1' then next_state <= s2; elsif i1 = '1' and i2 = '0' then next_state <= s5; end if; when others => next_state <= s0; end case; end process; end architecture;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/network_2x2_packet_drop_SHMU_credit_based_with_checkers.vhd
3
41163
--Copyright (C) 2016 Siavoosh Payandeh Azad ------------------------------------------------------------ -- This file is automatically generated! -- Here are the parameters: -- network size x: 2 -- network size y: 2 -- Data width: 32 -- Parity: False ------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; USE ieee.numeric_std.ALL; entity network_2x2 is generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11); port (reset: in std_logic; clk: in std_logic; -------------- -------------- RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_0, valid_out_L_0: out std_logic; credit_in_L_0, valid_in_L_0: in std_logic; TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_1, valid_out_L_1: out std_logic; credit_in_L_1, valid_in_L_1: in std_logic; TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_2, valid_out_L_2: out std_logic; credit_in_L_2, valid_in_L_2: in std_logic; TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_3, valid_out_L_3: out std_logic; credit_in_L_3, valid_in_L_3: in std_logic; TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- link_faults_0: out std_logic_vector(4 downto 0); turn_faults_0: out std_logic_vector(19 downto 0); Rxy_reconf_PE_0: in std_logic_vector(7 downto 0); Cx_reconf_PE_0: in std_logic_vector(3 downto 0); Reconfig_command_0 : in std_logic; -------------- link_faults_1: out std_logic_vector(4 downto 0); turn_faults_1: out std_logic_vector(19 downto 0); Rxy_reconf_PE_1: in std_logic_vector(7 downto 0); Cx_reconf_PE_1: in std_logic_vector(3 downto 0); Reconfig_command_1 : in std_logic; -------------- link_faults_2: out std_logic_vector(4 downto 0); turn_faults_2: out std_logic_vector(19 downto 0); Rxy_reconf_PE_2: in std_logic_vector(7 downto 0); Cx_reconf_PE_2: in std_logic_vector(3 downto 0); Reconfig_command_2 : in std_logic; -------------- link_faults_3: out std_logic_vector(4 downto 0); turn_faults_3: out std_logic_vector(19 downto 0); Rxy_reconf_PE_3: in std_logic_vector(7 downto 0); Cx_reconf_PE_3: in std_logic_vector(3 downto 0); Reconfig_command_3 : in std_logic; -------------- -- IJTAG network for fault injection and checker status monitoring TCK : in std_logic; RST : in std_logic; SEL : in std_logic; SI : in std_logic; SE : in std_logic; UE : in std_logic; CE : in std_logic; SO : out std_logic; toF : out std_logic; toC : out std_logic ); end network_2x2; architecture behavior of network_2x2 is COMPONENT router_credit_based_PD_C_SHMU is --fault classifier plus packet-dropping generic ( DATA_WIDTH: integer := 32; current_address : integer := 0; Rxy_rst : integer := 10; Cx_rst : integer := 10; healthy_counter_threshold : integer := 8; faulty_counter_threshold: integer := 2; counter_depth: integer := 4; NoC_size: integer := 4 ); port ( reset, clk: in std_logic; RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0); credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic; valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic; valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic; credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic; TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0); Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic; Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic; -- should be connected to NI link_faults: out std_logic_vector(4 downto 0); turn_faults: out std_logic_vector(19 downto 0); Rxy_reconf_PE: in std_logic_vector(7 downto 0); Cx_reconf_PE: in std_logic_vector(3 downto 0); Reconfig_command : in std_logic; -- fault injector shift register with serial input signals TCK: in std_logic; SE: in std_logic; -- shift enable UE: in std_logic; -- update enable SI: in std_logic; -- serial Input SO: out std_logic; -- serial output ---- Outputs for non-classified fault information link_faults_async: out std_logic_vector(4 downto 0); turn_faults_async: out std_logic_vector(19 downto 0) ); end COMPONENT; -- For IJAG component SIB_mux_pre_FCX_SELgate is Port ( -- Scan Interface client -------------- SI : in STD_LOGIC; -- ScanInPort CE : in STD_LOGIC; -- CaptureEnPort SE : in STD_LOGIC; -- ShiftEnPort UE : in STD_LOGIC; -- UpdateEnPort SEL : in STD_LOGIC; -- SelectPort RST : in STD_LOGIC; -- ResetPort TCK : in STD_LOGIC; -- TCKPort SO : out STD_LOGIC; -- ScanOutPort toF : out STD_LOGIC; -- To F flag of the upper hierarchical level toC : out STD_LOGIC; -- To C flag of the upper hierarchical level -- Scan Interface host ---------------- fromSO : in STD_LOGIC; -- ScanInPort toCE : out STD_LOGIC; -- ToCaptureEnPort toSE : out STD_LOGIC; -- ToShiftEnPort toUE : out STD_LOGIC; -- ToUpdateEnPort toSEL : out STD_LOGIC; -- ToSelectPort toRST : out STD_LOGIC; -- ToResetPort toTCK : out STD_LOGIC; -- ToTCKPort toSI : out STD_LOGIC; -- ScanOutPort fromF : in STD_LOGIC; -- From an OR of all F flags in the underlying network segment fromC : in STD_LOGIC); -- From an AND of all C flags in the underlying network segment end component; -- generating bulk signals. not all of them are used in the design... signal credit_out_N_0, credit_out_E_0, credit_out_W_0, credit_out_S_0: std_logic; signal credit_out_N_1, credit_out_E_1, credit_out_W_1, credit_out_S_1: std_logic; signal credit_out_N_2, credit_out_E_2, credit_out_W_2, credit_out_S_2: std_logic; signal credit_out_N_3, credit_out_E_3, credit_out_W_3, credit_out_S_3: std_logic; signal credit_in_N_0, credit_in_E_0, credit_in_W_0, credit_in_S_0: std_logic; signal credit_in_N_1, credit_in_E_1, credit_in_W_1, credit_in_S_1: std_logic; signal credit_in_N_2, credit_in_E_2, credit_in_W_2, credit_in_S_2: std_logic; signal credit_in_N_3, credit_in_E_3, credit_in_W_3, credit_in_S_3: std_logic; signal RX_N_0, RX_E_0, RX_W_0, RX_S_0 : std_logic_vector (DATA_WIDTH-1 downto 0); signal RX_N_1, RX_E_1, RX_W_1, RX_S_1 : std_logic_vector (DATA_WIDTH-1 downto 0); signal RX_N_2, RX_E_2, RX_W_2, RX_S_2 : std_logic_vector (DATA_WIDTH-1 downto 0); signal RX_N_3, RX_E_3, RX_W_3, RX_S_3 : std_logic_vector (DATA_WIDTH-1 downto 0); signal valid_out_N_0, valid_out_E_0, valid_out_W_0, valid_out_S_0: std_logic; signal valid_out_N_1, valid_out_E_1, valid_out_W_1, valid_out_S_1: std_logic; signal valid_out_N_2, valid_out_E_2, valid_out_W_2, valid_out_S_2: std_logic; signal valid_out_N_3, valid_out_E_3, valid_out_W_3, valid_out_S_3: std_logic; signal valid_in_N_0, valid_in_E_0, valid_in_W_0, valid_in_S_0: std_logic; signal valid_in_N_1, valid_in_E_1, valid_in_W_1, valid_in_S_1: std_logic; signal valid_in_N_2, valid_in_E_2, valid_in_W_2, valid_in_S_2: std_logic; signal valid_in_N_3, valid_in_E_3, valid_in_W_3, valid_in_S_3: std_logic; signal TX_N_0, TX_E_0, TX_W_0, TX_S_0 : std_logic_vector (DATA_WIDTH-1 downto 0); signal TX_N_1, TX_E_1, TX_W_1, TX_S_1 : std_logic_vector (DATA_WIDTH-1 downto 0); signal TX_N_2, TX_E_2, TX_W_2, TX_S_2 : std_logic_vector (DATA_WIDTH-1 downto 0); signal TX_N_3, TX_E_3, TX_W_3, TX_S_3 : std_logic_vector (DATA_WIDTH-1 downto 0); signal Faulty_N_out0,Faulty_E_out0,Faulty_W_out0,Faulty_S_out0: std_logic; signal Faulty_N_in0,Faulty_E_in0,Faulty_W_in0,Faulty_S_in0: std_logic; signal Faulty_N_out1,Faulty_E_out1,Faulty_W_out1,Faulty_S_out1: std_logic; signal Faulty_N_in1,Faulty_E_in1,Faulty_W_in1,Faulty_S_in1: std_logic; signal Faulty_N_out2,Faulty_E_out2,Faulty_W_out2,Faulty_S_out2: std_logic; signal Faulty_N_in2,Faulty_E_in2,Faulty_W_in2,Faulty_S_in2: std_logic; signal Faulty_N_out3,Faulty_E_out3,Faulty_W_out3,Faulty_S_out3: std_logic; signal Faulty_N_in3,Faulty_E_in3,Faulty_W_in3,Faulty_S_in3: std_logic; -- fault injector signals signal TCK_0, TCK_1, TCK_2, TCK_3: std_logic; signal SE_0, SE_1, SE_2, SE_3: std_logic; signal UE_0, UE_1, UE_2, UE_3: std_logic; signal SI_0, SI_1, SI_2, SI_3: std_logic; signal SO_0, SO_1, SO_2, SO_3: std_logic; -------------- -- IJTAG network signals signal SIB_0_toSEL, SIB_1_toSEL, SIB_2_toSEL, SIB_3_toSEL : std_logic; signal SIB_0_toCE, SIB_1_toCE, SIB_2_toCE, SIB_3_toCE : std_logic; signal SIB_0_toRST, SIB_1_toRST, SIB_2_toRST, SIB_3_toRST : std_logic; signal SIB_0_so, SIB_1_so, SIB_2_so, SIB_3_so : std_logic; -- flags from checkers signal F_R0, F_R1, F_R2, F_R3 : std_logic := '0'; signal C_R0, C_R1, C_R2, C_R3 : std_logic := '1'; -- flags top level signal F_segtop_fromSIB_0, C_segtop_fromSIB_0 : std_logic; signal F_segtop_fromSIB_1, C_segtop_fromSIB_1 : std_logic; signal F_segtop_fromSIB_2, C_segtop_fromSIB_2 : std_logic; signal F_segtop_fromSIB_3, C_segtop_fromSIB_3 : std_logic; -------------- -- the checker output related ports (for unclassified fault information) signal link_faults_async_0 : std_logic_vector(4 downto 0); signal turn_faults_async_0: std_logic_vector(19 downto 0); -------------- signal link_faults_async_1 : std_logic_vector(4 downto 0); signal turn_faults_async_1: std_logic_vector(19 downto 0); -------------- signal link_faults_async_2 : std_logic_vector(4 downto 0); signal turn_faults_async_2: std_logic_vector(19 downto 0); -------------- signal link_faults_async_3 : std_logic_vector(4 downto 0); signal turn_faults_async_3: std_logic_vector(19 downto 0); -------------- -- Fault injection related signals and constants constant fault_clk_period : time := 1 ns; -- organizaiton of the network: -- x ---------------> -- y ---- ---- -- | | 0 | --- | 1 | -- | ---- ---- -- | | | -- | ---- ---- -- | | 2 | --- | 3 | -- v ---- ---- -- begin ---- Fault injection clock process (IJTAG-related) --fault_clk_process :process --begin -- TCK_0 <= '0'; -- wait for fault_clk_period/2; -- TCK_0 <= '1'; -- wait for fault_clk_period/2; --end process; ---- Fault Injection Stimulus process (shifting in single SA0 fault at a location in L FIFO in Router 0) --fault_injection_stim_proc: process --begin -- wait for 3000 ns; -- UE_0 <= '0'; -- SE_0 <= '1'; -- -- Not Injecting fault to Allocator logic -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to S Arbiter_out -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to W Arbiter_out -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to E Arbiter_out -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to N Arbiter_out -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to L Arbiter_out -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to S Arbiter_in -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to W Arbiter_in -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to E Arbiter_in -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to N Arbiter_in -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to L Arbiter_in -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to S LBDR -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to W LBDR -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to E LBDR -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to N LBDR -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to L LBDR -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to S FIFO -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA0 fault injection at bit 40 (read_pointer(0)) -- -- Not Injecting fault to W FIFO -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA1 fault injection at bit 0 (LSB) -- -- Injecting fault to E FIFO -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA1 fault injection at bit 0 (LSB) -- -- Not Injecting fault to N FIFO -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA1 fault injection at bit 0 (LSB) -- -- Injecting fault to L FIFO -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '0'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- SI_0 <= '1'; -- SA1 fault injection at bit 0 (LSB) -- wait until TCK_0'event and TCK_0 ='0'; -- Actually affect the signal(s) with fault information -- UE_0 <= '1'; -- SE_0 <= '0'; -- SI_0 <= '0'; -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- --wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- wait until TCK_0'event and TCK_0 ='0'; -- No fault injection anymore and no shifting -- UE_0 <= '0'; -- SE_0 <= '0'; -- SI_0 <= '0'; -- --wait until TCK_0'event and TCK_0 ='0'; -- wait; --?? --end process; -- flags top level toF <= F_segtop_fromSIB_0 or F_segtop_fromSIB_1 or F_segtop_fromSIB_2 or F_segtop_fromSIB_3; toC <= C_segtop_fromSIB_0 and C_segtop_fromSIB_1 and C_segtop_fromSIB_2 and C_segtop_fromSIB_3; SO <= SIB_3_so; SIB_0 : SIB_mux_pre_FCX_SELgate port map ( -- Scan Interface client -------------- SI => SI, CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SIB_0_so, toF => F_segtop_fromSIB_0, toC => C_segtop_fromSIB_0, -- Scan Interface host ---------------- fromSO => SO_0, toCE => SIB_0_toCE, toSE => SE_0, toUE => UE_0, toSEL => SIB_0_toSEL, toRST => SIB_0_toRST, toTCK => TCK_0, toSI => SI_0, fromF => F_R0, fromC => C_R0 ); SIB_1 : SIB_mux_pre_FCX_SELgate port map ( -- Scan Interface client -------------- SI => SIB_0_so, CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SIB_1_so, toF => F_segtop_fromSIB_1, toC => C_segtop_fromSIB_1, -- Scan Interface host ---------------- fromSO => SO_1, toCE => SIB_1_toCE, toSE => SE_1, toUE => UE_1, toSEL => SIB_1_toSEL, toRST => SIB_1_toRST, toTCK => TCK_1, toSI => SI_1, fromF => F_R1, fromC => C_R1 ); SIB_2 : SIB_mux_pre_FCX_SELgate port map ( -- Scan Interface client -------------- SI => SIB_1_so, CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SIB_2_so, toF => F_segtop_fromSIB_2, toC => C_segtop_fromSIB_2, -- Scan Interface host ---------------- fromSO => SO_2, toCE => SIB_2_toCE, toSE => SE_2, toUE => UE_2, toSEL => SIB_2_toSEL, toRST => SIB_2_toRST, toTCK => TCK_2, toSI => SI_2, fromF => F_R2, fromC => C_R2 ); SIB_3 : SIB_mux_pre_FCX_SELgate port map ( -- Scan Interface client -------------- SI => SIB_2_so, CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SIB_3_so, toF => F_segtop_fromSIB_3, toC => C_segtop_fromSIB_3, -- Scan Interface host ---------------- fromSO => SO_3, toCE => SIB_3_toCE, toSE => SE_3, toUE => UE_3, toSEL => SIB_3_toSEL, toRST => SIB_3_toRST, toTCK => TCK_3, toSI => SI_3, fromF => F_R3, fromC => C_R3 ); R_0: router_credit_based_PD_C_SHMU generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 0, Rxy_rst => 60, Cx_rst => 10, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4) port map( reset, clk, RX_N_0, RX_E_0, RX_W_0, RX_S_0, RX_L_0, credit_in_N_0, credit_in_E_0, credit_in_W_0, credit_in_S_0, credit_in_L_0, valid_in_N_0, valid_in_E_0, valid_in_W_0, valid_in_S_0, valid_in_L_0, valid_out_N_0, valid_out_E_0, valid_out_W_0, valid_out_S_0, valid_out_L_0, credit_out_N_0, credit_out_E_0, credit_out_W_0, credit_out_S_0, credit_out_L_0, TX_N_0, TX_E_0, TX_W_0, TX_S_0, TX_L_0, Faulty_N_in0,Faulty_E_in0,Faulty_W_in0,Faulty_S_in0, Faulty_N_out0,Faulty_E_out0,Faulty_W_out0,Faulty_S_out0, -- should be connected to NI link_faults_0, turn_faults_0, Rxy_reconf_PE_0, Cx_reconf_PE_0, Reconfig_command_0, -- fault injector shift register with serial input signals TCK_0, SE_0, UE_0, SI_0, SO_0, -- the non-classified fault information link_faults_async_0, turn_faults_async_0 ); R_1: router_credit_based_PD_C_SHMU generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 1, Rxy_rst => 60, Cx_rst => 12, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4) port map( reset, clk, RX_N_1, RX_E_1, RX_W_1, RX_S_1, RX_L_1, credit_in_N_1, credit_in_E_1, credit_in_W_1, credit_in_S_1, credit_in_L_1, valid_in_N_1, valid_in_E_1, valid_in_W_1, valid_in_S_1, valid_in_L_1, valid_out_N_1, valid_out_E_1, valid_out_W_1, valid_out_S_1, valid_out_L_1, credit_out_N_1, credit_out_E_1, credit_out_W_1, credit_out_S_1, credit_out_L_1, TX_N_1, TX_E_1, TX_W_1, TX_S_1, TX_L_1, Faulty_N_in1,Faulty_E_in1,Faulty_W_in1,Faulty_S_in1, Faulty_N_out1,Faulty_E_out1,Faulty_W_out1,Faulty_S_out1, -- should be connected to NI link_faults_1, turn_faults_1, Rxy_reconf_PE_1, Cx_reconf_PE_1, Reconfig_command_1, -- fault injector shift register with serial input signals TCK_1, SE_1, UE_1, SI_1, SO_1, -- the non-classified fault information link_faults_async_1, turn_faults_async_1 ); R_2: router_credit_based_PD_C_SHMU generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 2, Rxy_rst => 60, Cx_rst => 3, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4) port map( reset, clk, RX_N_2, RX_E_2, RX_W_2, RX_S_2, RX_L_2, credit_in_N_2, credit_in_E_2, credit_in_W_2, credit_in_S_2, credit_in_L_2, valid_in_N_2, valid_in_E_2, valid_in_W_2, valid_in_S_2, valid_in_L_2, valid_out_N_2, valid_out_E_2, valid_out_W_2, valid_out_S_2, valid_out_L_2, credit_out_N_2, credit_out_E_2, credit_out_W_2, credit_out_S_2, credit_out_L_2, TX_N_2, TX_E_2, TX_W_2, TX_S_2, TX_L_2, Faulty_N_in2,Faulty_E_in2,Faulty_W_in2,Faulty_S_in2, Faulty_N_out2,Faulty_E_out2,Faulty_W_out2,Faulty_S_out2, -- should be connected to NI link_faults_2, turn_faults_2, Rxy_reconf_PE_2, Cx_reconf_PE_2, Reconfig_command_2, -- fault injector shift register with serial input signals TCK_2, SE_2, UE_2, SI_2, SO_2, -- the non-classified fault information link_faults_async_2, turn_faults_async_2 ); R_3: router_credit_based_PD_C_SHMU generic map (DATA_WIDTH =>DATA_WIDTH, current_address => 3, Rxy_rst => 60, Cx_rst => 5, NoC_size => 2, healthy_counter_threshold => 15, faulty_counter_threshold => 3, counter_depth => 4) port map( reset, clk, RX_N_3, RX_E_3, RX_W_3, RX_S_3, RX_L_3, credit_in_N_3, credit_in_E_3, credit_in_W_3, credit_in_S_3, credit_in_L_3, valid_in_N_3, valid_in_E_3, valid_in_W_3, valid_in_S_3, valid_in_L_3, valid_out_N_3, valid_out_E_3, valid_out_W_3, valid_out_S_3, valid_out_L_3, credit_out_N_3, credit_out_E_3, credit_out_W_3, credit_out_S_3, credit_out_L_3, TX_N_3, TX_E_3, TX_W_3, TX_S_3, TX_L_3, Faulty_N_in3,Faulty_E_in3,Faulty_W_in3,Faulty_S_in3, Faulty_N_out3,Faulty_E_out3,Faulty_W_out3,Faulty_S_out3, -- should be connected to NI link_faults_3, turn_faults_3, Rxy_reconf_PE_3, Cx_reconf_PE_3, Reconfig_command_3, -- fault injector shift register with serial input signals TCK_3, SE_3, UE_3, SI_3, SO_3, -- the non-classified fault information link_faults_async_3, turn_faults_async_3 ); --------------------------------------------------------------- -- binding the routers together -- vertical ins/outs -- connecting router: 0 to router: 2 and vice versa RX_N_2<= TX_S_0; RX_S_0<= TX_N_2; ------------------- -- connecting router: 1 to router: 3 and vice versa RX_N_3<= TX_S_1; RX_S_1<= TX_N_3; ------------------- -- horizontal ins/outs -- connecting router: 0 to router: 1 and vice versa RX_E_0 <= TX_W_1; RX_W_1 <= TX_E_0; ------------------- -- connecting router: 2 to router: 3 and vice versa RX_E_2 <= TX_W_3; RX_W_3 <= TX_E_2; ------------------- --------------------------------------------------------------- -- binding the routers together -- connecting router: 0 to router: 2 and vice versa valid_in_N_2 <= valid_out_S_0; valid_in_S_0 <= valid_out_N_2; credit_in_S_0 <= credit_out_N_2; credit_in_N_2 <= credit_out_S_0; ------------------- -- connecting router: 1 to router: 3 and vice versa valid_in_N_3 <= valid_out_S_1; valid_in_S_1 <= valid_out_N_3; credit_in_S_1 <= credit_out_N_3; credit_in_N_3 <= credit_out_S_1; ------------------- -- connecting router: 0 to router: 1 and vice versa valid_in_E_0 <= valid_out_W_1; valid_in_W_1 <= valid_out_E_0; credit_in_W_1 <= credit_out_E_0; credit_in_E_0 <= credit_out_W_1; ------------------- -- connecting router: 2 to router: 3 and vice versa valid_in_E_2 <= valid_out_W_3; valid_in_W_3 <= valid_out_E_2; credit_in_W_3 <= credit_out_E_2; credit_in_E_2 <= credit_out_W_3; ------------------- Faulty_S_in0 <= Faulty_N_out2; Faulty_E_in0 <= Faulty_W_out1; Faulty_S_in1 <= Faulty_N_out3; Faulty_W_in1 <= Faulty_E_out0; Faulty_N_in2 <= Faulty_S_out0; Faulty_E_in2 <= Faulty_W_out3; Faulty_N_in3 <= Faulty_S_out1; Faulty_W_in3 <= Faulty_E_out2; end;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/Allocator_with_checkers/arbiter_out_one_hot_with_checkers.vhd
9
17021
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; entity arbiter_out is port ( reset: in std_logic; clk: in std_logic; X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y :in std_logic; -- From LBDR modules credit: in std_logic_vector(1 downto 0); grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot) -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end; architecture behavior of arbiter_out is component Arbiter_out_one_hot_pseudo_checkers is port ( credit: in std_logic_vector(1 downto 0); req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; -- From LBDR modules state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter_out's FSM grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : in std_logic; -- Grants given to LBDR requests (encoded as one-hot) state_in: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N, err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E, err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W, err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S, err_state_in_onehot, err_no_request_grants, err_request_IDLE_state, err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant, err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero : out std_logic ); end component; --TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local); CONSTANT IDLE: std_logic_vector (5 downto 0) := "000001"; CONSTANT Local: std_logic_vector (5 downto 0) := "000010"; CONSTANT North: std_logic_vector (5 downto 0) := "000100"; CONSTANT East: std_logic_vector (5 downto 0) := "001000"; CONSTANT West: std_logic_vector (5 downto 0) := "010000"; CONSTANT South: std_logic_vector (5 downto 0) := "100000"; SIGNAL state, state_in : std_logic_vector (5 downto 0) := IDLE; -- : STATE_TYPE := IDLE; SIGNAL grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig : std_logic; begin -- We did this because of the checker outputs! grant_Y_N <= grant_Y_N_sig; grant_Y_E <= grant_Y_E_sig; grant_Y_W <= grant_Y_W_sig; grant_Y_S <= grant_Y_S_sig; grant_Y_L <= grant_Y_L_sig; -- Sequential part process (clk, reset)begin if reset = '0' then state <= IDLE; elsif clk'event and clk ='1' then state <= state_in; end if; end process; -- Arbiter_out checkers module instantiation ARBITER_OUT_ONE_HOT_CHECKERS: Arbiter_out_one_hot_pseudo_checkers port map ( credit => credit, req_X_N => X_N_Y, req_X_E => X_E_Y, req_X_W => X_W_Y, req_X_S => X_S_Y, req_X_L => X_L_Y, state => state, grant_Y_N => grant_Y_N_sig, grant_Y_E => grant_Y_E_sig, grant_Y_W => grant_Y_W_sig, grant_Y_S => grant_Y_S_sig, grant_Y_L => grant_Y_L_sig, state_in => state_in, -- Checker outputs err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal, err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N, err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E => err_East_req_X_E, err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E, err_West_req_X_W => err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W, err_South_req_X_S => err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S, err_Local_req_X_L => err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L, err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W, err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N, err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S, err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E, err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L, err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W, err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N, err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S, err_state_in_onehot => err_state_in_onehot, err_no_request_grants => err_no_request_grants, err_request_IDLE_state => err_request_IDLE_state, err_request_IDLE_not_Grants => err_request_IDLE_not_Grants, err_state_North_Invalid_Grant => err_state_North_Invalid_Grant, err_state_East_Invalid_Grant => err_state_East_Invalid_Grant, err_state_West_Invalid_Grant => err_state_West_Invalid_Grant, err_state_South_Invalid_Grant => err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant, err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero ); -- anything below here is pure combinational process(state, X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y, credit) begin grant_Y_N_sig <= '0'; grant_Y_E_sig <= '0'; grant_Y_W_sig <= '0'; grant_Y_S_sig <= '0'; grant_Y_L_sig <= '0'; case state is when IDLE => if X_N_Y ='1' then state_in <= North; elsif X_E_Y = '1' then state_in <= East; elsif X_W_Y = '1' then state_in <= West; elsif X_S_Y = '1' then state_in <= South; elsif X_L_Y = '1' then state_in <= Local; else state_in <= IDLE; end if; when North => if credit /= "00" and X_N_Y = '1' then grant_Y_N_sig <= '1'; end if; if X_N_Y ='1' then state_in <= North; elsif X_E_Y = '1' then state_in <= East; elsif X_W_Y = '1' then state_in <= West; elsif X_S_Y = '1' then state_in <= South; elsif X_L_Y = '1' then state_in <= Local; else state_in <= IDLE; end if; when East => if credit /= "00" and X_E_Y = '1' then grant_Y_E_sig <= '1'; end if; if X_E_Y = '1' then state_in <= East; elsif X_W_Y = '1' then state_in <= West; elsif X_S_Y = '1' then state_in <= South; elsif X_L_Y = '1' then state_in <= Local; elsif X_N_Y ='1' then state_in <= North; else state_in <= IDLE; end if; when West => if credit /= "00" and X_W_Y = '1' then grant_Y_W_sig <= '1'; end if; if X_W_Y = '1' then state_in <= West; elsif X_S_Y = '1' then state_in <= South; elsif X_L_Y = '1' then state_in <= Local; elsif X_N_Y ='1' then state_in <= North; elsif X_E_Y = '1' then state_in <= East; else state_in <= IDLE; end if; when South => if credit /= "00" and X_S_Y = '1' then grant_Y_S_sig <= '1'; end if; if X_S_Y = '1' then state_in <= South; elsif X_L_Y = '1' then state_in <= Local; elsif X_N_Y ='1' then state_in <= North; elsif X_E_Y = '1' then state_in <= East; elsif X_W_Y = '1' then state_in <= West; else state_in <= IDLE; end if; when others => if credit /= "00" and X_L_Y = '1' then grant_Y_L_sig <= '1'; end if; if X_L_Y = '1' then state_in <= Local; elsif X_N_Y ='1' then state_in <= North; elsif X_E_Y = '1' then state_in <= East; elsif X_W_Y = '1' then state_in <= West; elsif X_S_Y = '1' then state_in <= South; else state_in <= IDLE; end if; end case; end process; end;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/Testbenches/network_2x2_NI_PE_credit_based_tb.vhd
3
11799
--Copyright (C) 2016 Siavoosh Payandeh Azad ------------------------------------------------------------ -- This file is automatically generated Please do not change! -- Here are the parameters: -- network size x:2 -- network size y:2 -- data width:32-- traffic pattern:------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use work.TB_Package.all; USE ieee.numeric_std.ALL; use IEEE.math_real."ceil"; use IEEE.math_real."log2"; entity tb_network_2x2 is end tb_network_2x2; architecture behavior of tb_network_2x2 is -- Declaring network component component network_2x2 is generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11); port (reset: in std_logic; clk: in std_logic; -------------- -------------- RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_0, valid_out_L_0: out std_logic; credit_in_L_0, valid_in_L_0: in std_logic; TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_1, valid_out_L_1: out std_logic; credit_in_L_1, valid_in_L_1: in std_logic; TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_2, valid_out_L_2: out std_logic; credit_in_L_2, valid_in_L_2: in std_logic; TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0); credit_out_L_3, valid_out_L_3: out std_logic; credit_in_L_3, valid_in_L_3: in std_logic; TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0); -------------- link_faults_0: out std_logic_vector(4 downto 0); turn_faults_0: out std_logic_vector(19 downto 0); Rxy_reconf_PE_0: in std_logic_vector(7 downto 0); Cx_reconf_PE_0: in std_logic_vector(3 downto 0); Reconfig_command_0 : in std_logic; -------------- link_faults_1: out std_logic_vector(4 downto 0); turn_faults_1: out std_logic_vector(19 downto 0); Rxy_reconf_PE_1: in std_logic_vector(7 downto 0); Cx_reconf_PE_1: in std_logic_vector(3 downto 0); Reconfig_command_1 : in std_logic; -------------- link_faults_2: out std_logic_vector(4 downto 0); turn_faults_2: out std_logic_vector(19 downto 0); Rxy_reconf_PE_2: in std_logic_vector(7 downto 0); Cx_reconf_PE_2: in std_logic_vector(3 downto 0); Reconfig_command_2 : in std_logic; -------------- link_faults_3: out std_logic_vector(4 downto 0); turn_faults_3: out std_logic_vector(19 downto 0); Rxy_reconf_PE_3: in std_logic_vector(7 downto 0); Cx_reconf_PE_3: in std_logic_vector(3 downto 0); Reconfig_command_3 : in std_logic; -- IJTAG network for fault injection and checker status monitoring TCK : in std_logic; RST : in std_logic; SEL : in std_logic; SI : in std_logic; SE : in std_logic; UE : in std_logic; CE : in std_logic; SO : out std_logic; toF : out std_logic; toC : out std_logic ); end component; component NoC_Node is generic( current_address : integer := 0; stim_file: string :="code.txt"; log_file : string := "output.txt"); port( reset : in std_logic; clk : in std_logic; credit_in : in std_logic; valid_out: out std_logic; TX: out std_logic_vector(31 downto 0); credit_out : out std_logic; valid_in: in std_logic; RX: in std_logic_vector(31 downto 0); link_faults: in std_logic_vector(4 downto 0); turn_faults: in std_logic_vector(19 downto 0); Rxy_reconf_PE: out std_logic_vector(7 downto 0); Cx_reconf_PE: out std_logic_vector(3 downto 0); -- if you are not going to update Cx you should write all ones! (it will be and will the current Cx bits) Reconfig_command : out std_logic; GPIO_out: out std_logic_vector(15 downto 0); GPIO_in: in std_logic_vector(21 downto 0) ); end component; --entity NoC_Node -- generating bulk signals... signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0); signal credit_counter_out_0: std_logic_vector (1 downto 0); signal credit_out_L_0, credit_in_L_0, valid_in_L_0, valid_out_L_0: std_logic; signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0); signal credit_counter_out_1: std_logic_vector (1 downto 0); signal credit_out_L_1, credit_in_L_1, valid_in_L_1, valid_out_L_1: std_logic; signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0); signal credit_counter_out_2: std_logic_vector (1 downto 0); signal credit_out_L_2, credit_in_L_2, valid_in_L_2, valid_out_L_2: std_logic; signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0); signal credit_counter_out_3: std_logic_vector (1 downto 0); signal credit_out_L_3, credit_in_L_3, valid_in_L_3, valid_out_L_3: std_logic; -- NI testing signals -------------- --signal Rxy_reconf: std_logic_vector (7 downto 0) := "01111101"; --signal Reconfig: std_logic := '0'; -------------- constant clk_period : time := 1 ns; constant tck_period : time := 10 ns; constant HALF_SEPARATOR : time := 2*tck_period; constant FULL_SEPARATOR : time := 8*tck_period; signal reset, not_reset, clk: std_logic :='0'; signal link_faults_0, link_faults_1, link_faults_2, link_faults_3 : std_logic_vector(4 downto 0); signal turn_faults_0, turn_faults_1, turn_faults_2, turn_faults_3 : std_logic_vector(19 downto 0); signal Rxy_reconf_PE_0, Rxy_reconf_PE_1,Rxy_reconf_PE_2, Rxy_reconf_PE_3 : std_logic_vector(7 downto 0); signal Cx_reconf_PE_0, Cx_reconf_PE_1, Cx_reconf_PE_2, Cx_reconf_PE_3 : std_logic_vector(3 downto 0); signal Reconfig_command_0, Reconfig_command_1, Reconfig_command_2, Reconfig_command_3 : std_logic; signal TCK, RST, SEL, SI, SE, UE, CE, SO, toF, toC : std_logic := '0'; -- GPIO signal PE_0_GPIO_out : std_logic_vector(15 downto 0); signal PE_0_GPIO_in : std_logic_vector(21 downto 0) := (others => '1'); begin clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Added for IJTAG ijtag_shift_proc: process -- Generate a number of TCK ticks procedure tck_tick (number_of_tick : in positive) is begin for i in 1 to number_of_tick loop TCK <= '0'; wait for TCK_period/2; TCK <= '1'; wait for TCK_period/2; end loop; end procedure tck_tick; procedure tck_halftick_high is begin TCK <= '1'; wait for TCK_period/2; end procedure tck_halftick_high; procedure tck_halftick_low is begin TCK <= '0'; wait for TCK_period/2; end procedure tck_halftick_low; -- Shifts in specified data (Capture -> Shift -> Update) procedure shift_data (data : in std_logic_vector) is begin -- Capture phase --CE <= '1'; --tck_tick(1); --CE <= '0'; -- Shift phase SE <= '1'; for i in data'range loop SI <= data(i); tck_tick(1); end loop; SE <= '0'; -- Update phase UE <= '1'; tck_tick(1); tck_halftick_low; UE <= '0'; tck_halftick_high; end procedure shift_data; -- Returns all zeroes std_logic_vector of specified size function all_zeroes (number_of_zeroes : in positive) return std_logic_vector is variable zero_array : std_logic_vector(0 to number_of_zeroes-1); begin for i in zero_array'range loop zero_array(i) := '0'; end loop; return zero_array; end function all_zeroes; begin -- Reset iJTAG chain and Instruments RST <= '1'; wait for tck_period; RST <= '0'; SEL <= '1'; tck_tick(4); shift_data("0001000000000000"); -- open sib3 tck_tick(4); -- 130 bits in total (for chains) -- Inject fault in the bit with location 0 of L FIFO in Router 3 (SE) shift_data("0000"&all_zeroes(122)&"00000001"&all_zeroes(12)); --close sib3, shift 1 into the last bit of fault injection register, close other sibs. tck_tick(4); wait; end process; -- Added for IJTAG reset <= '1' after 1 ns; -- instantiating the network NoC: network_2x2 generic map (DATA_WIDTH => 32, DATA_WIDTH_LV => 11) port map (reset, clk, RX_L_0, credit_out_L_0, valid_out_L_0, credit_in_L_0, valid_in_L_0, TX_L_0, RX_L_1, credit_out_L_1, valid_out_L_1, credit_in_L_1, valid_in_L_1, TX_L_1, RX_L_2, credit_out_L_2, valid_out_L_2, credit_in_L_2, valid_in_L_2, TX_L_2, RX_L_3, credit_out_L_3, valid_out_L_3, credit_in_L_3, valid_in_L_3, TX_L_3, link_faults_0, turn_faults_0, Rxy_reconf_PE_0, Cx_reconf_PE_0, Reconfig_command_0, link_faults_1, turn_faults_1, Rxy_reconf_PE_1, Cx_reconf_PE_1, Reconfig_command_1, link_faults_2, turn_faults_2, Rxy_reconf_PE_2, Cx_reconf_PE_2, Reconfig_command_2, link_faults_3, turn_faults_3, Rxy_reconf_PE_3, Cx_reconf_PE_3, Reconfig_command_3, TCK, RST, SEL, SI, SE, UE, CE, SO, toF, toC ); not_reset <= not reset; -- connecting the PEs PE_0: NoC_Node generic map( current_address => 0, stim_file => "code_0.txt", log_file => "output_0.txt") port map( not_reset, clk, credit_in => credit_out_L_0, valid_out => valid_in_L_0, TX => RX_L_0, credit_out => credit_in_L_0, valid_in => valid_out_L_0, RX => TX_L_0, link_faults => link_faults_0, turn_faults => turn_faults_0, Rxy_reconf_PE => Rxy_reconf_PE_0, Cx_reconf_PE => Cx_reconf_PE_0, Reconfig_command => Reconfig_command_0, GPIO_out => PE_0_GPIO_out, GPIO_in => (others => '1') ); PE_1: NoC_Node generic map( current_address => 1, stim_file => "code_1.txt", log_file => "output_1.txt") port map( not_reset, clk, credit_in => credit_out_L_1, valid_out => valid_in_L_1, TX => RX_L_1, credit_out => credit_in_L_1, valid_in => valid_out_L_1, RX => TX_L_1, link_faults => link_faults_1, turn_faults => turn_faults_1, Rxy_reconf_PE => Rxy_reconf_PE_1, Cx_reconf_PE => Cx_reconf_PE_1, Reconfig_command => Reconfig_command_1, GPIO_out => open, GPIO_in => (others => '0') ); PE_2: NoC_Node generic map( current_address => 2, stim_file => "code_2.txt", log_file => "output_2.txt") port map( not_reset, clk, credit_in => credit_out_L_2, valid_out => valid_in_L_2, TX => RX_L_2, credit_out => credit_in_L_2, valid_in => valid_out_L_2, RX => TX_L_2, link_faults => link_faults_2, turn_faults => turn_faults_2, Rxy_reconf_PE => Rxy_reconf_PE_2, Cx_reconf_PE => Cx_reconf_PE_2, Reconfig_command => Reconfig_command_2, GPIO_out => open, GPIO_in => (others => '0') ); PE_3: NoC_Node generic map( current_address => 3, stim_file => "code_3.txt", log_file => "output_3.txt") port map( not_reset, clk, credit_in => credit_out_L_3, valid_out => valid_in_L_3, TX => RX_L_3, credit_out => credit_in_L_3, valid_in => valid_out_L_3, RX => TX_L_3, link_faults => link_faults_3, turn_faults => turn_faults_3, Rxy_reconf_PE => Rxy_reconf_PE_3, Cx_reconf_PE => Cx_reconf_PE_3, Reconfig_command => Reconfig_command_3, GPIO_out => open, GPIO_in => (others => '0') ); end;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/SIB_mux_pre.vhd
3
3021
--Copyright (C) 2017 Dmitri Mihhailov library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SIB_mux_pre is Port ( -- Scan Interface client -------------- SI : in STD_LOGIC; -- ScanInPort CE : in STD_LOGIC; -- CaptureEnPort SE : in STD_LOGIC; -- ShiftEnPort UE : in STD_LOGIC; -- UpdateEnPort SEL : in STD_LOGIC; -- SelectPort RST : in STD_LOGIC; -- ResetPort TCK : in STD_LOGIC; -- TCKPort SO : out STD_LOGIC; -- ScanOutPort -- Scan Interface host ---------------- fromSO : in STD_LOGIC; -- ScanInPort toCE : out STD_LOGIC; -- ToCaptureEnPort toSE : out STD_LOGIC; -- ToShiftEnPort toUE : out STD_LOGIC; -- ToUpdateEnPort toSEL : out STD_LOGIC; -- ToSelectPort toRST : out STD_LOGIC; -- ToResetPort toTCK : out STD_LOGIC; -- ToTCKPort toSI : out STD_LOGIC); -- ScanOutPort end SIB_mux_pre; architecture SIB_mux_pre_arch of SIB_mux_pre is component ScanRegister is Generic (Size : positive; BitOrder : string; -- MSBLSB / LSBMSB SOSource : natural; ResetValue : STD_LOGIC_VECTOR); Port ( SI : in STD_LOGIC; CE : in STD_LOGIC; SE : in STD_LOGIC; UE : in STD_LOGIC; SEL : in STD_LOGIC; RST : in STD_LOGIC; TCK : in STD_LOGIC; SO : out STD_LOGIC; CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0); ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0)); end component; signal SR_so : STD_LOGIC; signal SR_do : STD_LOGIC_VECTOR (0 downto 0); component ScanMux is Generic (ControlSize : positive); Port ( ScanMux_in : in STD_LOGIC_VECTOR((2**ControlSize)-1 downto 0); SelectedBy : in STD_LOGIC_VECTOR(ControlSize-1 downto 0); ScanMux_out : out STD_LOGIC); end component; signal SIBmux_out : STD_LOGIC; begin SO <= SR_so; -- Source SR toCE <= CE; toSE <= SE; toUE <= UE; toSEL <= SEL and SR_do(0); -- SEL & SR.DO toRST <= RST; toTCK <= TCK; toSI <= SI; -- Source SI SR : ScanRegister Generic map (Size => 1, BitOrder => "MSBLSB", -- MSBLSB / LSBMSB SOSource => 0, ResetValue => "0") -- ResetValue 1'b0 Port map ( SI => SIBmux_out, -- ScanInSource SIBmux_out CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SR_so, CaptureSource => SR_do, -- CaptureSource SR ScanRegister_out => SR_do); SIBmux : ScanMux Generic map ( ControlSize => 1) Port map ( ScanMux_in(0) => SI, -- 1'b0 : SI ScanMux_in(1) => fromSO, -- 1'b1 : fromSO SelectedBy => SR_do, --SelectedBy SR ScanMux_out => SIBmux_out); end SIB_mux_pre_arch;
gpl-3.0
Project-Bonfire/EHA
RTL/Router/credit_based/RTL/Router_32_bit_credit_based_packet_drop_classifier.vhd
3
19736
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; --use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; entity router_credit_based_PD_C is --fault classifier plus packet-dropping generic ( DATA_WIDTH: integer := 32; current_address : integer := 0; Cx_rst : integer := 10; healthy_counter_threshold : integer := 8; faulty_counter_threshold: integer := 2; counter_depth: integer := 4; NoC_size: integer := 4 ); port ( reset, clk: in std_logic; Rxy_reconf: in std_logic_vector(7 downto 0); Reconfig : in std_logic; RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0); credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic; valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic; valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic; credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic; TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0); Faulty_N_in, Faulty_E_in, Faulty_W_in, Faulty_S_in: in std_logic; Faulty_N_out, Faulty_E_out, Faulty_W_out, Faulty_S_out: out std_logic ); end router_credit_based_PD_C; architecture behavior of router_credit_based_PD_C is COMPONENT FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic ); end COMPONENT; COMPONENT counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, intermittent, Faulty:out std_logic ); end COMPONENT; COMPONENT allocator is port ( reset: in std_logic; clk: in std_logic; -- flow control credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic; req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic; req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic; req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic; req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic; req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic; empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic; -- grant_X_Y means the grant for X output port towards Y input port -- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot! valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic; grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic; grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic; grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic; grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic; grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic ); end COMPONENT; COMPONENT LBDR_packet_drop is generic ( cur_addr_rst: integer := 0; Cx_rst: integer := 8; NoC_size: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; Rxy_reconf: in std_logic_vector(7 downto 0); Reconfig : in std_logic; Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic; empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); dst_addr: in std_logic_vector(NoC_size-1 downto 0); packet_drop_order: out std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic ); end COMPONENT; COMPONENT XBAR is generic ( DATA_WIDTH: integer := 32 ); port ( North_in: in std_logic_vector(DATA_WIDTH-1 downto 0); East_in: in std_logic_vector(DATA_WIDTH-1 downto 0); West_in: in std_logic_vector(DATA_WIDTH-1 downto 0); South_in: in std_logic_vector(DATA_WIDTH-1 downto 0); Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0); sel: in std_logic_vector (4 downto 0); Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end COMPONENT; signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0); -- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic; signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic; signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic; signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic; signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic; signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic; signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic; signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic; signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic; signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic; signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic; signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0); signal faulty_packet_N, faulty_packet_E, faulty_packet_W, faulty_packet_S, faulty_packet_L: std_logic; signal healthy_packet_N, healthy_packet_E, healthy_packet_W, healthy_packet_S, healthy_packet_L: std_logic; signal packet_drop_order_N, packet_drop_order_E, packet_drop_order_W, packet_drop_order_S, packet_drop_order_L: std_logic; signal healthy_link_N, healthy_link_E, healthy_link_W, healthy_link_S, healthy_link_L: std_logic; signal sig_Faulty_N_out, sig_Faulty_E_out, sig_Faulty_W_out, sig_Faulty_S_out, faulty_link_L: std_logic; signal intermittent_link_N, intermittent_link_E, intermittent_link_W, intermittent_link_S, intermittent_link_L: std_logic; begin Faulty_N_out <= sig_Faulty_N_out; Faulty_E_out <= sig_Faulty_E_out; Faulty_W_out <= sig_Faulty_W_out; Faulty_S_out <= sig_Faulty_S_out; -- all the counter_threshold modules CT_N: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold) port map(reset => reset, clk => clk, faulty_packet => faulty_packet_N, Healthy_packet => healthy_packet_N, Healthy => healthy_link_N, intermittent=> intermittent_link_N, Faulty => sig_Faulty_N_out); CT_E: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold) port map(reset => reset, clk => clk, faulty_packet => faulty_packet_E, Healthy_packet => healthy_packet_E, Healthy => healthy_link_E, intermittent=> intermittent_link_E, Faulty => sig_Faulty_E_out); CT_W: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold) port map(reset => reset, clk => clk, faulty_packet => faulty_packet_W, Healthy_packet => healthy_packet_W, Healthy => healthy_link_W, intermittent=> intermittent_link_W, Faulty => sig_Faulty_W_out); CT_S: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold) port map(reset => reset, clk => clk, faulty_packet => faulty_packet_S, Healthy_packet => healthy_packet_S, Healthy => healthy_link_S, intermittent=> intermittent_link_S, Faulty => sig_Faulty_S_out); CT_L: counter_threshold_classifier generic map(counter_depth => counter_depth, healthy_counter_threshold => healthy_counter_threshold, faulty_counter_threshold => faulty_counter_threshold) port map(reset => reset, clk => clk, faulty_packet => faulty_packet_L, Healthy_packet => healthy_packet_L, Healthy => healthy_link_L, intermittent=> intermittent_link_L, Faulty => faulty_link_L); -- all the FIFOs FIFO_N: FIFO_credit_based generic map ( DATA_WIDTH => DATA_WIDTH) port map ( reset => reset, clk => clk, RX => RX_N, valid_in => valid_in_N, read_en_N => packet_drop_order_N, read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN, credit_out => credit_out_N, empty_out => empty_N, Data_out => FIFO_D_out_N, fault_info=> faulty_packet_N, health_info=>healthy_packet_N); FIFO_E: FIFO_credit_based generic map ( DATA_WIDTH => DATA_WIDTH) port map ( reset => reset, clk => clk, RX => RX_E, valid_in => valid_in_E, read_en_N => Grant_NE, read_en_E =>packet_drop_order_E, read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE, credit_out => credit_out_E, empty_out => empty_E, Data_out => FIFO_D_out_E, fault_info=> faulty_packet_E, health_info=>healthy_packet_E); FIFO_W: FIFO_credit_based generic map ( DATA_WIDTH => DATA_WIDTH) port map ( reset => reset, clk => clk, RX => RX_W, valid_in => valid_in_W, read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>packet_drop_order_W, read_en_S =>Grant_SW, read_en_L =>Grant_LW, credit_out => credit_out_W, empty_out => empty_W, Data_out => FIFO_D_out_W, fault_info=> faulty_packet_W, health_info=>healthy_packet_W); FIFO_S: FIFO_credit_based generic map ( DATA_WIDTH => DATA_WIDTH) port map ( reset => reset, clk => clk, RX => RX_S, valid_in => valid_in_S, read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>packet_drop_order_S, read_en_L =>Grant_LS, credit_out => credit_out_S, empty_out => empty_S, Data_out => FIFO_D_out_S, fault_info=> faulty_packet_S, health_info=>healthy_packet_S); FIFO_L: FIFO_credit_based generic map ( DATA_WIDTH => DATA_WIDTH) port map ( reset => reset, clk => clk, RX => RX_L, valid_in => valid_in_L, read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>packet_drop_order_L, credit_out => credit_out_L, empty_out => empty_L, Data_out => FIFO_D_out_L, fault_info=> faulty_packet_L, health_info=>healthy_packet_L); ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ --- all the LBDRs LBDR_N: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size) PORT MAP (reset => reset, clk => clk, empty => empty_N, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig, Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in, flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(NoC_size downto 1) , packet_drop_order => packet_drop_order_N, grant_N => '0', grant_E =>Grant_EN, grant_W => Grant_WN, grant_S=>Grant_SN, grant_L =>Grant_LN, Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL); LBDR_E: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size) PORT MAP (reset => reset, clk => clk, empty => empty_E, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig, Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in, flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(NoC_size downto 1) , packet_drop_order => packet_drop_order_E, grant_N => Grant_NE, grant_E =>'0', grant_W => Grant_WE, grant_S=>Grant_SE, grant_L =>Grant_LE, Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL); LBDR_W: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size) PORT MAP (reset => reset, clk => clk, empty => empty_W, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig, Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in, flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(NoC_size downto 1) , packet_drop_order => packet_drop_order_W, grant_N => Grant_NW, grant_E =>Grant_EW, grant_W =>'0' ,grant_S=>Grant_SW, grant_L =>Grant_LW, Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL); LBDR_S: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size) PORT MAP (reset => reset, clk => clk, empty => empty_S, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig, Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in, flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(NoC_size downto 1) , packet_drop_order => packet_drop_order_S, grant_N => Grant_NS, grant_E =>Grant_ES, grant_W =>Grant_WS ,grant_S=>'0', grant_L =>Grant_LS, Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL); LBDR_L: LBDR_packet_drop generic map (cur_addr_rst => current_address, Cx_rst => Cx_rst, NoC_size => NoC_size) PORT MAP (reset => reset, clk => clk, empty => empty_L, Rxy_reconf => Rxy_reconf, Reconfig => Reconfig, Faulty_C_N => Faulty_N_in, Faulty_C_E => Faulty_E_in, Faulty_C_W => Faulty_W_in, Faulty_C_S => Faulty_S_in, flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(NoC_size downto 1) , packet_drop_order => packet_drop_order_L, grant_N => Grant_NL, grant_E =>Grant_EL, grant_W => Grant_WL,grant_S=>Grant_SL, grant_L =>'0', Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL); ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ -- switch allocator allocator_unit: allocator port map ( reset => reset, clk => clk, -- flow control credit_in_N => credit_in_N, credit_in_E => credit_in_E, credit_in_W => credit_in_W, credit_in_S => credit_in_S, credit_in_L => credit_in_L, -- requests from the LBDRS req_N_N => '0', req_N_E => Req_NE, req_N_W => Req_NW, req_N_S => Req_NS, req_N_L => Req_NL, req_E_N => Req_EN, req_E_E => '0', req_E_W => Req_EW, req_E_S => Req_ES, req_E_L => Req_EL, req_W_N => Req_WN, req_W_E => Req_WE, req_W_W => '0', req_W_S => Req_WS, req_W_L => Req_WL, req_S_N => Req_SN, req_S_E => Req_SE, req_S_W => Req_SW, req_S_S => '0', req_S_L => Req_SL, req_L_N => Req_LN, req_L_E => Req_LE, req_L_W => Req_LW, req_L_S => Req_LS, req_L_L => '0', empty_N => empty_N, empty_E => empty_E, empty_w => empty_W, empty_S => empty_S, empty_L => empty_L, valid_N => valid_out_N, valid_E => valid_out_E, valid_W => valid_out_W, valid_S => valid_out_S, valid_L => valid_out_L, -- grant_X_Y means the grant for X output port towards Y input port -- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot! grant_N_N => Grant_NN, grant_N_E => Grant_NE, grant_N_W => Grant_NW, grant_N_S => Grant_NS, grant_N_L => Grant_NL, grant_E_N => Grant_EN, grant_E_E => Grant_EE, grant_E_W => Grant_EW, grant_E_S => Grant_ES, grant_E_L => Grant_EL, grant_W_N => Grant_WN, grant_W_E => Grant_WE, grant_W_W => Grant_WW, grant_W_S => Grant_WS, grant_W_L => Grant_WL, grant_S_N => Grant_SN, grant_S_E => Grant_SE, grant_S_W => Grant_SW, grant_S_S => Grant_SS, grant_S_L => Grant_SL, grant_L_N => Grant_LN, grant_L_E => Grant_LE, grant_L_W => Grant_LW, grant_L_S => Grant_LS, grant_L_L => Grant_LL ); ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ -- all the Xbar select_signals Xbar_sel_N <= '0' & Grant_NE & Grant_NW & Grant_NS & Grant_NL; Xbar_sel_E <= Grant_EN & '0' & Grant_EW & Grant_ES & Grant_EL; Xbar_sel_W <= Grant_WN & Grant_WE & '0' & Grant_WS & Grant_WL; Xbar_sel_S <= Grant_SN & Grant_SE & Grant_SW & '0' & Grant_SL; Xbar_sel_L <= Grant_LN & Grant_LE & Grant_LW & Grant_LS & '0'; ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------------------------------ -- all the Xbars XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH) PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L, sel => Xbar_sel_N, Data_out=> TX_N); XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH) PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L, sel => Xbar_sel_E, Data_out=> TX_E); XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH) PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L, sel => Xbar_sel_W, Data_out=> TX_W); XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH) PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L, sel => Xbar_sel_S, Data_out=> TX_S); XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH) PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L, sel => Xbar_sel_L, Data_out=> TX_L); end;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/Testbenches/immortal_volt_monitor_tb.vhd
3
6841
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06/21/2017 05:18:09 PM -- Design Name: -- Module Name: immortal_volt_monitor_tb - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity immortal_volt_monitor_tb is end immortal_volt_monitor_tb; architecture Behavioral of immortal_volt_monitor_tb is constant tck_period : time := 10 ns; constant HALF_SEPARATOR : time := 2*tck_period; constant FULL_SEPARATOR : time := 8*tck_period; signal toSI : STD_LOGIC; signal fromSO : STD_LOGIC; signal SE : STD_LOGIC; signal CE : STD_LOGIC; signal UE : STD_LOGIC; signal TCK : STD_LOGIC; signal RST : STD_LOGIC; signal SEL : STD_LOGIC; signal toF : STD_LOGIC; signal toC : STD_LOGIC; signal volt_control : std_logic_vector (2 downto 0); signal volt_data : std_logic_vector (31 downto 0); component immortal_volt_monitor_instrument is port ( -- IJTAG connection TCK : in std_logic; RST : in std_logic; SEL : in std_logic; SI : in std_logic; SE : in std_logic; UE : in std_logic; CE : in std_logic; SO : out std_logic; toF : out std_logic; toC : out std_logic; -- Monitor connections control : out std_logic_vector(2 downto 0); data : in std_logic_vector(31 downto 0) ); end component; begin volt_monitor : immortal_volt_monitor_instrument port map ( -- IJTAG connection TCK => TCK, RST => RST, SEL => SEL, SI => toSI, SE => SE, UE => UE, CE => CE, SO => fromSO, toF => toF, toC => toC, -- Monitor connections control => volt_control, data => volt_data ); ijtag_shift_proc: process -- Generate a number of TCK ticks procedure tck_tick (number_of_tick : in positive) is begin for i in 1 to number_of_tick loop TCK <= '0'; wait for TCK_period/2; TCK <= '1'; wait for TCK_period/2; end loop; end procedure tck_tick; procedure tck_halftick_high is begin TCK <= '1'; wait for TCK_period/2; end procedure tck_halftick_high; procedure tck_halftick_low is begin TCK <= '0'; wait for TCK_period/2; end procedure tck_halftick_low; -- Shifts in specified data (Capture -> Shift -> Update) procedure shift_data (data : in std_logic_vector) is begin --Capture phase CE <= '1'; tck_tick(1); CE <= '0'; --Shift phase SE <= '1'; for i in data'range loop toSI <= data(i); tck_tick(1); end loop; SE <= '0'; -- Update phase --tck_tick(1); tck_halftick_low; UE <= '1'; tck_halftick_high; tck_halftick_low; UE <= '0'; tck_halftick_high; end procedure shift_data; -- Returns all zeroes std_logic_vector of specified size function all_zeroes (number_of_zeroes : in positive) return std_logic_vector is variable zero_array : std_logic_vector(0 to number_of_zeroes-1); begin for i in zero_array'range loop zero_array(i) := '0'; end loop; return zero_array; end function all_zeroes; begin volt_data <= "00000000000000000000000000001111"; UE <= '0'; CE <= '0'; SE <= '0'; toSI <= '0'; -- Reset iJTAG chain and Instruments RST <= '1'; wait for tck_period; RST <= '0'; SEL <= '1'; tck_tick(4); --shift_data("00000000000000000000000000000000"); --shift_data("11100000000000000000000000000000"); -- shift in threshold H without update enable shift_data("00001"&"11100"&"1"&"1"&"1"&"0000000000000001111"); -- shift in threshold H without update tck_tick(4); volt_data <= "00000000000000000000000000000000"; tck_tick(1); volt_data <= "00000000000000000000000000000001"; tck_tick(1); volt_data <= "00000000000000000000000000000011"; tck_tick(1); volt_data <= "00000000000000000000000000000111"; tck_tick(1); volt_data <= "00000000000000000000000000001111"; tck_tick(1); volt_data <= "00000000000000000000000000011111"; tck_tick(1); volt_data <= "00000000000000000000000000111111"; tck_tick(1); volt_data <= "00000000000000000000000001111111"; tck_tick(1); volt_data <= "00000000000000000000000011111111"; tck_tick(1); volt_data <= "00000000000000000000000111111111"; tck_tick(1); volt_data <= "00000000000000000000001111111111"; tck_tick(1); volt_data <= "00000000000000000000011111111111"; tck_tick(1); volt_data <= "00000000000000000000111111111111"; tck_tick(1); volt_data <= "00000000000000000001111111111111"; tck_tick(1); volt_data <= "00000000000000000011111111111111"; tck_tick(1); volt_data <= "00000000000000000111111111111111"; tck_tick(1); volt_data <= "00000000000000001111111111111111"; tck_tick(1); volt_data <= "00000000000000011111111111111111"; tck_tick(1); volt_data <= "00000000000000111111111111111111"; tck_tick(1); volt_data <= "00000000000001111111111111111111"; tck_tick(1); volt_data <= "00000000000011111111111111111111"; tck_tick(1); volt_data <= "00000000000111111111111111111111"; tck_tick(1); volt_data <= "00000000001111111111111111111111"; tck_tick(1); volt_data <= "00000000011111111111111111111111"; tck_tick(1); volt_data <= "00000000111111111111111111111111"; tck_tick(1); volt_data <= "00000001111111111111111111111111"; tck_tick(1); volt_data <= "00000011111111111111111111111111"; tck_tick(1); volt_data <= "00000111111111111111111111111111"; tck_tick(1); volt_data <= "00001111111111111111111111111111"; tck_tick(1); volt_data <= "00011111111111111111111111111111"; tck_tick(1); volt_data <= "00111111111111111111111111111111"; tck_tick(1); volt_data <= "01111111111111111111111111111111"; tck_tick(1); volt_data <= "11111111111111111111111111111111"; tck_tick(1); wait; end process; end Behavioral;
gpl-3.0
Project-Bonfire/EHA
RTL/Chip_Designs/IMMORTAL_Chip_2017/plasma_RTL/memory_sim.vhd
6
1924
library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; use ieee.std_logic_unsigned.all; entity memory is generic(address_width : natural := 16); port(clk : in std_logic; address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); pause : in std_logic; byte_we : in std_logic_vector(3 downto 0); data_read : out std_logic_vector(31 downto 0) ); end; --entity memory architecture rtl of memory is signal data : std_logic_vector(31 downto 0); signal index : integer := 0; type storage_array is array(natural range 0 to (2 ** address_width) / 4 - 1) of std_logic_vector(31 downto 0); signal storage : storage_array; begin index <= conv_integer(address(address_width-1 downto 2)); data(7 downto 0) <= data_write(7 downto 0) when byte_we(0) = '1' else storage(index)(7 downto 0) when byte_we(0) = '0'; data(15 downto 8) <= data_write(15 downto 8) when byte_we(1) = '1' else storage(index)(15 downto 8) when byte_we(1) = '0'; data(23 downto 16) <= data_write(23 downto 16) when byte_we(2) = '1' else storage(index)(23 downto 16) when byte_we(2) = '0'; data(31 downto 24) <= data_write(31 downto 24) when byte_we(3) = '1' else storage(index)(31 downto 24) when byte_we(3) = '0'; dram_proc: process(clk, address, byte_we, pause, data) begin if rising_edge(clk) then if address(30 downto 28) = "001" and byte_we /= "0000" then storage(index) <= data; end if; if pause = '0' then data_read <= data; end if; end if; end process; end; --architecture logic
gpl-3.0
Project-Bonfire/EHA
RTL/Processor_NI/memory_sim.vhd
6
1924
library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; use ieee.std_logic_unsigned.all; entity memory is generic(address_width : natural := 16); port(clk : in std_logic; address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); pause : in std_logic; byte_we : in std_logic_vector(3 downto 0); data_read : out std_logic_vector(31 downto 0) ); end; --entity memory architecture rtl of memory is signal data : std_logic_vector(31 downto 0); signal index : integer := 0; type storage_array is array(natural range 0 to (2 ** address_width) / 4 - 1) of std_logic_vector(31 downto 0); signal storage : storage_array; begin index <= conv_integer(address(address_width-1 downto 2)); data(7 downto 0) <= data_write(7 downto 0) when byte_we(0) = '1' else storage(index)(7 downto 0) when byte_we(0) = '0'; data(15 downto 8) <= data_write(15 downto 8) when byte_we(1) = '1' else storage(index)(15 downto 8) when byte_we(1) = '0'; data(23 downto 16) <= data_write(23 downto 16) when byte_we(2) = '1' else storage(index)(23 downto 16) when byte_we(2) = '0'; data(31 downto 24) <= data_write(31 downto 24) when byte_we(3) = '1' else storage(index)(31 downto 24) when byte_we(3) = '0'; dram_proc: process(clk, address, byte_we, pause, data) begin if rising_edge(clk) then if address(30 downto 28) = "001" and byte_we /= "0000" then storage(index) <= data; end if; if pause = '0' then data_read <= data; end if; end if; end process; end; --architecture logic
gpl-3.0
meaepeppe/FIR_ISA
VHDL/mult_n.vhd
1
557
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY mult_n IS GENERIC( Nb: INTEGER := 9 ); PORT( in_a: IN STD_LOGIC_VECTOR(Nb-1 DOWNTO 0); in_b: IN STD_LOGIC_VECTOR(Nb-1 DOWNTO 0); mult_out: OUT STD_LOGIC_VECTOR(2*Nb-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE beh_mult OF mult_n IS SIGNAL mult_signed: SIGNED((2*Nb)-1 DOWNTO 0); BEGIN multiplication: PROCESS(in_a, in_b) BEGIN mult_signed <= SIGNED(in_a) * SIGNED(in_b); END PROCESS; mult_out <= STD_LOGIC_VECTOR(mult_signed); END beh_mult;
gpl-3.0
julioamerico/prj_crc_ip
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@a@p@b_@i@p/_primary.vhd
3
9959
library verilog; use verilog.vl_types.all; entity MSS_APB_IP is generic( ACT_CONFIG : integer := 0; ACT_FCLK : integer := 0; ACT_DIE : string := ""; ACT_PKG : string := ""; VECTFILE : string := "test.vec" ); port( MSSPADDR : out vl_logic_vector(19 downto 0); MSSPWDATA : out vl_logic_vector(31 downto 0); MSSPWRITE : out vl_logic; MSSPSEL : out vl_logic; MSSPENABLE : out vl_logic; MSSPRDATA : in vl_logic_vector(31 downto 0); MSSPREADY : in vl_logic; MSSPSLVERR : in vl_logic; FABPADDR : in vl_logic_vector(31 downto 0); FABPWDATA : in vl_logic_vector(31 downto 0); FABPWRITE : in vl_logic; FABPSEL : in vl_logic; FABPENABLE : in vl_logic; FABPRDATA : out vl_logic_vector(31 downto 0); FABPREADY : out vl_logic; FABPSLVERR : out vl_logic; SYNCCLKFDBK : in vl_logic; CALIBOUT : out vl_logic; CALIBIN : in vl_logic; FABINT : in vl_logic; MSSINT : out vl_logic_vector(7 downto 0); WDINT : out vl_logic; F2MRESETn : in vl_logic; DMAREADY : in vl_logic_vector(1 downto 0); RXEV : in vl_logic; VRON : in vl_logic; M2FRESETn : out vl_logic; DEEPSLEEP : out vl_logic; SLEEP : out vl_logic; TXEV : out vl_logic; UART0CTSn : in vl_logic; UART0DSRn : in vl_logic; UART0RIn : in vl_logic; UART0DCDn : in vl_logic; UART0RTSn : out vl_logic; UART0DTRn : out vl_logic; UART1CTSn : in vl_logic; UART1DSRn : in vl_logic; UART1RIn : in vl_logic; UART1DCDn : in vl_logic; UART1RTSn : out vl_logic; UART1DTRn : out vl_logic; I2C0SMBUSNI : in vl_logic; I2C0SMBALERTNI : in vl_logic; I2C0BCLK : in vl_logic; I2C0SMBUSNO : out vl_logic; I2C0SMBALERTNO : out vl_logic; I2C1SMBUSNI : in vl_logic; I2C1SMBALERTNI : in vl_logic; I2C1BCLK : in vl_logic; I2C1SMBUSNO : out vl_logic; I2C1SMBALERTNO : out vl_logic; MACM2FTXD : out vl_logic_vector(1 downto 0); MACF2MRXD : in vl_logic_vector(1 downto 0); MACM2FTXEN : out vl_logic; MACF2MCRSDV : in vl_logic; MACF2MRXER : in vl_logic; MACF2MMDI : in vl_logic; MACM2FMDO : out vl_logic; MACM2FMDEN : out vl_logic; MACM2FMDC : out vl_logic; FABSDD0D : in vl_logic; FABSDD1D : in vl_logic; FABSDD2D : in vl_logic; FABSDD0CLK : in vl_logic; FABSDD1CLK : in vl_logic; FABSDD2CLK : in vl_logic; FABACETRIG : in vl_logic; ACEFLAGS : out vl_logic_vector(31 downto 0); CMP0 : out vl_logic; CMP1 : out vl_logic; CMP2 : out vl_logic; CMP3 : out vl_logic; CMP4 : out vl_logic; CMP5 : out vl_logic; CMP6 : out vl_logic; CMP7 : out vl_logic; CMP8 : out vl_logic; CMP9 : out vl_logic; CMP10 : out vl_logic; CMP11 : out vl_logic; LVTTL0EN : in vl_logic; LVTTL1EN : in vl_logic; LVTTL2EN : in vl_logic; LVTTL3EN : in vl_logic; LVTTL4EN : in vl_logic; LVTTL5EN : in vl_logic; LVTTL6EN : in vl_logic; LVTTL7EN : in vl_logic; LVTTL8EN : in vl_logic; LVTTL9EN : in vl_logic; LVTTL10EN : in vl_logic; LVTTL11EN : in vl_logic; LVTTL0 : out vl_logic; LVTTL1 : out vl_logic; LVTTL2 : out vl_logic; LVTTL3 : out vl_logic; LVTTL4 : out vl_logic; LVTTL5 : out vl_logic; LVTTL6 : out vl_logic; LVTTL7 : out vl_logic; LVTTL8 : out vl_logic; LVTTL9 : out vl_logic; LVTTL10 : out vl_logic; LVTTL11 : out vl_logic; PUFABn : out vl_logic; VCC15GOOD : out vl_logic; VCC33GOOD : out vl_logic; FCLK : in vl_logic; MACCLKCCC : in vl_logic; RCOSC : in vl_logic; MACCLK : in vl_logic; PLLLOCK : in vl_logic; MSSRESETn : in vl_logic; GPI : in vl_logic_vector(31 downto 0); GPO : out vl_logic_vector(31 downto 0); GPOE : out vl_logic_vector(31 downto 0); SPI0DO : out vl_logic; SPI0DOE : out vl_logic; SPI0DI : in vl_logic; SPI0CLKI : in vl_logic; SPI0CLKO : out vl_logic; SPI0MODE : out vl_logic; SPI0SSI : in vl_logic; SPI0SSO : out vl_logic_vector(7 downto 0); UART0TXD : out vl_logic; UART0RXD : in vl_logic; I2C0SDAI : in vl_logic; I2C0SDAO : out vl_logic; I2C0SCLI : in vl_logic; I2C0SCLO : out vl_logic; SPI1DO : out vl_logic; SPI1DOE : out vl_logic; SPI1DI : in vl_logic; SPI1CLKI : in vl_logic; SPI1CLKO : out vl_logic; SPI1MODE : out vl_logic; SPI1SSI : in vl_logic; SPI1SSO : out vl_logic_vector(7 downto 0); UART1TXD : out vl_logic; UART1RXD : in vl_logic; I2C1SDAI : in vl_logic; I2C1SDAO : out vl_logic; I2C1SCLI : in vl_logic; I2C1SCLO : out vl_logic; MACTXD : out vl_logic_vector(1 downto 0); MACRXD : in vl_logic_vector(1 downto 0); MACTXEN : out vl_logic; MACCRSDV : in vl_logic; MACRXER : in vl_logic; MACMDI : in vl_logic; MACMDO : out vl_logic; MACMDEN : out vl_logic; MACMDC : out vl_logic; EMCCLK : out vl_logic; EMCCLKRTN : in vl_logic; EMCRDB : in vl_logic_vector(15 downto 0); EMCAB : out vl_logic_vector(25 downto 0); EMCWDB : out vl_logic_vector(15 downto 0); EMCRWn : out vl_logic; EMCCS0n : out vl_logic; EMCCS1n : out vl_logic; EMCOEN0n : out vl_logic; EMCOEN1n : out vl_logic; EMCBYTEN : out vl_logic_vector(1 downto 0); EMCDBOE : out vl_logic; ADC0 : in vl_logic; ADC1 : in vl_logic; ADC2 : in vl_logic; ADC3 : in vl_logic; ADC4 : in vl_logic; ADC5 : in vl_logic; ADC6 : in vl_logic; ADC7 : in vl_logic; ADC8 : in vl_logic; ADC9 : in vl_logic; ADC10 : in vl_logic; ADC11 : in vl_logic; SDD0 : out vl_logic; SDD1 : out vl_logic; SDD2 : out vl_logic; ABPS0 : in vl_logic; ABPS1 : in vl_logic; ABPS2 : in vl_logic; ABPS3 : in vl_logic; ABPS4 : in vl_logic; ABPS5 : in vl_logic; ABPS6 : in vl_logic; ABPS7 : in vl_logic; ABPS8 : in vl_logic; ABPS9 : in vl_logic; ABPS10 : in vl_logic; ABPS11 : in vl_logic; TM0 : in vl_logic; TM1 : in vl_logic; TM2 : in vl_logic; TM3 : in vl_logic; TM4 : in vl_logic; TM5 : in vl_logic; CM0 : in vl_logic; CM1 : in vl_logic; CM2 : in vl_logic; CM3 : in vl_logic; CM4 : in vl_logic; CM5 : in vl_logic; GNDTM0 : in vl_logic; GNDTM1 : in vl_logic; GNDTM2 : in vl_logic; VAREF0 : in vl_logic; VAREF1 : in vl_logic; VAREF2 : in vl_logic; VAREFOUT : out vl_logic; GNDVAREF : in vl_logic; PUn : in vl_logic ); end MSS_APB_IP;
gpl-3.0
hanw/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_input_block.vhd
9
44196
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Sa/X2fuUPFBgWNweidBHti1Zxl0+dnCXbqQGfecXx8FI17ZoWd7iE0xOtcmFB6U6z3tUyQkhFOxR EHXegHMx4g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lmF9yNNj2XYcQ9hhoCl3f8rXeTtSGgIr1qdJbiSB0SlzCSD8WBXutfiivo57XGJhu1U0wD91NxCb PzIl/zTNse1B5uGgh18rDSJ9fMtA4UpMnx+zMBI0fI09dVnaCH1f8xOp3LaoIJV7rBGQBk3KEySL Nf+oDAOICOwP6un8zOQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B5jvUryWP9fNwzOEe1EQz4yDxapUWxmoA/3Z0UGGAo/HSICL78qjy6KanqtXsjXZbGtiFPZk/Q6g ZDVeNOAdIpLy3+1YT4R6EYQEFzv1VzA5tKhouaqjalzyVAXUQYJD3OqXEzBjjGbQKd+vSi5PL6sP UTTfPOf6rsMe/Utn6vNBjxDjwmje4sAFmxj8RCp0T7Mab+7I3p4PFx86s65Ah4yQFyUF7hVyORPf 6+B4ZvNqBI+sK4evlgpufY/j3PhvHX8HGoagDffHqpr5mDS7Z/fPyKHh72mKcd/F/dhN1HEqNLdN 87OS3Zi9l2lvPR26pT3SSuHBy/I1h2lDiJvO4w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bTInUywiEuEN8l/R5pd03y9OWcM3AfJRXCyFmSNTCXG1FbnEtyFC9ZmkLaWG/HzvD8iv8mLTDcQ+ 7Vd5lA2adNj3+v6vPXArGIbCAq4YlpZOyNJoCVsq6lE2HSNYcsKD0hQBkquOvwEGKSf34EA+EE6i sf6GFCuzL128uVm5wag= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block og53ELaxsAv9yq+YTzEqUM4u5Dno+yoI1fBgG/O8FF0m/201+yQXAwEHL3fAqtw7ebxmwgXE2T3A 9UuXt2dF5DYqdRz03jPbv5cL5NEk2HKIOqhiLxvid++AQDa9YvrV7M+gxhGXBMrb4eF35Pu+tyTC 3UAr73a2V95NSOAJ/bAVyG9pIPMI8G67pbtbRMV0MuQWjHMjJg5Tu2/eHC6NeCfpoqzjgnBQata7 C9XBTTvswizJfwE5j3QYkj4+cLKEc/zczoOXPFmOyofUjlhTkB+TteT5P30UxfwjcdoDG1O5CI4B F45cHCYR0uRof06XqR8+1V6E14d7EsptQxCkYQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30976) `protect data_block R0Qk2oqwflI9GpY3m5joyseliRr4673dtp0lb1zDjkaaSufNRzDMCc6KCowR99QdiV3je5ItWJZK PYxTs4SmTjjkdQ0tl2SE4l30zmLlsSJwwzKxnBjAYz2Tpyjx+PNqYJWKLBtu1Mo6/NmnPRW9ZUJ5 v+BbQEvER29PcVmOcpcU26f6rdxDGEutkjVbwiC4bNKvTYoVcOAJylzwaNCeu00I8wdeAxyuMI2V 7gnv/8UIU3q8dsfika1drxzPOHbICw7KgkfbiC6HWbxcLRF5JZGCrdUIN6b3OFKFjd4Iu8THIt0y hlQk6MoS5vNOlipkwh2PYzGL7siVmCh44OvFhErNUeu11ET0HHiKSdC/x4c67/BGFGJRYAR6D+Un EQAGE7ZuLwPUp4b37jSwrWmXZzGz4qp7ijyXLLriiZg1wCmxBQTg4utJ9hwuXbb6tvDp+MtJgqEP eXaYjCrdu24m8rl52Xfh2il4DvgXjCeF9Xxpvhtf0K93pmbeyNBSSQaQvfrEYZxd/XIByCcMub2G /eliy4qrlXsG2t5G/M8K1wD/fWjT+x2tniBOmXcHrrMf5M1uclvkUpco9tukW2emHWJUgYwg2+JP VcXJybqc0TiZ+zmMXwg7PSkGTsIrJOR+bXxITnWZlLzEh1NKya7idNMVzHvhG/pL5on8kiCquT6C paYY93z4Q+rCTrcpSgJ0vu0Sbbdb0pOztC1/qNSXzW0OUF0RbJ4W0X5jLAPwhnTpZYhSSOYxrVVU Bn6T5C7+stZysHLHSo5XfzbDT4totQOb7anKifxqRNPPkpJqBpR+4m/gm78/qp30KN7VMUhDrTF6 NMdhJ50uFhRrH0FkxJTrM7unnZIoqJIx8pJCZCoQJ7yB/9H96asPUyfrCrRv09k2qPndzED7hL8i VlJTUWK1yvb4/xkycV9OZfDPAe7gTDKW9L71mL0snI3Cz1+2nZddEzdXija45VV8iT2irY7aIEU1 cbSG0Sdi6NQNKdje6lrL8aE7Hq90o72klnlgKjjXsnfZveYha5CaqOQ42E1B1R6XkwaCyFSZlKrp tFLDGmzQz4asWWyz4jN01zMm9LQqoEthX32790gSNKSslIcZBXGtODOXiwofEHEExM0w99zAniE4 bWTP0PaI8gPwTlW/lc/TIQf5DndvN5hR5T1Lp2hZZsIt6uFxBG5YO7y+h1IiwrDhU3WWtMLcooS9 27ClR0Z8IcX4LgD9lPytGItemoIe+KRiS4UOLlNVSB89TNSrdBhgpXbOizjGkd/HbqeWwiNZqnGA 8zj+3kAC/3MFACdpN6VMA5gAs5fPr+M1uvlrh7R8cNJ1EoFQmt0wB60/a58qbd9WvlzKMFhIyHav VWM9Z4mT0eI/xMFGpQZIz5SFJwVWLDfcYKPvf/q6lVfpOSZmAOw4eka48Xu56rBBDPnPD61X+qZn 1oTIJzc1oU3pQ37YknezVOFONYgED1A1jIbxh/euCWEJRPORf390woSbSth25anOK/N6CbyXAWn1 2TciwzvudbsJ4hjKmLex/+QIHz9LC6R0YDPShvdSe03kovD6Izgg+mka7xg5YF3W562XAG8Xy8ux jQ3OguS2myOzZ2Wn2Qdfn3R5NHehfd3KI2NOe2/YpY/zWcKBEXvQvqsIdDgL35n2xOCOnzHZ/eQW /PLxNgnuDBxd+NYSZNkFp2CZNUj+AP4q8oV8Un1Ncyarenn7xp3V+NdPilDvhwGXqXqfJeCi4oHX 6y3pfov2mgYRhlWJOmhZe5OXV4ys5CrDco3QluFqFyBFok3F9UsP3aZ4ZgTU1y11oUpHh/t//+/5 7nb1V2yR9tt+JWyUUzMRZ3JpYmkRSlqnPBThQbdkQPDEGBqjDjJfFzbJcKQ2CiedYthko+rGFrxU TrUqIxEMl6402jeb477XKU6V+geyt4xJF8sm5Pl/s0hmXT7G0tAy38XUoKRXFShm2Ap+tUPtJLbP SsgFgiWybM9vbEZFr6HRZ8qIrCzWn48x1Cc/ldh3vfGasvfcJhirNot8nBaFu9b2JD8Fh9Ur8Uqe aU+xenxn4d/5ysebVTuQ/fOiT9PdrDytlp/JlGSDGo72i5aDH7cbkMw+lIoOmPaGK8PcjstKsQUx WvM3+Vg3cjFq/+P1sfMY38NOiOMHqxYpZUdVThVgojqYarOJlF5lPmyab5JRfgBEaj7oBUKy5QAG hQXXxikb8zh0nWbMj2dtzp6WzyuTdYY9yppoNGpvRgPbXUBGIwUodkswVtVKazY14bkHlNvWVzVG 1CmDDlGqT1AaNucQBsC+4qCT9Xsymdc2O40uZO1Ke6VzyEWp+aIOiocRDkHz0CaxQ+1pOJV/6qi0 8Ug/T8Ch4jqOPMSUM5Jwino02VNmamKbZnuLFkXGINdxz2tp50LQPVPIVz3TPmX1eWdS32t5if70 blJbSOUUu3xP6pwM8S1BffUMAIP6IsBVdbkYWzbGl5eEiI7QooIVX3HA9xndRfL1dQSim8U+ca2q LKtG+BL5V3wQAImSuoA4MA0Wh5TQBKAe340YSWGP3DxbMszc55vfpGs2cT3ZD1LHBs1TU2ibHMXc /Esbt6dXdFUTxW3CJ9FixwXTnE9B1pp1e5HVKBTcxNQ0mjV59MYcxRTq4qRpBa7ssnFDp/FU2ryr B7EgpcXbgeiGtgPabPX7R3BllEQH0jNNtwofWEJLsXwrfE/iUha3IR9wqOODIN1smRN/a9TM+kLT TY1QBNvYowFnKLVQBHgUWA1RbO/tQVlkuiZDzqXcxdtbBgnkYZEjAYgZU0qkBsZJ79NvmVj4WDiI bkJPPvzmKlPm6vVA+/TLRYJA4p7E+w6AcJDcGKVfpmmePDjR+XBs4mWNHp2m6bNjfKiuZ3meqOv/ ZCHpjt9df+jP+JFfkqtu9H78FeM5IZtTDQ7GxPaZSgH0GCDtOz36Z1s/qp7fk5EiiNOeZ/qU6KPa 0Ud5idnEwXgUTlbonrMuyS9Eo0MQYCCydQvdMGs/bp2CWwGAq2GEiAIQZi263DMV1dTM9QV8l0ov BPj/Ol+xMcQAeTLWGHF0rnamAlxCx7jgS+T5JYzHUD/yXNYV9tWL0KpnqLGruFXxZ6b3qdVMRySc 5PmoqBwxaSph8tMwmORPRDKebqFEfEKt+RVBT8bIlvW/f7rr+pDoLsJM2MjvF8tWXqNgU3ENJqT3 mt0vUcznMyTGK9CC5OgADks305wAg1JwwlXgvYAdwfUD+MTA7tYAI/dnR1Y0ezR92EbDgKNHP63S KXQaTU1Hwyf/Ag/vFeeB0SdXjPVOt+eWsq7z9W/zIA0SpeRhM7CfWwtsrO1HluU4qc9PolNO68wD 30d2991uHPWjN+s+VfyBqGVht/VhsrNJ11XQMWJul8AUOysI9fPxX3HIy97MEBXBDzqZgSdT5nIk bYGB0kmY6LebsqFpkT5r3w6dZUokAY1Itnivu9eNYsK11v2u/aeVOltJJ5jFJK0lYUyZuZYFXoSs YrDUnNycUvqtRruWXKB8gHV1PleaCrvFsW2YzkXcG+jwhFFbJslKjizeNfCA8ExZlqd4Zy38xfE4 H3LdP4Vrpd+AzME7+J+AZc/B2P+uqUMm49RCSmN0OeXvj9OHc5t8HkJ7w2lvrQNsxFePf0fIlPmE acIB/qIKPewIQV6N/9oSjGLgUDyJdcen8PZNQSeOuDzdOLF5O//ARByz1Wrw3tXiUxYf6pqYJK3N FPenNfl244p5J5P0Srexj5WY1m6j2hz3TQjPt+GxFSbil3h0J6cd1u9wW8jcFcImoAUpjRVYZ06N WGAAU9/++cfolOTBdtEVjtLUQT6/zoHvfBom9SHx5EeKI4rH11VEUznbsvr24oxJdOXA8FvJcVU8 5AncgPNTL2EIAXcwm0LcnlqwtTF/YeDbJr6JPD1HXctHLHFnLe/7pV1BLCmY5LBDLkOdqz89tpnT /UrkbE5MJlLQbobj/4gwrDQZvN7vfTBTJFwQTvdGCXb7/AceR50Tw42PtdgngB4UH2iGjD/qSed6 jcZ8ZxA10b6JJEdxmEoQV/tVlKxb//80jtZS8fxrWGxHqsuIRDUekVvQbTbqFLhghhCT36g2p0s1 9GfipZ1QxfOpGbSxjl9opxuckqugO/bD1IrLlwDsqePMcnXboktTtEcK0UwOEB35SH7J9glohS5r nH9vPVGqQJt1NSFtGX9KIax2ujVD216GwCJ/SJZEPqdktT/s+DqZx6Nx8NeAyMcTlKVDIygxlnK/ fiDSSlzGYlXB+/h2wYWn0fy4wphMr0lStAnQjRuaFXmtaD/sUu7nN3serVoHoXqbfREmEg0PXIqN geLV5MJbdjztqf+vCPJsnk7mnIa7ZzB6ynvSI5jWBbuB01ZYwovWxd5gc+DMMA2JmSt8zwhnUrnf 49kXLhw0jU8hS//7kSwAjCLxyqOkAx7KIGqAW3MPmmQbThxpUxCr4k50EYTpCVEu1iWjLPJ+flqr RFkUUE+DmeoNNuG0ajyq7yHQHjLcXwRpgtfou9Mu0fCtM0Gn24fAioEP2KHbnI4GodZ1zezMyMVd kmiy4ykD4OMQquAtcrkLtk6TTCS/cukjw2awELZQZz+7L1OuR3E4cwXd8tLSJukfIkuiZHCS6Xzi H26Y8XS5ENGDGquHqcpK2Yp2Tp86Xup2yVcmNvH5HS5OtNcUSKodPtYvk9zSxM2BSWjskZh1+fEQ LSp2hY1YHrTGPLx/vDMIZ3+lDjbmI2wiHh7zkoutuXpS2GewG81gP/rauotS9KxSwAeBKYySJh6p VGUWTjU634D6DBLg/ePVhog+ekyxsuaaOYj2uOO4ZdpHl8NdZWEvabEgFRxVQJL7nCpue7XEDVEo bySYxxcU14RCksUsONoupv8xPI5MfcRbuI/5hlTRAoeva8B8kaYB84tSxjOHkG/IQrKRThVibqaW QpwJAJXaOnybnTkp8ofwp+UPhbceSxtyMaBvaARuadxlVbFFYle7CMj7Kbt8XMdhCl7n8AYuhEmG ++Y0RHKGaMQX0Swt3+x2K2Oj1NlFmHDHYAc7aPpgjFvcHWhAaqI4HPkqT+AK4p7o8+/ZA5AI+3gy e+vcWnyE9IboyHhtoxtawJRqtIoSFpaV+ZpJEBkMkViMVO0xmmKmU9KztY6EAgGGd6On7/W9HH5K tfwFOBz64fRcsLDLpK1vVgxFmv8Uj4ORvaq+MjAPPMT4X0xpf2dVIhLiZJrvL74UrUX2oe6tqYgD FXMDrVV6c8OniO8kkLBAmJbmXpjBn7CYvSZoolX9taRuOnwiYgJIREWBLb6nZfvZ2ZSDGqic2pe5 +87K3DHEUVlVpUfmd1ZzPxGN9gS4h9y/FGzQftJHKvDh79FhuVwGNcNIvjZhyPArdMjuNiceHxNI YePMIvPT2h91eD2EKQmQkIBNq4F6x/VSUnpxWqPYLkpXAF+pZkZU/+vyUy58TUG4G7+LzUHYHyuj rFGGD5+vvuFklA0vrf96O/P7MD6vhCCkE7169Nq+8bAWhC8w0qF85qnqkenEgDCGTkzVhzSV0o9W F9UX+Lfqt/ufJbiKfmFCHGuhNsnEPAoVIF7LOO2rVh0/SCbo/dzxZHkvKvoSdpXOf7kh0IcxDHSN vwrsFaDkvyTUFrLgcV8iHZxHuPQTz9JT1pAIE9fc0bz648eoltsuxoIUAoTmtP25O/lUpEHZL0kp vqbhl30/hhvKCRXni20y7ceTMQkieNcdGT+G6qSnD3ccJ7t/qtt+5yFcIY8Lam1AqifIbOCxY7g9 YgB/7JUN+sCkO2h1i6MiCAl15EDN48stQzcag+9MB/ujebdOx6HAoF2wmlpDVToHzFwSu7pw32ua N0IWEgr8kKErh5vQHdSp/Gw2xQcSQ5KLf7Z4ebKOEwmFqVOaBJuk3+rEibK+s/PODSLkva+KcKkD tBjVdGnFaHWSOxZqSaKP+zp/uLDZ7bQGCKZTn+Q8CdJ1HPZnkSXTpcRoD58PKivpeg7ZNkAutJjn YRp4B/JhhYIhm43ex6B0jvk52v91qM9k28Eh5hHaCA96wi73UA7pluuYB+xKpSWwUs0u1p6/SvwD wtdxqgLb4rhXSzwsceDEC4ZV+qvUU8Lqqz3ZKBOoNTrri5/Vkx0V2d834QBLoEDdUMgC33rEpAo/ nBGxhwPOIgekmSg9iN5/SkroJ/47we84NcNhfdX55zOPsnpUnDxffyCavCq8/AznbVducoXPJF7N wSXx90G2O659asmnX6TahdphdbsUkiFAdySU3134uGquka1Fuf4+0gBUqblDw7splt/SGWQHdRpN 4Yt4KLthcmsRcL9w4Ptt+l7Tdv2kC5T7ggaNFS9a0dd45qg/GR+OdxLSeBebLD98cqRJyMZn1bBo +UYWuO0wvGWX7+sx5urxtPiyw6WAsF+EaTBh7jyuNFIpeJzfqGID5oHq2RnTjcQnWGKLZreRtWFC rDlqOZMNaCBus+D9MC5fUD7lQFyIFYupqUL3O1+zblBO4HOI6eXJ35P5CZnyrkxpSZE35GOL7BQo WIa115ZXCmBPZ1vsGz3cW/VRxXjellA0chZh5yWi3prfjOCJm4iA0qkU9obHDAUgWASWIbE7nfFx UmcRDQNS/JMD4lHXkmwIehem5joxDWZXgn4loUym+IO/7ZqQ36z6gRuJic+GpLXjonQa8OJP4dqZ EhCMvClj6+KPnNUdAeyECBaxHgYagi73N1il3bnMPQmBq9Xwy4ErhwGvoG2/agvEisLE8/5T8Eq2 ifNKrbICVtqYkjWj4WVQVVDDNITxOqKzisXeohSipHd0cHBL81zCykpwhOkZEmKCrfMJPO67qSfG 2/fBhE8V5nd8NC9Wz2QSexB5ElEhpFH6ziOWSTP4+Ve2XHeeweMX6X9K7bJEHOfYcgmVhAJcVFnu naUeRItVJT78mQ6gem53UWk0iPj67erN5ol/NRWWG2rpe/oReRZBh591bw3tEwGPCUG3EVf42Gi/ Ab7WKMcRQ0FKWGa810191ELmQXZAR1V/N8PVUqNqk8PX3OOrJ8frJie8Y6w1abA1ztBhoxzo8DNE j1pRBxQs+QJ5LRF5Ai6pmdnxTLboM/f7kwr5aC59WtpurQ46831AT6pulbGuQPoZ5OS+Inq7xeqx 8opbbCKbbB5xbCFCXVpkYFr4pPHsUTvJY//RT1zEASe6iMhJnYqOM+MJxRvXZQ4LSvR83ByiJEBK NGv64SuJzmfAcM9VlKLhuiqFky9ts1g+mweYuM5w0MxErMkxFIoyGpiQiYgVFtwOvB7m9uxhQBJp oVSBJCWKH8lmCi8jGLbtmUhIPxyiO7K0vqUUKNdeNGowyaL1NXB6WSd/Wh51QI9aSVeho1k3vIsJ Ysb6N0fpH58APLSYnF3wP0KCjqFHUs+6YzFLhoCKMhnDbbFFkaVyofa1hhfLiiTXWHdtIClcnLu+ vBE44aKDO6bovu5bYK6vs8/q9jldLyAJUwfUpi8PxcpyVWBh7eIAJjd3u/SHCwLDYKpdJsNYfi2s Aee7lYNiiO/crpiSVeyuUOG7/g8xeXoojo+asaAvVklvrpm/5+x83dGJzwkEcTnQ4kmFMHB09usN MOvv6RtvrrHt3HdPYNCSexmKslvRu3/ds3TEdfg3CfNbGTwqn8fAUNZLQeZu9V0/0yG/AKNrXA00 iiZWBfkqVUeoM25v8Tz7rEoFwinTFIaqFwJpYHwzrugsAsoJzvtve+HdcIH8C4s1QtzCFlz7b5wj sqR8GDiAd1d1FKNzomO+nd4RVJIjtppiY+1Z+T+1OmxJppJBv3W55CDfVkll58KAgX+sOnJmvMWT S/RvdtssSL6EhvVtO7aAIhqZie0Urb4UO8Irm+ICQce6mRmTXkTQ8DtXZfF7yBLqDgRqLWpavCCM Sxxkk9EzHeNUo7lGGfsKqDU4T6KoNwn2dlYOiiENNNMxr+DPsxPfYCcGXz5GBbhQB/ME1Gvjz25L aNvO3/STWDV9Aa6k5Ds0QCFhZ/i2RATDtxE9z26InhrmDsQSsaxFbML8TLHYLlNQRM4LxMxiV3mI xNNhEwliVZhCX7T/W5rWP5EvgFSMgDMwVSrqBuT5ODRIHD55RRunI7CJ2mnn5JqkYc3gYL/ovdFy 88oMLqMA3E2tA232qgVLNSnnvcBjVw1CEYovqqHIfGI2tMpfFvC7iOxd1lgdJQ7egY34klDPy8rL p30QprKJKxum3mzgEhG4d6sF2t06mq/aBpI/uhGYhD/uWhArsvBpnFWmA8KF6Y5uA80wqYUlFzbo SFRcU2pCHudUfgrJCUgMac73fHqrfB93vkPhVlgYPx/PNNcBxB5wpp1rAiWNVhGVQMXGvGVUD6QY ekBlyeXyU5rEeoCNrXbpDGo7ppGAqHeke8m4txXgYkQ6cI0fohnjTnjAMB+DtASSXwdr9SHujHlT 1B4TeILyWocV2Q5DHiPK/Ap0VfrSDmdJ8kDuMenTkqliE4LkEdYPjs6ZTve90QWkCkjQeMrrMBGs YhV28UR0o7tLnXNhLz48h+CR8jj0xuh1+LktsNQbVk0a2fGLw6U/rp7K7Ek6k7mSbIq3KIzDS7XJ LAYSnLc1RGGmtESauJIQ/YhbtdvVeoUkDfzTATV2fSy4bzeiiJyYkYNZes3ybXm1EqplcUT4XYe7 39dGeHB+gC36tjaJePE3MUGNYr1SFV0FCjPgKdAdTN5lqK5ECWdaX/luDlZYZdtZEb7Fi6NXlY68 BZeNdPJiYa78CUKNVT0Dc0+7yAkUOAvkO4E6Qoh6SN7XvfrxkybuO2B27koWyVkUvOCR4zK6oWxI 5lgiUo9Hm3J41uPPHL/JnA+e37IgIH3oTx8Wrboon6KzFA/TUubh8tLlcJblLFX+LkTdiAi1MQ6n DJkYjAgfv7T8wBxmmb+lqj+WIAmufuL8LoE3caYc72D8M0Y89UjbwOkStZXv6BVPMpKxC/xv48Oi z12aMiQDLnFS60FN2jsSpCX3Q3725x/8JpOUF3YoexR/R6YWg1mo/5CqtKQ/34Fhaply3PvZsmRR R0/SmYbBqW0ALZrdKh8Qmif4II8srhX8jFzK9381zZImGrbKHVdISvozq3Bq87PrD8pr3HEvyAQP 5eYHrauv1llHg93PqR26Jcwed5bYVV+q1Gx34cg0Yn0/PhBxrdU3wuDGkSf/QqxFkRQZ7NhkCzoQ l0yNTnEReqXmAEf+pYuYcFXe+lQ0OdP0hLlr8816d26TlPRELCecVghSu43S3OG2q+Ut/Hl5Esfi byqm/XNglNkbWuXL09ruSiNXQM9s5jLCbpMuKgG6NrxefWvsOmYCpPrR1vgDXjT8hErcNxCEY3Jm MDLbHPjL3Ryso5sox1hKUOZC4DtUWDfYcLkNWvF01MBqL/plfv6yqsJkrUyfmjdFugNGXpX98Rrl KfrhO4hFY8KknQ+eNRIGAV7jTDwulrPg3bq93fih/avDwYItub21+3EyFcaraPOSwxDuohg09Qti StgNiP8Okwb/45YJtrYr8uQMkxxtbd7c+n4ZNh0GWE3ecgJxKQUs3H6faZoZ5uLeQmql/KhdYA83 j7V6tyyiwpgAZQKr5/PjMKbCWQMJXMudB4I4OeThZV83bo3Q+CXjUsnWPGfkb+6/73i3/cZG4sBj vfUD7Yz1tMqW3dY9BXt99LE4u1G48G0Bns/KdVj1gtCt6+Di+YjaWDWAdOKTvxcmy33XAD/HF6IJ 1n24wgCVAF7NX+vQJ8IbX2MfOV0w+fHJkf0EQzNsdJnHfFY6tlvsHuPLGJyhIm21qy2o0xOkFkDt kgZoIgsTsMH3hrcia3xJTGfowDhkAieOialiBb979THrSIYaoIINxRoLkCvQhG6aUcjtkQ/Yclv5 VtPw8tNz/YaQi28r1lFE063UrzMrNRX7UtE1is3jePMopFyRkLP7ydrkdsW0D5oTYNDAcpUiHApT sFIyHYWwXMbZ1AMNDkHBXUla0aYD9Nu3GbfonDBjn/SZFRRVwwqBiWglGs95RFB8eQUMDcYBHxBS fKZ7OVZVUxXSonHR6FpA/f7APXhR+j8hm/bTfKqvk4fWgMe12alpOnOWAoKR0fe7vV37abYg4YuO rlkVdilLVFyIMg5y+J/oegIPSnwlFSAOcv6wrh3HGyW3aLkzFhCqsKjPZRY8tly7d2LjmVtXvht2 /Q7PU+Ao0ZjXvCDlUGjqlvdzSrbLBPFFKmgZGIsVVpPXm7CJYR7/d4582C+73bSoVv310+HC7S7M VGEf56AcO/UaT5/pDurRP2jJVEIoyRRc36NyWu1m2pceqzeBOxCy5sJMYQXpGJQC6aRWxfhFSaCa m8S0+MF6OeUUbGVPjSiWGC9g/3VX/zA/z1tZVuEZHOVc2LPUJvrlJiJ6G129DhLcrS8Pob9chy7a LjYAEoo+bwQrqGkEWe0ehA+7WugIasK3p8tA+hH8L1SmSP7qbve8ndae64oOG/Zc6YB/4bMS74U4 JUzWPu3CfDr66hutMWzonn1IlZZy2r5l5Y05KZ7J86J0gx52AbwdmZY4oB8gOf0PWtoLWinrJ7p6 iEwzyhPYnYELXzOcO5X+imQHn2wYEeR4WoIGN2mn8jZhOC6BTWTm5PXPtN7CLJ186BiWHrfQPdZT noC1PDm2OIdsNr3zA5eXb7fg/WdH27/xdC6TAVNsPkZkW+NOrKEVpvoL/Z0weGg6qMamBHjjNzuE ejqQgm7WSeXnenk37WjHZbNkyz01J5eJ9ixKgkTbLG+FFoO70fRx0cDVo5ki/Tmr0fWGMLNyohTT tmie8E1d9IZU0FoEUCV6MGWBqDiQU7QXof+6jcFtRsMVWfVZlmzT/yrYaH97f8l/R0gCuTdEF4ss td4ejWmb4Va6RSZdODa/R/Jd+Oajh5G2wPIzW2j+ShrKjWJrFwbzWv6BXeF/5pV12HVttvDuDDbg iUWOwnuFg9SrwOHAVZFDIjXaldkUBlmbKlB9C9dT45dNE/v+vCYVXeWh/vyQlx4cYSG2/ZQsBE/y PUxKhFfuXve54ohA4YJY77a5W+8qHorEgMRmhgqqiP/n2fQ0uuVEGpGjis9dVYIuy6FhNHlUePs2 I8/YeYdy0vWhgukxUAqC77tSe+vwTYyq16fthjREbZtAe7WpCyZwB6hxq4HG3of7OYxY2k7Iy44e aoFeMUgafN6rt4DMXSEtoA8WSYpqYzVJbTdihowk6OyKxkl7jY6YuICyknIEi+Q/CAe5CLaRv9C2 GRrPOb2sQ+xbzJk1MBWF3OZaFPlnog/Bwvrgbjz+/9BKpvUpxbW1Pj+6mYKD3XTm81tnA+zVrn6y XN/Swll4k9QvoUk2VQQTL4fK1dlJSZVnG+tPjaHZ3OOZ46z6T1Ny76P53+Gp42iTx4UeurPGhp1Q /p3lasXul0O37RlkQ9h3Lc72UtLdXFwmcYlDDtP9bqqAMcb7BLfBC5OfCAUXJxfdBPXN5wPt6TBI WBPkUknqhy+RbgZInQlBVZf1+9WyUQKa11a2xwOvFLtAkblNJkeW7KTyCPnSeAed8b1RiX65k5YA sZD0xC9v32BBYzfvOnuINVKXQ/zAzVjNADY5GIY0K+AKv1LUe8SElscvYiX2O5wlPC2HyHJkKEwc xxWkFnTdFLeoMWNMOQKClzqqWFJdOSfPWV89oYifPHJ5jTaqVsGjAnoji9rTFuCb3Zoek/8NYOZh KT2v7cT5t4u9ms86MekU2bzwZjbsLdMrKznL0+9jno/pcdJixll4l3OS7kyl3XAPpltBKhnY+JrR PLqQoJMiB88d2lqH6eCUXCgM3KlEU/Y2MsyR1vT3OvFdBu7H2XpXHCvhXvc9QIUOtfeILl2o8mIf bOWSP0eJWzDntN/fMyyRO5LSCnYUwEvFdnLekKFuoV141wrRl0Hj5QLWeWA5P604+HOVC+fdVMJ9 Mty3oGh/pJeizotdOhnavn+NSy3UZvZ9xFnqn/5K/FpTtGYuEhKSM8Jy8a810IcuX144/7FMzVsG PHcGVJXNlNxmyCG5McW4tI1bAVhWRh5zroEu5ikTZWLTbYojUS/n1XCRiVdGPfwmEPlSzEjHgUZf opKE0jJRyBRMx8WhK3RGdsN+hagfYoCRJUM4N7tQcDokXvbPexbVEtlPqzrC/lB5le6ipLz3Jrig rAOupRw92ZzP7HDp6X6ZopAH2mp99y658+y0z3JNkCcfMkM7nK+8JOq282J5tBzjkdZP8AAZRZUc ridmxQcEb4O4NECJ+awPdr+FJzBWFUGkONB7gY6rHKAwM2GM+9Hmhk2JxN5z1JTswG1tcYcYg6G/ 3/hOCCkg92TD2QW51uQxKmnZ91H1SY71nfPO16VfoYB5UUJGs2R2H1MtNBKLOeUZsar/6Fo4Lrck A0529+rW52YnKYlhqDFYVwrLMWScmdxUo3ra5El7E2K+YqMZG60QzaADD8nSzaQp0+sj1/y4xNDQ tFvaTbkMUhqo7X8tiSO6c+3PO4OFQ3nBNVu5ySAys7GPfcSjgApwAHxAgkaM7SqIT9nvO4Atyxjx DzncOTKxxsrTdh1jNd6bu92CYjndL3iEEdSsckiyhUGTtrm7XkV3ehpWLoayt0xBsZgm7hr5LQi9 x1FcYad7KA4/ZyiiH+okb+c11SnV3rQrRmhZGu8LKkTjnZXC0Rpovzy+TjBHxIgEFTTdz+Z6ElXu j7b3aSSPR5aaAMaD0qluVa5TmJvqpi+qPJj6QxWc45A6TT+Y1LG/7ianPPohZ0/FImhzrifQwUPm vcAryWhqH8eiFydLpObNP9iNOs5nawFYKVoxm06rfA0gjDUwuSCirLXueghmv/1wHfGMqnYMPhyw MaL9SFAEMw6hrI5xKSrfx6sI67CRHFAnTXao7Si4UsnsL5BwykDlZdbCAacQthPktgCTVi6MzLBu Wt89hKHmDK3qGmxL+pOx48OFlgqHWGgcxyWINknohKpHZ0HGcEV51BKSQIL5Beu1OyJzlSjAeUvJ N0L4ZqBaftV8hHzkqqVQ+74srXq+SN6oGoCuqaO7ospHgynr+uZychqcJ8AeiifZJhD/n8ZMlpVl NbGo9ZBTHdnkkO0v/2sk9rHBwE9X8cJuW5maXEJuY/VzNlAOn0jG1/uje2PzjLRQYuX3ORDklfT1 pfy9VvWyk3ka7GjUcm44LcSjoV/wfUYOfJadcn7+YdhRMop3pqqadYSVbJnzqTxIjMdPnCHgS9VH oSZZJIO4pDvc8A0SqXqDdc4Z+utneEv43tKqKsC+w/uxeDOHBmNoqcGIARi66Qv9curD0ZgD5aJ7 90xOVs141QqQhL/d2BzO3KSFZAgw4qfj1CvunIcanttXGzD13/pa05lNzQCajsq8hsz0lWPpwq3W MfKP5sGpHOaRYYCRcU5Y2UpI7N712Tq+NGmmkm+8LFWcqJK4dEt5bYlW09gEAX8SBkaeCO8sLPLr XdwkqyslXjKdJ5gVcGrwqGr9o0W5NwOAzFzi/rVaMc6zWI4Atc8caQT9162OtUrclD1BvQq27kmK TeAtrhZbtQpoPThYZytCBKQIZiTnN82rCcffEQftXH/NhgtobzSAsArelJq5kanD3S3rwmZOc3tD hft6lh2BzgFN6SG4HrquqKbjimWDYh+UpOn2fqhm3MPE6bNr+TFsxtv21On+lfEgqHZ4J1h+iyZQ sFXsK9XNR/oPFLY3Wt9Plt8NT/+3yjp+ksMi3KV2gZ+x36uwFHyyCQP4tLTiqrcF/22qcDoVS6t9 DjjoZgSDjSJf3w8Dnl2ANW0JU/es26DEQUJPQEgpr+SnZtL002MpxF7p7lWhJmkIr1iZJ0FrX3Ik Lt5/Jo8uTQqDlCCdaOkwLAXXcE0oMcFQw4CEJfyzUpYTVrTZb9a1BPeRBPZD5P12XV5IBYbZ+Clh Vv+oKiS2cylMjqP5ls38H+NGq+apDPcCe95EVaNYved6sr4YDYQA3QbVKbgxKS5i9AvFd+dxxEsr StGUF/Q3WfW9K5oKZqgkGrACsquzA1fDEZRDq78w9IdOoTl4KeTac7Ap/lSRIAVbvbnQ5dxLHUH8 exzMOTTA7ZLP3V/aSi05BbO/bGjiarmtvRcpLVrN2HfZCldh1PwA203zXEwubNhe+eS8XDaKT/yi YIZgLpPMMaQZocKkUWxgN/GY384oeWPHdTlG2rmcdGouc4kSveMsUMwVX5aRJ5whQyIWYTugbenY 6gPHTIhQsUmmYjbT9vXD8W/TtBnEvFb51GU6gy4oq1tyIUt+idZWRqAhlXXYIKfEQgphOYH9XCBV OChTHKr3MxvJiqyWOg1opXJGmqalkwWcij7ShzIVI5iXK3Rr6ygLtrjRCc63H1ly/h2rD0VNtc08 ZTme3T0OSBq+hpck8eHno/alJfBVmZb44HRy2PJ8bGVOcaOO9Ihy/O5RWh7VtfanzM+qdplOtWmn tIWGYZWYBT4xtiH7wsfrrYvg+yxpZt2ne4zzkfqWevvR8jJCRTW4Qak/QJ92OOWtRRTfIO0pqtFL SHOdKTI6TaMVZJPs55OEC5gaqdludqlk8rysAT3UxmTB82ofDVd1QLhgFhCmNUAnSz9J6HYxuILp PZzOesC24csHwgt16yQb8eyLSVkYzw7HeXbYqOtM9x3MZHFqvYo3iq3jXI6Xpi97sTa38l4OSE9P cAhcLd12Nazf7T+MvsostPGFuOM0XKBT6aRWFGIk/VC3BCDQLJbT7+T/tMCjZfw4Tj+HrApoCinH JSDG2QTQAcWTxyNnJff//oiwkp+90JxFT/lNn4z6HbbeJazskTXz8J6ox6vIlgSVIL0/HCgB5sEa xEmo/hdLDkpBxWlDwQ+oj2iQ0h8EOWANgOqKwKksds9IdYmI55E15Q/UhjJzKWb43rIL4VHI99fz hYkSzihMbN+JOrSgI4y6vWvgUY5SgVyCnfpKDdid7Q/ESQjJ7CX75uQIL0EfBsmejulZR7lawF0m eU4K5OhRc6FI5+NB7qm36A8a5gU/l0Jzr663Cr/2ymNItBL+LElwPZBznUM3okbZDRetZMUW/NgV ikvkcH1yirw+3t9CHz+GqYAP8j0bhdoQTllls/6aAeC+bkUWGN6ATT2meL4J2qUZPrz7U1AcdiUM 2t4pRPVLUbFIrGp+UhTHa7lPms6/f4bZ6jh/rO0gRZbOQiMQeFGbbyuIzE9X/tOQPkHHuHse24qB Q1dC7OsgO5P2ngQ2WImR/4Xe/B+m/j3mRFbL6SGpvqAvsLceShTM53NJ2Vb5cPPuxhKTn87rBPXn DInQxasWpr+NvAQbIR/l9oP3YoJcDD7ifuvo9fI2FhuW3Ch5nxKpFTvqf6JBspIsujb+zvIxU1Xm NBJMcY9mfHzsabe34o7qQiGU1/ON7kA5bCPAa/Isl2mXoqoEX/4WsjcsvR7kKBub9Y0uA+bYUsKI Ykk3O4iYHCxA/nYRUlpJ9EhjZe2CoWVpVupKLOfnRBu3NNviZXwi3TqIb7Jnkmk7b2APHsIk6p+g X+kTTlnioESDfuWdo0tUPyEqUNeppTjcGFk3Y53aDC7vuSMmXxGXtvr5qx4Jtv3wmEY+OqFq9CJc BOl/72d39XuRFTCvYbNadQIW8BHYDXcN+tO5unKgOUbmz/7Sn+om74HBOYc5j0HAX2r2ajEeXpxt O/8tVDVmOMPOoW1wNJD8NvIFzQvO3zvxz5FuN0jH/bP2xPy7IJ2kkSuhPxpdTaLi5TuWKgUHihh2 ersMCVtKURunSXH6ZeT/XbDd2Z5kcc304zBn2Sv/teP3k9uAG9OMgHfkA7qtdVujWtK4avckhfpP hSX3Vx4PnRvdV4cIry3H9mwvzu/fpyWIpTlSSxvJBh8u3olzesWoiYGAiDJvp5DfVLMKtbbEtWyx E4GncytDVuluNwW7gwLe2gzWFhdVjiHgewZecEC5gJwY1UgxVd47LLhSyA7EguGT8v5QBXqurSfD kmditvyW7aoV6Z5GzQtuMi+iyd4HKBVlvz2dbJIEbj3b9CvYbOE+Z6EN6JR2unTkVxYbZABJMrnV NPP6DI5tAMnLHM7fNEA/fysqRsLsJFHSKTm8+2NTprxtzEtBhaEt9SMGBbAsQ5MH3p0Y5UHUVHk0 SibhE/cmzu3N5CIEzR29SGZ6tuSwcQsqNMjsJRvfiuk0Vsi3M2OCvyFGJQIwlMqePJogMBG1fAW0 HGYRfAxAjCh1wheLlxCZiyMawigvtvmyRuB6VuqVqFaA8i8AnDQpXj4wR6ItbnY0G20p/U5AkC5x CAnF2N91G4UiSBoBYGqcI4h1OMPceKquonlbuQEn6lB54N3BnebhrpzlU4r7C/F9vCzF/XkveKCv dpRqxc8Vr+ufx9Cya8OHrafM6VDfDNqdO7n1KjRYMIFrJ3/oDCVDel8OB2DVNsa4sDGLwCQWSYwL vH4aYHCmrP1nkKuVx6q8OvtkR4sLHQNoSjs+BUJSqjZL5EZRrq3kIlcnAV2itbKIs+1eFHX0kteF 9SaTvssQPfFvgc/88gIR2KFtGtVxYf2+yjoOtsQT8FWap2jp8LZoeoR1YtHE/yorPcP5oRpRI3TT AVtL5Sbqr5RdwUWHhndWPnKvCDEtxXZTusyeFXvAJyO4aEhRgxrSBnH9wE72UT6OkvNBgWybGCvu lcTyuytI3ELhYXyt9/4EvaTL+6CJSwddG9r1Ik2o7epNzoChIXVuzRVYyycPXAl1lxz39twSHNph RiPZd/WedAqzACHdNZj7eTprD4VNOCxxQ9wqDuy3/m/icLIb/51yHtEIECo+/ELL6VPTDxNIoyG9 zQo7kLD5XmthbcgwWifTpYWvYQoLMDC6blJCQhugjaDRGeV7tsHSh3lur6HkXZ7QLxhWsn/VxV7r 16gSg3sZEoozA+HTJaWvNOASzjCsLkNtWtWAwjSartn5LraOj4YFsXuVKLeEzX4XNrz2fsEW2fWs 6kctRbK/r2rghfOpH0My80CfkNwpGA/PCsifzpvRqM/gD86BHqUYYOlPs+5e/zYcT00MHFsqvp8v teEnWM2pkrskYjsfwvnOQIokT5oVfzsWBBbeOGqVnez+CufObe1LBlRJOE7/QhMgaGEGzDVhEBsn qn/yw/idIWZVT0tgevi4K0xH4Jp7SKQMUub8uUBMtzJhHMflrOt6tNExLRVoFQNeTylmdrTW/5RS 4v8ADHWwPmchAMI9tm2BEAWcHlmHNQ6F9GTUdS6G5j4dIQtvuBs5ibl1FZ0dU+wKHiK20JNE1nV9 Z8mVn8brpOYf+BSeXqwBtVPXKAuPlXcZ352VIwOnCT7PIsnDDlPzw1CzmR9itM+xHe69LaQ0BJCu hrOf8EESiZkXKR+13RFfgSEqKs+aiO9VjlMMGZ5DF8zlzk6FMZQW/SYd99pqSPWNTguq2ozUP67b K3XVNZL15Wsdx1+Pc5aLE8UDk9dNpV5rFrKlbWqkxogUTKRX4eE//jThE0z+x7TdmFmDonLG284U gB2z14XeH2rLLJKwM/hvpSPuMAIts5M+wmTc7yclD73hE/8Mpr0GNDr/r02xAwCmRD8TaZuZnnn1 T8nOc7yM2YHHTg4YM8LgHy/igt/pEHW2cr9GytKba56tlELW1cwEgmaEz9aKok7TJ04nrTnjR1ns uEY6GZrHhGaPsAgKoLvQ9GX+hg5p00QK2xPXPK5+quXgcC1z3Rt+TCnMypdOXBqNpBxDdvQfOkYr Zvz3KULVhVTTIOEreTzjmHDpP88fGWH+okZCRrxYFSV/17mNHuaVuNDsFiyVMbkvsM2G68rUSpKw a8fuLI9c3CoT8P6FcAQNGKqcgPee/Rt6glo+Gy98Pa4r9OJ6AeK1a6CUBvb3w6G3kyBIXQp/0vrA rkQj2a4ADT9g8m9jg2YnWg+kBrHAAczow18MT3Pix6b6PxtWNxPdkCwqra3Q71FI9qzxCvwvJpxj Bicr9+ugGde+kIsnBTeai80AKiz8P8hy2KUeTAMYTxtpwv5ZG/17Ye+RS1ElJP+WRhHUm+ehDy18 P+opFM6FGWGPE4mhU+gAb7AK5CgTW0Y6Ho/c2sCBBUiLwS2v97HBYaAo6TL6R9+8T2zX1QDwGWf2 U3qmzrMrIFY+eKk4nBh7GS0IDwxIZl/yKiK3mW8HhGlU3Pcj2yi7X1/ecSyISKZZ49hHmkz+7bx9 R6pnz+Ot7CS7r8tkMQPyul+l4M9daewLDLvZLTJa3GKV4ebA15FORdv7USsw4nqZpbzDGVfy7Bcx On1luUcRONOWmu68eKuSVA/7XPk+63xuB0jq44r9pJVjttq1IVMqyV8r/NGT1reu3Xk69vn3Maf/ /Goxg7UKcov2GnH27l7rPuBrQyh125AnkbPJuwUWv1TxOKftN7/kVYeCwZ6kHEzPDdVTO/najHrP Tl9Jmajvq1q9wUueA8rjjFPkYOoBz9JbkCLJ3qRE5VO3iZFM0+zHzgrxzwEKOYyDcWHF8+1Dhmrj jkMEDNx+5R6exuhxNG1Fz2E1WAF27Pn3GKkOESk3bPmJV8vJQwVLFNV6bndmLqEGOhm8JtD5FjKB C4oSOjPyDjXBjRF6wtoBPX0/WOMnCku05tgIVVMWZr54O9McrLTcwEsXiJHZPrl62CLFMOySXCyw zaD3e9BIkseYTLM3gJlh6pKAQUOLMAEWtAzZPpudoqLZK5wItoJgKZq9XeaRV/bDUsV2m4qdJseQ dZnYdnsDNrb1RbKgP/OEeXNS10adYMTY2urBZXiK/6SFrlepHpgaBgyaueaXec1GY3t7zOUbvYby hpixCG8Qtv9v/uWduK1ORy3p88ozwGYa8PK7sHLHSAgKDzHRqvTXRQadSgFAR9Ifp6YSLid8wJES yvHHZXHvz3ehrp2lcrJOVGcpNjFMtyRuaAzaC+OUbhkLnuQ1M7hD+vLylPKpmlqjQoQQM65pflZS 01mlVzVskU5BPvxk6Tykf2rk6YmasrrZ4SPRiC3G/EjHFqCIr+l8rvdp3BM+CSgMSEitzq6JhPxs jW3zR1GADZGEsopVuu0WE/PdL4+oDUWqIfAMc+Ex1KgxTpQONEwnoPSeiZP/kPECeyAp5U0ksrmA 0RqRGLkiQXqAStgW1iAv8ocSv1oPk8adxkTE9IzjDlRPuPiXwhlq03ceEnAmKucNLIhllhaNCp38 Uj2V1XiFuumzzREMFhR8wYD6mWdo17aDjmC2A2RnxjjGYaSqIOPeY8VtmIaaXXCWQQjwgf/+Yvx8 Ly029Nmr9SDuuPWtrCgophApSTEkqgi36p7oQrGCvrrdN8sGF+Mfns58BS0hfkDLC0iJEeBMqBUd Snd514NyVp9r+jDga7cxTKNsw69bbURST0SGuRtZxpc9n0aTCmBaKeRlV+1fqp21znvCkl7LEd9U 4HYR+vXHRZ46lymuwAHC5zWxibv8Bs9n22F040jKsoQnlogw/wk/vMMVJqVWbJoltnWKFkNBsF/6 9eKktnAP31W+BICwuCgMMb08HEF5o8IEpD9v3w8BUDfvfjTliAUpH9pihsES5vGE1VQ0loAOvcep pu0UhNeBtwm/FUf7PZHOHYCdJD8iWg0ziihtuH7W2V35xijsb6Yv0kuc/nrfUNLVo5KiScNDrhFp 3Fr5eploXd0N1w3GcMvz9aZ+2gkLpVVAr2A53h1PhkCbCuCMCO6lCTmWIUs+WxhLhWGSglwnImmg r6j+BFZaFyfeY903m139ILKoOzAEJIcgS2MVlbla5rxFNu/8+CTeln2Sx3SpRUHRkjLc+SD33Msx sdF0UaJjXp63safch/dG23DQus6T78F215hMbhXcLa7oExKh7SSNfWdXjBAgIWGoyztloz1pI9zB ipusYUYu3iJyoC7nnZtTKV5Os8FIvnjvxf5uc4MpSt4wiA22Qospp9v+nk5XGpEL8RiB/lEsgQRb lmIsIdvCGWacocviSdfi5kMGTeG1XU5nebu+Bbdi3Bzj9g6fDkUZCbxykZ0KzldZrTVuJVPCedA8 /c4PPccRbe6V6z05ojgULWoTd/XohvxFb8tFivGbJmHsdIuDKIxcqxy6vXaGZbOgmGrR8rMep10/ iD5p8IMsCQzEjfKHyJS63ZTmE9WAhUrdw51iFxCzbFcOfZEf+NLPG+sHZIYeStMJCG4pGAsgw9OR E7ucyig+/RtZZbsKhHd8IpSwr9o41Xtme5k49apMbH3J141JU+e0OxbBZlpC6/rySrUMfxhJ612U rRsO3DYaaQHRhzknsviW09Wm7XNIk6xvHESFCGw5Efd3itvTCO2LtZF23DG+XETS0YEa9tmidEbL xD0O3r/KOolLTKN553PGbZGbj53U5yzxr7JbYTmHIx1CS7lW295JLfCKNkBqLUTZjkaMzZbE/rcJ 1CPNf/9iWwSjfE7b46U8Qvj1+YPI2stym+0dnCf2OKs3LwpyICOIwU1eLMot3sNN/9XtrW9BoDRj kCYcxyBKA9vkOiHI/upFbCuiF/ZrtSot63+rSytSYIk1i3k8Z3F4JKQN8MJ5z65GLjmrBecHl7pt +IlzsSgYoyrazQ9M72X3bD0D2BrP1NwqUHhe6kDD4q1fh1byGi1/MqyAGnO/IVcOWoMnsd1uk5Ov f1BenQ68lwwEMCtlN7kjw5uNT8OEKHnpyQmQStfAE7LbCHAjDe+oG7kO4GO59+E2Vl8Lg4J2eCa4 NupV3KuEtjjOZhJ0V+7AVUMstG3kosFXtmHFpNF0kbGjOfxu3CakbtFt3tbMV+3FwqzrCeDmb1fc 6XZBmpJkkXEf53iexyM8E71Urd+Rf4v+ZScGeUcesFmY7YGAWFdZHVf8yYhwuFnYOhw4Q5lPDXeX 22VYPCJ9gOLcxAFwsPVQjD4jczA6n25FYfFdMSA5B3TrPSwJ7LdlXzm/Dc1LKpilnxYzy/fNYP/v fRp/1vWFZfYvZAM1G47PX43ulAqp8GsmJ+1WGgfCxbutYB51HDwtCztsPSVjLlm/jcIevtLNPApk WvS71nYgteEyH53uShFV2njuELIwPzbmzzDZBEMZYwrY6+9L/hsLvO36cbCfHZXrGPGGgKotQZ23 +1U1/NSNtmpWDndmccCoGnFEZFD7kUThSXm7+xGKxYECisI14vi21N2oDCzVyhUVCU2O7FIFYYF0 z7j1ViuKb+b1wb6fkUB8n0KFOoyhHFl7zIO6XBXUweO+Ji2VVonw7A8vOUpEtPp6vQeB1FUH1Rva 9LB0uuqjzFRJ2wUFqdSSgXrSKu5sYytjZWRRWZbRQQWuuk2h6UTEU0sFqDiTtryEiBZx48pYiXIw EDC3Nr/+utBVpfACwi5hV2YtEMqluYmoyDKEyZKtFXYs8TjZhZPPiw0gT952Xx0rfGFHzmI6FjTq LYfEQM1eDgFUkUQZCYAEZ/WB2qc4APWohfsU3GF83QUywqQC6x//r4zD+g19QAn/FybFtlkd38xW UDfHcQjInknxHXyxcTT3OtK6LnRXeodRl2J5rSKlsWT/c0WdjyNd+jYk9U/P9gtNq1Y5G8zCnhHH yUJYUFd3CDKm59wRqE8dT1QjERh8I4KzZRJMl42fusloiu9fEbICfkr65kZiI0en0O/gIihvJzWR 8fd8n6QDB7agikUEizvN+9w40gzbxBFBaqt1epxyN/gtBS9lkinvTkdYEjmoDg9X47JoOd5CJobr riTNo4d014IcTw92d1nnjWKVEI0SFgzij3Rl16YMSpwqrdodF88c4mpH13LP+7dIBXnGZf9yPQAr 9fi4JcPTsJUht3OP1TpufTf/jSkK2oRc6q9AV/2Gtjvh2jHG/oPEUtFsqEmPQVORcdzGkOopZ8xM NqJ32iEQnGR7O8i0pUAXBZ1zX82d4CcFTbXFJCIThFAFkcEoYhDVP+belH9MeMa7IhRARKg8LL6w /F1BwVmUXogfCWRLC1TQWN41w3rvvKLn86Q+cxk/uvAolFzeh0ZR7HTIwJBtd+axCPQYx89jxvlg MttWQGno1wLVP0JyDMPWMrfP6HryKj9VCcjvXFicK/ymgB0t4HC+kxvSh2orv8dsMsyxLU/PuSQA awMbSffhrYB/UeEoVuUkPN8azGLLq0KZdCvu1jiIhZ9hHobncQCY0NhaYMfYpSDmH9wpZFDbwlKc dIPKAQdLlIqk8g6qfbY9ZI54ru13NJKjdgqLaCVudn3zOhtX6OUlgJMCR16pfy0E4DRK4BlG7o2Q 8Mhl66u8bPj7DUZueC/TBJHHXa21Yehl+QYtYwP5oaMccsWzq0tWS0uiNv9KHgQMePGIZ1LjwFVZ xJ2J7G/1F4l1zlWkrvdUciKGxdn3WbkThqp5C+h3iksXo24ar02H+HeJR6G5uW48+CkOp5Q8Pukf VJPmncuLpXRWweG4rZF5DKuNkCSoUHpcwd1aL2LPTP43Mhp2O71xf6HAPuoMVDpac4aN8uISrOfp 0k/HnRTtMpoNCDomLAkMwiF+K2oFrrdfbytI8zwnAzwY+U1JFhnUjiF+DPxSTZslvGuu3Ddns1CA mq7z/HEHgNRfu4x7UL3HEHR9WLEpNTkTSOuguhkEoKDzgJsG/1MHHqObvJHqloa/AsLu/h2o35B7 TRFrQK+BJ7vmcKYBBWUmw88Bzgf3HFHaLNzUdSaK1v/eoob5HBiJrMn7kOqbSa68siRsq9cwbd4p CihutpZh21X5435lFwZVpZn2/TzS2N9qeS9o9UVuOZwELWPw4R8uylspDFOx563B+YULDzHQ//N2 07wznMoP5qcg9YCM273Jcmjqj69skV72niMASpDbLYh7YNJYeR/RjKMdwaN0IxYJouw7u0+brEXd pWdw262p5PgW2kN+59HBm86fZpBvp8MHqlstYLOaWTK/FE6BpZ8LZhjvbR15bB5mfwz9PMOY+8zH WR++cWf8kHipkB4Yy2wTO4Cqlub4unKZe1xIvw/Xmi0s6PgwSIQbFaYPgo02/Lc3EcYphlaTrbfq wkxSFk7RiuGXvfwlhYuUZVIfDXyqAiAuEOq/HrWnLbHbu7AqfbX8/NI4jxyQkoh44+IZs/ALX4/b dRzTu2GbP9m21+C7gCh3S18NPO4cHmy91pd9Jfd/5wHvF8LoOlHy8MdYIrTp6gQb+aIX4cuY5L6U /5I4eD3zTpkNFaSPbFhDps3n11KANo/FxUrkHggdPasUTgOw6LgELxFJyq+eyGbW1iqbuWAfcqqh d5CdzU9WFmFDyek6TrX+raC1Sk4GRdZ2dkVwblTnHxne0EgDh3nctSnyxra7uIk8I2AXua9p+csg pSVFwL0TH6NOZIJH/bGtQHsBJO5dpNvSpdgh+LmdgKn1lCA6mWM5ulpoqz85jM3b6w8XYYIJ4euk t7UB8RfhFQDY39CAhEqLcEYQ3QTgnQJ6OJzy7lpqTUK6++gedU1psVQxoWG+GdMIYy7i03epGdMl gstC00Cev1YBVVR7uPt0U8nALXvSoDlLurN178Cu31/BRtcXPk6cQcCihOfvc2yBW1I9tgcgJlj3 Oaxr6tCCSHVGqGANzwcX63CbtrO7xX4ghSwp2a8aHQJawUJVrjgdYjX6hTlqhgmtUtF/+b+DFaiV WtPN7Kw8n0C8HxfEN8bGbMHFbcJg1/vs7v/VOn5oO1LYCSf2BcW/+qDdOZooItA5W4lbox1mqGme oYzDdiph3UUjsTGXoAtOncSXT5PWiEt/8tsuKjWK0MzWfCXk7Cm/tmltrx0kwgg9l57C6FACmuIE b914cvBZ4WojDEcmCOyZjMWEPrd+RIDgtPaiPOGxC+vQ1D3u/efvRnmLzQESF6G76eB5BVm3NSL6 GtBD6JgpcB2GAiQoypXd5A/Ce9bketc3eVdDAZEWYcGOwLQ5++0VwQXv9AxaJElPP7BsIZUzA4kD IiqQiT+71dzKlboaKBNL9IVv4yxmow6FF8u0cAud7C7Gb3n0tLIptRVWcn4jmCBg3RUKgLp7xxmQ naU1hZJv9ig2BItLkeV05mbHraoNR4I0q4bsJ1mwj1A4bghIuKSTzdFNHbTpV21FxoWvRTiWNos/ lx+RbNsKwDJEwpo9+wbsdgRL8w3LwEX2QRsVrgIzjqU82gUJYdSc1m/T7zJwf3AlGv2WOo5YCkVe S4Sdy1245mg5e4RRFn3ZXEqQEQZbNmxKcwpCNbMm6voaGg6rTsbl1bUjDKi0/EN9AZnx9m9+tVQC 2/p80ymdbCtisbUwgxHa+nOgyOjwgnKrzF7mqZ/tm1u0xbdwv0M1X2tugDYpSSYL2EosIugpp+E1 CIa3uwx0EsBx8QNpar8JG3qn711mxHEdJDNN2BZmX0J8VD0Jp+n+mxR1sLQ8PadwekJjeEkWrGFh OeS0L+yLx7fzRl7I0smg9R4tKpH5GbkENtjyPUQPhm3Fo5QheoN49t1F8YDz3crnHoObyxXJYamo MO8RFXGT1O0StLcqDSluTuQb9gp093suXLFSMniL9XVakiOD3tA+nVRdptv2dtRETSm2hhIRnmYx 9LM5fF4zXNYm2Sgq2A4q3+XCTZqFmIzNcF0v8SyM3dALsje7Vya1Y+rSBPjigIGbF4k+4u4cAwip 3+BWNScEBIscVrltD01U2IQgCLTcCmnbPmZF+++9fsTuYxPctLk4eDEL3V304KCOtSWNf3WpXKpw YmCxzVTs/dryEYwHVZl60zZSFdUQeuwTewCUJ2lqqE6H+6JQ5iMRPdBJRvOlGiHkkCZ4DRDz2gfI 3GCthfBiRNyzf7dZzpevr6sETq6E4UmU9vrn/ByZ3juRYBAJQFrH48kKWn/TOFrgstb/JwlTXfF9 q0girJynI4J8r5a+PbJ6HX0hVOjVWIfe5J+RqV+dFga5DNWqK1t191dEEQo+4c55+A7M1FhPKr7b wuFLAdA1jz4AsHGZISqKYET83b1H9KFjtXZ2e3IISxyzeLeNoHKvLBixN5XA8+UMhp5FKWfoaJ4s sBEFL+ABmb5rx2blgs60keS5lJ67EXjnEqVeftSgJWMqRL80hOqZE67Mm02X7+39Ov7GNXkXWzN4 YNhSAoO7cnk63PdK0qdzRdSFtRZDRS+w5HGed8sftK0WeupaggDwucE7mzlZMVit8Ov7zRmYOc+Q +EVvZm4rRwE2/9V1W0Maqc9kFFCOEquZEBgXqxKg599LvSM1PWu3cYf1o8fhoXe0o5eZRtb8PlM7 sfut0gdhx/GndOFL3KCXSNpe1mlV4Lqj+nmSiiFnp8XQsR+sA9wSmrBYQLBJzQGyiVAzBtATVUSc su+12BAmPNyFDNvREspSdQoWaJWuT5+B2QUQ/ZSqWaKGE5SDvGJG1eFlxLarXKGwK9Qja9BgUwi1 KYQ9tlVwOpzbRNdYBb+q4Cw3Ojy7psmM2hK8sj2HgPnmOUGCA/X29UrrfmQSFalf6YNfkqUFzZXS D1AKXsUdSe1i7qnQhJT/3xsl5r8vLfiwug+9iIClvbyexE3caOHtguWnNs4GP6HlVlJHIFZDvyY4 rTXhutVHbZvmEBTNfsHZQyHQYbsCZilWuIiztczk4h3nRnrJkEBT7IZxPO3Z3qSGxvSLKTPNM7u1 AHKOA1StKte5bImmyP+szjc60AgAi2yVUeRWQb6sB+XK2U/JBWqiVWPby1F74P/1LY0DfH+bXx7y eFPYHM0tHnlkeeptt/D2aiCQorMztImI9hgpNYbRjFOIIAPawuqv8V7jar167GYFKK9tumj+2nX9 Y2S4BIa/nI58kDSPOEzMNxG/p0qV/Pg7Zmu/O19vHYF0unO+g+boIJl7LPdSHqyDXPSRSXIlj3h+ NmSbWGUZIvhrVHAsQK4//I+g6X9P7ueEJO5Lr0sFXcT8Q+lRf7PwAUTAqgFE9MWBx93dhO7VO96w VgbuPm2YNW0g3FcI6gPP1rhicHbRFzYdmqFBYD0ngAwrUIXmwPfZqDEpmfc2ReOGpWVk9WOU09xi 80sL+VuAmF12VQ0pqPGRfEoqmiVy4LU7cPZCEbqeKv1bS9xAJD4p1+DQ1M6mavs8T47UqtuXVKx8 r0dfEg722cxTSYypVHQENTBOYJQ8KvRkIDoH5WsymdYmtdpPLame4qM96n9KukQHyHNiTwa4qQ22 FSD/uoO8pZSdfkVvvwfomogeCNd/s54vMbFl4NxX8YFDbaDPNXlDD298bDVG9WBEK3YxC4D0AyU8 XNphG0siE0kRSDjAJshLBjDRZymWsFSZoDVGqvfVY/6AHHzxtzegq40a5wOF1NvXULXm68OKKAPV UtpLCCEXqiAf7FzDbjaLUvwNww3J1eO/r/fyLyg7EK4m8AgaoPjqPMv6H4uX/rJt2VucahJTxTVj TDNsSjmW5xP7KuPQvkHjP/JmJS4OStY/wFx02Y9E+jHrASoDTbnN2fNeAEdLj7THp/NFabXqmqAC tIL6btkXvNF6TzVQeMEgfp97oDaH9FKPwH7WrlSmyNOCJq7lq5uHBpcQELPAuwAp4OQhMeuDeL6K XBx6F/qaN1RGTCv4MtxZEsOstW7WaFpYnNmgU9qCUke3MM+cFclbqPQGuWa8vkrX6XjO3CLzZgVJ wJLetDlwc6jJNvOlcV//dZnR/7UrNkVYao1fmZ27E/dpFBWtqGF9F4jPj3oNBeRUH9XTkp4dqftY ArWvH3pbGtRe4CZHQXmmaX74Rywt2v92WofqeTUIGQztkX6Iz5krFwDKvTjHVwq0XBBUuOgTAY7X pZZadrShUPudrwUgBgZz+qPypuO4D3zgvsjssl9VH5lausbBe/HZOWjL9HCoC5nuS5AiKU9kCHTP sUxBk/Zuv2RiKJUpcC0MWL5KwVyrqFbheV4AVq8EDYmO24rXr1UtKyExQq6L50e3YjyZTS3c77uE zT5vD/nAUY1EqgXnVJwIpXGnWayFOPeKz6I8mrj/2KoIkiD+folMhoxD9rsdgpYZ1BLF6skxej07 PX5LB5cd1jKLsGSG4TnuL+RwBhDCsvY1HdUXuzm3hj7DkDPdDWUisYbpfrlc15m4AVni2wU+pqxs KkUndbhxjjVQj/lgxekAgrj1QJqTTDH82h3M74DGFomCs200gCvn9alv9M1Jnbt5LSK9mG+vQ/Ba 57u/DAgfBSyJttkEk42LvN35k69r2xRISmr+gmvApVolhSFAA/NNuHvIyM9tpa5ndUEzzpnpgMe9 cI/a+3ULlxJ2S+XaoZGYEcJYp6Sn4+Qr+nneS319sCnTLa1kE3uxjDJa6Wv9NQMNtGbEyn0higXE TdjtqnByuIYM2ZheuKEK7dgqankcU0smNna1drchyf4bz3SrNnr24zsWP/iVUWhKJYxx2YxGC6Bl BQZuMoLZq2bzDPLbq2rcJOQ4cNlcxcgUD1kpXUPBsGCSyypNzHxZeV2Wjnqc4Q6O/kGYfFfXPWM3 z9k+A+v5mesdaC8N7i+8zuWWQ9ba0Mvf5+9XoMkCvRfIf2tA+Evz31NfAjhD7dqKTMk+Ms0XUgu1 yqjI3SnPagd7joEB2n4jAmgOOEukf1sqLg9ZG4/U0BcWrGbaI4AjkabhQtheE8LeqweBkZe+akmc Qa5dJWApNWOqnrh2KolWoNfVCjp3/Kd6eOrXrRDgU2d6ziDFERn2vjQa9txzJhChIFPTE91rKEyw NWZNLGTrtdbwHCqAADWITZQE5PDywNoZc6Tnghh8rXqQd7gBZKaieI8kau42N/WxVxIh+T1CjvrX Ntoc/URQUXrihmZc9MNK2NjUtGhQZIqYWpH+HS647wyTLzXatIcIEw6rIds/bg3YK6DhH81++QhZ bojSRF4MjT+3kJ2ULmvwYUfMsIzByqIfpMCdkRQeCx7zIDN4U1NTrDay1dN3I4PB2OFXCy3rLNwn 4sAbTBHfJO8QsSuX6G94P9KYUKV8mFNGdldcQsrJYgvzTtxCHSRWOu6pFRKcFyAJhQq6n4bxo5dJ OmcV6bhzANzGSFpil1dyC+83568Ep/owHiKDMaGQwEhu09PJEdhPGSBMhlAM+CcxMdKgJqP332ns Y8dJwe5h7F8y8D3NUHoc7BhF+sB6pcdcDkxcNz2u7nKCbYZXRN03J7cV55aOo7Gj/UvrKR/Rk83m O4nvfDGo2/rnV5NCJjWGq+eACEgZxvUOC8biiFijd9hT6ppSy3IAwaah8YMYK0c2piKk0TgmA7D5 lPJpI08ATBIE7UTXjG7zMGpUz3QmPgIdXtM5SJtMGxXzcKgWGiIJ5yMkqXvC0bfURvoulL+pK/Lh q8I1bRrhuypHFJxm8QMvR4FZ3q93OczTyn5bi6IJoWZ+K58Wzb3dCBOBNY1iwV2CjJQ6ktNRBI7r wfaSvgNRSECwWOAH1hszf7gToG14vdshnmaQkGOEPtY4QrVNOhcG0/18gKu1/o4A3D21P4hFbVaN ZRfTQfWckuacBEk2idFKNXSePY/vtFhgUCSk+c3B6ws3vzur9qvt4ABoZI7xv93rqjhpLC0q9Hw/ +aHPBGIep2gQURXlZzFBMiP1ey4CKkJdDU6YDeRwFBLVR+/LKvtwpspzJZ6XBytWiNG3OHaOfZVc dm4Zz3KzTDkDwxE+CZNuVU/1uIN6PfUffCqRrAUG8YqgdrurHNlHF+/W4kvYCEWIAZrOowVZ6OUP ZfY0M12IRLuc2EGLfTqrP3m24qhP82FzlUt4ijZSu14ulm2f6/EBEO4xgMIhJEtmLTl2fn9nbUYa X3deZ3RcHFgVafTu8popuCPJYXBISfPVjU3WOnQI2cXgCyTDojkh1XhcBWszOPjq6xruff9X0b13 /fEo9tLVSDHx6SWRF6XWMF+46tfZXrw/PSLu7hl8a3ybF6+a3XeLTkrcFhWCnMQLszXw05kiBR+5 c8XsPePviNRlQyUj2QtB14PuWeanW+ZXCizHsMU8x6hOUG+5ck1mwPL9mbVhEYtpT2FKNdjljSyX +QfvdHYk0/RmfBBinmzIydc60VBXR4C8I6WybC/9RQjCqQmPkVdOKsgfD398/KR6Ktl2j+wH7Q6Q r8oXOnLuhmL1ap5U7g9ZVjq+a316IAzXrr8umjHkwE6JxnXwoB1bwOqQ7Hg2NSG/M9a8MDibhYxx 1HG5FXJZ6fMhYNjfZoOHS1bPNKj4e9eX1VvJWiSA11DtZn1aYKkvkZ5JpWVKSqqC5QGVDcuTNEqt qItl+gCQpdCb4mDDPH4e80t07NKtxEpnJPdQnHr/4LvHul8g1NfbfIjb2UfBLW+NorkhEkKjVSqd cLV49E2uLvCev2PWUU3GSJ1gy5coiQsvfbe6g7sJTrotOjRuJsiFILFb/NxCke9ccpvWh29hqS0s t0XdkR/KCYghXRByYs/Ao7luWWVxGIKKqQ+M5dNr7agDpHdqigjkP6Q4aO6cV9Yn4pqrTBHOb9so xvIQUdSukeJ8god6GC82hH0YjQmsfiEAvJKxdfp8dex0mjRB4G/xQxhhXqujTYbmhUQ1jOlIKOBg ZqWLLThgnOFvSDL/FY47opOy0LSF6ZxR//1cPU3qu6o66qfpNVylvbOHLz1aMDWS2oyqUaQ02C7z slyf1R8BZ9VSyEawk1J5kfF8q1wGN3tl0q2GEFPnVPJSWAvldVcovV1tUpwiTQ9kIPRiTDjl+sj9 0ajLmDTTctRJUdn+eWptrkmSW1n0TWnxVBPm15LYpKNHOfDxVFLs/wJw4w8NCVRBT/92FNg85fL4 d862v5P24f3lLImB4z9MWYOaku11tb9TYmy3JhTfHp9LhsWv3w6HzC0jdidDym8RF92QLQKuhEA2 NLpNqWgg7iBYLziIyUxaVv0mqUtWklAJy3N8udA9D7R9NjHJeXKw1LNHSVCqz04F++UZ1LCh7Xro g8G33srKaJVt0X3yAqTh2Ux+4w4/BDT2ts+ns3U99guG/LUOukcvLjacwgE3WVkK/9I8FGbSge0E FEOYRh2WXrK2TBLtHhcCd03PJ8yXBMH4RlNNu7Rzk8vFkrhbq/C+NYhViW2+nwdYePFE2Q8J8ptu z3oTrimlV5xiX9/FUsvKPM71QhJr2CeAmvNyGOhyhrrnZgVvcdCJ4aI3KUayvcdEqBHeBXFMuM6t DcL13uioTlVJ5Ui19PiI7j+8Bg/nrmCgHyjv7Wd6oE0XhvoEIkXMio+PUzEjkfVFawM04KMO1eMm oigr2pMtS/2joFilwxxYAhD4kjVz0TDImAnYQ9PkHJCDdQFNdkyFyfCxHbz2OUFzYrb8kTyLnJVb TN0bteKJPlbA2ZzIKWV3f5NsztvSI/4JHoUPy3sYzNqKh3ZRKftLTi33gnyJkru2YjDdBSMciRbP RDLdPG8is6EsXNP4Zcpf29c3eDs4dQuQvf0PqdTMOciH/hdWCH8BPxqkrRVM+lR6yNtwlIvqMTLZ FDvktU4sN6OdScIn/ZAhismk3TXsfvDcGvT9y28fdeH4IMU5wFE8oc91gEvWmLD1VX0PMd59CIL7 01K9jiz1/01mmB5+eBs3h/raInGLWYjzhPOC1MEwIg/4o4kTtjtFnNBG0Qo0gleEc6J7WX/HOixx 7FN6XPO39Z9sQOVekqGPbPLKgF8QOEJqiEUDvLGE7aTniM6PGjB/N8Z1u7wNec0Pq7gsuU4Lf5AT V0QRd2aBgfK9Ysbwac9YsYAltGZGPxJn9ijPfUjJDE53BYSM1szZEPDBMNUpSGgweAY+yKJhg82u QP+1aXYaZA6xvSjBLdKIOTFWoThtybZb4eqZYPbXp+DS8Iv8yXVkX7Ug2wCQOOO1nYpfpvzmUTYB wMCGeRIqLkZTBkEAs/oCghXTBGscWvkfDg+nIQdBR0rfwmlI9NvoEsw93RH9AaI0E5sUkb5fsES9 kH8iYKWf47Ur+qNomaPWGAM1UilRUiwMbGFfQ0Z8T7JtQQEgds4PzeUHu5Bd6ywgaA4odv9hDPn2 3sZOLdnQlE2M6o1yOxV05dCiwmyJXC7sVn/u9F4RGQftnAnyHRgfBHlfG1yGoBuvc5KVGeiM8qgC jqCOkIomUCeNFS0Kh2SpIyqkKkEX53+dEizTDnjZTej16RV54h+zPD6yc3CxnrHqFnHPcaLjNq10 2j27NlshFTFP7XylREVQwV13wsJDFi2jnCC6K63MQzAkhzTwKrjqqkb0zV0hYijyi0pVtQNr8jXV 0cZzCktZRTaKSg0agSa8zWOu+YtEYZrfrae6ZxW1j19LH894QPSZJznT1q46RBfgHEAnJhrOzHJp LbPmFC0gxNbbJPS87tRUTn0GgCOVDKQQUWKfgkp8qKY4y1CcBRAXhIWysnrAgRrpoTUW37r2go/n c821vhbAa5/fJDCvEuTQWBjsKYPnMSy1pjvY+zxOae+03RVFKEu/Wc7rtnPTwzO1f0AskPca0dy1 yEkrt/tO7WWiy3p1DbE4s+L+JUh/dwek+WOETn0R/1AHTh6IL8Gf0AoDNn7KMZacIL99ibdeUrwb +mcxtUo/McQP0NWtQ7E1ApZwFdmntR3UmvO/KY7yzRBJGlN9B+ghfMNw5DCNVycxXpXGu2wngp1s u3W/Rmztkpkfg18NfK6Ej98NSFhaGT+pvCDKaf0Fe+UuKpfMXwcvLmzJ8tfU2cCtmz1bTqHF15Y2 G0KIRO6KHWHg9GCc/G3rmDWaqfc6ukG4xbZs8WEOfSkU+hxzWC3ajmBWi1fqlbKo3BCXegYzaV0D Av70Lftbtf0yy/4ROl19A9XAWu7l1XTbr/o6PInsKKAAr6ODsNeBjPZuTB6ZH5uBSgROB2BzfAMs /xie/EbtWwh124qP0YBR/YwzpQPAasdtScrD5tr71B9qTH62r5uJTIowMG5mC7NLn69vDeoX+EkP OjQCdYbYwi7QqJOMhA4HI5qmrC7U7+XjLgOheu0V55yl+8f1AHt5hgxg5FeuG3e288vtkvhxKwyI mknTJUbdb1iXuGZQrKxCLaPC62gio8JK5+jGMHh6lN/nyvw9jLmeMEmHfEbycpwLjRBlR0ep3mse ojlk8eLOJxLUxQmB9uDwbNPX2mKJUNPu01afpU3M31TaAUxmu/36J77BIIfkX1WMjJzl5NQSEjPN hftEJhIHb7LJpP0Wrx48E7F3uvHnoUssNJveudKWpt13PX/0ycsWRQrudwNJEYxyR+jnf9ruy4gG D9olg9wJhtERKs18PxJUsXQ8DLoVO3kB3kxlFdq+rfgJEC2al446eRd7S2mR1grBf+a5q3c7opoL ER18vOjqradxDDNiP4N4FKb1FJumroc52wSc+ntqzSPM4yHLYjbVBgEHpmeV3DSQiZH6fo0ue0iP p1TUdaPTBwSaxkVAI6ZwhVMucx8Ho1qrz2LCBoq2oeSNx/wYIODP1Bz9UlalQceFSL0rkwQsk510 JPb7K8lAJ2Z38e3b8dD9Uu8QcQTJ3OfqFSDTFGfzHPRMEbWAhYhBbf+ZPmf513AS0DakXeEuqE1T wM7qXRljmP78EYv5yY5hlxr1tDFsjMrxAVnikYZrLz9XhS0mqgIR1pewF/syeH5bo9qJWZC6hHpw W5JtAvvEdIlxCtnaNiBtBjVgYINu/iRuU9DGRwB1cStJqXDgw+fGopjLi4665jPpCUJIit3ocaQ8 rudC5pnhRITw71kjDDQGFugce4+WyUgarQF/yMWkSNw1G+H18BTIPRakXxosfsOV9QvKjaNZe9AN PEDgy+TuG1ljpgODLlCuDBMK2g50SHEEr0pcxx7lWbGJyv+E3P4V6Sjjwfn0psmBLuwnBd2evw3c ofdDEH2uzmgMiHpYibAbf2eGJR2L+7LVDBdVk+GbEDJidy7uMLH4imUD7wvVZcDsBfMfs9yoU6vK KMhGQZyZ8zQGMYy4NEdtq7+7XQ+oRN7NHGDLu7IFglXmsveiddPvN8Fo9/rG+4t4+h9VOomVvWFa 3GwT190U+YWUc9hJZQCXe5186GcV145WbUrlvx8eRTzjQ3uEfNA2T1TE15i5i7AKDyD7CWXp1IpO MNRMYtVBxazK5FAMZkOXkc10NUm5UWhXgS8mgitzDhOyyF5j7brW1RscDmQTdlRuVP5e1CBtqEjM Ky4S2+Sx+txDjL43zQDvs39/WMQU6oymDKjeknDgpmMRCQ36WQrOVKycxV2buFteqeqifeUYJMhq 6dON3FpVNGs/fR2ECCd56SjQRaNJ7jDgkdFe8EjuPr2OE+1zk0LwLhj0+aM+b4nzkhfZDYfAnpXV H+HbiYUGb/+X60DYzc7ME4vryRzgRk1rpO8C/7c/KYXtEEKIxPonu1hAIMyNVCRvBc5aADUD2810 gVEfmWz2bwqAWNWVbKlCtR2tDDGohFBya8vyE5Q1L/ZAuAnA4dtKLkJQdrRR3MnebvBXm6HJYS1i dS39pAn7OdQHQXOFTf1fSvr35h1FXRnIONN5N/DTGjyCIp9lntHqOumthCOKKj8FvHHF/wvQN7Ip ns4mKp5kJSlbWDbCRJspKVrvzY6gHnARKfsvQN91T6X1WzS2hJpNUw2ZwqBCQbuW5IlSAWUnoGJW Saf8dhwDU5VS06bHA8s1ujwqt5zJWxXdQf+ERy2S7Fmg3R9UVXmcp/fHr9+hnjypG84Jl3tENaqZ DOJs9SbY4LqGE3tD6gIbFFyrZfu+OEq2pCzVdGlsNFRrEJ94uL7J3Er2EbVE9kNteKO3yxa/UGNk gr4dk5zNexZ7jwQLVcI8cWv+8kvKNyAxwF9gJ0PxltAl3EI+4FvuzPOxsvLWh89Emr26jwNFaczO XFwOx+wXGzYVbyVvcjZy3q8xnzxxnGrhK1vumnkUMrlfU8Zro+ZjKByOJ7m8PvEKtSV2cuD8dNhf G0FE5gBhfrKJm0OkwtbJcJYhWRFM0AZNccZqtlz0S2xJYoHzabQ0/OL+3hPX3TmLQ7OfLvtB9PvW rIuwmonEsoe3xYFx3g2SaoEFDJC2ybUkgBWpCQB2Fi52zXtvZAF7zAfSl/a3+oP/LqbWLen73WwW V2m/9qYmPeOqHdIF6eiHqL4en3MqSQ83Mtzb6vFIaXX27mDGnSqvxlXMXsHsedQIpBieapRIf5Xz z3cepB/hxdmvVJPnKfv3g81ymc0j2fzLuMpuscCxpvQjODA8b4d/HmN4cTPTFr8BxoWvIWiCuslh UXM3ANQ23V/Fm6FeYPbA9Qa4P9xO1IyXScjQcGkHQj/Vr0fVDfw9iwl1k6XRqJyuRPaP29kdhp4o u1OTcexJCO7SqZzyRjuH2ZniXqmjZ2lkfrAFGVMKdh+0sPaitoDAC5JxjDKPXWI93y2BLLbvConB inREl5Tm4ccg7tF+ZYFSnh1G5V6dumq/eBoOVi/9FrkT+Sx3PKw5pBlBFsNTyE8fpcFJZE8muIdq eOZXhB2TEaT+DoNSHHIRB7V00mgqrPLzJ1jsVF57csqorwUSUviLVODRq9kci7OTzoJDKHbH1kft mjWaCehYE2+teUXT8c4Pp1AvmWZqsAtl6bSlat/0mnzArO4vaVef18EXL1qe8WzMyVmhRl3Hhtks AZmKtNeQ0mCa4GsiNuW5jHZLDvNUippG8pxrRBa9YKZT0m5jeagcVrYjX0+gKlayZXQWHl6HeR3B 9lESFGGw0REakkPoEt+V++FAfxQkvxfrzDr4l+qPG1H7e3J43k9HyRSKhZRmPL124lKM9kTaBdkl rOrPxy7MOj8+6Y8Rlq/1jNjA4ddUJW1fWKNUCMao7H144+Cfy6aKImKQV+62yQRgdyzEGZ9GhQ32 JJshWue1hgR3geJEDLHodFwWz7r/Du0AX09xqp+73otZ0BTNBnZt3ZWWlNASQmiOuPUuJCTtMQCH tF5IwsC4vXB8gL7Fohks+ubfwGrfx7aoz3q0qNU7H+nvpDOT2umDBFsnY9lRgrTLNQTFJPAW2p/R I/fc29MAYdKh1wo0bJfrDNnBUbAInsZS+SOakEu6r+mKozMTtBKIaRZQI9JJTw7BCWMjhw03Duzv /vU4xnGsz9EARl5l3TrjPI5toxRHQ5htxyH8Yfaqhj5Sx73UuGbBLMKOmqJkwqJZ5KzVseodVs3U wejYtjcGMNsIFvgLtF6Of7RVbvpgPx0f+2adFij137koptji3QCohPXdf3Tx0rkMyCyaZTJCbPti K6DnmXH+QuFs/mOL7ax2pBDT4Z1qqMIwKOUN5Y3vS9Wgsu8IAUSfNlw4KHrzO7QAdnuAw32xeNbN IVr+wYo5EIjBKEdVRW488rErpcEDptN5Ven5HsJXGk/xPgFlcjKIJ10kfV6cFj0gIGGLp/kAtvae RLfzHVj+OoQIuAmLkzGQbdGrblQWS4UBbxnQQp1AYaP2KFZUTfWkFbNts6/ji+S3shzT0wvE2fvl AzxlrRVOmxj6MIc5qgaiwHpN3dDEwWzgJp7M0fWHuiMGLrCedbF3JUfStNeXJhcD7nO4Q3RN2cp9 XxujmpGTVBcTSybFy1s7n+LZp7c8KIK3C2BLtKuYBKBqt7ABGntFKj+WoCkRNISsgWVTppItb2a9 aIhz/Mz3sdxkPqYLJYqCe3d4AdyxijJIrq9KM1xnYNn65Ycw/5Y4OEnwi5HDTQ0uaiS15g/EhaB3 1P0O1FA+NtveZZIG+JcU1AHObP4ei6eRKu4YRtb63Y3QEsKZZFuWURbY2IJZFafCSJmtTHiNlT1P JnKD3QjXmqCB+ZiAwrOGnl3dv5GMWs+299SI6RwXiLhZZmvfFyzBav+akgp71lG7fu0Q/yLx36uN Zyfay7o3VarbLvG4jHIMRqvSDYCp70vpow1DUdZifo4ezC6er00+dvEdZzABwgvV0oSUAKPiDRLe G9mkkyzgF057AOWZJkjVUdkiFtbAF79bvLWuj1UKe2C72a5TRdC6MInzrIo7dYAGOD+c5Q5TCbf+ wVKWmRJtIt7VSs4ixv5c7cF3ao95Cbo5BJ0geTBaQiVhnZeFzhRwrUCKs7sxHymN//RmkL/U6D4c 1dTtkE50wjVygXvrUZZVjnxU6IoXqxls6Thk4gmAYpNt98P5AcoF6LB0gdu6deQf4TZ9gdBPl2Wo zAl4k5C/rRjCzqSFeZZKZn+nhIDttBMVNN6uzv5dD0ONgUNQrIKWbpQSMPoH8VaeBWUtB3Ux2DLC 3KjHmBtIf0KN6U40bVX41cwqfZuNkuhhz1ZmWOGeTKkEsQOVRe/rzLdUJBt3I/T3fWssZrD9b8RS EUuJyIPKXepvavvsPBngO1ONg5DHyt+Pd8cV5l1Gou5vQoEcMtr1kpYCTodIdYUf2eC8rlut0lpc KUKBKY7mLq/Th9OQJNPhP6ZbgmnT12zK1C+rUNH2lJdpaB0eloNhT+pxZz3nTh+hGwD0ghfQKugw UFtq2BGf8Uofa2Y1fSML7BuXZ9nirqYBuFz6BvAJoPgPWcvNi5ml+291cN6JTE9t/coeHur+VvS6 36tJ9U2UJNF7Fl7l3OnILSTfxzZBkdk7P8nW4+B8z+vRcpwQnSVXseUWKlPpyo6n1WkjfcjE4XW1 dLCbs6MY0qew8A2IbD14BYLg4bJ65Xx0IHc24P/y9oDrPDGM+yTFpO9gK6eqpcrJ36EssUPUxD4G U4JpY3YbqMpyeOmqYDgava+C8GtrqNSGOW+45OJJFE1uBhiR7w/tagQFA2LBu28cbaOI2W9c3F0T ybLh9uiuFppCHrB86sRiiyqqoAH7fKBoi2DoZrakf8jeO2xy2QKH/o9/OX2cvb6z12ImOjwAzd7o RnxyXY3/6ntEcDw6Ky+1TuKlaWSErrAvvis6FAroPXQ/l0r9XJThTcIJJ2KgHv8otf869i0bIAHH M+EgBncsITJwpOM7/ibLc7FtzW6pcGCAy5KPwbXAKwrj9Vg5jbXDWs1TH27e4yRd7jiNQclVCmxE sGDWGqUajTMkxoqAf/SYXEbWgpmtz5BVVc7+L8aqcDUynO/f67/ICSAqIkaZFTVIeRBgRRMLrvLr M5PYbM8Q2c7mrQmzX0uhR7EegRynEkFCp4RNw0abYcKuoVs0ZZz8LxpyUkJFU5JOkela6+tM/1Gn 0rmy1AE5e6awi1iS4BxsUbRcDIRLMDBV14QCrfnBV6KwtX8/JPcOOflalueRzV6jW2yylmS4jmgn iyMOVOJhq/k+N8ykMdTOhjytolpReUsx4Bwt4G/mqQMQFhNU0pykIkgSqhhDUnkMs35Aoe10+SwT g1gJfUlxkfxylmGnf20ITjNrhNnE098BiB47EIjqlcrV1tQTUV0EbP4Y5WzCeDSXD/VG6qKGnMQ7 UWbMrJLf8C3p2u4lhf3QJ8xaQYp8Zke6WmfZVsRGkp8SmiPVEPMvlLoYebO7EzW3mL5jrsN93Rp3 s7wyJt5esB2vkAwygdnj+I9U1HNXnxGdKFIXyhiVuBsYl1Y8H1a618SLoLkyncfuFTu45lh8Mgyl X/G6hgIerD7WPA6zBZMCd2doz3+ybb0Y9OO89BUzQd+yFeodatWB0JiliO6ZD6AZnQtqgHCycjMv LDsZJ+EWboymlDH1hJWrmTjUfX5+cTmHLX/gadDgT2JwD8bXl4s24Y96YhOYB5BB+fJhE2BIy4Bv e2BVx1YD/PrT3PrfBzn1i5oAeStwJpqNfQD1n3Wu/WciYW/bacKwehZcL7WQ+VKlRmpaQbpBUOPH demyWVg1h89LFaHn39d9bqvFPUpp+G7nrSfyoNf7IaS/0BxDVLYHvMHGbkU5VaUqlUpu2+RfRGo/ uFIQWjRIDXZxGrrkMBLtaWHxqguEDpA/RwYyYqEs9S/n49vuVeUJG0wx/okRwgpuwl+tZ9Ad2vFe U2ScMrT+uwmlEhRfKP1ZlKgsfsdXjoKhn/8uRuWmCUSZOyWP/Fj4mHn+T2BJ8xK8t4Mqjfikliwo KqoKogHWJU4HY1FJump5Rzu8UZYPSPegEo6py07InQK/XvKwngaap5Vy21B7vd+SJE55o0tgFC4X Z4Kcp4uMU9/GTOTijfgzJR7r5Tot0nQnfRNZo8qui5Vu4lcH95jIDFwgIri1inaPHt7+Zb0ZdmZO BWbqBeob3XRGtktzZcgvcy1LIyWdM5C7X0js6YI3kt9xfEzFBYRht9z2ur0UWAmxDps0zUtdGA1X ZNAzD5dcv/Vy7zqUxJwgC1P/k9MJWT29b/l2sT8s5vRumMfxUyDaHQgM0IUued7BPoo+YN4luMSI TYdOe0Jl0e/uTrM8iJrNHc5EjaSl9tJg011/x740VXu4IdnnmQ4vf3teNG0d4Lh8iKAgZx4AsSkv 4Ah5AXBuzkIiFWmdnoX3VWheamWe4c4rk0Nr7Dhbcq0lHI1bugT0LKvayHUlbDdNe6wrNVRty5oa Fdk4A5IbAAq5XZO8cOMNgfhd09PS0s36+vM2wQjzFEySSPLmmOPh0J7L/+y9wjInPaaclUa8EOaq gXCDhc9wQaGqAKVfQJ7Uiiqdo4T+OwDMltvxyhY6PHC6l3+r+G8wCojizddH1aKptBw3xO8BxH0B elIbNmFSHiJBdcMqhQngA/B75DvC+qE+9nC8BxSJmZVn/tW6M/ziz0BdFmRxWTa1S5o1te7u4VTb Y7uh8I4w+p4ojywcNFbkZ9bCynIc+gCPzAkwqUNiDMpm3YypApwnNmHJQ3d89rz4uGnLpZDMVMfv H5/oBVVaz6tAdSJ/d0hQ2iLphVjj37UXM9IC6Em1K34QePSPZ8PxnqYi37IbnZw/oLTjLz0qbJ8q GAtN9zSpCaCtyxWcJglOlNUZzKI2Abj3/mYcYsE38UUAvKE0H2O1Tgb0JZBVnNxmeAKmEYijmsWG HR25GS/xbFcKtRgjU6tUPC7GZKgQc6u6CjV/tBy4pK2TVgEuSI0uk5tct13u9pQtoPS4ggg0Rldj 7BaUCZLcbgTVSvynqT9KK5pUQiDPVUjZoKkc4+bNhEAm/88GVHJdBF4Vs+Gb3RvOkRIVXfP2WLCL mod0YTf/1hXzxsoHgTxAKug0NQL+t/ErEO8Z79naWjN6xANbZ7o/tZ2EjWjgbdAokowfsp3Ajd/C 8VQ/OZOwCcQTwo76wE5eWRP8a2O3iWZgkW1x9X1G+K0oTk2tManaFogYCihNcxAk+y0nmT9efdzX toODdEatzbLJRT1RStrq6YuRCWZAEAPOL2fTuZO4vN4tTKq8SYZ9vhWpRRIRltZOCjA+leHHpZ0P cnnlaJpNEyizDRGI1F9aoMlVIfJL7oTmPF7hXoFpcjVkzWxQM9FEKoEDMm6fZIiImZohnycDahMG eaoze8fgeN48UUz6cN8TbRxAMI/WWdX/hCprtbeVn5gGY3sdQRzm8AJCBsFQHPF8XsPsj0EdYA98 YAu2F+89JsRDFFT7bZNLxYjWO6l70h6NqwzwjcsorGU0ku4vAl907yarLhZ6MgTrsVf/ta8CXI/V YzEftGSJFDmx/FBaUBmHtV9W+NPbDHw66ejUwcfXvpRpfBQlFccV8izejGC9T9x7dY89JvT03N5o lv+IhL1R0fbAvWpKZ5i6F3Tc3+h1d3kG1twC1inwFZQ5dYjq8YvrCGknfNPoonQRtFlRYyZEB01L weFxGw+XSxbqJLHgg+rdPBy4gLNpY2xvoYSrQ7C5VAkM/OydnSC8P16+mhPcXvkVKZmvnCx6AlLU yBbwiOCuHwRWaKThWajXe6gmEKDwifjSOkkB0jKpMf2BNAs0PEtoJKb1YAb9k6+QlClYvpXg6i+Q +VTnjZ2Mt6xGKbvSor5zKDy7ajKZ/JoeljFl6JXnIYBnri1n8fyOZdQquLcbFBOqFykUOwQ9Biyg 20SiHvRVqEKg02boLyJOiv52GP/cJnK4tQRZn9oaMVJNtygBcIVOj/2/HNeT54WdFRIovTEw2wQC 3sKPPubs7jQ9hPHbRX5yc4rX0jipVxZRVcRAsnaeuKMhMQL6UdVouAbeZ6F9zpQHxYkDrCANgqz+ Yqheo29RD4M0k6YPpG851cbVv4QcmgvJeJwN59F+arivXP3IoaXS6bk97yIr8TIj1b877zpcxybv sRfESX0F/3cJEvFeE3vyMrOC74ZuWP7WoMj2CQp5KYITroh0TeRm6c22SZnGspTcfgJ1ujSF/k+R oUwE5/MzEsTm1g5fsdFgbrcfkbFd3ro9FLbTnZ8zRPekNq/x6F9hh4QXj8nz6O4448E1hG7Ic0fn YbWpTUzSLKnletvSC9fbMgfn/v0PaZA7/VlxqjOk3sj9CbdPX2Dm1+U4A21l6Ie1il0gkp/xppY4 7q/2+4P/wCnyPzQgF2pzWD8IHzap2NyDI14wUIYrS+FIZZikOZ/mjUBewzf+ZjKi1LAaNMtD0eDC 3IEmQU0yYvyElzU28GQFXgk+kjrOfptar1/c0cn3FVY6wAwC7Ny9S7D+94NjyaPxE3l3LOXkNSBH 6BCN/JzRuGXHwlNQfErdCQ+B4k7C9GCzplQqsqvexcl4ydSuT2Jh/a75gq7LhcXydjGPPhuTvanL o/NlDGpuit2rsuo5wi64U+qA5OuNkJG31SblDjcxcEqIXBHUyPivqauO0G+62tzFw31/zTxK+b3t 4zcgQEEYEyrzY2uzYEBUEpFhYuKc/+eCZywbOPyZT6P2S9ZgjmjTXWqEjGFWhAx1MbAUIMKRQHSG IXT3xAN495aqO8CTQIaNFnYMqOqVE+BI+gaHdR7uycdF+fglr6oTEIlb6GZGtFm8PZA1+6682amf UekajtLWvA7dhHyfqM/uoTRFeSZQabc8mes5vT6VD7ApS9sQEiO5mJENmq/5jHPEJE79PSFmkcbK K2dz6q0dsVFvfXx/Y05sj5wZybqmueJPDfLrwtFXo9k0Ji+pe/vI+S0Ynd/Q//qufI7TLxKDK2lB cjLX2zq58iMu/csNW4JBT8SVfk8Lhfg7enrp/4g9f2s8tNJqo864iM8bN8edBX7SdTvNsa05h+6W 5O0lpNGZ9JOGA1NL0m9cS4lUG1LIf3fKcIMR3/0fNgro4IobrXtPMpS9Zc/QTNWyr/TXKjIMveVK 4BFHlUf8kjSUKlF2b2wZCjkW1Mb7uf6QK8oJEWiCidD45ayy70LcrFLzPerjpNt7Pr3KW1Eh2icj 40R7AfBaV0Hn0gPTj6w2xfANjmHz+7O1Agdwupkv3bv9/o7pM4/RkC4REyI879dFw8Oy4xqi/O4H SoYr/IVk23yvDABxCgBnlwq5LR3xT6Wjldv4HaqFZnfYgU6JMpZ5LQX0PmUH3GkLB7A93VViLi7m 0SCjL4Zy6e5uLfK3DovF2d0gflj+At0MMPV7x1h+mvq5gzvHe72w/ApsXdXtnGxjVGCLCZRJDDBE 1gwlVNmWCnHVuAW+d63BsLLih79GY5hbSucHdMGUfbHy1py/fWV4I1SRIFBWyDYEKC6OUQFQTCCh ZU46wMNeX+79dZVndieYl9t56eMipa2Ukg== `protect end_protected
gpl-3.0
amerryfellow/dlx
basics/rf.vhd
1
2436
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.constants.all; entity RF is generic( NBIT: integer := numBit; NREG: natural := NREGISTER ); port ( CLK: IN std_logic; RESET: IN std_logic; ENABLE: IN std_logic; RD1: IN std_logic; -- Read 1 RD2: IN std_logic; -- Read 2 WR: IN std_logic; -- Write ADD_WR: IN std_logic_vector(LOG(NREG)-1 downto 0); -- Write Address ADD_RD1: IN std_logic_vector(LOG(NREG)-1 downto 0); -- Read Address 1 ADD_RD2: IN std_logic_vector(LOG(NREG)-1 downto 0); -- Read Address 2 DATAIN: IN std_logic_vector(NBIT-1 downto 0); -- Write data OUT1: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 1 OUT2: OUT std_logic_vector(NBIT-1 downto 0) -- Read data 2 ); end RF; -- Architectures architecture behavioral of RF is -- Suggested structures subtype REG_ADDR is natural range 0 to NREG-1; -- using natural type type REG_ARRAY is array(REG_ADDR) of std_logic_vector(NBIT-1 downto 0); -- Signal instantiation signal REGISTERS : REG_ARRAY; signal TEMP_RD1,TEMP_RD2: std_logic_vector(NBIT-1 downto 0); begin -- Handle Read 1 PROCESS_RD1: process(CLK, RD1, RESET, ENABLE, ADD_RD1) begin -- Synchronous if CLK'event and CLK = '1' then -- If 'reset' if (RESET = '1') then TEMP_RD1 <= (others=> '0'); -- Null -- Elsewise else -- If Read 1 and Enable if RD1 = '1' and ENABLE = '1' then TEMP_RD1 <= REGISTERS(conv_integer(ADD_RD1)); end if; end if; end if; end process PROCESS_RD1; -- Handle Read 2 PROCESS_RD2: process(CLK,RD2,RESET,ENABLE,ADD_RD2) begin -- Synchronous if CLK'event and CLK='1' then -- If 'reset' if (RESET = '1') then TEMP_RD2 <= (others => '0'); -- Elsewise else -- If Read 2 and Enable if RD2 = '1' and ENABLE = '1' then TEMP_RD2 <= REGISTERS(conv_integer((ADD_RD2))); end if; end if; end if; end process PROCESS_RD2; -- Handle Write PROCESS_WR: process(CLK,WR,RESET,ENABLE,ADD_WR) begin -- Synchronous if CLK'event and CLK='1' then -- If 'reset' if (RESET = '1') then null; -- Elsewise else -- If Write and Enable if WR = '1' and ENABLE = '1' then REGISTERS(conv_integer(ADD_WR)) <= DATAIN; end if; end if; end if; end process PROCESS_WR; OUT1 <= TEMP_RD1; OUT2 <= TEMP_RD2; end behavioral;
gpl-3.0
amerryfellow/dlx
alu/multiplier/booth.vhd
1
2832
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.alu_types.all; -- This entity implements a signed multiplication. entity BOOTHMUL is generic ( N : integer := NSUMG ); port ( A : in std_logic_vector(N-1 downto 0); B : in std_logic_vector(N-1 downto 0); P : out std_logic_vector(2*N-1 downto 0) ); end BOOTHMUL; -- Architectures architecture mixed of BOOTHMUL is -- Internal signals type SignalVector is array (N/2-1 downto 0) of std_logic_vector(2*N-1 downto 0); signal encoder: std_logic_vector(N downto 0); signal A_in: std_logic_vector(2*N - 1 downto 0):=(others => '0'); -- Outputs of each MUX or ADDER block step signal mux_out:SignalVector; signal sum_internal:SignalVector; -- Allows signed multiplication by implementing 2's complement without an adder. signal Cin: std_logic_vector(N/2-1 downto 0); -- Sign extension wires signal signext: std_logic_vector(N-1 downto 0); -- Dummy RCA cout connection signal cout: std_logic; component MUX3B generic( N:integer := NSUMG; OFFSET:integer:=0 ); port ( A : in std_logic_vector(N-1 downto 0); CTRL : in std_logic_vector(2 downto 0); Y : out std_logic_vector(N-1 downto 0); Cin : out std_logic ); end component; component RCA_GENERIC generic ( NBIT:integer := NSUMG ); port ( A: in std_logic_vector(NBIT-1 downto 0); B: in std_logic_vector(NBIT-1 downto 0); Ci: in std_logic; S: out std_logic_vector(NBIT-1 downto 0); Co: out std_logic); end component; begin -- The first bit of the encoder vector is a 0 encoder <= B & '0'; -- Sign extension: A_in is the sign extension representation of A signext <= (others => A(N-1)); A_in <= signext & A; SUM_N: for i in 0 to N/2 - 1 generate -- Create the MUX/encoder coupled component N_MUX: MUX3B generic map(2*N,2*i) port map (A_in, encoder((2*i+2) downto 2*i), mux_out(i), Cin(i)); -- Create the RCA blocks -- The first RCA has only a the first mux_out( and its Cin ) as an input. -- Because if the first value of "encoder" is "101","110" or "100" the -- the output of this RCA is negative(naturally if A_in has a positive value). SUM_0: if i = 0 generate S0:RCA_GENERIC generic map(2*N) port map(mux_out(i), (others =>'0'), Cin(i), sum_internal(i), cout); end generate; -- The other RCAs take the output of the mux, its Cin, and the output of the previous RCA block -- and generate the sum. SUM: if i /= 0 generate SN:RCA_GENERIC generic map(2*N) port map(sum_internal(i-1), mux_out(i), Cin(i), sum_internal(i), cout); end generate; end generate; -- Output P <= sum_internal(N/2 - 1); end mixed;
gpl-3.0
amerryfellow/dlx
alu/testbench.vhd
1
1351
library IEEE; use std.textio.all; use IEEE.std_logic_1164.all; USE IEEE.std_logic_unsigned.all; use WORK.alu_types.all; entity TBALU is end TBALU; architecture ALU_TEST of TBALU is constant NBIT: integer := NSUMG; signal FUNC_CODE: TYPE_OP:=ADD; signal CLK: std_logic:='0'; signal RESET: std_logic; signal OP1: STD_LOGIC_VECTOR(NBIT-1 downto 0); signal OP2: STD_LOGIC_VECTOR(NBIT-1 downto 0); signal RESULT: STD_LOGIC_VECTOR(NBIT-1 downto 0); component ALU generic ( N : integer := NSUMG ); port ( FUNC: in TYPE_OP; A, B: in std_logic_vector(N-1 downto 0); CLK: in std_logic; RESET: in std_logic; OUTALU: out std_logic_vector(N-1 downto 0) ); end component; begin p_clock: process (CLK) begin -- process p_clock CLK <= not(CLK) after 2 ns; end process p_clock; RESET <= '1', '0' after 1 ns; U1 : ALU generic map (NBIT) port map (FUNC_CODE, OP1, OP2,CLK, RESET, RESULT); OP1 <= "00000000000000000000000000110101"; OP2 <= "00000000000000000000000000010110"; FUNC_CODE <= ADD after 8 ns, SUBT after 16 ns, --MULT after 24 ns, BITAND after 32 ns, BITOR after 40 ns, BITXOR after 48 ns, FUNCSLL after 56 ns, FUNCSRL after 64 ns, FUNCSRA after 72 ns, COMP after 80 ns; end ALU_TEST;
gpl-3.0
amerryfellow/dlx
rocache/romem.vhd
1
2241
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use std.textio.all; use ieee.std_logic_textio.all; use work.ROCACHE_PKG.all; -- Instruction memory for DLX -- Memory filled by a process which reads from a file -- file name is "test.asm.mem" entity ROMEM is generic ( file_path : -- string(1 to 37) := "C://DLX//dlx-master//rocache//hex.txt"; string(1 to 87) := "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rocache/hex.txt"; ENTRIES : integer := 128; WORD_SIZE : integer := 32; data_delay : natural := 2 ); port ( CLK : in std_logic; RST : in std_logic; ADDRESS : in std_logic_vector(WORD_SIZE - 1 downto 0); ENABLE : in std_logic; DATA_READY : out std_logic; DATA : out std_logic_vector(2*WORD_SIZE - 1 downto 0) ); end ROMEM; architecture Behavioral of ROMEM is type RAM is array (0 to ENTRIES-1) of integer; signal Memory : RAM; signal valid : std_logic; signal idout : std_logic_vector(2*WORD_SIZE-1 downto 0); signal count: integer range 0 to (data_delay + 1); begin -- purpose: This process is in charge of filling the Instruction RAM with the firmware FILL_MEM_P: process (RST,CLK,ENABLE,ADDRESS) file mem_fp: text; variable file_line : line; variable index : integer := 0; variable tmp_data_u : std_logic_vector(WORD_SIZE-1 downto 0); begin -- process FILL_MEM_P if (Rst = '1') then file_open( mem_fp, file_path, READ_MODE ); while (not endfile(mem_fp)) loop readline(mem_fp,file_line); hread(file_line,tmp_data_u); Memory(index) <= conv_integer(unsigned(tmp_data_u)); index := index + 1; end loop; file_close(mem_fp); count <= 0; elsif CLK'event and clk= '1' then if (ENABLE = '1' ) then count <= count + 1; if (count = data_delay) then count <= 0; valid <= '1'; idout <= conv_std_logic_vector(Memory(conv_integer(unsigned(ADDRESS))+1),WORD_SIZE) & conv_std_logic_vector(Memory(conv_integer(unsigned(ADDRESS))),WORD_SIZE ); end if; else count <= 0; valid <= '0'; end if; end if; end process FILL_MEM_P; DATA_READY <= valid; DATA <= idout when valid = '1' else (others => 'Z'); end Behavioral;
gpl-3.0
amerryfellow/dlx
rocache/testbench.vhd
1
3048
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use std.textio.all; use work.ROCACHE.all; entity TBCACHE is end TBCACHE; architecture TB_1 of TBCACHE is component IC_MEM port( clk : in std_logic; Reset : in std_logic; -- active high pc_addr : in std_logic_vector(Instr_size - 1 downto 0); instr_from_mem : in std_logic_vector(2*Instr_size - 1 downto 0); iram_ready : in std_logic; enable : in std_logic; stall_pipe : out std_logic; read_mem : out std_logic; addr_to_mem : out std_logic_vector(Instr_size - 1 downto 0); out_instr : out std_logic_vector(Instr_size - 1 downto 0) ); end component; component IRAM generic ( RAM_DEPTH : integer := 48; I_SIZE : integer := 32); port ( clk : in std_logic; Rst : in std_logic; Addr : in std_logic_vector(I_SIZE - 1 downto 0); EN_IRAM : in std_logic; Vout : out std_logic; Dout : out std_logic_vector(2*I_SIZE - 1 downto 0) ); end component; signal reset : std_logic; signal CLK : std_logic := '1'; signal out_i,pc,Read_addr : std_logic_vector(Instr_size-1 downto 0):=X"00000000" ; signal addr_to_ir,instr_from_ir : std_logic_vector(Instr_size-1 downto 0):=X"00000000" ; signal mem_busy : std_logic:= '0'; signal ST_PIPE : std_logic := '1'; signal READ_M : std_logic:='0'; signal instr_from_m : std_logic_vector(2*Instr_size - 1 downto 0); signal ONE : std_logic_vector(Instr_size-1 downto 0):=X"00000001"; signal IR_EN,en : std_logic:= '0'; signal valid_out : std_logic:= '0'; begin reset <= '1' , '0' after 12 ns; --instr_from_m <= X"0001000F0001000A" after 25 ns; --mem_busy <= '1' after 20 ns, '0' after 30 ns; --pc <= X"00000002";--X"00000003" after 40 ns,X"00000004" after 60 ns,X"00000005" after 80 ns; en <= '1';--,'0' after 20 ns,'1' after 30 ns,'0' after 40 ns,'1' after 50 ns,'0' after 60 ns, '1' after 70 ns; p_clock: process (CLK) begin -- process p_clock CLK <= not(CLK) after 10 ns; end process p_clock; pc_ref:process begin pc <= X"00000002"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000003"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000004"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000005"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000006"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000004"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000002"; wait for 20 ns; end process pc_ref; -- MMU_G : MMU port map(CLK,reset,READ_M,read_addr,instr_from_ir,mem_busy,IR_EN,addr_to_ir,instr_from_m); IRAM_G : IRAM port map(clk,reset,read_addr,READ_M,valid_out,instr_from_m); IC_MEM_G : IC_MEM port map (CLK,reset,pc,instr_from_m,valid_out,en,ST_PIPE,READ_M,Read_addr,out_i); end TB_1;
gpl-3.0
amerryfellow/dlx
basics/register.vhd
1
698
library IEEE; use IEEE.std_logic_1164.all; -- Flipflop-based N-bit register entity REGISTER_FD is generic ( N: integer := 1 ); port ( DIN: in std_logic_vector(N-1 downto 0); -- Data in CLK: in std_logic; -- Clock RESET: in std_logic; -- Reset DOUT: out std_logic_vector(N-1 downto 0) -- Data out ); end REGISTER_FD; -- Architectures architecture ASYNCHRONOUS of REGISTER_FD is component FLIPFLOP port ( D: in std_logic; CK: in std_logic; RESET: in std_logic; Q: out std_logic ); end component; begin REG_GEN_A : for i in 0 to N-1 generate ASYNC_REG : FLIPFLOP port map(DIN(i), CLK, RESET, DOUT(i)); end generate; end ASYNCHRONOUS;
gpl-3.0
amerryfellow/dlx
basics/inc.vhd
1
737
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity INCREMENTER is generic ( N : integer ); port ( A: in std_logic_vector (N-1 downto 0); Y: out std_logic_vector(N-1 downto 0) ); end INCREMENTER; architecture structural of INCREMENTER is component halfadder -- is an half adder. port ( A: in std_logic; B: in std_logic; S: out std_logic; C: out std_logic ); end component; signal cout,sum: std_logic_vector(N-1 downto 0); begin sum(0) <= not A(0); -- S = A(0) xor 1 = !A(0) cout(0) <= A(0); -- cout = A(0) and 1= A(0) PROPAGATION: for X in 1 to N-1 generate INIT_HA: halfadder port map (A(X),cout(X - 1),sum(X),cout(X)); end generate; Y <= sum; end structural;
gpl-3.0
nickg/nvc
test/lower/tounsigned.vhd
1
595
package p is type UNSIGNED is array (NATURAL range <>) of bit; function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED; end package; package body p is function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin mainloop: for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then -- Mod should be replaced with rem RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; return RESULT; end TO_UNSIGNED; end package body;
gpl-3.0
nickg/nvc
test/elab/neorv1.vhd
1
584
entity fifo is generic ( n : positive ); port ( wdata : bit_vector(1 to n) ); end entity; architecture test of fifo is begin end architecture; ------------------------------------------------------------------------------- entity neorv1 is end entity; architecture test of neorv1 is signal x : bit; signal y : bit_vector(1 to 2); begin g: for i in 0 to 1 generate u: entity work.fifo generic map ( 3 ) port map ( wdata(1) => x, wdata(2 to 3) => y ); end generate; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/hdl/vhdl/full_axi.vhd
7
43438
------------------------------------------------------------------------------- -- full_axi.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: full_axi.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller when configured in a full AXI4 mode. -- The rd_chnl and wr_chnl modules are instantiated. -- The ECC AXI-Lite register module is instantiated, if enabled. -- When single port BRAM mode is selected, the arbitration logic -- is instantiated (and connected to each wr_chnl & rd_chnl). -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen_hsiao.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen_hsiao.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/15/2011 v1.03a -- ~~~~~~ -- Initial integration of Hsiao ECC algorithm. -- Add C_ECC_TYPE top level parameter and mappings on instantiated modules. -- ^^^^^^ -- JLJ 2/18/2011 v1.03a -- ~~~~~~ -- Update WE & BRAM data sizes based on 128-bit ECC configuration. -- Plus XST clean-up. -- ^^^^^^ -- JLJ 3/31/2011 v1.03a -- ~~~~~~ -- Add coverage tags. -- ^^^^^^ -- JLJ 4/11/2011 v1.03a -- ~~~~~~ -- Add signal, AW2Arb_BVALID_Cnt, between wr_chnl and sng_port_arb modules. -- ^^^^^^ -- JLJ 4/20/2011 v1.03a -- ~~~~~~ -- Add default values for Arb2AW_Active & Arb2AR_Active when dual port mode. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove usage of C_FAMILY. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.axi_bram_ctrl_funcs.all; use work.lite_ecc_reg; use work.sng_port_arb; use work.wr_chnl; use work.rd_chnl; ------------------------------------------------------------------------------ entity full_axi is generic ( -- AXI Parameters C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_WIDTH : integer := 8; -- Width of ECC data vector C_ECC_TYPE : integer := 0; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code C_FAULT_INJECT : integer := 0; -- Enable fault injection registers C_ECC_ONOFF_RESET_VALUE : integer := 1; -- By default, ECC checking is on (can disable ECC @ reset by setting this to 0) -- Hard coded parameters at top level. -- Note: Kept in design for future enhancement. C_ENABLE_AXI_CTRL_REG_IF : integer := 0; -- By default the ECC AXI-Lite register interface is enabled C_CE_FAILING_REGISTERS : integer := 0; -- Enable CE (correctable error) failing registers C_UE_FAILING_REGISTERS : integer := 0; -- Enable UE (uncorrectable error) failing registers C_ECC_STATUS_REGISTERS : integer := 0; -- Enable ECC status registers C_ECC_ONOFF_REGISTER : integer := 0; -- Enable ECC on/off control register C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- AXI Write Address Channel Signals (AW) S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; -- AXI Write Data Channel Signals (W) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; -- AXI Write Data Response Channel Signals (B) S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; -- AXI Read Address Channel Signals (AR) S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; -- AXI Read Data Channel Signals (R) S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Clock and Reset -- TBD -- S_AXI_CTRL_ACLK : in std_logic; -- S_AXI_CTRL_ARESETN : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- BRAM Interface Signals (Port A) BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- BRAM Interface Signals (Port B) BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity full_axi; ------------------------------------------------------------------------------- architecture implementation of full_axi is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_INT_ECC_WIDTH : integer := Int_ECC_Size (C_S_AXI_DATA_WIDTH); -- Modify C_BRAM_ADDR_SIZE to be adjusted for BRAM data width -- When BRAM data width = 32 bits, BRAM_Addr (1:0) = "00" -- When BRAM data width = 64 bits, BRAM_Addr (2:0) = "000" -- When BRAM data width = 128 bits, BRAM_Addr (3:0) = "0000" -- When BRAM data width = 256 bits, BRAM_Addr (4:0) = "00000" constant C_BRAM_ADDR_ADJUST_FACTOR : integer := log2 (C_S_AXI_DATA_WIDTH/8); ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- -- Internal AXI Signals signal S_AXI_AWREADY_i : std_logic := '0'; signal S_AXI_ARREADY_i : std_logic := '0'; -- Internal BRAM Signals signal BRAM_Addr_A_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal BRAM_Addr_B_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal BRAM_En_A_i : std_logic := '0'; signal BRAM_En_B_i : std_logic := '0'; signal BRAM_WE_A_i : std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal BRAM_RdData_i : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); -- Internal ECC Signals signal Enable_ECC : std_logic := '0'; signal FaultInjectClr : std_logic := '0'; -- Clear for Fault Inject Registers signal CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal Wr_CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers --signal UE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers --signal CE_CounterReg_Inc : std_logic := '0'; -- Increment CE Counter Register signal Wr_Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Wr_Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal Rd_CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal Rd_Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Rd_Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal FaultInjectData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal FaultInjectECC : std_logic_vector (C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width signal FaultInjectECC_i : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width signal Active_Wr : std_logic := '0'; signal BRAM_Addr_En : std_logic := '0'; signal Wr_BRAM_Addr_En : std_logic := '0'; signal Rd_BRAM_Addr_En : std_logic := '0'; -- Internal Arbitration Signals signal Arb2AW_Active : std_logic := '0'; signal AW2Arb_Busy : std_logic := '0'; signal AW2Arb_Active_Clr : std_logic := '0'; signal AW2Arb_BVALID_Cnt : std_logic_vector (2 downto 0) := (others => '0'); signal Arb2AR_Active : std_logic := '0'; signal AR2Arb_Active_Clr : std_logic := '0'; signal WrChnl_BRAM_Addr_Rst : std_logic := '0'; signal WrChnl_BRAM_Addr_Ld_En : std_logic := '0'; signal WrChnl_BRAM_Addr_Inc : std_logic := '0'; signal WrChnl_BRAM_Addr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal RdChnl_BRAM_Addr_Ld_En : std_logic := '0'; signal RdChnl_BRAM_Addr_Inc : std_logic := '0'; signal RdChnl_BRAM_Addr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal bram_addr_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- *** BRAM Output Signals *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: ADDR_SNG_PORT -- Purpose: OR the BRAM_Addr outputs from each wr_chnl & rd_chnl -- Only one write or read will be active at a time. -- Ensure that ecah channel address is driven to '0' when not in use. --------------------------------------------------------------------------- ADDR_SNG_PORT: if C_SINGLE_PORT_BRAM = 1 generate signal sng_bram_addr_rst : std_logic := '0'; signal sng_bram_addr_ld_en : std_logic := '0'; signal sng_bram_addr_ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal sng_bram_addr_inc : std_logic := '0'; begin -- BRAM_Addr_A <= BRAM_Addr_A_i or BRAM_Addr_B_i; -- BRAM_Addr_A <= BRAM_Addr_A_i when (Arb2AW_Active = '1') else BRAM_Addr_B_i; -- BRAM_Addr_A <= BRAM_Addr_A_i when (Active_Wr = '1') else BRAM_Addr_B_i; -- Insert mux on address counter control signals sng_bram_addr_rst <= WrChnl_BRAM_Addr_Rst; sng_bram_addr_ld_en <= WrChnl_BRAM_Addr_Ld_En or RdChnl_BRAM_Addr_Ld_En; sng_bram_addr_ld <= RdChnl_BRAM_Addr_Ld when (Arb2AR_Active = '1') else WrChnl_BRAM_Addr_Ld; sng_bram_addr_inc <= RdChnl_BRAM_Addr_Inc when (Arb2AR_Active = '1') else WrChnl_BRAM_Addr_Inc; I_ADDR_CNT: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (sng_bram_addr_rst = '1') then bram_addr_int <= (others => '0'); elsif (sng_bram_addr_ld_en = '1') then bram_addr_int <= sng_bram_addr_ld; elsif (sng_bram_addr_inc = '1') then bram_addr_int (C_S_AXI_ADDR_WIDTH-1 downto 12) <= bram_addr_int (C_S_AXI_ADDR_WIDTH-1 downto 12); bram_addr_int (11 downto C_BRAM_ADDR_ADJUST_FACTOR) <= std_logic_vector (unsigned (bram_addr_int (11 downto C_BRAM_ADDR_ADJUST_FACTOR)) + 1); end if; end if; end process I_ADDR_CNT; BRAM_Addr_B <= (others => '0'); BRAM_En_A <= BRAM_En_A_i or BRAM_En_B_i; -- BRAM_En_A <= BRAM_En_A_i when (Arb2AW_Active = '1') else BRAM_En_B_i; BRAM_En_B <= '0'; BRAM_RdData_i <= BRAM_RdData_A; -- Assign read data port A BRAM_WE_A <= BRAM_WE_A_i when (Arb2AW_Active = '1') else (others => '0'); -- v1.03a -- Early register on WrData and WSTRB in wr_chnl. (Previous value was always cleared). --------------------------------------------------------------------------- -- Generate: GEN_L_BRAM_ADDR -- Purpose: Generate zeros on lower order address bits adjustable -- based on BRAM data width. --------------------------------------------------------------------------- GEN_L_BRAM_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate begin BRAM_Addr_A (i) <= '0'; end generate GEN_L_BRAM_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_BRAM_ADDR -- Purpose: Assign BRAM address output from address counter. --------------------------------------------------------------------------- GEN_BRAM_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin BRAM_Addr_A (i) <= bram_addr_int (i); end generate GEN_BRAM_ADDR; end generate ADDR_SNG_PORT; --------------------------------------------------------------------------- -- Generate: ADDR_DUAL_PORT -- Purpose: Assign each BRAM address when in a dual port controller -- configuration. --------------------------------------------------------------------------- ADDR_DUAL_PORT: if C_SINGLE_PORT_BRAM = 0 generate begin BRAM_Addr_A <= BRAM_Addr_A_i; BRAM_Addr_B <= BRAM_Addr_B_i; BRAM_En_A <= BRAM_En_A_i; BRAM_En_B <= BRAM_En_B_i; BRAM_WE_A <= BRAM_WE_A_i; BRAM_RdData_i <= BRAM_RdData_B; -- Assign read data port B end generate ADDR_DUAL_PORT; BRAM_WrData_B <= (others => '0'); BRAM_WE_B <= (others => '0'); --------------------------------------------------------------------------- -- *** AXI-Lite ECC Register Output Signals *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: GEN_NO_REGS -- Purpose: Generate default values if ECC registers are disabled (or when -- ECC is disabled). -- Include both AXI-Lite default signal values & internal -- core signal values. --------------------------------------------------------------------------- GEN_NO_REGS: if (C_ECC = 0) generate begin S_AXI_CTRL_AWREADY <= '0'; S_AXI_CTRL_WREADY <= '0'; S_AXI_CTRL_BRESP <= (others => '0'); S_AXI_CTRL_BVALID <= '0'; S_AXI_CTRL_ARREADY <= '0'; S_AXI_CTRL_RDATA <= (others => '0'); S_AXI_CTRL_RRESP <= (others => '0'); S_AXI_CTRL_RVALID <= '0'; -- No fault injection FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); -- Interrupt only enabled when ECC status/interrupt registers enabled ECC_Interrupt <= '0'; ECC_UE <= '0'; Enable_ECC <= '0'; end generate GEN_NO_REGS; --------------------------------------------------------------------------- -- Generate: GEN_REGS -- Purpose: Generate ECC register module when ECC is enabled and -- ECC registers are enabled. --------------------------------------------------------------------------- -- GEN_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 1) generate -- For future implementation. GEN_REGS: if (C_ECC = 1) generate begin --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- Description: This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. --------------------------------------------------------------------------- I_LITE_ECC_REG : entity work.lite_ecc_reg generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC_WIDTH => C_INT_ECC_WIDTH , -- ECC width specific to data width C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_AClk , -- AXI clock S_AXI_AResetn => S_AXI_AResetn , -- TBD -- S_AXI_CTRL_AClk => S_AXI_CTRL_AClk , -- AXI-Lite clock -- S_AXI_CTRL_AResetn => S_AXI_CTRL_AResetn , Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , -- Add AXI-Lite ECC Register Ports AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , Enable_ECC => Enable_ECC , FaultInjectClr => FaultInjectClr , CE_Failing_We => CE_Failing_We , CE_CounterReg_Inc => CE_Failing_We , Sl_CE => Sl_CE , Sl_UE => Sl_UE , BRAM_Addr_A => BRAM_Addr_A_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_B => BRAM_Addr_B_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_En => BRAM_Addr_En , Active_Wr => Active_Wr , -- BRAM_RdData_A => BRAM_RdData_A (C_S_AXI_DATA_WIDTH-1 downto 0) , -- BRAM_RdData_B => BRAM_RdData_B (C_S_AXI_DATA_WIDTH-1 downto 0) , FaultInjectData => FaultInjectData , FaultInjectECC => FaultInjectECC_i ); BRAM_Addr_En <= Wr_BRAM_Addr_En or Rd_BRAM_Addr_En; -- v1.03a -- Add coverage tags for Wr_CE_Failing_We. -- No testing on forcing errors with RMW and AXI write transfers. --coverage off CE_Failing_We <= Wr_CE_Failing_We or Rd_CE_Failing_We; Sl_CE <= Wr_Sl_CE or Rd_Sl_CE; Sl_UE <= Wr_Sl_UE or Rd_Sl_UE; --coverage on ------------------------------------------------------------------- -- Generate: GEN_32 -- Purpose: Add MSB '0' on ECC vector as only 7-bits wide in 32-bit. ------------------------------------------------------------------- GEN_32: if C_S_AXI_DATA_WIDTH = 32 generate begin FaultInjectECC <= '0' & FaultInjectECC_i; end generate GEN_32; ------------------------------------------------------------------- -- Generate: GEN_NON_32 -- Purpose: Data widths match at 8-bits for ECC on 64-bit data. -- And 9-bits for 128-bit data. ------------------------------------------------------------------- GEN_NON_32: if C_S_AXI_DATA_WIDTH /= 32 generate begin FaultInjectECC <= FaultInjectECC_i; end generate GEN_NON_32; end generate GEN_REGS; --------------------------------------------------------------------------- -- Generate: GEN_ARB -- Purpose: Generate arbitration module when AXI4 is configured in -- single port mode. --------------------------------------------------------------------------- GEN_ARB: if (C_SINGLE_PORT_BRAM = 1) generate begin --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- Description: This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. --------------------------------------------------------------------------- I_SNG_PORT : entity work.sng_port_arb generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH ) port map ( S_AXI_AClk => S_AXI_AClk , -- AXI clock S_AXI_AResetn => S_AXI_AResetn , AXI_AWADDR => S_AXI_AWADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY , AXI_ARADDR => S_AXI_ARADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY , Arb2AW_Active => Arb2AW_Active , AW2Arb_Busy => AW2Arb_Busy , AW2Arb_Active_Clr => AW2Arb_Active_Clr , AW2Arb_BVALID_Cnt => AW2Arb_BVALID_Cnt , Arb2AR_Active => Arb2AR_Active , AR2Arb_Active_Clr => AR2Arb_Active_Clr ); end generate GEN_ARB; --------------------------------------------------------------------------- -- Generate: GEN_DUAL -- Purpose: Dual mode. AWREADY and ARREADY are generated from each -- wr_chnl and rd_chnl module. --------------------------------------------------------------------------- GEN_DUAL: if (C_SINGLE_PORT_BRAM = 0) generate begin S_AXI_AWREADY <= S_AXI_AWREADY_i; S_AXI_ARREADY <= S_AXI_ARREADY_i; Arb2AW_Active <= '0'; Arb2AR_Active <= '0'; end generate GEN_DUAL; --------------------------------------------------------------------------- -- Instance: I_WR_CHNL -- -- Description: -- BRAM controller write channel logic. Controls AXI bus handshaking and -- data flow on the write address (AW), write data (W) and -- write response (B) channels. -- -- BRAM signals are marked as output from Wr Chnl for future implementation -- of merging Wr/Rd channel outputs to a single port of the BRAM module. -- --------------------------------------------------------------------------- I_WR_CHNL : entity work.wr_chnl generic map ( -- C_FAMILY => C_FAMILY , C_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_SUPPORTS_NARROW => C_S_AXI_SUPPORTS_NARROW_BURST , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , C_ECC_TYPE => C_ECC_TYPE -- v1.03a ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , AXI_AWID => S_AXI_AWID , AXI_AWADDR => S_AXI_AWADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_AWLEN => S_AXI_AWLEN , AXI_AWSIZE => S_AXI_AWSIZE , AXI_AWBURST => S_AXI_AWBURST , AXI_AWLOCK => S_AXI_AWLOCK , AXI_AWCACHE => S_AXI_AWCACHE , AXI_AWPROT => S_AXI_AWPROT , AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY_i , AXI_WDATA => S_AXI_WDATA , AXI_WSTRB => S_AXI_WSTRB , AXI_WLAST => S_AXI_WLAST , AXI_WVALID => S_AXI_WVALID , AXI_WREADY => S_AXI_WREADY , AXI_BID => S_AXI_BID , AXI_BRESP => S_AXI_BRESP , AXI_BVALID => S_AXI_BVALID , AXI_BREADY => S_AXI_BREADY , -- Arb Ports Arb2AW_Active => Arb2AW_Active , AW2Arb_Busy => AW2Arb_Busy , AW2Arb_Active_Clr => AW2Arb_Active_Clr , AW2Arb_BVALID_Cnt => AW2Arb_BVALID_Cnt , Sng_BRAM_Addr_Rst => WrChnl_BRAM_Addr_Rst , Sng_BRAM_Addr_Ld_En => WrChnl_BRAM_Addr_Ld_En , Sng_BRAM_Addr_Ld => WrChnl_BRAM_Addr_Ld , Sng_BRAM_Addr_Inc => WrChnl_BRAM_Addr_Inc , Sng_BRAM_Addr => bram_addr_int , -- ECC Ports Enable_ECC => Enable_ECC , BRAM_Addr_En => Wr_BRAM_Addr_En , FaultInjectClr => FaultInjectClr , CE_Failing_We => Wr_CE_Failing_We , Sl_CE => Wr_Sl_CE , Sl_UE => Wr_Sl_UE , Active_Wr => Active_Wr , FaultInjectData => FaultInjectData , FaultInjectECC => FaultInjectECC , BRAM_En => BRAM_En_A_i , -- BRAM_WE => BRAM_WE_A , -- 4/13 BRAM_WE => BRAM_WE_A_i , BRAM_WrData => BRAM_WrData_A , BRAM_RdData => BRAM_RdData_A , BRAM_Addr => BRAM_Addr_A_i ); --------------------------------------------------------------------------- -- Instance: I_RD_CHNL -- -- Description: -- BRAM controller read channel logic. Controls all handshaking and data -- flow on read address (AR) and read data (R) AXI channels. -- -- BRAM signals are marked as Rd Chnl signals for future implementation -- of merging Rd/Wr BRAM signals to a single BRAM port. -- --------------------------------------------------------------------------- I_RD_CHNL : entity work.rd_chnl generic map ( -- C_FAMILY => C_FAMILY , C_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_SUPPORTS_NARROW => C_S_AXI_SUPPORTS_NARROW_BURST , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , C_ECC_TYPE => C_ECC_TYPE -- v1.03a ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , AXI_ARID => S_AXI_ARID , AXI_ARADDR => S_AXI_ARADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_ARLEN => S_AXI_ARLEN , AXI_ARSIZE => S_AXI_ARSIZE , AXI_ARBURST => S_AXI_ARBURST , AXI_ARLOCK => S_AXI_ARLOCK , AXI_ARCACHE => S_AXI_ARCACHE , AXI_ARPROT => S_AXI_ARPROT , AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY_i , AXI_RID => S_AXI_RID , AXI_RDATA => S_AXI_RDATA , AXI_RRESP => S_AXI_RRESP , AXI_RLAST => S_AXI_RLAST , AXI_RVALID => S_AXI_RVALID , AXI_RREADY => S_AXI_RREADY , -- Arb Ports Arb2AR_Active => Arb2AR_Active , AR2Arb_Active_Clr => AR2Arb_Active_Clr , Sng_BRAM_Addr_Ld_En => RdChnl_BRAM_Addr_Ld_En , Sng_BRAM_Addr_Ld => RdChnl_BRAM_Addr_Ld , Sng_BRAM_Addr_Inc => RdChnl_BRAM_Addr_Inc , Sng_BRAM_Addr => bram_addr_int , -- ECC Ports Enable_ECC => Enable_ECC , BRAM_Addr_En => Rd_BRAM_Addr_En , CE_Failing_We => Rd_CE_Failing_We , Sl_CE => Rd_Sl_CE , Sl_UE => Rd_Sl_UE , BRAM_En => BRAM_En_B_i , BRAM_Addr => BRAM_Addr_B_i , BRAM_RdData => BRAM_RdData_i ); end architecture implementation;
gpl-3.0
nickg/nvc
test/elab/record.vhd
5
687
package pack is type rec is record a, b : integer; end record; end package; ------------------------------------------------------------------------------- use work.pack.all; entity sub is port ( x : in integer; y : out integer; r : in rec ); end entity; architecture test of sub is begin end architecture; ------------------------------------------------------------------------------- entity elabr is end entity; use work.pack.all; architecture test of elabr is signal r1, r2 : rec; begin sub_i: entity work.sub port map ( x => r1.a, y => r1.b, r => r2 ); end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_wr_sf.vhd
3
50564
------------------------------------------------------------------------------- -- axi_datamover_wr_sf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_wr_sf.vhd -- -- Description: -- This file implements the AXI DataMover Write (S2MM) Store and Forward module. -- The design utilizes the AXI DataMover's new address pipelining -- control function. This module buffers write data and provides status and -- control features such that the DataMover Write Master is only allowed -- to post AXI WRite Requests if the associated write data needed to complete -- the Write Data transfer is present in the Data FIFO. In addition, the Write -- side logic is such that Write transfer requests can be pipelined to the -- AXI4 bus based on the Data FIFO contents but ahead of the actual Write Data -- transfers. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library lib_pkg_v1_0_2; library lib_srl_fifo_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library axi_datamover_v5_1_10; use axi_datamover_v5_1_10.axi_datamover_sfifo_autord; ------------------------------------------------------------------------------- entity axi_datamover_wr_sf is generic ( C_WR_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter indicates the depth of the DataMover -- write address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 -- Write Address Channel will be the value assigned plus 2. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512; -- Sets the desired depth of the internal Data FIFO. -- C_MAX_BURST_LEN : Integer range 16 to 256 := 16; -- -- Indicates the max burst length being used by the external -- -- AXI4 Master for each AXI4 transfer request. -- C_DRE_IS_USED : Integer range 0 to 1 := 0; -- -- Indicates if the external Master is utilizing a DRE on -- -- the stream input to this module. C_MMAP_DWIDTH : Integer range 32 to 1024 := 64; -- Sets the AXI4 Memory Mapped Bus Data Width C_STREAM_DWIDTH : Integer range 8 to 1024 := 16; -- Sets the Stream Data Width for the Input and Output -- Data streams. C_STRT_OFFSET_WIDTH : Integer range 1 to 7 := 2; -- Sets the bit width of the starting address offset port -- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH) C_FAMILY : String := "virtex7" -- Indicates the target FPGA Family. ); port ( -- Clock and Reset inputs ----------------------------------------------- -- aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- reset : in std_logic; -- -- Reset used for the internal syncronization logic -- ------------------------------------------------------------------------- -- Slave Stream Input ------------------------------------------------------------ -- sf2sin_tready : Out Std_logic; -- -- DRE Stream READY input -- -- sin2sf_tvalid : In std_logic; -- -- DRE Stream VALID Output -- -- sin2sf_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- DRE Stream DATA input -- -- sin2sf_tkeep : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- DRE Stream STRB input -- -- sin2sf_tlast : In std_logic; -- -- DRE Xfer LAST input -- -- sin2sf_error : In std_logic; -- -- Stream Underrun/Overrun error input -- ----------------------------------------------------------------------------------- -- Starting Address Offset Input ------------------------------------------------- -- sin2sf_strt_addr_offset : In std_logic_vector(C_STRT_OFFSET_WIDTH-1 downto 0); -- -- Used by Packing logic to set the initial data slice position for the -- -- packing operation. Packing is only needed if the MMap and Stream Data -- -- widths do not match. -- ----------------------------------------------------------------------------------- -- DataMover Write Side Address Pipelining Control Interface ---------------------- -- ok_to_post_wr_addr : Out Std_logic; -- -- Indicates that the internal FIFO has enough data -- -- physically present to supply one more max length -- -- burst transfer or a completion burst -- -- (tlast asserted) -- -- wr_addr_posted : In std_logic; -- -- Indication that a write address has been posted to AXI4 -- -- -- wr_xfer_cmplt : In Std_logic; -- -- Indicates that the Datamover has completed a Write Data -- -- transfer on the AXI4 -- -- -- wr_ld_nxt_len : in std_logic; -- -- Active high pulse indicating a new transfer LEN qualifier -- -- has been queued to the DataMover Write Data Controller -- -- wr_len : in std_logic_vector(7 downto 0); -- -- The actual LEN qualifier value that has been queued to the -- -- DataMover Write Data Controller -- ----------------------------------------------------------------------------------- -- Write Side Stream Out to DataMover S2MM ---------------------------------------- -- sout2sf_tready : In std_logic; -- -- Write READY input from the Stream Master -- -- sf2sout_tvalid : Out std_logic; -- -- Write VALID output to the Stream Master -- -- sf2sout_tdata : Out std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tkeep : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); -- -- Write DATA output to the Stream Master -- -- sf2sout_tlast : Out std_logic; -- -- Write LAST output to the Stream Master -- -- sf2sout_error : Out std_logic -- -- Stream Underrun/Overrun error input -- ----------------------------------------------------------------------------------- ); end entity axi_datamover_wr_sf; architecture implementation of axi_datamover_wr_sf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions --------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_pwr2_depth -- -- Function Description: -- Rounds up to the next power of 2 depth value in an input -- range of 1 to 8192 -- ------------------------------------------------------------------- function funct_get_pwr2_depth (min_depth : integer) return integer is Variable var_temp_depth : Integer := 16; begin if (min_depth = 1) then var_temp_depth := 1; elsif (min_depth = 2) then var_temp_depth := 2; elsif (min_depth <= 4) then var_temp_depth := 4; elsif (min_depth <= 8) then var_temp_depth := 8; elsif (min_depth <= 16) then var_temp_depth := 16; elsif (min_depth <= 32) then var_temp_depth := 32; elsif (min_depth <= 64) then var_temp_depth := 64; elsif (min_depth <= 128) then var_temp_depth := 128; elsif (min_depth <= 256) then var_temp_depth := 256; elsif (min_depth <= 512) then var_temp_depth := 512; elsif (min_depth <= 1024) then var_temp_depth := 1024; elsif (min_depth <= 2048) then var_temp_depth := 2048; elsif (min_depth <= 4096) then var_temp_depth := 4096; else -- assume 8192 depth var_temp_depth := 8192; end if; Return (var_temp_depth); end function funct_get_pwr2_depth; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_fifo_cnt_width -- -- Function Description: -- simple function to set the width of the data fifo read -- and write count outputs. ------------------------------------------------------------------- function funct_get_fifo_cnt_width (fifo_depth : integer) return integer is Variable temp_width : integer := 8; begin if (fifo_depth = 1) then temp_width := 1; elsif (fifo_depth = 2) then temp_width := 2; elsif (fifo_depth <= 4) then temp_width := 3; elsif (fifo_depth <= 8) then temp_width := 4; elsif (fifo_depth <= 16) then temp_width := 5; elsif (fifo_depth <= 32) then temp_width := 6; elsif (fifo_depth <= 64) then temp_width := 7; elsif (fifo_depth <= 128) then temp_width := 8; elsif (fifo_depth <= 256) then temp_width := 9; elsif (fifo_depth <= 512) then temp_width := 10; elsif (fifo_depth <= 1024) then temp_width := 11; elsif (fifo_depth <= 2048) then temp_width := 12; elsif (fifo_depth <= 4096) then temp_width := 13; else -- assume 8192 depth temp_width := 14; end if; Return (temp_width); end function funct_get_fifo_cnt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_cntr_width -- -- Function Description: -- This function calculates the needed counter bit width from the -- number of count sates needed (input). -- ------------------------------------------------------------------- function funct_get_cntr_width (num_cnt_values : integer) return integer is Variable temp_cnt_width : Integer := 0; begin if (num_cnt_values <= 2) then temp_cnt_width := 1; elsif (num_cnt_values <= 4) then temp_cnt_width := 2; elsif (num_cnt_values <= 8) then temp_cnt_width := 3; elsif (num_cnt_values <= 16) then temp_cnt_width := 4; elsif (num_cnt_values <= 32) then temp_cnt_width := 5; elsif (num_cnt_values <= 64) then temp_cnt_width := 6; elsif (num_cnt_values <= 128) then temp_cnt_width := 7; else temp_cnt_width := 8; end if; Return (temp_cnt_width); end function funct_get_cntr_width; -- Constants --------------------------------------------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BLK_MEM_FIFO : integer := 1; Constant SRL_FIFO : integer := 0; Constant NOT_NEEDED : integer := 0; Constant WSTB_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits Constant TLAST_WIDTH : integer := 1; -- bits Constant EOP_ERR_WIDTH : integer := 1; -- bits Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH; Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH); -- Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN); Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH + --WSTB_WIDTH + TLAST_WIDTH + EOP_ERR_WIDTH; Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1; Constant DATA_OUT_LSB_INDEX : integer := 0; -- Constant TSTRB_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1; -- Constant TSTRB_OUT_MSB_INDEX : integer := (TSTRB_OUT_LSB_INDEX+WSTB_WIDTH)-1; -- Constant TLAST_OUT_INDEX : integer := TSTRB_OUT_MSB_INDEX+1; Constant TLAST_OUT_INDEX : integer := DATA_OUT_MSB_INDEX+1; Constant EOP_ERR_OUT_INDEX : integer := TLAST_OUT_INDEX+1; Constant WR_LEN_FIFO_DWIDTH : integer := 8; Constant WR_LEN_FIFO_DEPTH : integer := funct_get_pwr2_depth(C_WR_ADDR_PIPE_DEPTH + 2); Constant LEN_CNTR_WIDTH : integer := 8; Constant LEN_CNT_ZERO : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, LEN_CNTR_WIDTH); Constant LEN_CNT_ONE : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, LEN_CNTR_WIDTH); Constant WR_XFER_CNTR_WIDTH : integer := 8; Constant WR_XFER_CNT_ZERO : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, WR_XFER_CNTR_WIDTH); Constant WR_XFER_CNT_ONE : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, WR_XFER_CNTR_WIDTH); Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH); Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH); -- Signals --------------------------------------------------------------------------- signal sig_good_sin_strm_dbeat : std_logic := '0'; signal sig_strm_sin_ready : std_logic := '0'; signal sig_sout2sf_tready : std_logic := '0'; signal sig_sf2sout_tvalid : std_logic := '0'; signal sig_sf2sout_tdata : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tkeep : std_logic_vector(WSTB_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tlast : std_logic := '0'; signal sig_push_data_fifo : std_logic := '0'; signal sig_pop_data_fifo : std_logic := '0'; signal sig_data_fifo_full : std_logic := '0'; signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_dvalid : std_logic := '0'; signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_ok_to_post_wr_addr : std_logic := '0'; signal sig_wr_addr_posted : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_wr_ld_nxt_len : std_logic := '0'; signal sig_push_len_fifo : std_logic := '0'; signal sig_pop_len_fifo : std_logic := '0'; signal sig_len_fifo_full : std_logic := '0'; signal sig_len_fifo_empty : std_logic := '0'; signal sig_len_fifo_data_in : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_data_out : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_len_out_un : unsigned(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_uncom_wrcnt : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_sub_len_uncom_wrcnt : std_logic := '0'; signal sig_incr_uncom_wrcnt : std_logic := '0'; signal sig_resized_fifo_len : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_num_wr_dbeats_needed : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_enough_dbeats_rcvd : std_logic := '0'; signal sig_sf2sout_eop_err_out : std_logic := '0'; signal sig_good_fifo_write : std_logic := '0'; begin --(architecture implementation) -- Write Side (S2MM) Control Flags port connections ok_to_post_wr_addr <= sig_ok_to_post_wr_addr ; sig_wr_addr_posted <= wr_addr_posted ; sig_wr_xfer_cmplt <= wr_xfer_cmplt ; sig_wr_ld_nxt_len <= wr_ld_nxt_len ; sig_len_fifo_data_in <= wr_len ; -- Output Stream Port connections sig_sout2sf_tready <= sout2sf_tready ; sf2sout_tvalid <= sig_sf2sout_tvalid ; sf2sout_tdata <= sig_sf2sout_tdata ; sf2sout_tkeep <= sig_sf2sout_tkeep ; sf2sout_tlast <= sig_sf2sout_tlast and sig_sf2sout_tvalid ; sf2sout_error <= sig_sf2sout_eop_err_out ; -- Input Stream port connections sf2sin_tready <= sig_strm_sin_ready; sig_good_sin_strm_dbeat <= sin2sf_tvalid and sig_strm_sin_ready; ---------------------------------------------------------------- -- Packing Logic ------------------------------------------ ---------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_PACKING -- -- If Generate Description: -- Omits any packing logic in the Store and Forward module. -- The Stream and MMap data widths are the same. -- ------------------------------------------------------------ OMIT_PACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate begin sig_good_fifo_write <= sig_good_sin_strm_dbeat; sig_strm_sin_ready <= not(sig_data_fifo_full); sig_push_data_fifo <= sig_good_sin_strm_dbeat; -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= sin2sf_error & sin2sf_tlast & -- sin2sf_tkeep & sin2sf_tdata; end generate OMIT_PACKING; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_PACKING -- -- If Generate Description: -- Includes packing logic in the Store and Forward module. -- The MMap Data bus is wider than the Stream width. -- ------------------------------------------------------------ INCLUDE_PACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH; Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH; Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH + EOP_ERR_WIDTH; Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO); Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, OFFSET_CNTR_WIDTH); Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH); -- Types ----------------------------------------------------------------------------- type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(DATA_SLICE_WIDTH-1 downto 0); type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0); -- local signals signal lsig_data_slice_reg : lsig_data_slice_type; signal lsig_flag_slice_reg : lsig_flag_slice_type; signal lsig_reg_segment : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) := (others => '0'); signal lsig_segment_ld : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0'); signal lsig_segment_clr : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0'); signal lsig_0ffset_to_to_use : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0'); signal lsig_ld_offset : std_logic := '0'; signal lsig_incr_offset : std_logic := '0'; signal lsig_offset_cntr_eq_max : std_logic := '0'; signal lsig_combined_data : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0'); signal lsig_tlast_or : std_logic := '0'; signal lsig_eop_err_or : std_logic := '0'; signal lsig_partial_tlast_or : std_logic_vector(MMAP2STRM_WIDTH_RATO downto 0) := (others => '0'); signal lsig_partial_eop_err_or : std_logic_vector(MMAP2STRM_WIDTH_RATO downto 0) := (others => '0'); signal lsig_packer_full : std_logic := '0'; signal lsig_packer_empty : std_logic := '0'; signal lsig_set_packer_full : std_logic := '0'; signal lsig_good_push2fifo : std_logic := '0'; signal lsig_first_dbeat : std_logic := '0'; begin -- Assign the flag indicating that a fifo write is going -- to occur at the next rising clock edge. sig_good_fifo_write <= lsig_good_push2fifo; -- Generate the stream ready sig_strm_sin_ready <= not(lsig_packer_full) or lsig_good_push2fifo ; -- Format the FIFO input data sig_data_fifo_data_in <= lsig_eop_err_or & -- MS Bit lsig_tlast_or & lsig_combined_data ; -- LS Bits -- Generate a write to the Data FIFO input sig_push_data_fifo <= lsig_packer_full; -- Generate a flag indicating a write to the DataFIFO -- is going to complete lsig_good_push2fifo <= lsig_packer_full and not(sig_data_fifo_full); -- Generate the control that loads the starting address -- offset for the next input packet lsig_ld_offset <= lsig_first_dbeat and sig_good_sin_strm_dbeat; -- Generate the control for incrementing the offset counter lsig_incr_offset <= sig_good_sin_strm_dbeat; -- Generate a flag indicating the packer input register -- array is full or has loaded the last data beat of -- the input paket lsig_set_packer_full <= sig_good_sin_strm_dbeat and (sin2sf_tlast or lsig_offset_cntr_eq_max); -- Check to see if the offset counter has reached its max -- value lsig_offset_cntr_eq_max <= '1' --when (lsig_0ffset_cntr = OFFSET_CNT_MAX) when (lsig_0ffset_to_to_use = OFFSET_CNT_MAX) Else '0'; -- Mux between the input start offset and the offset counter -- output to use for the packer slice load control. lsig_0ffset_to_to_use <= UNSIGNED(sin2sf_strt_addr_offset) when (lsig_first_dbeat = '1') Else lsig_0ffset_cntr; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_OFFSET_LD_MARKER -- -- Process Description: -- Implements the flop indicating the first databeat of -- an input data packet. -- ------------------------------------------------------------- IMP_OFFSET_LD_MARKER : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_first_dbeat <= '1'; elsif (sig_good_sin_strm_dbeat = '1' and sin2sf_tlast = '0') then lsig_first_dbeat <= '0'; Elsif (sig_good_sin_strm_dbeat = '1' and sin2sf_tlast = '1') Then lsig_first_dbeat <= '1'; else null; -- Hold Current State end if; end if; end process IMP_OFFSET_LD_MARKER; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_OFFSET_CNTR -- -- Process Description: -- Implements the address offset counter that is used to -- steer the data loads into the packer register slices. -- Note that the counter has to be loaded with the starting -- offset plus one to sync up with the data input. ------------------------------------------------------------- IMP_OFFSET_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_0ffset_cntr <= (others => '0'); Elsif (lsig_ld_offset = '1') Then lsig_0ffset_cntr <= UNSIGNED(sin2sf_strt_addr_offset) + OFFSET_CNT_ONE; elsif (lsig_incr_offset = '1') then lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE; else null; -- Hold Current State end if; end if; end process IMP_OFFSET_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_PACK_REG_FULL -- -- Process Description: -- Implements the Packer Register full/empty flags -- ------------------------------------------------------------- IMP_PACK_REG_FULL : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_packer_full <= '0'; lsig_packer_empty <= '1'; Elsif (lsig_set_packer_full = '1' and lsig_packer_full = '0') Then lsig_packer_full <= '1'; lsig_packer_empty <= '0'; elsif (lsig_set_packer_full = '0' and lsig_good_push2fifo = '1') then lsig_packer_full <= '0'; lsig_packer_empty <= '1'; else null; -- Hold Current State end if; end if; end process IMP_PACK_REG_FULL; ------------------------------------------------------------ -- For Generate -- -- Label: DO_REG_SLICES -- -- For Generate Description: -- -- Implements the Packng Register Slices -- -- ------------------------------------------------------------ DO_REG_SLICES : for slice_index in 0 to MMAP2STRM_WIDTH_RATO-1 generate begin -- generate the register load enable for each slice segment based -- on the address offset count value lsig_segment_ld(slice_index) <= '1' when (sig_good_sin_strm_dbeat = '1' and TO_INTEGER(lsig_0ffset_to_to_use) = slice_index) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DATA_SLICE -- -- Process Description: -- Implement a data register slice for the packer. -- ------------------------------------------------------------- IMP_DATA_SLICE : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_data_slice_reg(slice_index) <= (others => '0'); elsif (lsig_segment_ld(slice_index) = '1') then lsig_data_slice_reg(slice_index) <= sin2sf_tdata; -- optional clear of slice reg elsif (lsig_segment_ld(slice_index) = '0' and lsig_good_push2fifo = '1') then lsig_data_slice_reg(slice_index) <= (others => '0'); else null; -- Hold Current State end if; end if; end process IMP_DATA_SLICE; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FLAG_SLICE -- -- Process Description: -- Implement a flag register slice for the packer. -- ------------------------------------------------------------- IMP_FLAG_SLICE : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then lsig_flag_slice_reg(slice_index) <= (others => '0'); elsif (lsig_segment_ld(slice_index) = '1') then lsig_flag_slice_reg(slice_index) <= sin2sf_tlast & -- bit 1 sin2sf_error; -- bit 0 elsif (lsig_segment_ld(slice_index) = '0' and lsig_good_push2fifo = '1') then lsig_flag_slice_reg(slice_index) <= (others => '0'); else null; -- Hold Current State end if; end if; end process IMP_FLAG_SLICE; end generate DO_REG_SLICES; -- Do the OR functions of the Flags ------------------------------------- lsig_tlast_or <= lsig_partial_tlast_or(MMAP2STRM_WIDTH_RATO-1) ; lsig_eop_err_or <= lsig_partial_eop_err_or(MMAP2STRM_WIDTH_RATO-1); lsig_partial_tlast_or(0) <= lsig_flag_slice_reg(0)(1); lsig_partial_eop_err_or(0) <= lsig_flag_slice_reg(0)(0); ------------------------------------------------------------ -- For Generate -- -- Label: DO_FLAG_OR -- -- For Generate Description: -- Implement the OR of the TLAST and EOP Error flags. -- -- -- ------------------------------------------------------------ DO_FLAG_OR : for slice_index in 1 to MMAP2STRM_WIDTH_RATO-1 generate begin lsig_partial_tlast_or(slice_index) <= lsig_partial_tlast_or(slice_index-1) or --lsig_partial_tlast_or(slice_index); lsig_flag_slice_reg(slice_index)(1); lsig_partial_eop_err_or(slice_index) <= lsig_partial_eop_err_or(slice_index-1) or --lsig_partial_eop_err_or(slice_index); lsig_flag_slice_reg(slice_index)(0); end generate DO_FLAG_OR; ------------------------------------------------------------ -- For Generate -- -- Label: DO_DATA_COMBINER -- -- For Generate Description: -- Combines the Data Slice register outputs into a single -- vector for input to the Data FIFO. -- -- ------------------------------------------------------------ DO_DATA_COMBINER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate begin lsig_combined_data((slice_index*DATA_SLICE_WIDTH)-1 downto (slice_index-1)*DATA_SLICE_WIDTH) <= lsig_data_slice_reg(slice_index-1); end generate DO_DATA_COMBINER; end generate INCLUDE_PACKING; ---------------------------------------------------------------- -- Data FIFO Logic ------------------------------------------ ---------------------------------------------------------------- -- FIFO Input attachments -- sig_push_data_fifo <= sig_good_sin_strm_dbeat; -- -- Concatonate the Stream inputs into the single FIFO data in value -- sig_data_fifo_data_in <= sin2sf_error & -- sin2sf_tlast & -- sin2sf_tkeep & -- sin2sf_tdata; -- FIFO Output to output stream attachments sig_sf2sout_tvalid <= sig_data_fifo_dvalid ; sig_sf2sout_tdata <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); -- sig_sf2sout_tkeep <= sig_data_fifo_data_out(TSTRB_OUT_MSB_INDEX downto -- TSTRB_OUT_LSB_INDEX); -- When this Store and Forward is enabled, the Write Data Controller ignores the -- TKEEP input so this is not sent through the FIFO. sig_sf2sout_tkeep <= (others => '1'); sig_sf2sout_tlast <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; sig_sf2sout_eop_err_out <= sig_data_fifo_data_out(EOP_ERR_OUT_INDEX) ; -- FIFO Rd/WR Controls sig_pop_data_fifo <= sig_sout2sf_tready and sig_data_fifo_dvalid; ------------------------------------------------------------ -- Instance: I_DATA_FIFO -- -- Description: -- Implements the Store and Forward data FIFO (synchronous) -- ------------------------------------------------------------ I_DATA_FIFO : entity axi_datamover_v5_1_10.axi_datamover_sfifo_autord generic map ( C_DWIDTH => DATA_FIFO_WIDTH , C_DEPTH => DATA_FIFO_DEPTH , C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NOT_NEEDED , C_NEED_ALMOST_FULL => NOT_NEEDED , C_USE_BLKMEM => BLK_MEM_FIFO , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => reset , SFIFO_Clk => aclk , SFIFO_Wr_en => sig_push_data_fifo , SFIFO_Din => sig_data_fifo_data_in , SFIFO_Rd_en => sig_pop_data_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_data_fifo_dvalid , SFIFO_Dout => sig_data_fifo_data_out , SFIFO_Full => sig_data_fifo_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => open , SFIFO_Rd_ack => open ); -------------------------------------------------------------------- -- Write Side Control Logic -------------------------------------------------------------------- -- Convert the LEN fifo data output to unsigned sig_len_fifo_len_out_un <= unsigned(sig_len_fifo_data_out); -- Resize the unsigned LEN output to the Data FIFO writecount width sig_resized_fifo_len <= RESIZE(sig_len_fifo_len_out_un , DATA_FIFO_CNT_WIDTH); -- The actual number of databeats needed for the queued write transfer -- is the current LEN fifo output plus 1. sig_num_wr_dbeats_needed <= sig_resized_fifo_len + UNCOM_WRCNT_1; -- Compare the uncommited receved data beat count to that needed -- for the next queued write request. sig_enough_dbeats_rcvd <= '1' When (sig_num_wr_dbeats_needed <= sig_uncom_wrcnt) else '0'; -- Increment the uncommited databeat counter on a good input -- stream databeat (Read Side of SF) -- sig_incr_uncom_wrcnt <= sig_good_sin_strm_dbeat; sig_incr_uncom_wrcnt <= sig_good_fifo_write; -- Subtract the current number of databeats needed from the -- uncommited databeat counter when the associated transfer -- address/qualifiers have been posted to the AXI Write -- Address Channel sig_sub_len_uncom_wrcnt <= sig_wr_addr_posted; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_UNCOM_DBEAT_CNTR -- -- Process Description: -- Implements the counter that keeps track of the received read -- data beat count that has not been commited to a transfer on -- the write side with a Write Address posting. -- ------------------------------------------------------------- IMP_UNCOM_DBEAT_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_uncom_wrcnt <= UNCOM_WRCNT_0; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_resized_fifo_len; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '0') then sig_uncom_wrcnt <= sig_uncom_wrcnt + UNCOM_WRCNT_1; elsif (sig_incr_uncom_wrcnt = '0' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_num_wr_dbeats_needed; else null; -- hold current value end if; end if; end process IMP_UNCOM_DBEAT_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ADDR_POST_FLAG -- -- Process Description: -- Implements the flag indicating that the pending write -- transfer's data beat count has been received on the input -- side of the Data FIFO. This means the Write side can post -- the associated write address to the AXI4 bus and the -- associated write data transfer can complete without CDMA -- throttling the Write Data Channel. -- -- The flag is cleared immediately after an address is posted -- to prohibit a second unauthorized posting while the control -- logic stabilizes to the next LEN FIFO value --. ------------------------------------------------------------- IMP_WR_ADDR_POST_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_wr_addr_posted = '1') then sig_ok_to_post_wr_addr <= '0'; else sig_ok_to_post_wr_addr <= not(sig_len_fifo_empty) and sig_enough_dbeats_rcvd; end if; end if; end process IMP_WR_ADDR_POST_FLAG; ------------------------------------------------------------- -- LEN FIFO logic -- The LEN FIFO stores the xfer lengths needed for each queued -- write transfer in the DataMover S2MM Write Data Controller. sig_push_len_fifo <= sig_wr_ld_nxt_len and not(sig_len_fifo_full); sig_pop_len_fifo <= wr_addr_posted and not(sig_len_fifo_empty); ------------------------------------------------------------ -- Instance: I_WR_LEN_FIFO -- -- Description: -- Implement the LEN FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_WR_LEN_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map ( C_DWIDTH => WR_LEN_FIFO_DWIDTH , C_DEPTH => WR_LEN_FIFO_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => aclk , Reset => reset , FIFO_Write => sig_push_len_fifo , Data_In => sig_len_fifo_data_in , FIFO_Read => sig_pop_len_fifo , Data_Out => sig_len_fifo_data_out , FIFO_Empty => sig_len_fifo_empty , FIFO_Full => sig_len_fifo_full , Addr => open ); end implementation;
gpl-3.0
nickg/nvc
test/regress/bounds25.vhd
1
421
entity bounds25 is end entity; architecture test of bounds25 is procedure proc (x : out bit_vector; b : bit) is begin x := (b, b, b, b, b, b, b, b, b, b, b, b, b, b, b, b, b, b, b); end procedure; begin main: process is variable v : bit_vector(1 to 2); begin wait for 1 ns; proc(v, '1'); -- Error wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/sem/issue356.vhd
2
631
entity nvc_bug is end nvc_bug; architecture behav of nvc_bug is type std_logic_vector is array (integer range <>) of integer; function to_bitvector(x : std_logic_vector) return bit_vector; signal mode : std_logic_vector(1 downto 0); begin process begin --nvc doesn't like the to_bitvector() below, fails in analysis. case to_bitvector(mode) is when "00" => when "01" => when "10" => when "11" => when others => end case; assert false report "end of test" severity note; wait; end process; end behav;
gpl-3.0
nickg/nvc
test/regress/elab33.vhd
1
1324
package pack is type rec is record x : integer; y : bit_vector; end record; type rec_array is array (natural range <>) of rec; end package; ------------------------------------------------------------------------------- use work.pack.all; entity sub is port ( i : in rec_array; o1 : out integer_vector; o2 : out bit_vector ); end entity; architecture test of sub is begin g: for n in i'range generate constant stride : natural := i(n).y'length; begin o1(n) <= i(n).x; o2(1 + (n-1)*stride to n*stride) <= i(n).y; end generate; end architecture; ------------------------------------------------------------------------------- use work.pack.all; entity elab33 is end entity; architecture test of elab33 is signal a : rec_array(1 to 2)(y(1 to 3)); signal b : integer_vector(1 to 2); signal c : bit_vector(1 to 6); begin u : entity work.sub port map ( a, b, c ); check: process is begin a(1) <= (y => "101", x => 2); wait for 1 ns; assert b = (2, integer'left); assert c = "101000"; a(2).x <= 5; a(2).y <= "110"; wait for 1 ns; assert b = (2, 5); assert c = "101110"; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/elab/opencase.vhd
2
457
entity sub is port ( x : in integer; y : out integer ); end entity; architecture test of sub is begin with x select y <= 5 when 1, 7 when 2, 99 when others; end architecture; ------------------------------------------------------------------------------- entity opencase is end entity; architecture test of opencase is signal x : integer; begin uut: entity work.sub port map (x); end architecture;
gpl-3.0
nickg/nvc
test/regress/jcore3.vhd
3
437
entity jcore3 is end entity; architecture test of jcore3 is signal x, y : integer; begin a: process (x, y) is variable count : integer := 0; begin report "wakeup"; count := count + 1; assert count <= 2; end process; b: process is begin x <= 1; wait; end process; c: process is begin y <= 1; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/guard1.vhd
1
517
entity guard1 is end entity; architecture test of guard1 is signal value : natural := 0; signal output : natural; begin b1: block (value < 10) is begin output <= guarded value * 2; end block; check: process is begin value <= 3; wait for 1 ns; assert output = 6; value <= 4; wait for 1 ns; assert output = 8; value <= 10; wait for 1 ns; assert output = 8; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/genpack9.vhd
1
839
package pack1 is generic (n : integer); function add (x : integer) return integer; end package ; package body pack1 is function add (x : integer) return integer is begin return x + n; end function; end package body; ------------------------------------------------------------------------------- package pack2 is procedure test; end package; package body pack2 is package inst is new work.pack1 generic map (n => 5) ; use inst.all; procedure test is variable v : integer := 5; begin assert add(2) = 7; assert add(v) = 10; end procedure; end package body ; ------------------------------------------------------------------------------- entity genpack9 is end entity; use work.pack2.all; architecture aa of genpack9 is begin test; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sm.vhd
3
50952
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_s2mm_sm.vhd -- Description: This entity contains the S2MM DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_s2mm_sm is generic ( C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for S2MM Write Port C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1; -- Enable or Disable use of Status Stream Rx Length. Only valid -- if C_SG_INCLUDE_STSCNTRL_STRM = 1 -- 0 = Don't use Rx Length -- 1 = Use Rx Length C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_MICRO_DMA : integer range 0 to 1 := 0; C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1 -- Depth of DataMover command FIFO ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- s2mm_stop : in std_logic ; -- -- -- S2MM Control and Status -- s2mm_run_stop : in std_logic ; -- s2mm_keyhole : in std_logic ; -- s2mm_ftch_idle : in std_logic ; -- s2mm_desc_flush : in std_logic ; -- s2mm_cmnd_idle : out std_logic ; -- s2mm_sts_idle : out std_logic ; -- s2mm_eof_set : out std_logic ; -- s2mm_eof_micro : in std_logic ; -- s2mm_sof_micro : in std_logic ; -- -- -- S2MM Descriptor Fetch Request -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; desc_available : in std_logic ; -- -- -- S2MM Status Stream RX Length -- s2mm_rxlength_valid : in std_logic ; -- s2mm_rxlength_clr : out std_logic ; -- s2mm_rxlength : in std_logic_vector -- (C_SG_LENGTH_WIDTH - 1 downto 0) ; -- -- -- DataMover Command -- s2mm_cmnd_wr : out std_logic ; -- s2mm_cmnd_data : out std_logic_vector -- ((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- s2mm_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- s2mm_desc_info : in std_logic_vector -- (31 downto 0); -- s2mm_desc_baddress : in std_logic_vector -- (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- s2mm_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0); -- s2mm_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) -- ); end axi_dma_s2mm_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_s2mm_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant S2MM_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); -- Zero buffer length error - compare value constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal s2mm_rxlength_clr_cmb : std_logic := '0'; signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal s2mm_rxlength_set : std_logic := '0'; signal blength_grtr_rxlength : std_logic := '0'; signal rxlength_fetched : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal desc_fetch_done_d1 : std_logic := '0'; signal zero_length_error : std_logic := '0'; signal s2mm_eof_set_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; signal eof_micro : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin EN_MICRO_DMA : if C_MICRO_DMA = 1 generate begin eof_micro <= s2mm_eof_micro; end generate EN_MICRO_DMA; NO_MICRO_DMA : if C_MICRO_DMA = 0 generate begin eof_micro <= '0'; end generate NO_MICRO_DMA; s2mm_eof_set <= s2mm_eof_set_i; burst_type <= '1' and (not s2mm_keyhole); -- A 0 s2mm_keyhole means incremental burst -- a 1 s2mm_keyhole means fixed burst ------------------------------------------------------------------------------- -- Not using rx length from status stream - (indeterminate length mode) ------------------------------------------------------------------------------- GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin -- For no status stream or not using length in status app field then eof set is -- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd) s2mm_eof_set_i <= '0'; ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_fetch_done, desc_update_done, s2mm_cmnd_pending, s2mm_stop, s2mm_desc_flush, updt_pending -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => -- fetch descriptor if desc available, not stopped and running -- if (updt_pending = '1') then -- s2mm_ns <= WAIT_STATUS; if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 1) then s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; else s2mm_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- exit if error or descriptor flushed if(s2mm_desc_flush = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; -- wait until fetch complete then execute -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- s2mm_ns <= EXECUTE_XFER; elsif (s2mm_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; write_cmnd_cmb <= '1'; else -- coverage off s2mm_ns <= WAIT_STATUS; -- coverage on end if; end if; else s2mm_ns <= FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- if error exit -- if(s2mm_stop = '1')then -- s2mm_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(s2mm_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- s2mm_ns <= IDLE; -- else -- s2mm_ns <= WAIT_STATUS; -- end if; -- else -- s2mm_ns <= EXECUTE_XFER; -- end if; ------------------------------------------------------------------- when WAIT_STATUS => -- for no Q wait until desc updated if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register State Machine Statues ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register State Machine Signalse ------------------------------------------------------------------------------- -- SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For Indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & PAD_VALUE -- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro --'0' -- For Indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; -- s2mm_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then s2mm_cmnd_wr <= '1'; -- s2mm_cmnd_data <= s2mm_desc_info -- & s2mm_desc_blength_v -- & s2mm_desc_blength_s -- & S2MM_CMD_RSVD -- & "0000" -- Cat IOC to CMD TAG -- & s2mm_desc_baddress -- & '1' -- Always reset DRE -- & '0' -- For indeterminate BTT mode do not set EOF -- & S2MM_CMD_DSA -- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 -- & s2mm_desc_blength; else s2mm_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s2mm_cmnd_data <= s2mm_desc_info & s2mm_desc_blength_v & s2mm_desc_blength_s & S2MM_CMD_RSVD & "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG & s2mm_desc_baddress & '1' -- Always reset DRE & eof_micro -- For indeterminate BTT mode do not set EOF & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; end generate GEN_CMD_BTT_EQL_23; -- Drive unused output to zero s2mm_rxlength_clr <= '0'; end generate GEN_SM_FOR_NO_LENGTH; ------------------------------------------------------------------------------- -- Generate state machine and support logic for Using RX Length from Status -- Stream ------------------------------------------------------------------------------- -- this would not hold good for MCDMA GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate type SG_S2MM_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, GET_RXLENGTH, CMPR_LENGTH, EXECUTE_XFER, WAIT_STATUS ); signal s2mm_cs : SG_S2MM_STATE_TYPE; signal s2mm_ns : SG_S2MM_STATE_TYPE; begin ------------------------------------------------------------------------------- -- S2MM Transfer State Machine ------------------------------------------------------------------------------- S2MM_MACHINE : process(s2mm_cs, s2mm_run_stop, desc_available, desc_update_done, -- desc_fetch_done, updt_pending, s2mm_rxlength_valid, rxlength_fetched, s2mm_cmnd_pending, zero_length_error, s2mm_stop, s2mm_desc_flush -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; s2mm_rxlength_clr_cmb <= '0'; write_cmnd_cmb <= '0'; s2mm_cmnd_idle <= '0'; s2mm_rxlength_set <= '0'; --rxlength_fetched_clr <= '0'; s2mm_ns <= s2mm_cs; case s2mm_cs is ------------------------------------------------------------------- when IDLE => if(s2mm_run_stop = '1' and desc_available = '1' -- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then and s2mm_stop = '0' and updt_pending = '0')then if (C_SG_INCLUDE_DESC_QUEUE = 0) then if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; else s2mm_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else s2mm_cmnd_idle <= '1'; s2mm_ns <= IDLE; --FETCH_DESCRIPTOR; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => desc_fetch_req_cmb <= '0'; -- exit if error or descriptor flushed if(s2mm_desc_flush = '1')then s2mm_ns <= IDLE; -- Descriptor fetch complete else --if(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; if(rxlength_fetched = '0')then s2mm_ns <= GET_RXLENGTH; else s2mm_ns <= CMPR_LENGTH; end if; -- else -- desc_fetch_req_cmb <= '1'; end if; ------------------------------------------------------------------- WHEN GET_RXLENGTH => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- Buffer length zero, do not compare lengths, execute -- command to force datamover to issue interror elsif(zero_length_error = '1')then s2mm_ns <= EXECUTE_XFER; elsif(s2mm_rxlength_valid = '1')then s2mm_rxlength_set <= '1'; s2mm_rxlength_clr_cmb <= '1'; s2mm_ns <= CMPR_LENGTH; else s2mm_ns <= GET_RXLENGTH; end if; ------------------------------------------------------------------- WHEN CMPR_LENGTH => s2mm_ns <= EXECUTE_XFER; ------------------------------------------------------------------- when EXECUTE_XFER => if(s2mm_stop = '1')then s2mm_ns <= IDLE; -- write new command if one is not already pending elsif(s2mm_cmnd_pending = '0')then write_cmnd_cmb <= '1'; -- If descriptor queuing enabled then -- do NOT need to wait for status if(C_SG_INCLUDE_DESC_QUEUE = 1)then s2mm_ns <= IDLE; -- No queuing therefore must wait for -- status before issuing next command else s2mm_ns <= WAIT_STATUS; end if; else s2mm_ns <= EXECUTE_XFER; end if; ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => if(desc_update_done = '1' or s2mm_stop = '1')then s2mm_ns <= IDLE; else s2mm_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => s2mm_ns <= IDLE; -- coverage on end case; end process S2MM_MACHINE; ------------------------------------------------------------------------------- -- Register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cs <= IDLE; else s2mm_cs <= s2mm_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Register state machine signals ------------------------------------------------------------------------------- SM_SIG_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_req <= '0' ; s2mm_rxlength_clr <= '0' ; else if (C_SG_INCLUDE_DESC_QUEUE = 0) then desc_fetch_req <= '1'; else desc_fetch_req <= desc_fetch_req_cmb ; end if; s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb; end if; end if; end process SM_SIG_REGISTER; ------------------------------------------------------------------------------- -- Check for a ZERO value in descriptor buffer length. If there is -- then flag an error and skip waiting for valid rxlength. cmnd will -- get written to datamover with BTT=0 and datamover will flag dmaint error -- which will be logged in desc, reset required to clear error ------------------------------------------------------------------------------- REG_ALIGN_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then desc_fetch_done_d1 <= '0'; else desc_fetch_done_d1 <= desc_fetch_done; end if; end if; end process REG_ALIGN_DONE; ------------------------------------------------------------------------------- -- Zero length error detection - for determinate mode, detect early to prevent -- rxlength calcuation from first taking place. This will force a 0 BTT -- command to be issued to the datamover causing an internal error. ------------------------------------------------------------------------------- REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then zero_length_error <= '0'; elsif(desc_fetch_done_d1 = '1' and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then zero_length_error <= '1'; end if; end if; end process REG_ZERO_LNGTH_ERR; ------------------------------------------------------------------------------- -- Capture/Hold receive length from status stream. Also decrement length -- based on if received length is greater than descriptor buffer size. (i.e. is -- the case where multiple descriptors/buffers are used to describe one packet) ------------------------------------------------------------------------------- REG_RXLENGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then rxlength <= (others => '0'); -- If command register rxlength from status stream fifo elsif(s2mm_rxlength_set = '1')then rxlength <= s2mm_rxlength; -- On command write if current desc buffer size not greater -- than current rxlength then decrement rxlength in preperations -- for subsequent commands elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0)) - unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0))); end if; end if; end process REG_RXLENGTH; ------------------------------------------------------------------------------- -- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To' -- Received Length value ------------------------------------------------------------------------------- REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then blength_grtr_rxlength <= '0'; elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then blength_grtr_rxlength <= '1'; else blength_grtr_rxlength <= '0'; end if; end if; end process REG_BLENGTH_GRTR_RXLNGTH; ------------------------------------------------------------------------------- -- On command assert rxlength fetched flag indicating length grabbed from -- status stream fifo ------------------------------------------------------------------------------- RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then rxlength_fetched <= '0'; elsif(s2mm_rxlength_set = '1')then rxlength_fetched <= '1'; end if; end if; end process RXLENGTH_FTCHED_PROCESS; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '0' -- Cat. EOF=0 to CMD Tag & '0' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD -- Command Tag & '0' & '0' & '1' -- Cat. EOF=1 to CMD Tag & '1' -- Cat. IOC to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- Set EOF=1 & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & PAD_VALUE & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to s2mm_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s2mm_cmnd_wr <= '0'; s2mm_cmnd_data <= (others => '0'); s2mm_eof_set_i <= '0'; -- Current Desc Buffer will NOT hold entire rxlength of data therefore -- set EOF = based on Desc.EOF and pass buffer length for BTT elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '0' -- Cat. EOF='0' to CMD Tag & '0' -- Cat. IOC='0' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '0' -- Not End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & s2mm_desc_blength; s2mm_eof_set_i <= '0'; -- Current Desc Buffer will hold entire rxlength of data therefore -- set EOF = 1 and pass rxlength for BTT -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in s2mm_sg_if. elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then s2mm_cmnd_wr <= '1'; s2mm_cmnd_data <= s2mm_desc_info & ZERO_BUFFER & ZERO_BUFFER & S2MM_CMD_RSVD --& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG -- Command Tag & '0' & '0' & '1' -- Cat. EOF='1' to CMD Tag & '1' -- Cat. IOC='1' to CMD TAG -- Command & s2mm_desc_baddress & '1' -- Always reset DRE & '1' -- End of Frame & S2MM_CMD_DSA & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697 & rxlength; s2mm_eof_set_i <= '1'; else -- s2mm_cmnd_data <= (others => '0'); s2mm_cmnd_wr <= '0'; s2mm_eof_set_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; end generate GEN_CMD_BTT_EQL_23; end generate GEN_SM_FOR_LENGTH; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for s2mm is Idle. ------------------------------------------------------------------------------- -- Increment queue count for each command written if not occuring at -- same time a status from DM being updated to SG engine count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0' else '0'; -- Decrement queue count for each status update to SG engine if not occuring -- at same time as command being written to DM count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1' else '0'; -- keep track of number queue commands --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then cmnds_queued_shift (0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- indicate idle when no more queued commands --s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; s2mm_sts_idle <= not cmnds_queued_shift(0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0'; end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/impl/ip/tmp.srcs/sources_1/ip/doHistStretch_ap_sitofp_4_no_dsp_32/xbip_dsp48_multadd_v3_0_2/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
9
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RRooMx8aKXOKuw0jba9AAvNqcv1aOAWx0dmOeAMZtfEA8NEQBycfD1he5bNQ520rjDcafpEIYoFH 8wShwJiQKg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RgXfz+8mp1qbBTyetHH5ngynBLMwZxu0rxkXLh6QHG9XAy4+BbRKOjz1+c6cH6NYhRRfM4vT5Wl9 ixg8Rc8Yc/S242b09BUNorP5ATo1wne5IxcZ3jC1T4BUzl0tgUScvpD0uFueK3/IMnEjC4aKr60w VKR6qyW4sq2X954pF24= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oSpqzFUI/f1Ucw6UHNSsuY41hVH/I8MTwUZaCMUrE6zrelZVctDl9yufZENXxn0WT1Yem6/W+w1g j5QeVJm+5hjC0WIxLupVJMkqfzQw8dGHJPDGoEaTB2RcDqLTnI9CrpQ+iJb7hrQn6dDmxZImAuMq 3pJVs+aaFHAeNuzZZhE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X4Fi+a1OzUk68cyxZUSzfNpLCwkSC0wmt8tY0kB574VuReti34LgiOkcPXhGDj4gSUKkUF4A/Yda WDzaAnabrJ3zv3CWcxwC2pPrD1rNotHPICUvwJpTTNzK8oCLdrgMtygrsL5CwXaOVNz1a++BWa6C QlArFRdlccowSRhXDf5tSyKNSLiV9tJxHNvWPzIowxyUtoVMdFV+wv3UhZXGP74OmYsEJ9ESIEXz q0P+Tc5gairxuvjskvUVpqbsNEBOq90+NKAEaLtPOQ6vY8kzp+eWm8uf5f3kgAZZsAiQGswk7vU2 f5LaUSeubviPjfs+8uQjBW2DPBwJbScjsXNcQA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ro6yqNSieyMPHHxOuV5fgYIFX1ue1fCOQaUrsWRJcJVYR01otjGNBK1LpULF9osLOrFWk4AtTJqV rWcIGuQTGE8iRA3XlZBfUPo5Auyp6hG2JT2LLT/s9g6oUGrueNakH+McXpdG6aUJkgH9/eoBjrLX DrPrHqq40IlnSppHpDAOq11fRkZk9TkYdWeakR7Tqj5fMix/Hves5J2MNw7M+0/bs9k7crMC3AB4 hiMav0upztwGMWPFQOTJLcPJQ9Jmlip35nCdHQRH+L1Pz5yPl599XvkZh7InTkLreIaRmIpZaIPJ dSX841g9ZA3G2q8OiDyHBCZOsKYNN4/R2I8Cjw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block K8DR1xFh8LdLJFzLYbjbQJHVBapMV/A5ef8aS1igRTqWTzaxTp8rcNFvJmLeb2FVSABbW9ENq0z2 4PAQuZAFntoQZCy0B96/GhJqiwWNdo9SkQAXHie4qn1yl5LCT837iglxkr5T9u9hdW/y32580N70 9mwvaao2qtKVUj2WO7a8JbZTzIZj2kxqfrfxG+wf2zMcUTQ1XZCsGULlRMnDM7exK4fGQxqbrLsN 3z3GB/1h4CYvFQCvVlD3CURFCtPUP/5toS0Ja6ccVb6/3q73spZWxAHrzIuZDq1YEczGdzSMR+k6 s98cQiYspIArx3y1cvwLR27cpPujPAWuCP8Wyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block qSsiLyvJuChi2E0XpHgqvvzL6KzlxNxmE/rnpbeMhxrcw4+YwQJf9PGnnrX4tEF0CY29CW0IjJey bTv8crMTak6uftHEV7pAuVQqN1qoRfnDfIvU/hzMFvc9tUkx09OtZVb+rRtldxhe/aZS96in+sHB hTqshw27b8IcBbT8EktIyfwc65qh1xE/ZgulXR9Kcx1Jx3rEjUMwWiD6TB5hlErIg6H2BuygbR/z IJFQmZVFcQw1H6HyC2DOC4Oj312yg2csbrv5Fz4fRw94DBfNqZf7WhZndcEbGi9oc0JyNlDiyXfp uxBrEPLYdHAVsWsuHLRyiblPimflRcpi9/LZmqvr6FoY5X17yBHcR+lyAd2iwU+tABC2Z3kvAKPU A+aJ592s+KfmV0teZoU5XNQysu1h0js1kC6Wn/2qlKufjLQ3OkkwSvreMLyQYXsdiBqb78ISE4Uw UImzT5LkG7n0RjvhZBUx8U5sZQw9lxMB8gM8JWFuKkkCFerzx7nBeQJ/05ztfnXvcqZ4bf2FKiDL wxEa8EkkVSUDI5pgxSkWCsURrwxN/e+CU/ajY3aA9LDKl/FOqWj80o40f6ru9PUlZCWuV0wAJSJo 4Uyc4I9Y9+o4JK1cgU6Si7VBlqsDI1yOCHgQpc6hZ6MZ5ghBi1wfReAgcvcoKNsM5w5yZdQBiso+ CY6P0B96TK+IDlGroXJc0AGv7nzWG4KUsiUQKC4E/iqn5XzwXnYrRAZd0nLDCzm/A1+b/u/+vERQ cDwJzhVgKvFvIvrvyGV03rCdtrxETckrpHjiHdP4eJrm7Oe3i6Drqg/uoEm1kYnpn0zx3QQ26drA r0x/8Ke0a/e3+HN+3oCKQk351kSjXS++a6wu0iw8OMWM4HOfHoBWHJR13RzchJv0fqy/mJyPlIcU 07kkB5SJJFb/L8cFiEnU9jplyJMA0daXLEFyvoFKEPEtFYpW7eTLMa4znfFv90rALh5EbrNyR8no Z7o/aBvPDjmx/stKnPwRb8j0bJ7f9l+MfR9enwoNOhGAC8j/Z6j1xNw+53ZtO1GXpQV4Ej4ioSfx OpOyfc5sCLV278oJuUXxU2Dvw1Rc69cA/oDDbQ3rPQWK+B3p9AVJRcPX/1/bSqGrdSciXZDQgidz e7Wom4XRKNoQq/HTowIEXTiv+Hh158V6Lj7yxYnYwuGJsyiPQZCCI33W0T+y7lpo1rl1me2uyfhA TJ2ReQSRSlq4ZpdxYqMq7l2FIrK8KHOjeGDDQ4Ttp5cNpC1Va6EcC+6jm+Y5iQ6iqtB+09A+3RQq gpWIU0owrNoD9CJQ2QBR/7LDZKHxghYKTkLeX9QiH9JNo1/ipF9d86N6U2qOVW1UMvRGwcIA5Y30 tEVpI0Bq7Y4PL3CGPc0Jt2INtp1aXlH6eezE36hG8KSuQAm1kOjxWoIKkAkIUR2sHUzq+L5T3BG1 w7AMwJpMXqQi9kCIUWT5s1vAJuS5fs7mmeTcqVmF28ZaR4jxXlDtmAohp45hw+6B0zkF9VeLiIAA CSzIToC0HRRcKoQWE4VjKLkhMXIogE9v/uSpcoc3/wDBirds/0mYTssntTimjBKZdgXZ1xWds5Xa eXyzP0hmdephl37doXhNbEqfGbGh/SFnulTsiUg9Ivh+t4wCQzMnHhbJKxyW1VDEznt6sxNJnQ5c YfQNfXkpQ3ZzuxIWkof531RIExR7EG6iVF6NNuqUn869t+BI1yk5NLpaKFGBeSPJsAlbbGFmY1W4 ggfaQZodxVA1aI43AoggG0iCJjARNlb8SN2RmBNmC36eN3HSp3rIZI+5Apd7vf1NoG7qcJmceslj 1JLiPNhKhC1HCDeWioceHB8vRRB8HM92WWHYrrRytojpKoOlLOb93iZxCJ/9H4vkXoXs7Yjw0/pS qEz/0T0PjDsd1bKZJsz8T1/RshFP+9tuOJmghqv7WD8VXPKC1MA04hhV6XV8rNvImcWMT9Ffm89c MwYvbPslMDELFE4hDA4PbDH37dwxrIYNYn9ajYlF5zvhsQ84KbwdkSSY1l0v1gFBodPZQ+w5Jr72 9hnX/v7V+QTJIWAmno7uUK7Sjoykfk5HGjrG9HctBH2zRHMZ4F8M/ZgrJ4LisYGzOzimGDOG+q/W Ni8K866T4S8Zvzl3UHdy8GGM1+TAgcV7Nk6HPcvhOEg5UHt4udE9pDrQVVl8sPSzO+mhqFz/3Ae1 +Wvb5okOmcSOdT5XdTzuDRda17C+qe9OZkP03FVZ3P9wOsgYTSN62FnVkGDuXu3vTXJF80Rr2V23 M9ftZalEIQxzvMe5/SAfYzOXxcYxBH2hEI7ix61A4qgNOwm8PaYszIo5ws0zhbsLmuZweskH9Ufi bu2S9artLAfkxYdwqanhjIwxLtO53fUoNii43jJgpI/iYTPUWGpbWqB5yK+XGTXGcfZjNDTGMYns m2XEhjYjobQQsM8vjCelpYtjGqoFaw40UfEVzBdMMgLnsKI3HLozMigEoCpsdDgsnsEldX6rlMaR LyrOtg0C69QOknJTIwCY3eUR59MWhoysPD9zrQdCFy2o8K9uIfX5xsos69KJeuSdTt46Hn8Vlq/O LCjannJ3bXpj4nz7BHbNf1yqiG5w9JpFAj3eSPhtWoorw4D+vRNrstKr/mi9nBsuERsP6EegRLDw RVdVlSdkcyAy4xj838Gzmo3Y0tLJFWjTELHwXIpfHENe8GLhjXlBh9xDTpXj+4EWbK7nKkaogLEk SpRcgbmnmITAnB8hUTfQHimKyc5TUyokvvdZ9+7ohDEnITf1TE1vBwRuqw82aP0V9HPwC0j8/J90 UmJdxrn8LGmgMLVN8NPHBb5i/8Syx2W70nVa2HK62LO5pOhlfeKqqUOuxUPXA3xqGBu97M0d8R1b y2HzBrXYTcJSC8PLWAlOJ30cr0fWcYzwg+ZifPRgmNJgf7AL7Xk4UIeyiR306vczhrdyXGmB57fN qxWvdBBzjybfFVT1F50hYXgRQ0NY4dZT47ZR1tMZSULYE70kueQtRbWd9uEKBk9U+5vk1t54/7BZ fZ0w8ruVqQExm/opoXdmgcnLwP5OqNkgTNn/GjZ/cGnU1iGwMQrKM5q8zgqexqPZivXSzbPcTzKd I6OZD0Lxy1n+TaWO63nHhEZ06xvCoTsYFuPxpfXLQIcKO5JCFLFkZpRwwuILdv/clszUIT2ApWG8 u3PV7Q2P8llHIRg6zBYLA4fPHJUxVlUIxcfwy6yFSfKK8fOiBcWQcV80lw/aezwdcUe8AHUVNM+s dw3jFDaKFpDJfQReEyXhV0E+1ozgBtdLWPenpKBVTt+a8b3dYv4MxNTMulJkqfou4CqyQ+sKQaNL YFG0Sgy+6qH9N+2AOloEdk7CnImO8JbFxJy8mwmtDxFd/EKc2IW9CJ2D+HXKD9gaOtxRYLeiHP1U 8g0PhjW0uRgmR21PeKLxr5zdhSp9qmxmxD7S0uiJlpe6kBE6e5Qw1++CVDP8N6E6cAp7zWsV2WN1 NXMFhA78ZORcixbi52d1O1Y7lHRNi4IB4lArnxzCWS0ZoQMQC4FsgF2uBi2FBrfP5lfNpVGYlU2i vJbTIvPRZT3wAwVRo8u7PTCk+5N8gIHsM9rXamRk7LCLQ5sxZJu4EvPrbF4U4UE5Q+6g8HqBpCM6 AasSMob8Cy6VUgT9a2eJ+zto0STCfZob9cHgIIPtkTIWzaru2yz2yXnhgUAao25QSGIfgVs5ra1i dTCs6h7m0hURg2vFyyayHev1G4b97yhOifm1HHpkqWBx5g6MZ6/dN38bXmzsr873GwpfNi3z4tc2 aXuDlmFwp1aGGutrGSxH4hFZzV5g5lrq36+SGZ59nrrhhJEs27gzN9y95/66tKLeaHeEUQg74BmR Xyn7S4SBDO7722x4U36btonaiKwD/VRbyyQuyHgjbyCTgYCqvwjqTCwO+w+MGSZUYYUieI8p0VxZ hGuMrmER7HQ5zeh2qbTeR/i5lm6PQKXv2lABoEgM1O6+8HzQY9/I50lKJP7JSbqt2y7bjTWkgYee csgOfzhveFpU8QQyTR6iV6rmUSZC6iq9Xo+QewCA4+P0JDtS0mlEWEcUydx4rN/znE0fKWxjn0Or BuziU0tom9Lf/+KSVwi1G6WesnNmfZ0tL/PbtnA8hkCUsPhYbC5HuDKC58zPscc8WsKMzHKFD50E oFiJjez3US885jDFqRxi1G4KhYz3o6tqvYVHee3lNBYkHWrX/MIfRsXTSx1093m1DaBHjk1nssKx DWR7C+XgnalZxIlQD6VTP1Ij/Vpb8rAuQTU2SPTt27OZlXyW6P4UzvxFLtt6sqzkqZreeNGq4r3C e33i/p/JmHh3Wn2REp3XZiFHmW5iMFZJoXr6D6l9XLAyNpu5WJjo6L0ERcpAYgkOUl97+uDbnXTR RKij95+o9svEUCZKK1QOf/uYCeWkcz2lNwkJcWHf+vgo7VZcq7aUxydft0AmzUQ53hjbB3tQtQv3 mJi9TknT8tgfrN5uUEOaDKFrActkgx0lhPJWUJ2uOeIdYoSX+XeBt6MNWfrzNizyiaqK/cKX5K5N 5TVrmIbn7FLnub2EnQgzAbrKXfjWOLtWskxsBuYQp5RpEDUnJBtEqVGThESp4FQNGYUqtF/46mTf 1QBsVgn+l3nxhpIDfzBD5ngeAxAt1AYtMScrIa7Y52z+4MGpGOaDsU8askX5kZK5pqE+YD/UxoC1 ugWV7YpPuSzq4ny/MnSBlrptwBVI/k17bDPijw5V2Dm6D527jMovidRMSG4CQBL6LBOYM/R0mJlm /cPR5e8HSjMlycQZDGI1WrKzSLdgvfm8+ZtppXfGgxe0B2IE2OYPBiUpTAw4GdNVIWQ6rV5A3UPo NpNz2YaCnLmWGlxoQMPTYCSuwwzD2X1su0eTvwATZM7ph54THL7j+rTXWhY2TZ1ZLOUiHJCkhCIr bHKqO+sTriRxNA01bHlyFb6lFmgoZGZWLfTGzjQZowXT/dlpiYiw+w6HedDVFv4FtCloCwl594YM sZ7xWcleAKxTD2ABp8weofH8LKibghYdu5gsn7xcvHAhAZa4LFpeFUtUpyk1/BsRg69px88C4fel IIYU9tcXD8E2SwVUCXq0aLG3PnHqQEiqu3kdRkvwR3ZU/dmRa5lMiRmiQW31J5tqtGq3oKUP9DMU nenxhETh5oUtccEWcRM0rnQBMgI2nnhBAza9yAXdIexsNlpqKvfNrBwXOW+7nmKewWSZgCPp6MEG m1KS2S5yk8To80LHCT4vTy2LXpl8OPFsLN3p2sgTZJbJb5vR/ANS/QWaaeWFyMdSbGdC8ke7rkJI iswMGTjnQVMFCFfSCJT3hpGjLSBcZ6KGvmsnAf9gY1z+mFe/7h1Vtk17KtnkLJLEDKC3Zvyu65Di nXD8BGfNjAzLWoMN7rIMduB57beQ9PUbRV4nRWJLtuoito1ZK4vGw2Beq/vXq9lwBtceH+a55Jmt INsxJsbXcJcFYmWBA6KJyXdy1b7Zviwwmc6iOqdvu42lrk4ckDgzUDJ3bzoUqG90arqe3CxNx8ry bF1GanDOsXegZ2XX1u49x5cEN1PYSqfgodpZlY7LjqnT9lQZ+RU4C9qSKJBztSiw1ajdS23yas5O v07RiNr02fW52TfLGWssbm/QJBfXdtLqIOPaMM4iB2/RikPhjtNuyUPr+Cm6GVzyUgg5v+FSIDqD 17rFGeD3a59RDwvciTho3sRlnWFLgEQR/l260vlEPorHqXVEGxejv17UDXeO9dMVtpEfjLTWqpQV 5XdFY7BhM8jXSs0udTqbnnVlTB2ZyAmYAJjVN7AQZtr0VB2ZQm1i32IW0FBnTFEV8aRmG/YGmp0I yX8goYRCWBcPbsKNLpPv5D8UhBehpTtb+qFaPahWCqtwVYPWIkqE1iRUALfphDKxF/OAZuDPyEGc 9VsAgpTg4naeRXYCAxEHqUGgPXC5jZLgK+FcVGRaq9307OrSWTv1ehswZxurDuWZ/70R/EplQJqT C5HW3wGVl5yCDP0mGIxGz+aHqw55NgNVJRco8yWffzuW2jvM6JapkO3W/XuW0/639IrPfGn4CNTX H5N+F13C/qklF17X721fHC0dscmuSgerSlE/Wo00DU4NsO5pnpAHFScqnd3ZGNgPdJrLDWJ1P0We fINrQMcbIRR9w4fYk5d39QPfKB7mUfim0ESLoJT6ISd+MTgIUptpA8tfWceaEppwvKotALZWLZQP I7SrED0jKQFIaEfmPldH//Z3ArmliQf9sc+A0JKFbKBEFAHvCgisW0Y2EUZXtC3bIfkbTBiqJ8TX hVinNW49ucZhIWBnCts6DRqBMZ+Ghd92UilUpmnVbT9Cnw8B1JoOvG92XfFl/yXNqEeQSgAh2X0e llHSobTmQv4ExRv/FcbZlnKj6qJP7cuOGXjU4ZC7wJzaRmx83UYHTE5S6XunxduKimjw82lFleOf sBctCD0LFYK0q0D/7BgkFf2mGi/VAOMB2hyl1XGMdB57d1qcZZEc60JiFsDkMqadm6Sp2DY3LUBQ pPyKeISk5qq/hI7rnyWx4rucaIcSp+SGDX2hck6ApVhAKZcNhWrifu2Unx5lgGiNeGO3yeks6Obc AXJ9FGPFhu2GQ0pBetpQ7oV1cxQLn1BT1FFshYfHukQO1uhSYsyifBp6t5YQMrLXkxB5sjlkm8PX pvpEP1KVkMWRPgMF5cSP83m2e3Qv2XZKb2VZUjDbbjl0gkuVKlX+JDtm6TtTSDTLZgpcOWVhD0ib JCOz/j3b66XyDzpqJkcpJYQjkT8icssuLjVOlUpsj5oEIrtstRo8zdv5nnvYSfA+RTBdBbBpp8TR 4F9+TV0rLnSZDJK25PTkI/c94dz8Vfi4m9jfRR4g6lN/nwlhbUbLipMj5gY361x4p2FBLH8Uei/F Zwp5Ttgt+khLUHeT3gOvhnTTN8Lpf+EcZw/k0Jumcv7habh5vtSaYjteM0eGx4o0FlxlQRCwVfZv f/1dtBLIinD/q1xs/PfJVvmfHriV/ug4HcZfN7RYvNN6a7pv3yEcOAlN6H7+EXofkVFI34hYl/Fh aVoI+Z3QN4tQ8DdFsmSEx8LYRH+n3BhI0wk84a7EHVlN8GhtmGqSWuqt/+QgG3p0gyisgXx2BIGk I2uPxKwXgB+e2aDj3efgQgeeW7vJS7KrXCviwt1deKM6cISzlnUPn3FYai9PbGZzQozvJtItdbEO mI7J1Mwq/pfboJ+RRYRepiRvGeKGHU8CrM9rNEjv2v6nCF+gGXGEDJ97hn4B1veZJBtMtfMfN+2z znTnDdCaMz5IW+lI6lKbHFHVbav70SYN4uDwuVpeL0e3N2MgxJCg0kh70apSP1a7/6BuKRpX15Yw ZRtbXbNXKDgwS2oFHmOiJgC5ViwvLwhZhcr4KYEbX7XtnV0+/selYWWl86ajenbhJSmIem3DN3f4 MAmpgjF95KRXXOPmsbKGZX0OXSL9N+diAh+tNKtCEj4uQ1B3HILDNNPVGHyv8w/rY0hF3KAmpvuE ggesTlgy8APycg2FIwv3pyD2L9Pw11GohcXFdAwPJT3U9xBBuYUFkEtiYSc3v+RHR/O9GOOtG0xI oHGFZXfI4hPKPyAqxztIVkr3cpCXoWSg0M8i/YCeAgRjY2MHAC4qb/XKLDoKTv1QTO68rxV3yYlH CbP+vqMWP+oAm/8oHmjkawa/QKPLJlf2ruQTkhrTsNBM6THZYIQ6q7jsyoQo3iEyqB+7kGeupxRQ zulnbOPA3If0oTT8R69hv5ASYG4G/6/mtDst4FYNLcsy0/WtxjpQgM6bXb7e+pW9ERxYaCz5UEOk HKkyOAd0ysn/DT1opy/c1We1jYPICs2a0aLxNwymdzXqQ3oVoedFMn1tOndaK5T3uTcikyOVzFvI yf/QL295f4gQDMaQvKPD1V+QnFyjfHZV9w3/6KOlxVCNIYG6gkkT6/hK9vE5proVX2gRVioQkK9C +U2ASrp9e1mNRrneiahJxwIHWn/3k2g4uZzIuqHDf7S24GuW57+nndWUvRwMaQgbEY40uCDQBaSn jlI5yp+kYdChMz8s9nupihJPCyfBDq4aiEIG//x04YxoFTkdhUdB0aPAm1Oeg375NtRIwfzwtZ/g 12gutYxAcQ6jdkE5EWc+DgVSVVzuHlPo8wEj1KSi/wYrYx9lLu/9xoviO8q9SfCAXkud0lCfq0e+ nmrQ42roHQMnQmsCwr4SHVmrKw00vb9uyCRc7kYnfTB+aAkn+agCMDQWVZVuxgsRsvBp72nXUAGb mm9hF9i5q8FS5D/SZLWTCVsI5WI5PYGQNPfuZCoF/J9CNhoUf0p8Dn2SmG48qibc12LKSEGjUA9U +OkAoCZjFVB8kGRc8EdY/NKRM/b0LBGLUCEncFfd5z+URNqBO671KfUG9cX23mqVzKzz4qUPXaoQ 2V8gRsnxp2AolWmDHBuS+XhZW9tfGh6hZ+wPKYqLewuBWy1zDARJ8PWHqd1AmjJ7+yaItSIQZJW4 OeTpyHRgbqUcpc+5XAWylfD45G9rO9NxBNeHoRwD9KtvnxZEQrVDDRbrvajXmSg4Z//igckDZRYj HJvn9004k1FbkJsFRzMiDSDorV93vHQmtfehNukP4EXVcr4us96DGyORR2lt9wkIR3mbE+MofDv6 Gd13gLLPE9IJxKCkl1GpqrevGwhPz6FgDt3E3/eEC9YFfNGR3k2Wms2FdEtvLqjxfhKEXAnSJBlq FFn+5Gm+7Kp7rgY/IAAmnHoVp/1MXakDzBTgGa/WzwkT22Okv9HTLqmNS6LDrvpr5DHLOdZykwGl DyrfM6vns1+b3RW3r6MASe/iKImSlZA8IRajbuz6KhSkDsRLOtrfbI08idRNY5adGiV2zrkwTyWd /VJZrd8LOBKv1VIdeieIMjEYY9/WuzJcmYSEt5bpatY3rRjm+2oNAQmHu/ovnyTyikRP1YjQgpdL bqBTTdW/gMSeg8QM2UctiyVq09zXawUu44c3BM7awxKRv3oU2NUb3l7o7JqQsTyG+FfJ7DRWkhvk vF4wD/0ZxYj3LgM185rmZofiJ4oMW2mmYeWgtC/LDOnJQiTpw0HplkF8LApOKbAiDHCCtElOp4Jv hTnstPMHyX2ZpuBZn0jMq1rMQg4+RsMeRbJ4z012N/SClTh0Q2Rd2RH2bZWEC7rhETKCDDGma0YT T1lOfCJI92egXVwQWH1owS7HwVU5MrXksHC9Osm88UL6KhckFO2Hy0bjaPEs22fGqXalezWWwZTA dhiNc/HdkvDhvn061mjSuvPZ6CAgmSImIvWfgbolviqCjc+KVdtIyfYsKEAiBQplo7QoKRqdDVBn W+S+yQ+T/CnWlrZTkDLRsPeKp449YMTtZado1Nw5l3nQXxiybQ4+SqV4ZE3xB3t6QAy04Du1GC4M TZfsD+wQ7ydwbWnCbHzZ82ONIAaLCilSaum5gcT9AW5BTWGmsSjVwZgd6nPFMzPVFMTklgWHO5k8 Zoiiu9An0FQJ6QAT4bUKByAAv77lPk1+cMHJ5zEyxhG7ozuQisSkj7L0QtjkWozKWEb3CNuZCDJ1 97doQm3rLn8fyAiRm3jAEGMcj2mvEkC90UNZg9vJ2HI2pGAasFwp93reeSvuWkJLjgCfNrLX0YYX 0zi9uvzIzRl8LEl4HMSkEPKADsqNF978V2bNGfAFYCDpqZ/PQkQ0ideLI3JoxheuqG1bRI2lUv7s Rhuuah4x/skIlUxXMM/Dy5TRqfEKKnO7YCBtRTrDU9vz08VRMZdSZSAa00RJRfbvnAZlDI0gJx9+ Wfq3ze6THskWuM/ThRw7bhi+TXpzdFIcHYoiYBIJydheXf8V/YsaInJshZwBRb6p0w/r8seuGrL4 1sdwT6CiRZtBruWtd9lgcJNtOD0PxSQwQqWSJWVtGkI/DJD3Dstt9yYpvm+y0lfVWAf7W3eTkmnP zCVVdVML+60Uh9gyoWAB0IAyZr5SjDNytJkMRkowMiErV7Ft+u4xhHQYkv64uJUoQ2iaiP5XTI0w rAwE45s2XciriEt5T0dSSoWRZSGqIVkNFX8/pmm7E5h8qwYtU2Dd8INkS951AfmH5qHkqjRM5OZy B2EGyXXcGK1leCH1jq4ZxLkIP+jdCqadSXTc5sEFGVgDuy6xE0XlvV74yWeV4v67Ufw6sQS4BwYR j2cjT5P83GO4O2P4d+fR9sojq3AwTrvUxYshxYy1chPRObDjHNPoSmMHG5m5MdNFXWWRpsobwZ/D dJcD7N5hyflQM1nIfuhRSQ80OhGCCZ5LBW8Q2qkE7Oq7U8ECnIPI5rbeBKa1Bo9sMGrkBjAFUNer QT4DXje4KH+rjderi0tJKmu6DB2l/6cATRIIgM8dD7Ub470g1tjb6TabBRN/DjDE3/z0p62dhXo4 F5a6QrUEq2W4RaW2LRi8bTcPCIgdDI6PQYLfB6+lDYgPE1hDQNdmFj0GJTN5kpOz55xzKE3JvzPL mZIgdvg5GpgnLYoToDDWpkrDiwVy5Ib2su3hN+ZQpHyS0pS5nM9L/idhvOGTUUqOJDWmFyWFcJK2 P0rJSlSSw4mp7KO1RXXpt3taNjsHOWjGuP0QB9gWfVI+pXHXxyIgdTSoxFA+WXa3j7+UuPClyJoW vnf/ulsshGV+1QE/Hrl9kC5t57qTbglNWmTvVB2Snt9s9jJb8TAhwJ7YOe87zEUULEj3w9nSV6na WS5QoQKkhaBW7Yw4qbZbQXh20fbirkOL2OnOeaAGhmIzWMXzpB89mLwfYjbK7qt6quJzzA05WblJ ti+EeOehTyzg9fATtuiiFRBeoPMEHFVe/cEOienXLFu3ZFpF0wUMOQ825S/6TeFnZzePuba8lxeT LyGCgZUxsJ/UNK44PmiRurffXjWYYU7Cic1fSWZymX/D/tjDC/tOL4zLuRECMj4dRYvLzYclEbRb 2WNpXTpDgPkciKe3fJphAnenRiBSdOkFyGI4aWeCQbcIZ/edIHpUZayQn9qu0ZJTnOaOQ7mtv8Bj 8VtFoNnr79ua+zAEbHBNKfxf+rddVv0wz956gZBFvVXQeE+KIFW9mHGO7HR5l594cPo5HaMEz7FS jBLHTMHCp8LjMxjbC8AQfLDoA/hPATI9NWn9sDQMPAsv0CWLjbMHC2VSorcR0JrofIBHJqyEMd/2 juAO1pv1Zs+6HjaRaTRybdzBSeBj4sa1Ej0y+mvYPuDP4yjWuPYOoERk+7zBXnKnm/iyQJOj2p6e x/ftBvTQgRbMmvvElcbwAXrITyk4Sl2CrZ1umrmHJiQGoSbKmV6XMUdIfGUGj2FbaLimHn/1RH34 3KW41Z48lgdJThfbDwXaGea93Wuj5L/CMWegLwT2KLOTEHJgKSQMr/qDlbeHPjBsriQB+Om3S4HY fa928I8ql2kJAEqcHRnupU0FdzA8R4Cle94EazHbdWe/38ft0rLtvoKYaQ7mXq1Q3G4ipTGw3zof LW36uLNa5CQKyxCQOpZ9DqeH7qkqFBr4pi3W5dNo0/kJzEf7DS2p5h+0exwSXVqQvJLvR7cRFGSe iWljJeaBDbFCEWGWzMSZrBfai6EUoJaiJ+vd3WalQKkb3orbA7ScP7cupmj7cEn6YTmFOncqNlVb wTM79SmD17Rpfz4cpzbodaC6zdr0JvWmXp0E6ndRBsxwqp5JpIoP3dYJAdpnc5gPQ6UPDVgd4+eJ sa9Ot96aXZ1tXXcN7ItU37rYutgBGCxAqj45OdMv/FvIRLZC6kzXPyWcPtTCwZ4V7Vt6+VdSSH7c C+Izak0a5+yFuXIHU6592Fq/BtwMh8VS5/vVjUCJTysFuM8cjXhcmkRc20lcuyAcVB1xZanC+lQK EwweU3E5yuyy7wFOBQnt5VMGDDbI5yoCW0MmmuMS/muZ+kclgDRvBsmL56DSkee3eUVAQx/NQ/Cl YBzBDEQqncWDrGdv9HPjvnHE3JA7Xp5ZBkWEOuenw19BBncQjheQADnxL6YGIw9rjkZbeS/3VygV z9uvNNgQe0O5c7rDQB/V7e36bJH1XHSUryNOg/hun9VtiNcf4he4CGXGX1283klpCBPsQHQ8UOuk ONUX15ETSJ32GKJaPwpHAsqIj+qvM2f86ymB03Pm5OW0kJYbT94pMDfBHcS3azZllyrQ0Hk1/fna N7RScOAXmQ1mMagLTMQV4btKcBe+LW24mi74aVO6dx7f+u7+20ELXyQPOTxN3DLGdur3h0F3O+QV S31YF1kJJXk8ZAW8yprMpZo+Qt/cX4vi5wjCouA7BHo8MPbr0vipFanwxWixKwmaypkifjQQJsXz 95aVGKBPTCre2G3+PL2CJRzhzV6VGoEvmYYgVn2Gr/esJqqYt0VEudzo6I+IA9awRSEd0uXRYJXc l7slUUtA3DGQUPI26Dy/A2PHxARZwzee5uCLsbz85/0jTHZo8geK6WCU4OaO4JzsNc83szDUgdUb QTBmB93ID976yZWDrFvJJjID3U0fZct92eRGlanly/kVnPOuAKM0dpjyuSKDDKgeBAV7sZ/0fY1J xJWzoVCcluxVpDjVPGl3mUycrXO3IYwTJdTEcNCfCFv5KJa5sd+BzSS69R6r3Rkv8mXO6Zv3gUAl G/Ut+Ub39EwfrDfNMThpGVsjMBkkLDL8LyjSJKcVPIeHOhlO4ZijWe/T61Pr5ggflzn2yRQ4xAwu AZ1zabgXCFCY2gLbjIqVK6UNG5gaefX840t653PXNmqUh4CyDDaLGkz7WoH3T4RplFOW4yqOpTmk fKXfmtLKDN21r724UyzN7tYrR0+kRhylBRnMP5xZ2ndXG1PFBmvOJ6nyjePJ8PrPvxzcPDtU/j0I lCS6BSr11jyXuRgd56UJe52KgImQe+dEL4uaOQ8WENom2Qmg8dNWgxVaPMUOjoKH1S1qNc4Do68Y jo7Yg1fH8ZCbArr99jygnl7o57sXez5E3TJfRts7QS9MhvqTuqtdlFft+crX6S9tyft3vW64Xvsh CEJlw+zME9iNazQyXKR9P1OhwLVZ+5CkA8AaXQFEiGHZdBHi7IlfrY/69T1RTYtMvFZVWoSNTpNC q37c/eQLk1DHJqfbUqYfqbKitCvO5QtHm0BF7V1CpbZpgt1Qb4AZWmDw97Q8R5Kjso82B4HjHV/U tfdXcfP2hxsijoxGc+cNahpYBK1vPmMIVz00H0qubbMA0Qa+ySURcoCD4QAc3QedUbmIWZXSF4pF y0OUKxdDQ8oS+720C+pJWc/GpWUAddsrE1jQrvjzP1/ThQnuEDqW5rpn8lqY2QH1g0M5G8IvSOHl BrDHccmdBGiUT7fkoyxIRNrHwXQEFRuuyf7NyNGFEtlLiciFslgpWvVsgDgt1bIw+7WKL77b8k7g dNMve7dIslnS2EYbouNGuIsO6r3med+ByF/PbkfQ6UmyU3PW76vj5LU+JCf51QlANl4fTDzYk8/d NqSLzMbDxxp3OfAyw0VC/U0hQPnZrgfGbnQqSMJikz+1CQ2FxgdyDXCtNVnGJud0VQL42p09Gms4 rMe9/Z6itsjFXqThCUhC6J5ss3N8m0RkRyn5KFx047T5Oj+yURIG1LxXNWsR3KErzllpAPYoXGSN T4z/xih2+OWlVdP4Aqt2eXtMaBgv+eGrP+D83g620AMh0hb+c2oOH8w+NZr11qPORbOxYiaOpGns tcSaDrqczGajPx5GEVPJrYBr9VRQc4Tn4Xv3Pg6s20LNQE6Mit48xpZM3zcVwj1nM2azUDxhOQKO xZuFvX40Pm1LkCIGSw7rFcQX73O388pY2jTVCV/pml2r+nXKuF6FHdlWS+WdvpzIOPP7VRXjHgyR DJYZx1oFNDjULSRmqvaQ0fFE2EiI5OBl7TyOakucEL88Ge5BTq6W1MxUxz4au8jIj+9yl9O4k1H4 yuF7GzlDUMld2M+czP9mxA+X07C5tWV6PaDswMOxZduKWrC3WPV13WuoMNnCT9GK+VYJet06cygD jAuobc55/usBihR2w99ddTpALURUXVrl2VcL/mv2EBzGUihiH5qN2cy5cIOdLLaELHy/F9SJ9dIK ZqLcYcGSyKvUO2IS1KJ2idpoeKy+y3kfG1imJLF/808PxnciEbH7f0txtnCI2m7dVlKHY8yd7Oil R2uarMBbCOOVJhT1yT+yWrOEBmKUEHcdjPn0loesffvpSZj4r14qm+LS5cSVE9ReNwkLBEVgLLZB 7WtLicnubuukoOsYXGQdcX1L31HtdtR2bJ0SZtUICqeP4OTX5vjjEDLgoyvGbjEsNiSAE3cRZoJ2 Yy8WfTyA1V2iCp3BIqSvnNYbkHg7Ww5bMvMU9fpdWmusO8Cq+IhG/7PvlWf8tt+lYH0oHY2GnJcM iH2nV9+d1kn9aw1HVw2+/xCF2RBu8lB5/HJ5pSuqZT0Sy8ksVkqy6lF525f1BeuzB14Jdm4GTci5 cQpDvXAE2Nnotfkyz03SinAdCaV7TFe/Iw36f5nHH5D0KYOfK+GDMTAyhENRPd9GpDe8kcdZWZPN 3/iOCpOC7pnOYRcME8rptxfRp2oJAZASKgrlxIRkwXSiP6GFwQ280V813sSNTb5NWt13YBg7nqxi dYdS3107dl1yCbkjcpOBpC1f8tmDtFI4iWckU7T7gkWsEkMAOwCiKsD0vl3hpw1HnjVHJrf0mn0/ ayuccPBPAecUs2e3wJtPwoFNkvAYYZmmvNbzYw+xrGRAkvtS3lmRiG+OoDeFwF4my9Zx0lLBi+g5 H1uKgo5Ff8zUKEzaRft34hacRKQ1gkhlodl1V1x1dNGJ9JPcC2Td0sTLIlX2i7fQWZFsN4Sunyuy NpvR51MG7f/1yPLV2UBfZdcUHCSRXionVZTNKzodiNgmHXECbviVIal36XRtlhd/AD3BmX54f/Jm gZtYUzlfecXXEKF25D+ibMY5Jy2mh2RzYHa7JZzcIUGhhGQU2pKSO4/dGelu4lRtUBLssfTww3l6 ddalc+vbMK7cbY0Oz1o++A6GxHsHQ3jUI5xw2+RC0W4F3WVgzBHKdkvAX9bnk23o+oUyyvTEBKgy PuO/AOjF6LM6Tj5Idj9EF86z+VvWpArBNaHl1gs8BCpY/uPWKUIyOQoQtST0v/5sFOLF79hijOq6 AmSa9/45Ex6MI2TMv4MJDD5oC6bUa3229Q4yvpRFfMdpfE/2H81P09+C9BAgsf0haOY6+xFq5vt3 xqAZxdyifuWGtMPnfq1ZK5R6B2NmauI8aqPReK6NTMgDnMTL/wy9chLRtRrOG9wMNh1A51IC76v2 jWYjF7Vz+nitnhR8Ui+dEeZPzf4A7MHwX92BRCNlxJAqmKUM2e7RFnNzZb+xoEYszyicR5z9P9B3 SFxyTMSUtRbwnUAvvNPsMnFw71lrE99KUJvvj46OrU5My1939fBU8E3bOe/u9tEA+GhG+X0vQIaU mqZK+82lnh78eEbRLnC8idFWcfOKqFbnp5uarBnEYazUsAjJuXdJVP9mCrbaPAa52ZK4OFOTx/if ZE6ZYxSe+z6R60VyN4V2sCq159CpPo7OClR7//6E0Nnoq4qbIeIYPOr+ueE4VvZub8VyBZzjbiQM 6HbkhDei/e3buGPWurZlbi6COBfQ7BJG/6V/DcDZxjN4NSxhPu16di3WV08v1JX7891oNBC7uxsX FcvKts4/uOHqHJFm8lFLIA+215xGZDfCQ0ZQdNWO8q374qHbXDZuEkkU5ec1P+G3drNhqOWx1QXM WUmU3TWvyoghCcOeC9AOauXzwGWtC4VdadNaik2M1TKdh9/EVYhblOxDM4qRzs+x/YCHnYi4ofHe Mm6E4Gq6/7W7HnEMu1tzO1pW+/4dXSoSdSo28YBZekySiwCAR1/cvUReQT/ZxFGThD154i7KBZ6O iQT9X44hZBupj1RQZCGy7BJOk1DVYdufPxHWKU5z1IH3DvqeUClWNokZVOTSgB2Ho2/n4iezE80J ak98BnQBOM1fmAMiV856sq7mOEb1zu8k4UJUPx+TwHKQREtVT17vHpFVjpp70PAaC+RBMcAgzbcv Mg8ijLjsX/IZ9HoPRiUSFuU4YBh74gm6IOS27j/NPTCKT71RG+Si2rxh2W1X6zBphyE4Fy/TKggZ E0aNaYTKkJFj506pjOC1aWkwgAgHfICzg/4q8Aat35E6oSw2RgG2stOCy52Vni38/AGf2TZMSOR0 MbSVzHVNZ8bBUXNqguSmHl/3t0FLQ1e7RmzewRkJPecFRApIiemLq2H8nw9iOzP7YBummjlYQIIK rbmNSkGmXHVIUJjylYHrxx4PM6q6Bpn9PdKyV3H7OrTtChE2YaC7t2xZyOkgi0ANSIO7mAusMY+1 6s38aHgPqJyvYkWcA/H26oi+F/W8B7O92Qc324Pvf+JIySGiDueCK5ppPtpndgFJISrODfi6RpKd q0a7OqVOJvp/0lqjZLRU/oqBE82SmZqe8AEvx/hOonNqotGwTpr5pA+Mu6tmSCuqMv/ueh7qvOFp wi7jfplvt04kwvB14U5vV1+Z+79NQZr6KIgO5FpPecJTLGYDLvTbwALqLWz51oaRcrHRSgb8Su0S O1KPqgHOjETX/3/u4H2CHfjQSRUNk+hLZziiBCSEoTS7/zrzuDwpuLaVAqJPrBrxyqKM6z/EbNqu B7MMaaC+fihnPPorTUCUEVZuPKKdQXMIg3gEb6+2b7mrSBGDH/OszX5aF3zsWv3by4SRdZQmKhIy 5Aur+6O0O2009ikHWjFbMA1MdxGHbdbli6DT0xYkMjiJ/sAIIblXL+72zQgcSJAfOABtnG14Xztx ACJQOZfAhw9pmGvYCIPq56gwWPmyMl3s4UrqrD6UOhCc5ajHQKLkyoERS1aq+SqNZfUCgVyOI0NX AEJNq9g3hQ4Az6aTLdt/zwTmWIzg4balCIUk6xrUJx8eVpgOMlare/dtTnj0nKz+Tgrxe74oQ9gI PApLoXJAiO02C+AoxXGjOx9asv0ZJzIfcA4weKRB+ceAxl5o83uTGczs/EgR7JK8k/ZKZ00GgJNz ijpduwv69nTOUBmScvIhfD5QM08ILJea0+IKsk+9rxqE/fklmFqczcFu8VQy4LEfN0NQCbu1of4P E9/YmIc2R2oeJSzrbx2mMtNqN/w8kO72sat9TsJz1hTpXYPMHLyEDr1YZCcjZeZGL0wNrqVhFi4K UQKzR7Io41i49Mj+0MX0MkgmMp8gwAT+i2tglfwiOkgX0k2s9o8Elu0U5+Hi3wT28UXPtrmNBcXZ 6ln8XCOCHsa9kUTFrP1Kh2634clJUuPjKuw1dWmvvmKvAa6aGO7v9qucAqAIHAgg5xBCdUVeCmOV sqgfpUmn18cTIgHo+hr/AXC1rTcAzysR0+5XUrCcTGxRtuVc9cnTYqvZnQd56nWV0mZjynxGFEEA uS+vMTAmVtVKiYtcOJNyrS9D4R39ZPwODirqKKJoWqf7Ol+rzS7EWC5MdilHVp+Jtu2x72XWiCn4 E899TZA2eYCnfdgJLH5dOyFhw2XeJPLYHB0GoFRiN3V0xKuP+7ytvfnrXgxFEs9XLHq5pMO7lT/o UsiAft3nEH0PTsMd1T/RUUclFuWCecrYw7vJPo0uq5F3h3/WysDMx+ys6cGmq7IAa8OUuaL1B7a9 n5cC0TN5uyKYHEtmnnZxXLFMkrV4OetYzVNUrF5UwyCECPH9vUSvEr7dtz+dPPAJ1pHevMNjXhaO G1uvMWlLkogEbF/v1Z6JQlVhEC+dSbxxjqzxT84EjjT1CuMJj+0WNDmvgxdLZkQxz4WsEQS9qJ3f gtXZdjhnfwUpvJapVuCbpBqn4TR1WAiVEesrEbaksqf57f3RpRUZFZRCdCDJRu2LtQygytOLhJIz EeApluf5ZqvN+KRLAqSBF+vtMkPEAlDSJwwRz7ycC07HISlTmarHLBfrg0DyGNdhaCqTs+5nM55q 5Jrh3iWKqZk8bqWH/y3fng5ggPxNz/D0zgLwLQHLrmSsN0shsmonj6oSJniEw3PVEyXgESq2Lb4i Z6r5kay38x+1ufws+uJhPOml7vHMBtIKPh2aCaVZGE8yPExsvyKSaCdMXCQ1uycyAH3PSkmBIbcb 85lK23gc5ZUkKuAZvB4pNAgdX+aci3PcqCzPw+Uh5kg64Qhb9uJ7Gd7CkfUUAdm1T6ufPva3qEa6 sJBwtasNt7KzgO1V13fhEWLpwkD91Yj0D+/p0YoCE6IrIo+MLomTeI4uAT7lbaH8WGo2Huiesp/s kMsqaQELKSjBYTTXWZzF0ioZOn76Qe9YNnkgpFe2wZMQJYWs7kZtcCo7ZM3RblU6IzzdFeJ0ouAe lhNOI50gQEHoLQB+S9mywS2GQGMOvNtvxaKsp5yHee8B3PL9r9dOqprj++BI5Dj8gQimubl9ypiE ePg2RyJrCXajaf6Ea1CI+VZq6POikQ1EiMtAgkciKTaDIOpJ+7S7oJhL2XALkHNvdiSfV7eEsFon G0WhjbIOZl7RehhVMZr3A8m89iHwy6mfZ6VgVwhl3pNLWikAyQQ0PDJC5f/N//rzRB3IxnEI/oNH ax1DIx1uR5RdcDrTEgI21eovCTcJl2NH+5O1aIq6LLDZ5JXqyQTDze+ceFKu5aHlMatpvZ6z97M8 1J17raoMVrmJqaWmHVHrgdystfnZ01DsV1eLf4UXCewCl7m9coBLzJ6RId66JK8vmwM8n2z2k7ri SlmdznLNgYBcdBIPX98VO3QYKaGWTMrooQCKItiNgPK5AUyrv79lWHpu5WOXhbGL1alSxTtFtezw EW0lMPPlBb2vUCtJQK7tUqFsCez0kwwvw6vnAjvWxOIeVmh5JnU1w8t6HHDnL+N9VHiV2BGoMvJh ZPVzCZAdSnaKZ2r4uVR0kn8MAJ9ezdKkkSXHFg9lZ0X2G+Xj+mXaWNIkaCYy/R2Z35YAUNR2BRS+ o6du5tCA7Ee7pWuxNYaztRLatg9o6oSQ9T1AMBzVWaztXSD/NyNYGZU0zicyHWGzPJeudvOY+dsP YaWLzh9+PwSa54HAy8Ac1koYOiNcHqk73igVxL0p9HtxqJVCkEX3hwuVHWkmI5fFXhx8bgeBawEw UAJr+kTM+n9w1I4tIJ8TroxAonRdD6+6YLpBuozRzPuVPizqdEGyjVNiluGqamv0NRUMTBYVmuLC pJXG0+jS+WQFAq+7C7NHYue91MFLa50NBz0O4L1PgZJGQElPDZGwY+KBPn5xsxQKB4AF+Fc2UER1 0Zz1sTL5XgKy84yPirvMMjxiAm8jUmOt1Bf37jPwnqzIaijanoV8Uxn9Ljo0PKnuy7lHm5evt039 z++dqlq6PdgP7lsqiz5Z6Ja5uSgpl+iruNdmo+eFl3B8pujS+rBS0uxnmXq86BSy9e7NmJj64YrG msZBMp3KGVMhAWWvfbm0TLVV4/V4JIZWNkP2rShM3NtXlgDLWJCTuqNPR1v0wDnfqVnn3v/kkSwn 6RWMKAadnbExuekbhSjGT1OcKy2tWjDS8XdJxWkXEoefU7qbuUmCH7s2MS5VQ8iPdINPJPGUEztb HNBlfKuuP/fgGxfdIN2du+JFwnc5Rczbgh32Rf9V5/UYrLhZJV0YNalijt7QA9NFRg3mTG0DfheI ZLhRps+XKTUStTbxZeFA/gt4cCE/acr9XvGdFbQEyl3F5G1ERCSaB+i0RVKIUU1/CTs2Ps+lWk6q 0kef8Bk8N7KGVNi8CYfC3+1Upfc8HoL3JBo0ozcpiarDvxm16fdb3sFdVrwRbbe8UtltOTRFTOln VSz/kDU8/vMXpNm8pOTEbHZJl/qOH25LWkwBNzI7PsSFGvzQADU5UKg7cnFhevWJ4bxCbdxAhXFv X3u8QLNF+kRYOZnbcpQ6p/6Matc2yk6KVcuT6L8/Wbb9+/ggYLnCbrDwNoLWOogsyaEMVQ/GMStb Jm/hpMsbtHEXGK+ctonNW23pbocIwaI8h08Knz97s/iApx3nuT/x5gintD0BpqxdEDW4H8LJZ5id V7TFZvkXQf7pQR9B0Hp5U7MPHxzWoKaQf3lGVnkiKGP+QWO0R6GSKQWahphHQjLQyNAPjBCBomFu PB0WVD0MLapKXxTWs+sSnWuFoXUWOEGb0eiPeFujn/9qcFgeJWVmvQAhUxB+/zKe9ZAgFAwQ2Fws qONy8YxG215vO0uvljV9Jkx06LXK2D/5ZoLPWArFrqoA6z1oXK3AcYsxyMvtzpbuAgUieQOxvkM0 xy0ZlW96mtG1Il9x8YFEcaLSwcYYx8KVBETVi3dCsXDxaWdzJ1BFVP6JnLLprh+OHWUcavyG/Gk6 RwaXZsq/mI8u5D2Rci9OQ/ih50e3qt3YRA7XRCKq+XfLjeZcl+jBcUigGzEcV4wc1crd7y/qCKVe +KKZqndHPpODmBrSmWPsQzoED9BfFY4PvEHp0CD9dRim57379KhjOX6adRKvVP04cvXxB2z+6ArU ojChyxZQTEVu2m1azw4aU4X7WodAbyd081jqO+wF1OE0yrwPOdA0CaFoHIfWm0g5Jr4qDRkxDOq4 nV8iRL5lU8RA0Dwx2kkXtFyynoJPxhnTo2btdLRdNMB338kqldmvx0ZD6MJ/F71MyKmmLJWWXE2n EWvnrhLNiIP1KG6vrvjEdmok8xJgTvG5rD5DNU+Mu0lyBs0JDQ1SwbwnNFd74sHLLeWpcKlBzqgG 0KdNP5sgwqOE8GDQ3r4VYiCRNMC1+h6rMhtwONTddJo8cMCHsb8TGP/nptU4SH+SpPfp/JjeIbpr BlSR9Eqh0b3hOQdYRgKaESmH80eQgjhZ7h53G2QgKS31Jw80805kPX8UxH0AXltID91NAY9z6t9r Og8g0RMRlq59chd8UbqDncJcL3ASFLL341LKzmMxRo54JwC0/C3Q+JNWZVWYhEecUtitThze1Nkc aDB99cbPJJcSOF9pmlkclOWJA5b8rBr0giZfxdgLONPF7Q76rRkrXndNY9PikWYsyXcG5JjnlRSK bfTc9SjMtFUUwNXun/zDDrwuCF/UAdub5gfrr3D9nIpnDu4bZcb1c7a4unXuriWQlS3LtHZOPz0d svksIpBJq89p1q+Yc4wsVGwtzV2TqDoBlP4msCqgF3wP3xBBV1APDHNEfj85VC3/39RIMtFKidpN AMcqJsoJuWXELDlApinaPcla2HZkEc13zMEl+glITjqRKxC4cihPiZweP0TxpsH1+Bv86iDsFPIw WwwyAiVaucemzsGPjbzKZmXUctf9AuTzl7JX8Vb0G18Ko0PyLVgN4XU61TSXqvZhZqRRtihwtVkV MlzZSAzFOw1S/bUurzbdLbOY/hDimFiPmZWeGML0+3hAdUl/OzowSD5qARzKKtM+AvwLnUYa2ryD vTDUHvsCXQy5sCYUxO4TA0uT2Qs4eP/yqqGTl8OUB4P2+igKjVxYoy5Ejn6pt2u5Ut7cDfr5N31u SbnsGX/WM5eGZh2/alELM1AgtD4+ACOxtdztrTk8iwhEqjSAnhz1WfZJNbHI6/9tHyPI470eOgJ3 3bw8NZ9C04o0K2RRNZ3zxqEZlp9u19ALCfktLkQfZKACyp7Upm/b/zruer4rKbA+jSMMl9mqeQ0v zDoggJeKCroFPQ37o9w02sd3jJTo0hQuEpdNfXjO6vDvI2mA9QCoTbeksPzopo5B0sQncuAatiuu e0N9IRtH9TC534pORf+6VrmUThaz8Fq7LGUP13DKgnGPD+KhumSYR7+Va1b8MGWqKrOh0j/OIhrR 5gLLlWyk+J4c64JJUvN6ul55GjTlvlRT7lsy9KFGlb3ynJmM+TcetXdU7iIzXH/LWc4wJM1c9jjS 58JZ8LnUnX8ZoxSk0nORPdO+5ALSMw2/J4Ish1irSyvUjhdBu5ma5xAL0JS0pf2lsiJuaL9yoDkv Y7kpfxNXUiD3M7guWF6YrDguHpz5R4AZdCc+sOvHj8z3YFbYSWhslPzQAdwGHAEtNSyb0zFSItWT YrSvDOlt78M0WVu1QFt5AAsztl7zHXR7OaCnogtIGdYa7qIvnr25MBsoVHgoiBFWT++3F2OYoPJ2 djASBxUxvsRX8warntffUMhknlJyoGPLr64BPawW1FzVhppiyMtc9Ued3m6OFOIUVosMDuWcdy8F daI2fhdCbbu76QrKqfwjXGIud9pbKBle4sR8uoe7/smQgSXgdxm9M3ENw83SsK/xn7Munxl4U+1o nKdrp8bPF2BDw8RMWmZ+9cbtmKYQjYcx+syPRIaMOn06ojHpvMQKgaRiI12QX1hJLp6TtA4O4xqy 3qn3/Vtu/XMdYIjgaLhi+JX/UnWolI6X+AsMbB9rrzRvzS6VMqvidGmuygfsDhZRs1cc+OgbqGcH xFdnhZRDJg37pR553y3bPiDgudPi6FaY1MMKdAeMMEwerxg3z+ywcbzP2RV+UtNDGHbbpjP7utvO aZyG8Prilw/vFS1/PiX0zNQh16M9PF8gywDbE4WOIfXQi1VBLEdiBo/+4gVXMP5PjR/x4LPmfoZg Hb6sr5X8lIiXRj4PH5A4JU6XMHbExfITxIcgHzQvBwMHTJa8ckEC50oeoeo9iKNVZhQ+Cba1F5ya BohHpC8NBxJHtvfoN3LEa7e+nn6OjcZ/ecScbZIpExv3WEcHZhNxjr2oOv6U6jWJwgvmFTSCp+Le KL2ldcCivuQFxtA5M2dvScGoNLc21C2XeHCZmtFD8AQqDDuuKIc68Ce/F+0cAUyG8wdhGaVb0FpL WRNH8I8Vwdg9qjyZvS3uNwajjm56gTu3dUT58V3XNIvIhb0OEOIyoBiYShYbdr/0CIhZYLB3bs8A W9Vx43aS8/ONi0AOvG/iNOHsoYolfA0zZ2BX3kRM0GEHYEJql7cZ4LYn8FQeOH7az1JyUw0H+3un Dx//7CVP5LBPvDfO1WUNLm9qaq5867AYESSeTWe6rw2Z9Hw8cM6h1lOSNgXPEBUKOdJvpKQtjShO Sed+gCPZBLwfJwlX5KlhqZYbXwn7+JF2Pu7tzoaspjt6ykYXFFHnPNl+5ranb0Yfdmi6DH2po7d6 0V48uP+f7434cyUAQLbRT646oYQoOwkZAHSR0YgiIip80Z5UKDT/hXA0ezvspKEI7KPuS/2QalNE sqNW/qpcJdTSa9qq27HZAt8xVz8uOTfxGqejfVocui1oOa7Z1brb9rC2z/X+hDh16GgKYm8jZtGc ST0T5TFTf2Q1oi/yEZRjc/TwXqbd7Z50HVjJHD9tUBlwYsNJ9ZvVKkjjBiREM+OsjnOxqGWnPseY EQiJoWr7q+Mgc5WVBf71tXRKyWHE2tC57VGerCycH67wMu55dI36edj9SP63HmnvdZ/A9LapCFOj iQ08bKt8xDL3nl7M+tcx5DW+43SFy6UYWZ3jmXz1F3mSjHeRgpqRhTkJ0xwAFnB10ZYVIXTp2XSE eJnICpJf1UJzNapuQyKznG/zMA0WibAEgP3Ee6/3NjSM27vvUhf4ySLS30HFzu3o3IJQVfEI5dbn a9C4UsvOKYps2PaLbqtNO9cVrsXHAAzNhsvxg6qYrWDQodXzuK9IYzt2Agup90WVtRgzE8ZWlI8A PCJ8q7HR1tXoD8M1VteYPLPA1hzMALRqxoBv358hP/H+HCXC//9fAKSyskqMHgLJMylJ+ZjWX8/3 XfUVVUuCGpjmYdzXf1Tastyo/bhSX70UXR+5GHY4JJwFtFgipXdo87iYYXq9gzAXtZ9aG9IWOfmy XHyhzvLGeSL3jgS0KaNwluvx7vLvjBphRt6hHXD9sDewM+UrQvmKPVoUG93dVBERtdRp/1hK5DED qobJJ7BjvUyebPNRyLkPL8YXsXlmyEZRmH1RovO66mBMXDAd0LrLMhzZhwSntoB942QHVCbaYMku OiyFqsGKpqKTrKT1ISWqPUgYgWKBIfzu1fxUmRfLuk4s49h2AHf3o5XmFiugrGTsvPqdJ2S0+HaA WUssTWUp0Kv+1drxxLETWYb9HwGh0lMUBBxXhd6wrtGUBOiJvc125bj6pSlNH4+xOWFED+ngSeNY lJ3L1jnwZaEt2hWSWzR7P6LlFNxe0H5Uyo0+wlDDL9SahhtsXQ8v8q0LsrbCTQB/ZQmgLT04P0LB Dwfpf0kONzyhDaiEnq9ECM8WbbYht9jV6Tf9LY2mi3Ak0GAOjDTMdmhsyvidB824aIxn5LRdpcdZ UAgXstBtd3cTX/6L4yHTxu/poWbZsyj5xeDFJEiISDfPh1uNjSmAoSZo0hwPuY0vifGcNklYOvmR kxCkC5GKCf2CE4afDdTKiZp6Cd4jxaZpjfo9HzXF9Xd0QdlVopaX2s2LV6l6IVtqXOm4/KhfQJj/ mLoOXAU4RVZErKu+2w21J3fapwU6uWJWHWk6Dr4NXfbFcTvESJxseXzYTvLXnl8W/Y8yV+nz1vju 2A3Xq57Gc8kwBhIKCS8dvy+7gd17C9KyuNgdfPGU9rcvDhzW80PIbmS9wl7n5PfGN57eDNWfhRH9 FhHSK1PV1GyZTAeeT4AGr6dY8N/wq1qoowl23c3SWTbVaLIvyqNViWs4MDq3Z2dfOWXx2rX7D7WR S5A1hSH4ZeAPLPPA2D1W7mCtLqM2Opg6/23gEUQgajXmrzkexbvj6a+zj3bvkZJ5SYNvA2zy/QOw F5ZJcl2LlZjxhTyiZceDmKON6gegjpJJCOFOGZSk/dN4rMuC7HnxCxl5kel+chb4rkvohkKkxkpm GS4j3cZbU7me0KVjwm5Ba70efKeaG6xTow2jPG3mZc4C+Xb4GQP2OgYJ6p8YCCbcDSz+KM6Nqt2T w3xKWDOcNIQC4Tfz0wv7EGdag2TCzp8DTry0nUXFbvdzo3nXHrpq997goKPl2kD8VHsb2/B4ybS1 KxgZhHpZi3KuxK+OVzcYhxFbL4RlSBSVCYif5sxg6ClBW8bN51osb6+ZIpgga2hqExgPDRnAN6DL O4s5h4VLdBmi6sCHPgedjRvxcghvb1+kfwqmGE1xU2ZVJxSYylmYbXj2vTfaGOo3X+qEWcSFEd5M kYr7iAmLzd1lTCSTOvRZtAFwFPiFVZW76pUz77J/LkxSBPy6jbB7mvEfi5G3NMvzLIyEcRxApM1p aEOhqnLbVQvCq3/TxQb9RSRa9RJ0AkO4U0JCShOADTTF+jTAj0KvegbRzF7BO3TN+M4Eq5KbtyCq Mn7h86xJNBFbWeott2ydjmOuU/oiFQwnU3EmgKzCvJbe5HeAQZuJ4pWMrrSW3MBiShohVShQ28Fl ZxC5IcJj7fwrgnEz387pfPBk6cJ1fP6EFR1zT2LtuZc0jN2WrJiLq5TAkzj9SFQLB7geS+3uJD+G rAkn4CFfx+wXtqvYLCio3J1MAT9IwCbPZTCBt+SW5K9KlkmfaBqBFuglMAnQ1LpCubqTkU9nOY+8 oyogKRDCY0t2SJRH6QeDKLnffCo5y4QWdn3PG9sb1xROYb4Xm5MIS/QNBXAdKJX1KMy0TXutpqkl PTz+eqMj0DN8pPaueRJUp4PQc3L56iQS3+MLucI7EX2DyqR0pCsB6LsNDqHZenFzvy+SL/k4MHXZ /cVfuhWmy69lD98/5b54QFSkJP0temxpeXjxh09qCRJeOB04AG03IElLcElYTQ/CSX3tn08w7XOw mdoEf1JpRf2/WRn5O7GVACceze3d5wRjMAMyfzZqKBa8T2pRmsMKq2lUFP3Fq/rKOkvj57Z9PKEK q7G62+6Kv3jkSUaXDsvVZQflwKCUbL8jmnH0/byuif0qOTo1wlRvfn4zTqwr31YWPW+74/zly/YR 47IytcqQA59p63RAshhXliJXb6M/kWSqQ3Bf/INnoio0os4WSpIwQyqA1Q4NlcrXXhNf4+0p+me7 86xyPL3Ip5vL4vkOpe/mV2h3vzrQO/VKx5bvEA8rS1d7NiVdvHkfKWGBka8tfHkhsgBbHgAA1GRC dLKb4YVJeEm9JaV3enAdq1ouEWRSEEM9cW08JdvbkI3ZCNACQob896x2rujOuTaDL99CYDFYebdb mBzK9blpj367hcANn6Vt8/yfcGw3LryU05bEKfi2BPEjeFi5n6pTWuu88egRLW1w0xt/2KgDm/2G C+x1PhHLKNDQoMl+Xodsu9jdEa4uXwniN1XhkgrUXpQZORaMGdv769xKkLMnYtZVjNwJ1GBvhLlY mSosFO/F1JM9Z9A2/wkAIzuI3a4PJHZhH2X8keJK7V5WzzOATkaXKMlOH45z/4WMoiXVMew80MML 7TT5y6XyU1YjdtqKpgZEipJrpk0EXFHpCuPw/Z+BUwRJD3tnpPDBwXYXViGnd9Yo6EcJNvKssxVq CNd00cVvYkk9ZpPcGEQcn/HeT6srNR9iTcoYSa06ScY8/Fi94U0RmtCojjCxYNDHiiRadu/8sXi3 8dB8DGlOEQLcjWOl5jlS6DGamCQkNm9y66t2Gg5Rj63GL/ZCWWoow3wYtZ5+8LgvKLC3BEtp1ne5 nGxNUVS6UgzLU2wE7pgh5D1qIZWy6cz+6aZmGndWijKy3RJaDYFEHAVBFS37onk0lUK1M1iHv0sS q2Vl8iGm0HD2B2EdfQvVvsHMaB6uqMrj7MOr5ggSkiORYKadnnD+iltfv4sBVu4hpAzIkhuSGp9D HzPP8EWzSEjZ7YFkO1YxrygccABAYHKvgbrys9leJbJdUesvX+PwGbvIrs20w2b4SRMp/wjFJvUw eixeY5xQsnyYYFA2pFHtbUM111txwlk/92Gg0yAnMSCQiXsNPuF9E0+xfTzwucPVqV8txEluj+03 JEn+R7eZiskPTKwOhUTC25hA1SxRBWOdjM1LY5AWlnGPSrFzWSGi/T14EIVwubLks4JA+4oJOzlL L3CeRl9Tx1+XeMtuiN9qqmmZqN9Y2NIGKBCO1Kz/WhFDTO6WWzYI6fgYNAVDF9GR6bWmQuaaj3BN 06f+3l/g0U71tsEXqhWEbZz9it9Xwj7gKauh3STYsnK3bCfcOCksrup6XhNMoCjnWFXQIvemSVgX xU6byo22bn1p/Df6E61upuiceFUe2mvPm/9lsHhYj278bPMSs3RaYLiAxsZYZaS2O5EwvfBjvCil Vv2XyRDnGOoYSpSOwkrNOHmHINtjz/l9Ywh3UAfEqsVEBs/FJG9VnQBIpaIU9OfKNxThAOKVW3qz fr0APDwR12cg3m2t9COcdpK33d25NzTZHOJdLijWus860CP1/ch0SzA/7lKGcHDQImGVF7kxlXZ7 wIa7h/GHbAZqqMbKTCH6o2nXjokOzQVtdKK3NAzA2P+UuQkgZICtUdHES1WjRjaVplzZaOoR8X9L llSart4BmWX45jyO/LsNQcVU6feRmgiQiRSzI2Pp2mkvBU9ep9XV0np2IyWMA2quEQkmnOApvnVr 0RtTIBMgamByHsftmuocNMHEwSzd//TGLtJ/Dr+f27ysZZ1w06KkWIuwPidfFimpL0nwNRTQGqWQ PrXMOHQgrXKv1YN9Lk5kSXF3OsCG6jygTlgik5TfvZLbjXcioA7aYlBSeXRKayppIJAeqI/WJ8Xj sP8TerpxyFv8Iw/W5j/Ly5LLpzLnIjX7m6EyWmhXH4z9Pk+pqP1+wTyWpml5dvkB4muEeLnLjAyn iFRRoPpw9kwxYwRU9WlpX1Ws9XLO1Bjtnjh+3PvFczgVHeFx2d2w8gKUJhYB7Xpo5HZIJcIMBUet TppVExoMrKpjVtv/D5i6z70ERKlc3iEI7zcsz1Rbdgd/mLhD3B4qdOl3OjINjqbf3BqcGwaPSBt5 OgszIO14e/v18zy2cHbUDXJ0nJ9QyLSK8dMGwD+fQCOzQUAJm6+DA5JKRUzdM3ctnNBUCCdq6pDD 38tubCCYCaWA+fM4wRmbnDUB0xTTx0jQFtWX7wdxYdZBi06TkfYQU4P+tq+cLW6sgWOML/4u7ZdO nMnWi35AcH0j5tu8on51oPeEZ2psi1cMUs0yhN92Lk0a8zbkS6CYl1hpuel4Bn+lEQJMMGHQKSff hxfG8wr134dP1Pmb+MZ3LIvfiLVH2nLwsunrHVXx0yNcmvzUwc3S4vvrLN55NHtda7456zxUB1vk wLBCX1qPfaoW/EVUoKqgJvpHmxZ4AD8iM+sZA/Lz1j7IezJcfKgwN7qLt0xH+BRUcScR6zj3n7JX C8htwRF7o/aVwP9WiB1TKew1ALun+eSvhKBZwrXZNrdnbiiqxLyV/3Lm/PEMrbes+jDZ4E2O82la WbyGum6XnDXsOaY610txBG6OB/GdEv7Nh86rM74DJTnrm5JkotkT/1KhMhzLBiW775sb1FScQ4M/ 8WDDW/D8VPrAnxdNzbxHuLG00zUGM+F8HeKUAbpDThH8pJenfjetDCrHjyaye+kJj3Hf+Bm4Gq9p K0TTWJImZiFSyO8QkLN+VJsdCxnjkC+j5RXKUOdrNbNimi01fztuI7z2o57SzBfwxbKqVcSNSyeS Z+fAgDCZEgv4MJeDNthnrtFweUUKDc0l5pXy1WHdOpE9QWmxqLv8jY7otObLkTYIRDYY3RLwmu0Q 7Wtpghhotp6kvz+NyRQ/MxXZghobodNHXJfTcvbGDZnaDiRxc5RhTu9kU/3wBxJPuxW95WGjEdOS 7v3WiiJOTEPEK91OKcJcSQ9GnTg6dzm+T1xi3zYA7YtuBx70o2P7HifaKPqbFGLAcqiQ/7uFRJyj IBa3YppzKky8r2gAP6R1LJzLoBx+XGU+fnZcRynH0QSSfz7nXs9CsLFP5VECPmQWtOtcTZGUbheX P5QHNyAgJE0crMAQnzDAsVt7BczUWTPykvHbsIp8MQHac8xe1JBlgGNteakKuWAcSi+WdfX5xhno rYwobTMCIemESoSPjgOkbHBF/80VCTz5OlgrMvceAqSxzQufFdurEeL+o8WGWBJuph83OTm5kh83 W/5jWKn+VofFV5uggf/fiFHUuLzE6x/Ul+hnQjuc+xP15Q6PzoMkMuZO55b0yQG7ZfOwh3gDogyR RYNcC+O9i7kEYR+/r9ewRfYuVFFC3gv5NH4aLNLLaMHBTAbhQGK8BvQz+XfPP6b8UkBZH+QYsFNK gioKjnsy44WPYfhIZBO+4y3sjAoOO482r33u6WB01ye4i1GmasUkY+1ihFXYzieXwHbmQ+a4YQVn GgabA3ZvYchghbIzKGUkR26zSEJffMBglfOkb/rf1b9ggF51QI+jAqzHLQC4eOj+3KSNe9zWUzuV YuFn7zI7J/v1YGdk+xCT1LsewGFQ10rcZdjTpM/sBCTOM92DrcOAVbYQi/d3LvgNaOIVsxEgGPfh OvQY652thXkGA4QofywxLVLi8kHnNsNjtYh5HLljpu6sJVHA73effqfU8z4hmFBQQj1ngfcej7ld J1EVC+Ad4D+TpMP7irNmXRkh4Y9wXaEjXkst+qqipWAlUGx9Yp2pMAPuB2KqPXs1FxEUBoPq1QVC liFUC3hhYue8YiLRY99eAinuipULn5kHpPiFljX6UFd81bZxNUkySJVv10eCMl5ERl9cI2XcSLro zt85eAjBIxlwZADT6tQ/I7ZWNWz0G9i3WxxJZ5qc0UioN0i+75Q0r4gxYYWkVWkqFSBn8JP0js8y t4bXbGFhn2YE3tE9AnYT5JpsWRyrqpBpeWDBL3XwvjsP2eBzAqF/I3eol2+b+qH670qLCBv2313K ACoKka4WyLeqZM/BsNqA9aXTH4sY8/L7TM+7knjoK5aEXv09HNThiBZqjLuQ1I+a9gZKj/BVZGqG 4q3KnbfInjHSW/SAkyDfqpHF1sHVX8EiCa+R8zGcdj7379Lh/rc64A0gi7vbTAijjl/K7Hlj7sZy I/shEYzIKODxWa5SGGaWjLh0yujkZ6jabtLTvnRIJ50EuKfKgBIX96zWVb+EOKeF55kPklv7Gg9u 66UTuM/rHAPrBxWDMUmiuw1z5g0VG+3O2DeuVjlCCWbR7EAWqR0ukZBlKWobLRsUaFJxSL/AKdz3 N0rWF2p7a4Zbs0ML3Al0cwxF3sFFE2TjALyDxy/uJCaJyoDXSu8pv+QUv+AF3xfIpcCe+w/Trlza kjD3EdSh0Yf4zJs47mGE4+WmRdPLWJqnBw522vwa9sLddLjio2i3IUdxgP5/ZIkKCM88B7auXO4m CO9H9+w+0yvT3XxRIzH+36ikdBIC2kPMF4dkMP1IEQPOJZk9Y34hyteSJLA6wkPKs5rUQU0Jmlop kAdVFLo2PLn/zTy2gu2f45ZTdQomVL+Wrve21a1meO8BSSE2TDHJnqVUw5cK/TOrSB/56CGFbYhX yfGPF2gkqVX54wNs1LRs+S31lpdM3pxBcr4Dge1gwhsAwJ14Yw1ubI3DutiAZixujaRAHjyQkld+ WAQaSzsYq+CUF/SV7Nf7xuxeANNn/ujJsHtZYa7859i3uQimxpKCLKFgfxB5BJ2H4qh1InFE5TEY v+fIFT9wFtZVoQ280xOX6Q0/3U+jmQKWEUEftLx+dBmzRul9lZcTO2RlbkZ7LoCPAoeVufZxUiPX dM+RW9hcS/yrdCz13RLWsYIMTbggn4VJfOH2bUvMIjawolYBSQ/YWTg23lBrW0qDIMZGf71loTRF zobFh9/bsDc7IYMlg+RmEM/MhufkUxdbH5c5xaFf8b7G5Wd1xo9ZUmiYdjR5SmY5Gu+yMvRpMXhG cSa4dxzdrWcqCZ8iNN6eWMFqd1xv+upGE3urGWu4oTLNBZi1ZSrqxRtgPGS3djGkWDmqJtNvaGKl wg7nTFQCNpiQ9irUnltaMggrLN7HsmHpcUL62h6nMUUey3tPrsiDLwdjQaLdBoQr8pvsFMttBo/x TNsucPZZuV1Qgl7WeWPXw4ri9WNuruTyjjSAA38rfHkd/0Mm0gJxmE9J3wvFPsJhl8aRdLADuvY8 lgx12V/uMH0NVIT+PyBg37rIzfFcRMv08qLxI3UvAIxUmCCjL5Jb8rhNZwCQE1+22UpJ5CR6Jy2W WPFFgiAdzD4VOF/AeegkSoim4PyAo7PBkzhGWwy51k0OVvQvNO3c9gmVRRDJvU/53LuEjmSznAX8 9leGIvKB2/GYdIDmCPt5Ks6kz/tJuWoWAXgHf3us66wmetTttx1oI9akiwqXk1ETBaCsLExN7Hrq 9Sq+jCYCvsDWsdFmqNwLR1zjN+E3S7fwDmr6KUrRE3pfebe3Yf9yVB1RbolRPvavMCHtn7HL9sA9 7Wvu5/FAjucfRJvp2LQ0P3Ni292xtxzsLHOkBUXZvWe3bpchlKadst8tLVLGpu2brkYMx1MPSr38 NsCyy978d1EjISeHDfQ4NgvXWamP9j9ol6NpKN27BhJ8ql2CROghHqVfgGH8dZjJ/CckQk/DiZJE 9Uqp2dJfFWY98RXm6zkOs75YfJp7xRWRmYVuUZXHDF5eMocX1JhOqettqwl+j7Pzp7tPYohZmkGV Iw/CplVdgwNBrmNMWl8alxW6lpLItUy0VC+9IoCvC7w31/OjKaVhdHmE2Ejpf0GEcb7zzDmjMihD tGVlwOTkuxYLfwH2fxqsJlR+jDQYetnc9ldETnXxmuAWIeZ47z5YZmnCa2qF0CWSiNayQhAVyj1B xVdw1yyK02kIWgP2tEtFLfNzPhdDeHO7EST1Ucr2JSc7ksSJ5MhtuLeCnL3d/Xm6XR7iGYwzpzLe gT6BmUPuc5xZkc5cLNxXd9pogd989jCPEzrAeTBecOsQnInQvJvJdBbIYqWUSHNp22O1ABb70wmC CvwmMIl65zeNfjFyaf4HFamy1MJnpJKWmvcg1NxBfA+15f0x+UuvvL7icxY4d38kfztYg36PRhSo w42AiT7rBwE4OgOTLFZcFT2+LgZ3smS/VC7Djmd8Ek8nIr3T5gs1w+UTgTnAiA2xaEnyQZuOyjmb ZtSdGKmlFldEFAdIIa1I+pYyAE5mTUynSwBryRlenmtXKSS7sdVylIbosNc5GLumX2NeXsTzBxay uieByePucCewefxIralg1af7nczjKUHvaARd5HiWzLz7YOjP1wyifTq722Z6gCNped6A/yf/8i2D zsXdtTRkVRYHx/Q7MYa+zd9JZWGmX6QJc/IfJDKmwkR5Jn87mVWI4RvR11bWYxV3vqn2qljFdt4v BUQR7Q9og9QHGMcrCvNNgZOdLr9KWq5Zn45Mai36wGQihXLhecVlzdRQxsb64XHizWhPzRCWVz5y /rRkvQGaauJ8ZxDgj52kyZ+oQjpx1mZFTqvikHTkDHEukks2iLAygYPiMOGpfShFstgb+jEzsr38 ymPA5q8D1BQQMUW/vecFmUwyVmyU4FxoPDSJqTdsuAmdXvbFo0Ry0MXy/IGvYAGyZch5uSeIC1pQ fEei1YrS6le2HlNL+6mtjsgaf4losOkwcibmNfdr2fR4yXL+wljpkPM9WKFRYj0PoaS4thzbMatR HDpUJj2NddxEqCdXOJpNEn6RnKIZA4wRd3zflCVynb4BoNqk/krqRwZ8thyKFXjO40IH7xpgjmMh uWUlffIPylySZzOwJ472Q6D+VlJuuOP6FFFVNO+lvkFklvaSgJbXQ+GZHMRcFD+zvBagtbDyXNpE XSjDd8rg0rXul/m/Up9RBXRIahyS/7uahfVhMVis0mDDm8amJoSuAdmFcHYiS0F3m4PvbBNXJ9ns AjuHjLFPYlM4l7Rkk/hT5TpOd/gJFEWw4RzW/qmnDi8HV3atV5Cf+q/DU/SA99EubXiuxrr0Tc+n aHohc3/KBctlQQbXbnTSKtnahfmlcFWv5Juet8/cMgsR8UJcnia2it5vmTpD5OWdHQFV0g4G/FfC gdIKaNBTEFDUSoIsc3te5EcX/0Hd3UStDm5AOLj2baBgISJC4rCXWaJDqpclr3+Tm2Kw/F3nedD/ Lq3hDdius2KJigWs7f5Uj8TKz/i14iy0QaJNjCi2bFuXWsvhyVDzgI2W6s420BA3e3dTKGV5ZDt8 jL3P4JwIVbMGd7TW7gdWMzAjDby8L4okQVqc9yI1kwbPEGrTj/RRjoVPcEcuZHqueSb0FZReG5pe WikvXppl/7Aki9npdJPjwHGCU2Cpi7hn8hzo2lYJh2hP27N72z0Qr5R+/k7bljtSpYCz8e/xM03/ TJlNpRR5mNWNN7QZKoC866KCX65zYP5LxJD+pY6lhZBABOuvwHYibpya6galn8XekEGVt5VxnbzY tzIlL3tn9utdbclayt/k0Kb0GYGYd/cEzgVO4EIdZ/SwhUl0kCSphOZV3grYQ5zYb0KcByPxBlRE bZwE+zg1eqCHUWM9n14a+4/oa4+Fo+j1V1zf3VeU+hgI37s63YotXbOry5pBAN+rOIdjBm+ElgD6 cWZhU/OvkNik/Of10CnwMxGHHBusIiX4Xr12fVtI9YKtyZ84fezF1dmXYTqV3zvqzSw8XuoQwG+P L0KExOO+TG+6Rd2CXSbERbEOLDMM3ZOp390bEg12UBVsflTyQOQelXhqHNkbqZIC4EaH+PkRxPHo 4Jno6ouRgMidykHvoEqZ8mWLACYXUTI65iXWdVf3dTIMe2RgQK9f+n9ce3Ec19/6h+MBJ2xcoObV N+GtSyEHxFdFjWOMmKllfJkzScAXvKZCy8I3RlNV5zaK68u6/s7G43wFsA/E4DzlypdudSaDrdGf kn3JtAz+OiuVItxuzOKNZXSBvNbHerFj2ReU/IMYQI9zJwhjNlgtFDtLBUxWE67COYJ54txkYAMj WDgAX7gWHdEq+b1C58af0QEa1fI5WBaZ/2mt+f8iQbjwZswNdH2zZvd2H81ZkuxoZZ7EKP4dt9wy hMv5uywwTHqNp1/73OAwk1fROm3Wsza9iEzKnDsJILXvCQfPt9HvthuQShLqPHa3YSA/LWSYlDKM g32VZwqe0rW5kLHnmH09vmKvw9qgso9/zmYFPhVpVSqr4qK33sTclshzzddeEWXS9T+WLENV17JH FClj5uYcgWWR0q9iGb6GOy5Ir/+y1FKWGu52x7fEjkd419W9/akKOpBD3qAVaXIkWcJNPaxR5yXE X0td79GK1A1m9m78/mTpu6cXJO1bjg8btMjmjXvkNTVe5hqbH1q1bARy1AE1f81RkxDQei8C0l2B DQOo4iPukW3RIT5rVvhEWde8tWnlYg0psJX1NZEJGsdI5NAHhkaNktGre+zOfMuvP2Iu9ttT7cTw H5vApXryrcPxCa78V8v+odJgSumzNkjDpwYUPBkReZp8KQqoHc0xiuRman7vcFlq6T6EKPKY0gWR 8SzXawoTOXxa7Mi7PH94gf7lgr0mVIMdWKgGQP34He4qVt8e1+5PIpJdrJw7of2UbkUcBr7xrfod LpnPlDwzxBoTydXAF3rZdOYU439id034RG23fWc2O4brQxj6PnaHegBz8abZFw5HdVDvlrptOanx 3/DXjK1Jnc3z0h648bj5iO45AiVoB1Erj1uKsTthRBdWymNNPe3vpotMN6SOUM1rVbLsVINeQqYF k+Ouj28ep5OPXFltLOI+MH48l2VBVXAbsrxuPoK2dltCeKcOHEm2UB6F7VOWPh41bTthSrmRn9Nb glhh5D6CGUCQxAzuEvq34qawBN4Wv6rlCm77APZNJfGcHFDTLXi2EutC/5LrhqYLIpXFBr9uJGWT Zq0eH51+883Ipmq8lraI2/sn6fniH0S+mWcbyaAgC96LI2by1qlfNrDUcvL5N4qX5Rdtq/WTzd65 UHQov5TO/UeHA0+EGgyQA/6zvCd6sDJyS5oqiLeWpbnpeCFsHZKmrCINKiJwFom/dGsNg4tR7Lnr f2okPFwFQ1zhMiBL1GqqBf8IJlFMzoKAIlpAmDxNLevIf6AgmRyyxQFaWfF9VSG/aBmrMHufCXBm 8IQqwLCPsad+kLRQ7CIEQjyXoQBwkNZlyJSYmvJvUy4CdwvYk9md7jsHjuo9rjfp4LfLqxMG7C1X p+2Mh6q7FpNm4yUQxmv7y4JWeXtdROV+BTNMcIAof17t2W8hpFu2hbqIqpS6mWt3VkxOg9cQN0aA nCiEewsCJMVHsESvLGPd7qRKLuETkHhZAIMtdt/IktQXwKy3bGkp+KMO1iIaZu3i3TunsbsSrnuL j+WWsEWmH6wO5Zb2WGUZaTmGakglrCALkFSWgIZZbf4guJEQKIt+tLOsWig59ZkxMkMs/DF5DtEN 8z5IpGpVzrq9mYlKG6c27SCJceYVWbF21JVTxQfyygGPQ2QYejBJ0CD2mG6Pb5J5wbEvZ36Ui4fG 0mLbU+fJFB+DD8AryfneTmscZBS80CdrGNqTRg7RV2RfdpwZ8aiAUEiLqEjiyIx5iSVdbuQfUq9P 5Wl/Aw4uoGpgp4Dsxz039+iASa8NbE6ZQALNko9zdBQ/KPbq+Q7i1AfJtwUfihWYJId1EzYSTSHZ Z2r/Y8xvlSpcwSElybm4XiKj1FodroGtsoxlzWZBE8YZKMC41vhpIVNrDI84HEUJyDKiq2YP9V3B E3TDasR71+XX0euQUWvetlHpYR9jvFwOUHYXuSADqEgkM/moqndp8vOxZDsKjwj9pT28AzZNdasq A21/9/e0GiBY/j+zVauNc9baqI2rHlXRYhqka1uW/YNo6KVfco3LpK+ZpMxHucdi/U8SRJ7Ozdup 7yV2aOd/8G1z4V5Vvf+sNNcxkkkHo5v0WXD2wEXp+6diKpnogPKtnM3ABObKhRtk+Dbz4TChTfQR YsYEZXxZ80fcwVSy43O4StTkVALjxXSS3zc7kCiGpg51ABdTINZ2RyDo+2SmR4r9+VAG062GfjLQ dFpYXU+cAdTwOfVHKLEsdbVCChyX2od9/Bnx9uh610l7Tb69purDVnlmqUwe1Otv79hfhrLCEKcR jOqBAbVJCDR3/RLwYJrww+PVL6LhnOtUivR0C2hTEPl4OWATl+e5vrtoCcW4cI7nVW+TYkNMbyzC ABd32XGJ9CEZpkH2WWlsE63RU6XJeJ74kzzXzsjRpElD+Lu9HixcflQy9jWZ+8bvXsNeyMvy/d/H wQGUgyDLxRZiabXdNOO72G2HO+tlBVK0ohYaDxkn5Mkco6VGOcI9g9DcYf6m235ptsxTj7me8eca SFEcK3uhPqEpuH0jsvqUSHsJNVgdAip1qgy+eQwWrU5G+K/MI+4AX7CeHPTWxvPADTuVxdekccSs tJbnt6jcPr9rCuGHBvxaL1P4c9SPYoQ9z+ICon4AJXbF7L3/XYGSuavBPq9PrmMcB29215BmqTz4 GoJ1eSIljRz2H8lDMr6W9o8eyXJpudtER3jLwiO5mdOo2AVEwJT/liNgs1BGt07l4oue8hhk4ljm wMI0V49QCX3r2V+c2C6V7i6RNOK4uHi4LdSumoZxaelUAsW/x0U4hOqGedCda2ucq2zlcHNLsNTb fSYSaH1ntAU7czVNjq5dkxb62hX/UTv8oEr1/UPvGoGcrW+h25mxURNMskeY4GV6oh2yfmMFALqr hvo82J+9MydF7ZLLdxYf2tGlP5sR6mzxj7Z8b6QAe0a5V9qVBB4WTnb5W9VYnPtkiGJRgGzLk295 Q3OUivkIm0KSkicisUWUXAWU8UHYtYcvac/F1U756dK9ElglEV/76pD/Xd/Mz7l5PEuP1/nNFUq0 BgAhXwDz8FqR67JT6zRdQjeR+Een7+HkxbV/N0P/h7Qyikuxmy47zPxT3h+1YtUJ9GtyWLVZCAym b8pKAAOvs1x6SbqJr631NZC1LnpVDBkWSBySnNuOnorFDrMDf9X8jWvnualqsEVnvBuoab8SyGbf dYTPH6q+j9ErDPRNU2wL144yf6vLR1J+6OQEH9E2K/AntY2l+7O46dlJmNm3Hr2zu6kP+u8t1xa3 1LQQ6YZbjKP3FvLKzMpqsQ5qeJvnUMe8EfhZcq9oQ9PjThgvwCDxq0s0F6BGDbkPVC7NXAL55/UC Hlxp1QEeF0lq4TsF6BwSsokSukGszIiRXbZT8CJNPgkAD2QenC3q3herK7+KorJ9ltD1EmOQ9m6k ysEyBN1a1WlYnqNNK9NRw3EHAt7Af7WJ9J+JJYcj5JCHco2M4UFzRiIp4NuitK+MiZcPSBUbsykZ 53iO2ZMB+atwRIpzZA6sCtVxuuW3551Rq5VeBNrG9eMEW94DtSzvapfXmc4iRf/dqKs19ZepGaKv RpJ8mrdDpOCoOio0Lt/isEy8xCoCTyZLui6cm/uqgpkv5Lv5VuMjGQnropYV5iSQ1bwlyv6rNjja Jn2nFAa3Fb4zqHthAdlLHzhqtLOlELFAeCHq04TwZDobbgkasSPsgj3G79bo8yxG/2fm6rRQtUr+ OrQnzSsej9Dg6LziNYbc9V4H9So3Nx968NY+EI7W4p12kLUcjvYqlAwDtmiiJMzVMVyIgELIzdkk IhAHPO6ovNQKHH/dMYFRKZ7BJFTyvcbeSBXLIFSZejEP381wlKuDlBCgTuTWzKAFuvWsIRGgOe0O T9zTJJvK95/GfA72ahpLdIrkRmTvLB4neUQEet4TURTp4TxxvRnZvGlo8yOOVPwdYe3ltnuKddA5 IAqIAWCNj81dB2u1fUIvBsH7PcdWHYUsbEhyhd8mmYS7tGw3c4aN/eLuLz3YVNimJow/MdyA7U4g mgesaTJ20PZu6fPc+WCk9FouBdn7R4+vbogb1F1IsKRI5W7i8L2iJdXLicudxekl90IlYtKz+Sg+ 624mZwrZVxBmYn5EcMtUxB3f2VjK4xUiGDh57UDXIZOYlLrUJ1kfZ091ehnY+4dqM/zfrSNcFrMv SknpQCB9v80b3ly/NJh5LBNwaCn2Tb2cR0zMTyPCAWdEW5YghOgG6L1ppdH6zUgo6oAfRK2sdY56 m+imkbSbUGLXZlFsFExf9tTM8Vf/0BuFfshNvFCuE+MBFeU0J5rjvUhF85uKCrufJ54evObPVPHK uwCBozkpOQodRcHWSPDBDq2XeVS/foVK7bfGy1MzcXmp2Y70HR084jrBbvCT2mhlg4bhileiqjPz qlAQbG05dpCXQtDYAw1GuCBw6iLS9Ju16gnc3RN1SvGLsmxvFdVQj32HcSSIVeIutXF4CgpaGmci C6wR5iG0HhpLwE2/Pml+/H7vbO7bTWW2bqh18BQpXGVvoh3IYbnuPY7P/9GsAMjDzw7kbc4halio Nb+dTTKP6tucR1kfzzs/rqKe3HMgEkeDEyUh4Dqqper+b4k06y/ibp2tZv6fCeLkncJlsbVgvNSr rRYqU/OQ6YHnhFCOIQznTCH30VfBueBEzqNLSQjkUDTHBFNQ5kQXiqbm/2/4tCDnPhZTf7NKQpHL pvdXsHb6K++I8CWW/nW/Mn3tsPQAZtZ7rV85T6C1ZUSHjvvCRQezhUBUuHkUs/Z87sj/WZet9I2p 6Ya6fN/2qPGy9nHwX44h82nchjlpWDBGnkgUoxnYgWqwLf6gmxOMqFObnv3zP/GwAlJJrM40IrXI CqQrpASh6MAus8HJ8XRIMeCV8ohS6mZKxHmW89qBMhgr0yoJOYdJFy0z4k8A3n5abbTbON7zS/AS 9WuofXwuladburj6nTdozEn3cMfE6c/bE86lm1DMYjtCgiFVL/L8qENPOaaP/RfCTkBwBfD/vwQ/ EqG2D3oCxWPoWloYl9LSL9qlpRSTFGMWdSNt22rMgdZgC/FQonHYEVyjniVYL7uFXURXE0THotsK VHWknfYZhcrxJZ0HnjH4fcHU4Acz3Q9hcbLHuTGlvyPqt8lD5tlKXsnYTShGvZaI6AAeGLEkrXdV Dt5cWjn33aj+uM97e/MZ/9/jZlMeHyLA3eqXr5EOBBqm2UVe2rDIHKcX7UtAXUkbaucgWG8H78wV v3JEUF58+jWLPrOkiaNuuQVf80qlimybwa6d78M/qIHPUXgWWBGia4I/Xlyg1R0Uwt5XAwSo1rPz mG6EG1tEPcFyuoUjSweF6nUMHS1sgg4CWtmoIkBBAnxAhP3ugY8iJ68T+IIuDpa2CDjr9tQZpckL NgxfFiIqafuCtUh6+uuMdXYhPkjio3OQwNuyacEMZp1fbDTjr9HvU4N4WUHTwiBVUoh5c2vLKAmo lS2EoKBHyLR88ybkkY2a3GP+y9TTcVW0LhMih5630gFbNVHMRZKG5Qq6dGuh3ktrSveu/WN/qKsT fMbAxeN38w0h+dj4CWZFW6hHo+zTOtpsD8rlawq+JXWCwqVbZl9lYlmzrY/iINmGNmofRLkl1BmE rndX+hRqxC2PEITtIkIlUJ3NnxaKBxmFZKkoFJdVQ5rKBzSKwzeF2nCvqKLn+aEDF2L7RvK0DWQ6 NvG2F6KsoYCvz66vU8TKcaNBFEyW7OC03ZLQULDbYMBQ6C/Nl8IdszfO+i5JdAJylERzQCd8jkn3 d18kic/htb9SGdkmUd01HuxCkjtp+NcULooUCLBFEpvBMgObHSCbyIG7ABOkNG1yRVW8Mohs8rIn q6ABtnHStwi5ykapp7ufJ/KSFduldpvMpUgdTUOFGTinvIRU5cQK7x5sPoG4WEsQG4JhaTSExVJZ 9z73yxYGLFVythNUcBlPTbxNTfdN23m/DwiDvmfwuYIVtnMhHLsego9d/LXQUfLvO7n1+FUYSU2Q iZUnXinfTA3sSw7iRaNpt9b+lDGPNV2XfNdMWOPTKHE1KiFyTQ+gSznPQlsrGeBT6AN+sfQA15Cb B4J4EuNgSrx1RC9rinqxnA01tL3/IuCWKTcQl7jl+4/5Nz+7ZRy7FSOTkeluu6dOXNCGzllVfgW9 3JopCUbJ5ypwtSSEm00VB25apaH8oJM0nQNd7VuLEQVavilJqLnGdwDoZDTS35s4a9je5tyniqdt BdJhE1Gy/qMbxeMdC8iN8OBAv5+WastPHc67HuS6MjK77WvTj8tci7+0UUIXWm51tqbxcJds61zL kWkqCqr9Rg3//IYwNVQfAZPYtAfKP3iRyOemM/M0kVWBE6J6Zs3+OrBBM5tzMQKr4kk8/q3XucXA 8cywHmBY2PPG58szQ3f6xx7IZ/QvCZ2yY3f3eUgP2Df0zWtNKhWksvYINdp8OTKpt79g49U50Vo+ Pzf6MFoSkhCNhzsrmu4a07ShwFuaoo6PtnMZoHwmFSyygUGPCvHgoqrrOHnDx4DdmHfum09yxwIQ GMlTA4ZrNHK9he6UtIjzRmrYZvxCrHgNKyBG7jnHBAwnQ+0rjZm5MxTl2yBYWWt6aFCbJIWjsfQY HtyfUlmVG+wZtW6nOqPh2OmYb52m6vQNriOBPG1TcQzPRAMBI6D6Cl77arsVV8seev4Sj7MceHfq Mr4WH7Rx54EPCuMgIpuUwOYs3YjU/zEF4Tc0mx+wJSxZT9AMuU4OkGWz+6jCPtP3kA4PyeiPTVz9 R1CnE/IgmZiiTgDvfNcYv1ZFHzkvByJc8gFYAzrn19BcYvWEEnytSMv19ShVumPiyIvuGAcd013n IGPsd2g639or1LZEjMtHvoXHsoOgu1BZAWjOzxe+QPG9hmPW5g3M191wmrN5KqSCq3F6gAt/QvGz AfCMYzflsZmHRrTOa3AACdXS0KqbvGbdHbLkPJ78aN65NHUDAxIrUoEfi8xMYvUa/8c/FLh8q2vS XV4Ajxyp10uFpOymtIeD80GOH0bRYbq5Hf4G2LCDK33bwQVflvk0droHUjbCvRDYxnp85uivgX0z BpixseQ9tyLA4Cx5nWQNjlch2j0ykrsgAwpaexg1oc4wJAQU3MtMUOUFob5Flk7yUHlSnZl+rlDJ cqLZIgq2ekK8ikHQA8vbSAeH1S+9yVSA+Osh7r/cFS4gnmDd8h4WTm6FCocRnhVtc76AqW8+vdky 99Pbvf6mbWaE5BNUnczVBA/k3zXqJ1DJTcXQ0EHCShTcfmzwog85eUs0Rv93h+9HcmIShc9lchXN AU+TDdLW32mtqKrzWf+w+hTEQ+ksOj0mPRIkc2vPRpjckbLXM8L+U6Qxtu0MzE28y2PWmiOE2Lu+ X67m+As+bg4ELXML/i0pWdDEb2yJ+ay+MMLtq6Wvk5QaY4PooXjVUmUco+2PIhh5QibdtKWc5jX3 z3/KTVfPNfKSTGYYCEt/3J41c6YIfYGVIxn3ww2zOHDXNpOiMCfgNNoYrXI3ok/hoao1dqfRgXHM HJFfZuTtthQH8JkrGUJza57ZqXWWUsncqscGLNE6iHeTj5aiuKkohopaAQB0EdHwqFWYCbBwtHiy TI5CujgqBpGiDBWSQ39GFThQHDeLmLKlRVORFw+Jsp0nAuWQaqtpWJPq5/uYsstDw2iFxtHvlvw5 ZrwDnI6jxyVH+fYbkluYd/oeynsPnywpi38DmRDBr5CZDXJSdZIR9+ES6Ayifjin3JCEYwfxrThq 9t54j6uPb/GYny0MMOjETwHRyfCKecr9llLf4GBFxnNTr9ZX/iJEY6wgDbP0ts8Xe+AP0k5R3EtA MKiOOVj1wJpncAqXSBCw6R69kkJYxrnnhJUgzDYo/F7HIfAmH1TWLUNjWCwTYD9Ht2KmJ5qwFjVx DvmHSqsgC1Vr6rM1HTvPxfB4Uim/CsvUX0ki/d83VIfjG1RgUAdDmuuG00NO1dF1s8IOcVhKGaHp qG5gcJ0bvzj7FSaxoSE1kTzLJI5aKEbT+AGCR8LV94/ppxv8bBCfPm0fh39TMG9q+rGlttaYITmL 33dhOgEFjfuqu0Qxw88arH2/i8PD56dqCZ4hCfSUGwTyJ8vMtaVWgK6lrCyDO60ExVqpyzcogiBW jVJkoz8TmtBXsnxMVXkjnQ0SZRKzfaUeOZbv1YOTB00gwl45SiVfIDm/mP66jB2SQ6M9hhgdgAmq 8oUCY9VzrRx4ixayL7qNIzqaGm2VdRUp3hF/S+JlzfHPR2h7P+afMVcZk8k7WWglS5M+um+DAdG6 CBfvyNDMY5J57tJRy5Zy9CZd+6+vFfz9N3thZPoUsnBY4MOFicRpJZFVckbdIvlyoOI1xLSmUhRr rFl+isdShlCdRK3rsz75aM6mMNAoqAqaxCMPArX4t+yypkzCnTETVlUvTDFSZvCglp5ROWuz4iSZ jIyaIgJZkpcFXHsaFnPuL8JrFxoFk3HfXLzdWZtj5GNQ90xzJ3LBY7knRGgn9IW//ZyiajpM1LQY qdMG9O+ms1zJM0/+hWF2NGsf8O4hp7TXQX0gHHZpx+RwcGgMiuIlWANLHwhO5eArVLcs7RgFJ/sb 6tFbYB+Ao8E6sEMIUVtOE4zTeto6WHN2fNaD/5DyJMuSE46L12SKbOF0uY61oXi2KCQeWUkt3C6r pIsxzBmQUEGKRhHsq3G4uFkynfhVHZshtB13rDMK6e2diMaiInlIZQOZ5moiC9Hw0b4kLBjCJr2Y YPFgJaWbV2qX5W+uVxfMc70ezykbpKxZmCNJCfceo9uiDYzQrTefTBe6Bw8a/Q5M5v5j88HqF3c4 SBOAlHAajcrqAkWMIJxflraceEd8qMY50h3mJlqeQCTiaWh/8qUF/BlRwDy2JKw3QUtl+QpACyf5 vcvITe9cG2BzuvB7fQQR1Hr20ysGqYdJBMT2r1HeiAyXPtfDO7+jg4MDmwfWYT+h14inD5030MDd TVCXBcRSLzTTtc7OPWJaRCM6DwYuVJ7hItHGPJaPfbbqUSY/lxePJWU6GnFJoLBdCJsP1cX9AVbo NNrV/pviB/14/R4v6BFqHQoFjks6V1zF3q6wzOwSbQmfNRyvWsW6gYO81dX9/LMRuvOHPj1L+hS/ M7WcErYG0eeCpIPUHr5E9PcU58+P4gxAoXq7OuBg1Sr94wAqGEWriId922N9WECmavQpgG4fCyuZ w6xiZ9EVyajpwBoze4QH5Rzkee6Yh9brO3Wiq5Jku5IWZDOJurhplP+tilH7X+pFCz+hO1mjwTlM 7T4zxnVKChJYK0ThXvTeLquSk/RRdOpfcCk59BVPZUQd+Vua+YtYhgR3A5b/DdfjTAeu7aIHifd0 BssOSGuwR0pG1VFFPMW1hFVYGhw6Q1lcE5nqlDONyHwCcOIFay9xIn4MZ/TBpIiIm7gwrOah7qJB N8Ygkbfi144EKKGKStW9FBvca0z1/JRB+yk+NmOUzywf5rGPJI/6Mvm1sxMJWAQO5Vo2jKXRectB djIMVGauENpQG8zZU5L3Op+WkdScTdohM+AYAYrtNrqiwKn4q17dNC3egbciELomX8j6NdawPPmn ByDpG+1bfd4wDc2/zC3SN9MqJfHIsBW524a2vfTwBrRISqOVggcxN/fvQJvV0FjPNfFi5F3pcRfZ 5wuAQJF5AwgBVE4OaKW1j2xRJFtm871T+Yt7tXklPC5/F9y59SvbgwNWZS6Xp7cBvCpZA/tC3M83 dpKRLMZDaCrL6y7xkAybi3ot3kDgWADBi6Qolxh5hLSaBC7i1AqfdHFgsr9j3BRXsEe2sGF56tri DXl8xfGEZx9LlmFYw+4pib748fZSMyNZ0xgzmV1KWcdpF8fpuHH20D3+x4x6Or6S1bH6Bc3RXaBj cNve5es6G+r3KcVvmAxRhLoBanXbdUIHrt3lzB9UZ37woSjiCmlotVUqB7w5CeXM10KU1NmuxR3n 8wADFUuOzeGwDCj5RDt15+jJ6okMr1YtUUN72nrKwam2gxmKL3FutWk9P5G12eM/IlDckGkXJveX eWR7evy6Pi/UXvccE6acBtwztw34psdnUKCoKR9fESTUhs/YBGSK8q8QkOzGex8lSSgKb4OlwvXc 9DNwmQsSj7OLDb8nAxRozy0uwKg2TdnWuiIuhqGTxDD4F6rtajjDjlpi0bB82lZ3ql1iCvfhAwBm jn0TK3kdao9VEaH1VM57t03VVdReB+a+DcFDHPZtgXQQ0yUsOrfsAKrvydnclQsFWp9yr8bFh6q9 HQ4A25vcAwhEBrBUnoeWLmxapiEQRxQ7uazMpcmuacgD6czd0yupwsAX2Orq476SGQJp5NOH9BQM aHNhWoVOrc2thYL671PhGu5jDSs5AGDwO7Iz2XNREGjf0+n93W3NP+7q5g+q90H6qzUHZr5dQtfi UL5Jj7O9wmngF6VgwtUQHv284rL5+lMmZPyzbInn+V6JfRPTk6ioDxqbOXBr5uFAHj3xIrHWrCdM bN7r4pB9EESGwOwmZsoWcxIrfzwXxs5n8Fvch9dxi8kCaBzXia/gL030X1L54Qt4eg2O2LopDsUs YpY0p1cNiiH3LCBWvT0RTu5JyEmDHxG67DiYuMVj4Zd4NtCyx9b0bxWo1pJL9p0/MvvIaOa+2AqB w9xy0ikMwEE00gDpLkIwaqJ0/mnhlALatcsSqiR8pdPDTOmLdn2deIqVFFZZQNKRj3Z3CRlnPLO7 auDFJUAT5SKrnjnFbU41D/RZanDjF454NhKxlWJZlmtjmdysPDAI3gv3AFOcEYFbkNkG1/XLjzsb 1IYB9u6JWTMWBDHulszmxzrFADVQC3911rmvKXTwQVksqm1Aa7RHmCC9nxHdHljALFBlD6HUUYbZ frZzz0Nw3ieKSFcn0YLGMGN1vqDIROzy41a1KwBnbNke7yhIs0A8Fle4GvjB/HAb//70DfNubAQc PvBK1DEwYvfIlsP+J7rMuIi00Aa5enXXkG2QzH+EKD4Qdx83brR49YBnqAeWLGH1iD8izkM1y+we 7aa7CXsKd6yVb18rRA/kmswhst5zcR8RhRrEscGncQWxPo5x/N/uWqA73O00kSa7aDMw32DrElwy OHGVBKas8qHnrlrtGdMUR5wqHbjT1BYXrwZn3QU6iHwJshUxdLouP2KfitW9+3UfxytmlbsrmtX2 JYIBW8T3XpSMhBPrCsFGKq/7RLA1sAdctbiFeN3PlFQ3TQbKmY4TifIW0QhoqgaKqgQ8WOLknIDF Pm7gl8xjQttjB2+r7G280j//F6il3xl37CSiQfBSa3+63rayZNFYE4HhytifZesbP07ahwaOIQMp o7wJVCsaihCHe/tgLuk2YYG24xMgcdDorD/9dXAuiUFCHCza/TKVpm8+DJBYKlh+fOd1X3uno1ND RqvTyxH2DHeIX1f6lnLcYH2xf0uzZDUM749aNhLS5ABGk0v3enE9j9eW4lo0RwBsA6KNhmf+RfN0 YVigfKomTC3QrNODopXhqEYPbHIamEFOFwen66gNf9r4lYNJVPl0OKw3tslj+8WN41MCzISouDpq rOokqjBX5SspuUMLeN1CUjuP+0buor/+BjvwMfwYUsyFT7R+uHt3SdDBAxUl6ww0Nqp0K3hW6mc6 q09Xsd/bOGZA34zdcucECdLo3H7sPc7j8cndAFg1mZAQHEAmxGawOLlEQhmsyDNjh5ubSaWvQ/6h wQKrD0Nf9GmCL4xTG6mcA3RzXCcTy/kpoiM2ewKHYRt9dEVUdV4PRZMEG5fS/j2R5Gc8iXIfkUxN mRgv8EQXW5asZuidtbaaBentWRM9XzXFqY30EJqkX/287zcvEhHtwSbzGY/NOhIodPVvH08XXGh7 dVM/aNH8uTDzFq3JXcF9PYuUKi59e1EjcnipfgKswm5hwMnOhoXw6zGeow/LnoNnwUHmsaRnGBb9 PswqJgpwwwf3hYcrv7Of6/bH40pjVrPODiH52JJS/el5JarNNe1eWovJTQqKhOCA7Myk85ITGdCB zIX7Ubqmc5uIrXBtk2rFv+EsRjHahFhkb89iWKUuJYoOUGzoHwmho2wYsGQ7uW07XcSOQ1/ZUHX0 nyP4LvL0C2CUREyzf5/lSJslqH5S0C33TC/UZREOQCZFC5vyA9POxhDFtXjCXlhZbhu/4hSaYDJB sDXPl4Ie5vlKlOkBxlt7hGmf/Ew02bh2+YuZgMkuiKYM54apv2zK+BpG30Cy3o/CQeARVoKRCj/F St6gRVDqFve4MAsBcKr0JiCsXPhFh9459amAcnoFtvWZ58gNo3NI7kD48U1r0i+5I9nwuTckvywZ 7WRtM+GVeeO9I4rLdzxA+S79TLBzOatV4VxZkYmZm1ea4AE2zkw7C01vzWzaPu8ogv5Gj/OzF24j Cc6B99ZI6H6tDvrFkeSy7tP6vufhgI8zPlHMms+ah3DWbZoE5FrYVt2GnUt3PLNumB26Y+YUDW7i Dm0CuJyfhgTSiFwmJzZArEcuzas3elmsS1b0iVOmR4n5xl7xN1Dk80OoobYHy+hwbnm1ObdbVe8+ KQteDxMSDt2zbsgTbkAKxSKYocEqM3ZxMOWjUU+E1mTNw698sJFRvJBzJvstfZOxusCerXtsSSRh zVL8NaVW6+YL5Gong2XrXboCYuMnUHSJHIZ01A5qznqIp3uXnCIdXJALg/A5eZSUyOs/ZalPyDc4 wwd26MTSyofvoLEimjEKMM9HZranD0T8STnKAOkK9CPqvkF1XplucLvErxMqWZkCrT0S11VzLMD0 sg9SwwtgIs8AWakqQOo00DCNcE0SMhZ0CdGzp67l9iST8vbmtUHNG9W/8ThbabZ4y19Cc2VrTNcM RglkOewj4bfbSBzfLCOqFq02Z/1itoNK5r3BkEPNB6Wv4ajWJ1rlIi/iPZ/NY3blgs6xSv3DEonH UtImFLbYrQoB99vnbtwOh6BBKDbLVRWG1MMqT6awrvom2tvIhZg/B3Z74Eo+LDcPWJE4BsDYzpB/ W196BZKnCDQebsfljlK03p8CQ2BOOLYCgjBuOhR+eFsC0fdqzHPv71+xt5t7RFHHzy+xdAxOcI6V cxqitwfjl/sS1VVaWNwKCpFGtKJc4rp8ZYbvy1UrxCWGLZyv50ppcKIycNkTHRUdSUEx7HHmQ38/ m6xrMYtk9RJ8igX52EsSzcs4wuuhhARbHOX+++uBYVMHkLX3bVPe4vHiR6u0JN88l2r+Krc2vjY7 v5yNee+TdpHGDDOHh4aaqMgSvMUGP664gvIoQ9M6WLHqPtEGCShFx52KPfqRu3u//PBk6s2eb/zX q0vhGGZrMe/JQBPJbqjrRewL3rBhA6/7L9MP5Igt03NvqtlVUTWBeVYe3JiFJv8e6DmTLgmir796 blUMaeVNxF7icBAQv3CNWMrJv3jcUSGkcGaFLNi+9c79Xt73aAvuyioEsrg/QyPi/Ds2pVdjra3+ rwrhlaKNoJcSDh2oAHCDhpuAyIHlPG9ZeNUKuDczPDFZJHxK4MsikNDttXl2jWCRlxWeK2lc+7ic g0YhNlRa7WanBsmBzIdkoBt17zCa2jNEDHPA8NeyYK6V8XmrTpFyt4oFpLHeA+E9qw4rWRXPualV ZiXXa4hAdvWl8NHx3DQNILkf53j8xtbQnOCpYsKYO1qkK+2bIk/SjnsqdwkPRUVSCJD5AVGyFelW AxHsH0wZstBUSpffPGdrCBt/ap1jdjwWlPUVlr2nBhj1kazNUH46tCnIb/uwly73ZJ9Le0xS5jop TeoZ+5UKcX0/dsBQhtkAfRnpMV6aDebTXVPBUnI8q2126JhQeJP+elNghcgijEyvC90ux70eZIP7 PsglHIS3eWxPp7eAiPxo/iZqNQgF8iLV6lNLwy4Z7dKCEbnjbjSsRaNSBFLUqJ43w68Z3FEFPtOc CtecsF7rDazRfYIfS0VAekcLBNoC7iiHyNmxUJGdSrfwtndtLpXn37VG6d7Q33tUVCm1h+z2LtxC cmfOphx9Vfyc1+92E37+/dlwMmDMpzsvMIazwuPt8Lfhpl7qLz/UnpIaa/wgnw2eweRtCmhiYmED vxRZbhVqFRPRG6K/bapdqR3/OXb3SImF3DQH9B7pfJbtx784qhsLyLnEhjUaaF4QYgnj+Evxnj+a YNtMTtCzdOvS8M1fnvn/LzvHZ1sgdnTZpaFBZPTQ6aR0fh1gX7D63+4iip8nSun7ZPIQhaMI3qbh sM8qEQpH2/ohplsS0zu3Or1Y55MQQoEHnF1Cix/oAA1xToildyD+Ue5U6CUxPhITgW90cTlLjvwc t+zB/Q5UZW+ULjQNAwmt2++QUU8eP9cY1Rg2SzjNEVKVTAT39VsoG1OU3e+wB/fKWYHm/sE2D885 stTmqt+Eji4tjwjStTtWrcfS7cl+PR31wRZ0GkpEWXqzAIdyrtHHS9cGik0RwobquUP47FaZcM9g l5Spr4GKN3A6f5rPFX2kTbK87P/5SdEn7Psx3QmQ+Ukt/RrA+f3e9X0Ki7c7VqzwuwtX6lQaV8mU /pUE5/WGKuD3L2XxrfCTa+MVXO57LPVIoDecV/ns7bnlANvr4CRDN3zaTqDV3b3FoQVwu2rIr/Lc BsdZ6inciCaDc/TfQPACJIGKHdSja+ENsclbTZPdxKUhBk7mZcYt3Nldd5IJeUdKAxV1qLkMJ5S4 8anmRGUnOlFuxQwBg180aibZ0AKtJ3CCbgP1uugoSyK4uWwSc91x0oj1kloaxiPDDAInFNKnRyD1 7S1UzkGfxuanyVUaoY4K7jeTt3fn7Dl1rNDDfr25UKcRAOK1ykvpXVDWA6ZZjOsPR9lS23coSm00 HtuyaTNNMZcR/L/+lFyS9alraZIsO1vhHh/kQl1YjHJUn7bIs5be71oix5uUPyjLWgLki8R5LOlE u12xDXg0AxEIFVoRfaGJCuFZ8IOoolG6uyIOl6gKEkxVBHHv+w993rNAKllmXEh2R/jpGjSJivAZ oHBSAshdIjN1i/WdXpt9tipirvMIWXSJO7mF+annBUIOLmVmO2x3LoI2GpHwdCL28nX05M6CA1Nb tZ7ic05EbyFEc8tb+nQ8spiQtywkEx/tkXJxsFf6o34luN00kDYAcgRdJmBaEhvb1GqF7Aqt5/Zi 2/ImPGa1bGzdL9X1UNuiHD+Y31Z58lsfJ3vWV75Ns+Cwc+b6G2NtEBFd5aRVqoXFcaDsJufh6+OH VqQOmblvkPHNtUQaaPutgDTerMoFCBISa9MJFgMX0zzq5ZW3fRi+q9tZOSHr9sVioi3HEEfcJktr Jft6HUMFiIXB9KJCwHY1w6beBzwvYkKv+7bXur6eEQvO/nXjtrNr0DOAt2lovhbkOxSayf4V1MSX 6OoZiMYRT3Aj+vRMLZzNvTCSmVHMOg73nTIMiHNhIXM1svGl9slzy5gn/68C4gOdIUAJnb34Q3kD jAz6kIlSb/8Y8cKlDG0uJnKW6EEJREDVxyWNhEnxhlRqpf8MMKr6pmYMkal0ytLrJY00P7TEKFhz MY+VXh2UZfUPNc8nMa5HAojF9n44JZemS2wpCavRkN4Hqg3y5uTU6OGO2lkL8ux0zYRdXMep9Voj +wTWR2rmoaCdWggXfinW7Ca3dKUH/TNlJCLEITQnCQfDsEWm5GOZjkknEhmfqaSe3LW/UDpOUTMI L14lWtkEkWQf05BNkwX3a8py0wGUYHhYwU96hb10CPKO0OeHlwL8okJNGKa/Op7YID5gPYSrvKoQ EF7lGrQ9bec3/ukaZs1yN4IU8623BFFe1U3WDYyTw+PuUJTeMIv4GWT5FM+PKRohoRXwuRo4/uud 3E/iwhqO0jUKeJtEdJb+Ax6/cF44W5cF68Dk1QdKzd/GI3aUNLvJCwRUr03TDSkg1SaANwMIV9da DM6yXoXaY499V7tz53LmFXCjYjHOvGjbY6N5b8b2bis4etWdsQs3WNGoNB43oukATbLCdI5THB+h ypJeXWRMBtBnRbDHUBaagQE/DWpUWdPxaRXxM5zGeszWFFu5Wyg5dyZkEPlM1G8x5xNYX2XVp0wn hw+xt0rVGgkiy2cNVtJgZ2V8k5Jc64rDXjjM83+HpMZEC5dtxw9mGnwCNRemL3dFbPJall1BVPOv gPRtmCWowkTy2AFjTFApwL9LK7xYiiwbvzncjyRSRpIhwoUtJMFbqsJQR8SprDI2jj1a1w3aeY9t bEWZhwcmGSVBNEukIu/HqC9MPIVtPK23yj5dMvWfqWxbiihJ06N7yCr4ud34OeYQjQYyxHG26D/N AgXa8OllQPLesRcU/a1/05Mh+0KxmSj/olZ9VMO6GmOdWZql9NUyq2XMyaaVQuWRwlmFs53zw+8C VsC+qG+1k1P7KjvkqRw8m/mnnIPI+UiUiWrtyKASZCWN9uHpJMH2G08EcAPST7infVMR2CmTSWC1 GQ8+qpSdU8XOYh15FVCX2xc58exatHMZ64CgKTjMWP5dDGvSEwXWbh/08uKZ+43+pPfBJCb2qkaF B58DbCRq6GfJnEemxFNaEl70nbpiFaAq1AFBwDL5Rihm/0HJlhxBx+LqOCrNEuxUXUgydGSlZy3w 0EXMoWBS9wrt4mALmDKnTGyNujyvQwVnyAQQ5moXiNoHD+QQ8l6xi+KNwCtyqTtRqaCj9MY5XGVS bChmt1lr43oqQ8qJaEadSkU1i6C5oE152DRO7zwoiohwv0bZyqQr4X2XOYtu3gTb2IFwipsNeXrN MZfOVUuIf2if4rVKIspozjFaZ4HURhHfgxs4Q3yqV+ubNplI1OVsE99G76wnx/sJ/xHuBftvZOWN swnKzLPv16/Xu4C+Hj4ZNJkJM2FAsTkUpGupvC8YtpVYzGuxrEb/6yaGL/l2jOYlPo5DGesEH83m h+U1Vyqu0RRBRnHvrZYiuJuYNuypRNJtnRHd6R1ftX/YDj5XX2KqtYU8TXMyKAE4o8TNtXqgr5Ik nBEjX1YSAV/evmqrLjzV5OAbtR+UmWJeTcw1IZTfeiPw/buO+IXmB5kVJGYGUblfIjA1qzclaSrL mtVETgbyacDs4QqlvgaQO5bumHXBjUWL12o5EygM+hrP6ebyJDo9pxtol5wOU2hCUD3UeRP0ysKa laBGFiVmiJ4gGOShqGxBM67XirXtAsi/bQkOR6OXlRcN7uPbMOWFjpbBamNlNUU0VbldVGeCg3AD 0pU8NVrVsHAv60Ll0UzwWg5DvjGM4xFsm87oU2YoZrKXbjVWGKeUQKKUxxw7JaRqczUBjGOA7DXN ZC5CJ9nIRgM9N7ZE/sc1TqBEQ2s2PeuWpTwKvSW59GwkMnPaE4tLMEF/5YTFtKH1R/8QfqoZBtfN 9JIW2yhx3a7xBYSE9omLh9Xi9EqLWBMFCCOPmeeBrE0I3OJwM9TZVIStQ0YqeYNIFL59OOfdSevB 5F+AMOLMoLwdgxJspEp00tzQFomUl4RqiOC/q09CwuDXFXvZL0/jdpSouZHXokKteB2PJA6H5AJc jL1bjIKy5Evy8xxn3U7moCVr5U1F+t6s0DRGnCi2qr5RjeS1OdmIC6IyjBLkJTamvY71HuqtZ6bH 5hP+Pp+w2lHol54jB6AApU9NpeHuaHTHvdIre+eiicve4RaG0vMt9AICCAO50IRfhUsJh+vsnlIS D2fPARuha8L5TzwNKRQmJAWeLIMWPTSIaepRC3LfzvzaoffnAWQNtv/9tLaVgCwII4GFMFQsQJK/ +pYem8s2GXaB1qBOfL+/e/EpuANmhLdDbnQGvFhCDEufu4wNCkkR7yiDf9g9EHWJZvXWfPLSaqVU kpCdAJraVWStYulM/7RnQGAtUZBbYHyyp0Huwfy3ITx54f7lm9+JnyfDxFvC+YuVQ0N/Y/zbJHjJ zcSd8RLi5EaOqm9G5XLXXDwsQA0lFFSsa7sui2dCvGMPi0VavNFsfZo5W6ogYy/6ezbGiQ1zHBZg WIfJP7YsVb7B29gs1HqF1OOyl4EDkGO+Y5nmpD8EhTnbMHp1pZWmDTIcYh20IaKDjCYCiJb2MZoM Vs8z7vl+PeAF6b5lGl65kI2gE/AkvQJ1tX30HqXVtNjy3iSvTgeX4BpPn/DmV9PM/ApmGnAe27IT H7K//72WVa56/k0CoFRHJHdgqwy0jLF1Vl7UeQtfpJN3WOax5Z0na5XOjtAQe1UsS+t0YXgnqCx0 gt3GfUIQ5a0md2UdGMHUG5Se5pF23RMX+Lm9Jbk7l3OoHt7M6veeytVfCmzc3XBbPtbCBI5+Vszw mL6kiFPxdg7WJ3ZElC6lbrahXQoV83i7RZftgd3Q/Ae2Fc24qdFvLXjHS/1CnLdfm9UYhi9HQ2kP ardF0vIAYmRB258nBxgo66OKoANjTn/etAe20DoehCCc2zqHa7KEz7xeE2xU3nexMpL5mMJu3eFm V7Du3sa34voxJ9vrOMLdbxn4witHsmf5Wg/UbrZyHesuz0QP8aIOUQYwtvpc45x6EdhMK9h7IcjC 8oW/2d/UImKmf6whqTm5M+hbgxpQwxmkSC8SYbck3MmGl3Sef6XNyaNxdoPxeAjOinAkEWsHvIcy d3iggZBmvwj9gsigezFr6c+58wcuf1yrAIZeoz6KtMGlxy06+2Ayb/4Z7ytIBbv2kc5X7NzdSP20 pvU6IX5xaQDVoxaLXzHVG5LHex9LRJg1nqYqg5EnXIruWQgfNko4EBxq4ZmCAMr3Vip8O1hkjuoC frW/woVDXM5rVH5BP0tsK3/t9D4r5RP0vDvcTF0xwasDhyOpz07aTS786D3qVN5h1HHeQXBX5NDw 6yVDXiID2B9xTJxdTmoxSPhDBLDDM6aehnbCCyMrLrGfIPtZ2kYPVw6ejM0YT0yrCNQoe1riookj bKlP0fi+nxl5Vr5xdYwdGkKu/8PrZS/PRyZw18mqAwf7TaWRqBfc4izAOdLc+oS8ZP343huU4R/C +NXB3lMeJd3Zz+IXL1TqIJdx7cTnIwWPIObgq8+ln2PiJKAsxGY+6Uwtz7hu+GRBHiqWjMwdeWxn gV3oztoS5tEmIGfBvPreY9YjZaQqtrDuNeZyZhHN7EiJpwKtD1/pwwR2Pf+P+yY5B2ol5GBB764u 2vVSj15Grs3o2kGkKF7+AgNkZI+jESu6mWiSgOdinqF8DIi0I53oDCjrKEpjt9ntue2Vm/pY8Bch lsJXm5ETTXYYYPA+I29mQk//bahe9mMQYpDOhpMCRv4CvPSUQiA/zKXB5/SabFDXYfL+zI7dwpei GUAamavZUFw0snqaa6Vo4jMl4dnnv5fKv6uIacuHdndJwUBcMRK2hewgJWphyCdAkGEw5inYnUR9 9r/kCjVvI8o0WPzMX+2fLJnZRmQX7N/uc7sdvJ+Ccex9fRYRwM8xQH6b8a+JjyR7baQz+SY2o6yZ tUzt2xMyCQhmFFttmzH/nSo8D1Hs8JrgbeFHOcMug/J3FYo7JPZU/cZhlvgVAr1XHQC2EzXn3JD6 9/y5SML+l0C2OcEf7IlfTci9YB9uCkCGo60IEgR6EPiGKiuNZ1o/5s0BcWdCvuvatEKQ+aZdzbCi BMTO63tCl81yN3QWJhIxLByyxumrm21ifnjDNGo5NFcSRDO2mg8NOn9I2Zsy0Q+vPwTQWNiUsEcX WDM7vzSW5tAb0yan9r//icEvObbLNskY250aKtU4jFYg5rtBrWjXUSroJj93jIDAXB/TwqBcqRu1 LAADBMDzAxH0/x6DewalVYuZacYBPrGLuN6RAQLz+NsRaB40jnh3i9WnoJgicrZSCqtlvD5Ut8Zm AIgd8+tewt7+9C2ZJtwlZigAUhZu0DYypAUjrvPCXzU6qErBgXiAV9FXxnwJeEbQuMC6r1rJU8lW 8CIfUX1N/HpiTDVMr3L2P+l38VW9MnyUWWJ0Tqhq0+9lyGbEfAp40FvhrHmRGpdXaNdT7rTLzI28 fZycd6CKQq5FJGnuZgBn9fHxyeUZIJDsytCA2FOnHfLexu0Ri2NJ5oZbisLfZBIDRREY2DWwJyza AkBTnLQY3+wUdDVi9VuVTZFVs7yLciSNKutKi8th/zkdhQO89obpjfEJzU8NGTIwvvurM3R50Mmm i7/q2c23cQ/+EO5Bm8XkFQCbdBN0XB5C85cONktYS5N4pr9AuQa7j1mBbhDULcwj1NgWshvAtq0K AxQqZBAIOAZeIM8yAyaj9ab8eOa1dcWAOLxu1e9icwdMKjPifxXqMqaj4b5937VUl6pRdDbjH2eE hu6NlT7Mv3EKAvgXvW5jlb2wixOYNpn3vmVJ+XXK0HjsZ19YAsj4v24faYkOJ7kFILQvAcI3PHr4 oqGKBM7pGPXoie7eQ/9/tzNCgNr7LplPmseSZpqPE9a9vo6xYFGF7xmoN6GLxbIG4zvmlRcB31Xp tuJLhX9ho2wW8olmNLys1sBFTt3qlegYrQEeBllUqaFeN1tlxQAxyrP7cgIvw0OzoHFM2lAkaTL2 h0gxic8iIqFUMPuuY3zBMmnOKpoieGDO9EoONpDJ3D6I4zV1jOun0l40vz+KRa9ToJTNrMtTG+ZU eEhoaXs9DLdCZ9G6RX0Zh/0pwxyt5J5ZxuaA6Hn8Nq/T7pJwR3n+Pl/bVpnPXypsCDs/0k4uhXKr DMndxLh7P+7lXpM4M0S3nw4ULO2Cli7mUnAUIW53PiBKV3dMfH7hKEAO9YeLWRIgTUZFj0NjF8hl wCE+f31AnyuPqlK3XtU9ubeiBmHyhZper0Mba1+nW3QS3b1P1+Kqqvc1lirBwOihtc3GntRzIpYA KPY4dYjiw4/uartFluJEnDWra/uPxCUFcdv4ShxLRhcJ3Ngm3XwtJeMtaVDcxfbP8+CNDQhFMJmg iZWxBOj0ZfcvtW3HfTEOJLkX8Oeo+4rQ9bGwEkF6XFiR9v3oWNFUNJB3VXX03yQpyH78w3SMZWQt Sa1c1gvQegX0zez0lBeoZUh7gUpiAnY4bEVYhcTJQjlG9gNZ/uLoWEMF0vvOdcT9zGUdq9Vn07/W P9Fo/NELr4LyKYZTuUE/0gWmP4Ms02CIK8cLgaOq9pp1E+/qw0XKsVtun8BMdwLlTAfqlSHDQde+ TBqy5IAwuhadMupH2qu2WsJM8lLZgGNT5euZcg2W3ywr8buQyIh1HwJ5BSx54wec3ruKJQxpxUST +IVoLHG3YObfHXKHDpcMXvLlZeJCg9/wCoA07/0vfgW/wxzIXwMfXOMS04C7QfOAfuzE31FiWsLt JvhZT4JAt8pAO259icZ5++NNYRbzuGtMPzyzly7GhpvGYSWpsICMgu4VllernqBiUhXjxnSlybal yUCzviSsPA6l2Bi/dldmUBH+kXtQo2WFXDelHdBSvIh6n5RvvFnHgxmMipdSw9rPIXXxZGDSGEUh RtsM00ccVLhlNRCbKaY0ayRzlbQT44TxRJP/7VH18ke203vo1Pt2TO+niztLCrYpQDVGUpmO9M+/ wRLjqqGmQd2enEdP8z4XW2FnDABM4USF5tMA1LaapAK83eKzjdqat++YgQSkFwXfkv+Pz29K2b/q oNI3DuTJWG8YYizzUwy3qLOX3XTNCyVGJ/61co4n2bo1wTnsmbF2WvA2ExqOWbTfopmLAp2vZSFe QjPDL7F6NBdCr/44qzp35SGX4eoDPKj93d8TFzTanpKlBlFst1DzYB7RBo67ls1g0pr3IGPiVKzm eZEUaYvfJ6CqhBWSMqRcNukkqOuqCR2HerK7IrKs75gJaVESkiJDWgkt56yyK/Ci+WRJF2YaOIOb T9ndL6noyH7ukrcvck8zWssTUCjRYQA1hVhN1LWeiYzfyhGCJ/1NZLSxf8p0u6kxU0YlSYWa2SxJ WwTLqk6vXIT0R5h2mKpAg0WaSFqbiqoaIPAQhLc2bIG7QYwkYN78f5sR/IFpGtH/qFHQiJ+ltRSI xZZYAANQa421eFLJz8p3OnW/TsHD/iIUiw1wDDa3QrzJ7nCqf/krB0A3W+DAIRHG71Fci6B0kIN0 z+HSuRaAjnwENwO4jfqTeqIJ6zxZhUbxOlTAIN4K1fHDe155Z3USs5SKQOgDDeBp0eJU6EQZfe7u kLbHaxYK2bv6macl8GadXpHSSpU/nuZSnfwtF7iG3+kzHzZ+bPzBoZm9rGI2pvt6q7292Vsh4hmZ G6LFvk+9EwpfJrAbN+h8KuTt3umo8n3H5bMr+/PMXWovsp7f315fZVzNTuBKm1BKBf0hxZAD7wLh hOuZPBE7DqV2tIwcmzl4tthFxXh1D2hVTx10f+p6uJ7MRg2yxvdDoSqfX3sId6GvJSZIvXJEnZYv werM2cWp2RZqL6zrnWSqXqn1QptdiT/an1+tNm9Yg3t/jzZVaXuWDbIeysFcwQ9aWU1Mqd5Dx1Sy QSNGOO7RV2j5rKSiSSbYdoG8lHVcKnynN+8itnoGdYSY3TU92uTo2FDXbENGuLYAKuKbqw5nR2eU xp5ZfICGXzBhjSal7Veh++RYG4RugmMmJUgxxGx5PIpvFYsL+M1/E8ARQaKGUqsfCVkrqYd2UnZe l58c8CvQ5MerFINcCbH3dYqR9sPwnnfJFXABEXyPJ88LHxhYNHnCgepfiSRgKixlTpZ+pptOhyLc 8mCKm0jWYp+ZUdMI2UZs7+t0n3E9nLI/XuoDX9LAy1IpPyBGVrPFpxyseXdE2WZsLVGXQF9vRCNy OFabwdQ2KHZBe49BuAagxKqJoca91MfQmXuYfJE6oq+4sTg8mTyblBh2d4LSUz74mLd3RDOQcKJN 0OwHN+McixAzAkl9OU7KLtIBjRxSleyeSDF4kkzwFdrVDpZJJMep0I1/lnRX2evHDLTfuQq+N59+ T7vr0bklkIhd69WPPdMBh3srthVzoHGnv/JpHAs1rd5wURF7gxIl4jbcEcLO9+GZzp9ZLkup24wS q35+RR6hvBCIwh4qOhDTgGODN3xZS/+s3LI6BekioF7N/8IwD7Ng9FqdC0WTO2krctsmeO0WQe/w 4kfW+ZM7MEfgOQop2OyNr2l+PO1AltUAFB93eCY4jpUJYKZ0DsH+41C1rmN6j5DktFaNbaOMYUTL oWoqCUVDwgpx/y+npCru+lYXCUP3xOap0E+EuaqCip4V0s5y9QRefTALfgHocFR0dCamVOcQP7Y5 JRxWPVpZQrvrkiDMrwLGI9IMYgGO++6FdiKsX8wAjpDZXZfl61xyXppHp/N9bqJ5fFnv9uncviOY iSbINOfK7lj4EqHeePlKEDPoCJKvQQwX4MdAkbl6awcyr6UtagBwovcjtBOmcb+GRxc+ulICbExq MWcAQG2EFP8smJL6+wUH15vaWD+YC38XrVr/6RghLlHJSUFFBor8HxHR9a3/8gQAN6xsLUpYJVuU zxRNkeGJWyYyfRpNu4nEpvtXTOf32DjroRbzSjnDAhZiipDm+Kv9JV71u5iuS9IrTUR0Cq2OIjIq oTxNbp4fujvS15NwQyU1h1KX4hv88KHdxF1x/WaGYbYR0Qzxc1mnzAZRRv48Q0rj/t1QZF19FxSq 8w5KOQUl3xw2nkq5GxLwARi079Opc1JN8pCJ0Xk8v13vziuxsWSHERh1x9Fmp5ec57HBZcGFvNOI UkM1ZAT3quGMiZcI5XYzzyBvoXfWRMzagsvHP1aNk5ZXBhWfyR1XCIXOXjxnqD+udYwiwG00Xuc/ 8Un3trLyAoZftXU4Ww1LAFr1dSxKRHg01WWNlPVznJP+miywCQ7kMeYa2meNKKEtcpZ4ki7Vqxw7 Rm8W59rHTqAZGSrRh/OQVgJ5VPB+mwHQxXO+R4mO+tq5BLYl7m26edcdrBdY+szjklNB9uzlEKzZ I96ihCaOZ5EjMqbXU2Z5dbovfTwQYkFxtfUBAOt82ep5F/3pgAFMSenplriItoVHIy5qSlo+O5Gf oh7tbrf8vdudBpika7i5aC/L8WhX1+LNH5zDPB5DnrjfdVvYDauhXciz30w6wQmuJopIjkVc0YHa mzfbfp+pR2drnsN82t9ieKmk8FsXNxA4niGlevyh/NNWl1d3Te3AEPP3uSFYstGBcxB7CMYjc3fP +XY5BnpLtiFnjVkvso9QBVB+KQtWrE9+BSckE26ncXWgXVw2ALIFoLOdtl14xRB+88MmDzlcxVJi eX1rgyavNwzwIsUM+uy+PjBXHPeYx0DyHtgYyPGxCwH0BunZCgSfOeRM7OAYpkXmmCfMATAbexBb tq86c4ipzorq06X9fLafBGDnB5OGYmezF0Yq6qM2UQt1n0QU/kTWlX1+PBMOGabS9mkLphIguw0B KkHbF39vXNEG5nh3RN/8e5PGCXPOoEGRTjDrwWFt3NMg7pZPjRhZYAL6KdB2A+oUUmnHJVvTYr0M PnDE8SjHTdZalV1WBLZ8En9LzDVTxI47IS3FvrtSmZQi1HPTRDPWLwG/fzrHNi9s41gLzvAnBkgg d/i3blY8dUc2kEmYVwsjcc+WyUL+zSZYTDpjJkvo9Dm+QmbxDg6rtR+Ke+67LlxAeSMZdC1JjIJR 7vQtJg+n344VEshn3a4zxNc+/erX8QcwBfaIFWCjioL1S2qiZM73GsesZFsHJDjFbftWkq9dedDc jVeOice8dOJuj3UIV3ee1el1/hHxhdKyD8A26m0Pz+DOI46aamgc68rmY3jpgm3oFjWE7cYBp7mR Twin5Z8qPswY76cJ2cwBxP9OMa+yGamNAnUbBEEfAyXx4tTWSTaF51ATEHHomzLs9beexeoLS6Uh qgEwvqqPzr4ZBd4dOmcZAOFHJP9ttsomENWV28Z5bmaV4TzHf/O3snapl6wgX6UU/U3QiyWkiIBT Allu8HHe1XS2jB7DDMADdMdqVtwsK1mOa4JvV7Be/wY+eQpCKfssHykkrMtBJwq76JW0QP84XHHw prc9capZS/6fLab8TveTB0WyXQTJfrfkuVJP1WvlB2+aBvB2pBggnYs1ExsviuRs/YpBauXiK9hH 4wvDN4kCehFeyre2L+nw60lleFK4MHRp3Gl6QBZvrvknFjSEPQNf0CKXI6F/bqjijnS8Nz9+wBnS nyndcvLBlFLDOIPZuibU9PYMjVGPqrVl/Yc+08aDWZGoo1UdfaXyAb/+O5UZG/vZgHXkTkn/LXak f2yYcAprDlpd0tobtVEhggia6c0pQqZnnwwc+nawVEmFKMkDa9n4Z9iSgjtRVMsgq2ICz7QDPZxz 0X6C9s8xyi30DW31Euc/UqOVixEIsg+JpKxK42XYfgQ3TrBJPJyErnZIe7x0zaKpHczJIqs45/w1 qHV2as3EPDARze+c1NG2k43qW6vqsQ4NmeebGquhp48EfQMmtlsh6nAOubSHqbszXUc4YpUOLvVZ PONyO5ASuzfgUFzHe3lWyMPrlCAJOn7fkJ4YQDnnTutpmOg5wNI5FJbAJGl6PXX6XSfvpmogJAxz LRA/bv00fhzbxUL8Le0WaiOSdY3kDiB4AlYWyeueSJgFOPDKErgeObhco1nrGapgoQXm06bFx0Fr UyWmGEXubBrsTb7ZqZVsVVpAXp7UH5bJa4+oZkDvNomp7KiYPWHPgfUeA+BTOZETwddkVM2JcqHH o/PjT/m89D2K799ToOM/1RyJU4fUR96Vg2DWsRhiP8KZXmRitCarrTug7iiwA6lGe7l9zZCCX768 DdjY1IuxVKt3vAj3qHFOECM35BO4lVkls9TVhfz5W0FzBy/mUVFFtpWkfEV1FlBDGPcFX1YFj94E B/cUYqx0OV7Fe8NBBPzRcsXkXA1SmKQNNNBCXoMPqjF/8Wv1E1XxbtQAqpUVUnIfACJm71yxPHZp DctI3D6/QbW9R+S47OfX+SruIi9IM7IwjKB96YufnnE6w0tfyG6HHXAw142gYxs8cuw5g9478mFW 9qfHnZGWZ0CvTxm78FkcmGwEBLYkVbGG4awmA1fTu2H8RUPpyiGt1Yk1mG6Pfi85VNaqhWrfKd1r 66TptnpFtD4bFIKoGJ5SPDFLVeMUsc8z9E8+sopnMn4DrWLgMrP7dfBBFnSuihjQwvK9iy2hFIX+ M+tByNvmWi4g40+S1vQE4CNHekS439GL+VQfJxbGiaZpdhfpHxk5V03PTLt1TmD/LQQwgF7bt1Tq sFUQhg4wvM8hsgUdmgjVDaQS9qIudMfUOP06ifDXoY5IeaV0nPe0+eXhCLmN9axaEtJE1un2OtPt X9y0tybuJJL53gHVNkHQZlphhdVpLBmejjF1y52v8XY4UXGht5CG3KZdH7iL2ctp2SVWbyv/SgEb AOawCIWd0SL2+G2jB6UeZ9pTSKMGi54tLuYPDe2C3EC79VfUy4iDhHv6TCiJk8OcHhODcOYoRZPP 4pN866A/5gglArea1iM9eignGwa0S7LYvHMhRw17/jVAs0WiBQ+67ZRLR53dhJXZo8z+3bJpgHrb BOBfYA/1kc6GmjuRWP2AiRdnWtWtfOcIu62GiftKbIMcNy3/lxX+zoD+GCX+E0wO/ousDuQoGAeZ Ax3Te5z/vXn7kg+64ivSlL70i+3Mm/gDDohiBjinZQH1DLJDMjMO2E+VaP19JRyYp6No5+opMoit 5p0DuqV/vWHFGoGMSSsogYHQyVdz8EBnY4c4RwIDgZ8/b82PzVID1Qmu/cwXmjJeJTuJNWenRC/g ossPBfjPvaNyB/EwK5kHPCk1cxhC//XSsv/5M7C1San5iFBIkePfM7QhSDhyXdFzJG/9LB4huAbM rb6MNIjFJ4qdl4usbMRJOv+QttDfInuy1vVFCcdZkmW343g/NC2u3LosqYbYFZGR6S1qWQXiokzA bYYod7SfbqcTX3f7jwfCYTJuq2euZSkKJQEo8MdyM22Bz1uGQQVSDHmkBzS/+WkYA3ZQvlAwp+ve lna+ujOa0LI0gB27e6CAPZXAU155118ZPchyx/0+qcVxLLYrBZPJhtv4w86wRCCM7irAOXsRUaDf K+TgdbV6MJfOVbbUE3Diaj/BC5wVIeBKrY3idpNTRbPH9pzdGP5rMK4UYvBStYna41zV+GK6NYfH 8KB5erOFfZeVPBXVTh4cy3PxmzZhV17zPY3ydzFu6u/c/yG7vrieA7sAxmuPkErryJrZBn4iJ3im bv3c05r9aYhW9t9D9GCNE3LpO/h6JrGraMVuWMvQHlmCynp4BfN5YEHLWdDM1RRdajnnYKUUDzYW SvAFDwVd5+bo3Ua1cg01hw7bMpERz7mc2cAvUYpLIBrxH69te3xTTxUUecHETOog1u441qRSM7ST X0TicfZfhpjIQw20LLSMWWvzlyATd+KXuXCBA8BE1foupstSHzcBVjOsZs26CgSid+MmON9BI2MH j4fAxpuSYkTtG2YtDUtbZsLEeRm2GSMAFsGRMtCB2//35T/eqOZg2WCXkJ8JsOhPb9WdSQWQvuV9 cBinZaWZAAJEo72yJOZC494bmT6989IXInB1hv6tKKLjP6r9h0ePSXbJ8NwRBCNZqW7N+w4x51zn EJVdIms2OwzqEoASLjrWpuVOh/f2AzQS36W1jWTBpbImUkA7iiUdvWrXVVPdOkXj4uYXI1m/nG6Z /EkTs+4Mb5joMFW5+xsMsh1FShnA2/8Ec5y72PPwsher/rE1ArRpe95rsasor21bplFipI+jZeyh ecnEyBOrShdL0K7OMrIIR515eCkD62JADDMEHPuRfBn626czMXiCcvTRfG95oUxkhWehEeK7u2q/ gUrmYck8nLqAFlYMZa7E+fPesLAww13yzUo6d/G7Y4c526szNa7nf/d3XyYhPEegIbK3X1t52NIK /k0cLVobqp3PMrXWmHDVcDV0Pj6Umdp/IjHUHLfX/62NGqspWBgA8gsMWVkeWvbE7O9PjSCuYFDK Xr4xiGbQQltQZlXFQJoQc657LG3MyLb450QIBkk1fWYg7e2BoyoN3EBV2HDALVlD5iw5l5N8JF3u 6pN+NKNlzZJurSrMZsWTFWNadVDRY54phgva1JVv1AKxCDpb1G+AA8JBW5exzM638NsKfrgEc7ql zr1ImWuCPvwrELbxQrdjIKnXIDX0p2gcahmsiOp5T1hPkcD5haLLgKB7neSttcZnyM/Y5qliJ1J1 ZJ4R1Z+jUpZiwKrWCc+loO6BmBaFiTppMHZPT0qbbNbME0t8TIEZObxUMoqBpaJM9zyzmKMfo36R qhQxpEM9fJ6egtX09GAlI9H+Ns+b6frAxd+cXuT208D7mmFecIQNWG0HQT2z826ekkt56sYw2SkY BkPPq7d4aPWQkm/q48qMSU+N4ytU1pRaUrQk7VzamkSTGPtYDFnQuAAfVOMV40bWv6fsuas2zqor HgqB5ZJnRpfSXsysNQmgSHThkcq6li51sciqcg3m7N3pdx9BWG9uiE2aclQi9aJXctEZtATJmpxl PkRn6xwa536R6vLbEJspiIXA0mNGNEXlTlwwjgzQAp5VP5lXdxpMhY0rMQRLoQgBgDdzLWl799Mc PvLT/cLz+yYuNZNV+jPzDQZ9cYUZzHNgbScK897t35WzZwVJpER4CGDvpPlIxVwpNFVyh1JVRqMK g0JXO/eBrnHXNyOT/JBho88u4D+uilC4XWdBB9m9vpmaSyvX/bVIpDbsGuKQdwAyq8J4oSY9tz8s 3Zw8fmXRMjVTq4qjF/VggtYogT9vHuzZ7+T8w2VruX3DL9nDl83GEJ1a7mF7+SwdEsR+rjUEQLsD iAEiIVyO0CK7PpI+XdMmG4ZTnVl4iwoG44MIsPbuvijELXnWiZH/KPjztWwrGLWh6r8a+e/S1aDL 0vNxUCZwB4DCnkfSaEZNXRvprueM6vLOXt8O7j1KxHzNaif9mwRYn8M+dehfqRhodsP2vpVi7pL/ +/HpYlWrXzuQBp06x1zB9SxKDkAmLO3vLb6Gsyd6yeRKUlF6rQ7fKSJDazjwZylBg2l5/jyI0C4A NfGWe8r7/PaGkrgqle4vYTWiibjTGoDg7093yD6318wXbv5q17ust240KyLzQIn6bvjMXsdj6e9p afcTc/PsbF3Ic6uOtYP7Kq25ZR2uJRGOuGpJBCMm4T1/s/C7qdj4LHj/O7z7IvUyLMxc9b0f+xF/ Y2YTOkvyZ510NrMzXIbmV+lS47le2n+ym0+TPlkRC9E6YL9gOoqGnW4paVc01l672hjdDdPr7XbW sRLVHaCAaCosgZNfuLdyJI4QAvuVFhYwRkaG10wCxUqOH7SUvihrtd4CGN67tk1tn5tih+Syr3C2 C3kWRwU0pTm3hNTJ/TVPkEMQ5YvH7Mfo/+KtiSCAXydlXDU7DDeaIyIHkoEP8e8efF61IDGFUyyB EpTXXWdIpgqr1kjpGoeBJcfqTa/QxueqnPKkWvTnd2K7I+W6cwHhd4Fs7ztIPCdK00h6HSQz7kWn t9DuL/MFq+4HfGCktfGJUDQfhY3Ov99QcoBFaERVtkzKi2xmnnCksj5Pj9bW7Og9x1vffQfY9Bc2 7f+gYtmFDiLpsxKkttdyGXTOMa/+Raq6Hr2MnGSwwgjtqxyICKQznZIKLcxQqf3nb9CzlFc4OSTT SrpMNJb8qbcPjbAA8jNuDpZrRjBmDONyk2tNvCBN2Frlyuj9wSGf5ypJfSHPKYD4TeF5lXGYxgtB MnNOBVELLreJZEZ1HlIdKDsf4ySzZdawaxAR/z8CuxGmvlLWfpEZnQh0XQiFhBRHXNYfLtnhL23Y 8aQ5fCjLjjmrLsZNnvspGj4qyD6b9ikMvIqG/popqBFcaGu0wLTVVjAR2fBSOG7JO+3oq2nMqx/Q yQgz9fQuYh3VmoR5mD0pkBqHuG+l+prbgh87Q25Ldzm+0IfRORJDGCJoGWGXdKETuuB1alTdkczg Wb4T+bvsPhMXhErHhPUwUcmjcjY4QeX+6wNbHa90POHyFmy4F7QgBl+bx9vnjbhpvO5DKzI21DSd IQ1e+5ko+dFNAy5RlD9kQ8gB7yylj8V4Q9YsBxRb3AjaL37i4V2HPRd/LTK6XFpSLDFtADk4exZB cR75iHHtpgpOxCWu3gqJkDUCcuhy/tLwtI8HvkeuLi3mGAmKmOs7irmqfGfkLbupKod0kfP0fu3c 1yu4u51IYCf92yD+PnfLuL6O4m8VR2vOLodVUhWCCrDytAjxXGejBNy+qa+bb216nXq9lY2x0YtU w3a3DkC8G2Q9UxS+R7nGrTCs5bc7yLdjnDxnRfOHorRTp8yvrrZ+GatupKtDiFyn9wbFpFO90eJW evwl2jvCVkT7jEZPBVzZDNKqC38IXR9e1VDJOfVzjqjXY0slULEFegINbXr/fAHSfZ2nEODXAk1i u1L1KSXppwy9HzhOFAOVoHi2tdyuq2MYd7D40t0HNYeGydcS6oL8/puePTp8ZhIwNlPX8U4lKubm x9w3sdOtzvBxr7HlFr7w3Uh5Y8OsMDZla/9KjjDWVWuEtjGyoGiErpuN8S55LgD/ixV1cRB1Bcf+ H8S4jgj4bXLXXcZSuMoPskaCB3nTINHEd27pSZ1GYHqeD37PE6TOQtakf6eOfFR0xZz8X65tQfB+ 46+9RNU19JRwxiTnJ6ZJv573/zQh9Lq1fxFggMqpHy7M+d9RQeYQsDDODtjEMqi5DM5ffvTbHvyf ZJO8Em1dG3hnhXeb1Xu3DrNAJKhlzepKyju84pQ/pjdB5x9+PYFyxeIpIzOBHcM0wAQlxFTdiGal Wn/JycXw6XITgYHmjK/uJX3/AvJ1wjTwM6lgzYQjFoBWl8/Xih9ox8mz8+7hpvxuMHHm2Qs+Homy fu3hzmndM90lntQtcYrTvUTRRyfa7mjBhHYyU+05fmOk8eMyQQoMEr/OOCmpsdPQjTFUGnOsHODK dA6Ucxh0cnDK2+kCU2FvjiIS1PfpjNa29ZI5eeCbwVnXSjAHyawox2mtLyDS/wuSF6eNBgYHYeje MhZq5+y/4b1W1eHU8kNt19jFSmr3vUTCjDLkv6fS/w7yMnh1ehaeuRg7f+AdNPpN6DXbJ1z0StZn 6K63J7vMOphx0rSZjmE8ssloLRQr5xrdYBoih4vSqCtkiKm7ZJgqer1vx9Zw5ZSqtoYrwBr/b4Lo jkBGrAKmAWAYBchwvmfERHamw3+7VHNc3pwmuzvaB87xjRwOupom8uQrr9EJSTtoMfFUIaP3lbb8 NHA0Vxcnbr1UiX1oeLbLnVN4xTwi2spp/TgZqAhinpOZtptOxdPZdycIQUiFRAn5aSDEk+yS/IHF oVF6twx/bZrQi0/7HUOyqn2ddxv0g1nKbVyDqAAewpuUzuTDDmcsL1iPRN2E+vbnqL/aRt82G+d0 or30Bh8ndnyA1peV4D/i01SAG39DVjPNwFMVVzSnZo8aqZQVmP+2du3c3kbCvTAB5vSZZyWlEfTw G1QebxoWswb8eWromZH4TdtoQMNCEJeLVJWT3wZR6zMh8f/D6VhP9OVbapQPJejONBtMXb9GJPxc Z6Iw8087573xFdMWDM3xOoKeuQlhKU5D7SNQgcMigUCysi5fGGBgwi+18PBn4CoAhhN1+dXhQAsa W5cPHML3mzh6WUVcsGiE/w8M2JL9ig8fOQ4rcepv85o2fFcQyYco2D+7Df/0Aw1Fc9N/qkcqIx5Y zKLkGFW504mayDAyclruU1wlFPiI4/L741yo/w1N+gJ4KK3qDlk7+V1Kv9G6i2fe9eDIjZs3DPfJ Kwm7hLyRnQhpZYaHefkk/nCTbbmkhq8uhKhEAm1UF1EC+UAdQijqcwY7tfSLGG2AoexM6W0s1Qu6 pLFT3QMqt+X1IWRIdmsl7alPsMy+eF/3DSGu8axiBgymT0JyzFgAevnyMrJA+IX6iaIRon/3gzpN 7AN3OBwvU++N5H98OuDYfAvp4q2Kyz4u0Hhy0F/c11XgXLYW3lYzxLZyIciKj+MXnh87fOvKpdAq X5VMm6qSW+uqq/fJc0rFWeNCCPhBbb2QSdHRBEimN83cv5eM6C90L19SGAyAitygMQXrnwI6HuIa 2jgt+bUNzEjps1wnwAwhtvIyC0nc7kuQOpxrs4q/OdtBcAQ+ew68p4K0RkqVBjlRduGPfjX6fFJB UZT7etEr6+mwJxL9rjTt8sxUgvDP4CV7z7Qb/NBZYZUhnquIPt3eabOtx+Fmlz01Cj2VoLuXb3XJ m+dJQu4f9ncLZShf0gnA3LizfNd9KwuMKbAWQ24kzvwdbDWp5Rtmv2MvSTBfJCpERq7mkhN+d7eV sA98C8ORDlQkODNyest9Lsd0UoEvP3bU6d4Uy7vTbqAPt6tTbgjKIcDLzB6QwYkQRYsE24sV2Hlm Tyz+LG4bXc2ssf/xCSd09I9N8Bv3s3C+wy3Oz4eShlx4n1m5VavyocwBzwbhSUN89t2e4GTW5WTV /+UvTslRCzNSgE1J7dTmd8kGGszND+5Xmgr2YIpA33ffU6QA5G7gLqgOtIJ1xJp3M9F6JV3E8Pr3 1BIhTVC0ZVYq4AR+WSXUYMbM4bCLTTIKaT9xjRql5opiIyZkIAke8YvW/mTnOznBldu8wY3/66iE vQsePnfYYwpAnhZnRC/o+ozZgy17q79MEVxaifqRxMQdKOsF3YfdNQykHrxRt5PKfDb2d1zS8H+b XYUTYGRFOmbvzjsdkNfkikVoeMeKzS7a/RMxwd7/4a68NblsncfeFEWLfFiPx4SBLv0Oe8oZZgFf eUVtFFaA0Au2dOKtklGFoRUsTpO38TRn3yDPT9bk5z4le6Had20Kkqy1U5kXVbAhsbaNT0PDTpCP 0S54vqaNXEzlG3jbGxU+Nk0aXamvL3oNf+8m1Sscwzw9Xmr86Gyv1DK/6taDDB7eqfxAFDXZotQS b0zdbl1/ELBwW0tExPMmm79hLXuGTQt6Bx4SSYCvK148umhzwByQsPyICc0SVtrzagr4HB+t942p Ll3dvLJaQ52t0b1iVK9JQC3O54ZP42gcYi+REF4uI2pxvGrQ/R27eWs4L65RA1vqKrr362AV8RbE D40k6f8Z/dFVrnUB71nezXgxCFpczPhI8dp9qeHbV4sr1+XBtta1HYdERu1U2vmFwToB6kmrwNkq oLFauXt/VGgvz4ejAUTVdMgeovbrLd2wECI31KUZxzCB84y4KUEk1voITwmeFM98800ovfQ3JkCQ R7GmJALpfkMU4mHzK/tAZofVNg9wKNScTjMm79xj0TILrJ7h4VK7ukN+Z0egSVif8UcX4BZRqJ1o Olr6sU0LTe8GD8ccIFhyG+KF2ajoB5bW825fhdSCwdC/7XENGc2PsftUFvCmBK8NIThyMRYYKUuS gRbvcX6CNUaxxOhRvMt//u0we5b+2X5lx0g1y9FjdRJ7cBuAjDj+AkZaLe7IMP3+MnH14WVAD4eV BLHDELxhfZEkM9sJ6S9m2ZtwuGMpn4zRgj3UN3JfLP349bxWNCIjnZknSM1QMFeRSwuGXa+oH9Ue umkjnrR2S4y2Cvtl6O7xqWorv8UKsNfGMw8e2GMcCBk5DiG28wz9sdHFA6UeHEYLy2fiB8aXU1hY NO24KPK74bE5I+TKCfI9dfPcWOrQI3AiSaqZzwYcEj046tzdkTHKy0HPapZESNWk4C44ahdHSop2 H7zL9niGTVoDEj52vVhw7AKuBRMvrozuqTpe6cuduvpYk9H3UYOfQ42iFKmFTgSStjDcAXghu+RX av/tJInAIUWwwl1mn3P9BaElnFEP7oykvRbxA9kIsFNnYeO9WyAmakb7zoL2zwUh+5tmEti3dFsu /N95iwN46h5qPkvr5uLvsh42GvseDNTCI0EYu0+pbrkADzqgyPzjwhPYOE4AVklrZ3lyGO4Obuj6 AZItFbq98o8vJ0MX8LytQt9kSoQWoXh7dNeJ6mcnebYYwmdbsi7CWEZYwSTq5zk9cxnzXo4fjC1v l+vMkMeI5gUsBzx38kga4GWD+S+e5cgdWDjsp0SXEzEe4YBL/ADK1SZKRVSzGUcwlVTlqEhtUdW0 DW+dC572YjD6bGkLVpBUdKlYH9LlUfNCslkW3Yx7oTnwfqslcGRHHnn2xZCMFzIVI71gN4xgR7GM vKcf7+Kd6RpJ0s7YJHMHnFT2w1ijwJYcuUi3b6+QRgI5LhqfNscK0b8dWvy1OjPCFNpEfBCKsRzc JXGrpAPErfI6SQbhl7N3acz2mIhd9wla8G54PltU/HpGdnYocNoscn7qhztVgC4XxAwOMkpOdncI Cl7WsGxALaIHp2CLIdTufQNMUs4iUZnu66kC4CWZNIxFHSo9JDGcNZBYBECknhZceb7sB1TIObU8 FNIivWsuafBvSu8YhPKSPeOrotiITQXdtGWzrC6rrlza6/RbAuCRiXaxU99acW5ufpByyXat3eP1 fCVbN3aq6LaNwmvrPxuvdMO2e2Xl/R0ssraKLHCA7/4cD+OtBS7YGwtrRIjA7a3XFKm7PyxiQfQS sYGwh2g6qWLDq6lZBqqARjwLMU+yO2XjWXMyqHFR3HJHMCFeV+b4etS2JBIVLn216ONlWnA717Hz /6byWt1TB0s7pm+sy6hNJyh3yCRM/nRVlf0Q42G8qvxP74DCIQR3cAIYQ8V4HZKUJWrggA6LaGU+ zPz8jxI77nRqk3zo9bnauQIPeAVwHmopsnEyVnUAO8pK+yjSkwv5D4OAG6bOtBmx1qNWtBfpUR+r ZUXt9O6Pu2U05U+ohZdQ/p9kKnWk6a+5fVrBDCfBAhDqG7Z5ftkHKl75x6L2I5ZRyuswsjW5peo+ 5Xs+mz9Ftk3nZb0YPbTwSnh0w/bxJmKbCZuAbTvG0gfaZ2G5cf9XxfTpPPN2WeniWyR0b1zRMOc8 89tVckrLZUNH89qxFK3VDucWwjMKE84Lxy8YXUn+tpFvNVDoM+jhHXZmYsetKOv3v9FF1W/tyh2v ofnr/n+fJ9gObQh9yiVqxu57aYo1MfkD8x1/ZTs0uXzaSiuc7vq7lcBt8xsbYGA9FcCJCjklm+o8 pATLIDJawyWkSQBWx4VtuUyJcoo6idilU69+14qREege1w0zTOhw2p+wCmNTpA8ujsq9Fp9QIGEl 044N5PCawtpZWl657rEJ6lTz2xGXxTsbRg1rRhp8T53BpaeJL1QCH/B1mdY2zDByFGxL3+8lyp4J 6chJh9V+RnAKCLU0Aph09lwO2rhIKrz8m1MupX9gHyE00Bpix1Ph0ZyroiZOymuJRN9aP+a8gJy7 JqCQph8wxCMOCOI6kJ0PCC54J3MtJ4wrV15rQoT7RnsMyiLX6QHvSZeddqx+7z/a0MFmpz+FSLXO fA2AehwFW7izBV+ijhMqYKJoi6ajg+o050E6wluW3ZdNHzqqLvftNDFDcZLVB08/BMRo7EDD9OeF 81lB71qbFN6tKCglGThCHPqBRaxIkAng0hmNr8q7WvkhpEm56RUM8XH9xjyjCgCwNpj6AywjehlK I95FMVBgE8TSeH7PKWI9jXbs1+v6I/X/g2eMIBa7BD0ta811ASmpV6Pkgd2Axqb0T3bX1Khf4xKf 35qS8xgIG471RX54J/u1BfP3k9cvpjReki2ldol6rC+jLoNxT3A3MgSaygs1wPrFRZbG2Ayp2UM0 x6SMW8Gev9b1jPFd/+WntfagQ/Z/5WLG7py3PaaEwEjIUr8Fa4KRqasq4JvNY2FZUPFYXFmz4npO Dsnjiv4Rks1aZeUEbGeDPTPY7j1wzluWVU2kMScc3HdBym1AAP3n7qez8SJa6/AppJEjsRNrrtq7 6YA/sGeJeFIm2k9O8Glol2b2xQDJFCT99Jf5kkE/albadDV6xgiMgo+ubCr9qQ82yr9dO94y8n8Q Bm6hoWSngJiZUuL5hRvol5JT80XGdf+knT/N++gavR4a4SAqfvbxKvUw8QiYYvERzHINo38nm+hq BBxr8daoQYukNu8YsbsDsPJt7/DxSO/tVXubDC7cHd1SPzubfNb+HVoKOsoo7gPzWi287k7FcKqt LqofI4Bku7FKNA7SeTduhi9kc1SuIKnasCesLpcT//GgdFxgjspc+mQgIAtdDt4JF3TzRtWbbjL1 LXjpv5A9emDdBOyAJLOW0tZTn3qUA+OWk+e5E3rwccJoLLm6P4tV92RdAE1N6g+GZdcWypcBrktb CH3CrcebfnH7yo82P1Q690bG79jD48SdrhqmaChrbUo8dlOu/PUZnF8oNa54f3jh/EpRkmWyyjdC wm15YjUsHEHPyGfh+NJZcKbvpEkp6zTsK34hzDNfHbZrYzza1AU5sSC4YgyLpi5nRpjSU7Rj7kK4 BUEV `protect end_protected
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/sim/vhdl/ip/xbip_dsp48_multadd_v3_0_2/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
9
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RRooMx8aKXOKuw0jba9AAvNqcv1aOAWx0dmOeAMZtfEA8NEQBycfD1he5bNQ520rjDcafpEIYoFH 8wShwJiQKg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RgXfz+8mp1qbBTyetHH5ngynBLMwZxu0rxkXLh6QHG9XAy4+BbRKOjz1+c6cH6NYhRRfM4vT5Wl9 ixg8Rc8Yc/S242b09BUNorP5ATo1wne5IxcZ3jC1T4BUzl0tgUScvpD0uFueK3/IMnEjC4aKr60w VKR6qyW4sq2X954pF24= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oSpqzFUI/f1Ucw6UHNSsuY41hVH/I8MTwUZaCMUrE6zrelZVctDl9yufZENXxn0WT1Yem6/W+w1g j5QeVJm+5hjC0WIxLupVJMkqfzQw8dGHJPDGoEaTB2RcDqLTnI9CrpQ+iJb7hrQn6dDmxZImAuMq 3pJVs+aaFHAeNuzZZhE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X4Fi+a1OzUk68cyxZUSzfNpLCwkSC0wmt8tY0kB574VuReti34LgiOkcPXhGDj4gSUKkUF4A/Yda WDzaAnabrJ3zv3CWcxwC2pPrD1rNotHPICUvwJpTTNzK8oCLdrgMtygrsL5CwXaOVNz1a++BWa6C QlArFRdlccowSRhXDf5tSyKNSLiV9tJxHNvWPzIowxyUtoVMdFV+wv3UhZXGP74OmYsEJ9ESIEXz q0P+Tc5gairxuvjskvUVpqbsNEBOq90+NKAEaLtPOQ6vY8kzp+eWm8uf5f3kgAZZsAiQGswk7vU2 f5LaUSeubviPjfs+8uQjBW2DPBwJbScjsXNcQA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ro6yqNSieyMPHHxOuV5fgYIFX1ue1fCOQaUrsWRJcJVYR01otjGNBK1LpULF9osLOrFWk4AtTJqV rWcIGuQTGE8iRA3XlZBfUPo5Auyp6hG2JT2LLT/s9g6oUGrueNakH+McXpdG6aUJkgH9/eoBjrLX DrPrHqq40IlnSppHpDAOq11fRkZk9TkYdWeakR7Tqj5fMix/Hves5J2MNw7M+0/bs9k7crMC3AB4 hiMav0upztwGMWPFQOTJLcPJQ9Jmlip35nCdHQRH+L1Pz5yPl599XvkZh7InTkLreIaRmIpZaIPJ dSX841g9ZA3G2q8OiDyHBCZOsKYNN4/R2I8Cjw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block K8DR1xFh8LdLJFzLYbjbQJHVBapMV/A5ef8aS1igRTqWTzaxTp8rcNFvJmLeb2FVSABbW9ENq0z2 4PAQuZAFntoQZCy0B96/GhJqiwWNdo9SkQAXHie4qn1yl5LCT837iglxkr5T9u9hdW/y32580N70 9mwvaao2qtKVUj2WO7a8JbZTzIZj2kxqfrfxG+wf2zMcUTQ1XZCsGULlRMnDM7exK4fGQxqbrLsN 3z3GB/1h4CYvFQCvVlD3CURFCtPUP/5toS0Ja6ccVb6/3q73spZWxAHrzIuZDq1YEczGdzSMR+k6 s98cQiYspIArx3y1cvwLR27cpPujPAWuCP8Wyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block qSsiLyvJuChi2E0XpHgqvvzL6KzlxNxmE/rnpbeMhxrcw4+YwQJf9PGnnrX4tEF0CY29CW0IjJey bTv8crMTak6uftHEV7pAuVQqN1qoRfnDfIvU/hzMFvc9tUkx09OtZVb+rRtldxhe/aZS96in+sHB hTqshw27b8IcBbT8EktIyfwc65qh1xE/ZgulXR9Kcx1Jx3rEjUMwWiD6TB5hlErIg6H2BuygbR/z IJFQmZVFcQw1H6HyC2DOC4Oj312yg2csbrv5Fz4fRw94DBfNqZf7WhZndcEbGi9oc0JyNlDiyXfp uxBrEPLYdHAVsWsuHLRyiblPimflRcpi9/LZmqvr6FoY5X17yBHcR+lyAd2iwU+tABC2Z3kvAKPU A+aJ592s+KfmV0teZoU5XNQysu1h0js1kC6Wn/2qlKufjLQ3OkkwSvreMLyQYXsdiBqb78ISE4Uw UImzT5LkG7n0RjvhZBUx8U5sZQw9lxMB8gM8JWFuKkkCFerzx7nBeQJ/05ztfnXvcqZ4bf2FKiDL wxEa8EkkVSUDI5pgxSkWCsURrwxN/e+CU/ajY3aA9LDKl/FOqWj80o40f6ru9PUlZCWuV0wAJSJo 4Uyc4I9Y9+o4JK1cgU6Si7VBlqsDI1yOCHgQpc6hZ6MZ5ghBi1wfReAgcvcoKNsM5w5yZdQBiso+ CY6P0B96TK+IDlGroXJc0AGv7nzWG4KUsiUQKC4E/iqn5XzwXnYrRAZd0nLDCzm/A1+b/u/+vERQ cDwJzhVgKvFvIvrvyGV03rCdtrxETckrpHjiHdP4eJrm7Oe3i6Drqg/uoEm1kYnpn0zx3QQ26drA r0x/8Ke0a/e3+HN+3oCKQk351kSjXS++a6wu0iw8OMWM4HOfHoBWHJR13RzchJv0fqy/mJyPlIcU 07kkB5SJJFb/L8cFiEnU9jplyJMA0daXLEFyvoFKEPEtFYpW7eTLMa4znfFv90rALh5EbrNyR8no Z7o/aBvPDjmx/stKnPwRb8j0bJ7f9l+MfR9enwoNOhGAC8j/Z6j1xNw+53ZtO1GXpQV4Ej4ioSfx OpOyfc5sCLV278oJuUXxU2Dvw1Rc69cA/oDDbQ3rPQWK+B3p9AVJRcPX/1/bSqGrdSciXZDQgidz e7Wom4XRKNoQq/HTowIEXTiv+Hh158V6Lj7yxYnYwuGJsyiPQZCCI33W0T+y7lpo1rl1me2uyfhA TJ2ReQSRSlq4ZpdxYqMq7l2FIrK8KHOjeGDDQ4Ttp5cNpC1Va6EcC+6jm+Y5iQ6iqtB+09A+3RQq gpWIU0owrNoD9CJQ2QBR/7LDZKHxghYKTkLeX9QiH9JNo1/ipF9d86N6U2qOVW1UMvRGwcIA5Y30 tEVpI0Bq7Y4PL3CGPc0Jt2INtp1aXlH6eezE36hG8KSuQAm1kOjxWoIKkAkIUR2sHUzq+L5T3BG1 w7AMwJpMXqQi9kCIUWT5s1vAJuS5fs7mmeTcqVmF28ZaR4jxXlDtmAohp45hw+6B0zkF9VeLiIAA CSzIToC0HRRcKoQWE4VjKLkhMXIogE9v/uSpcoc3/wDBirds/0mYTssntTimjBKZdgXZ1xWds5Xa eXyzP0hmdephl37doXhNbEqfGbGh/SFnulTsiUg9Ivh+t4wCQzMnHhbJKxyW1VDEznt6sxNJnQ5c YfQNfXkpQ3ZzuxIWkof531RIExR7EG6iVF6NNuqUn869t+BI1yk5NLpaKFGBeSPJsAlbbGFmY1W4 ggfaQZodxVA1aI43AoggG0iCJjARNlb8SN2RmBNmC36eN3HSp3rIZI+5Apd7vf1NoG7qcJmceslj 1JLiPNhKhC1HCDeWioceHB8vRRB8HM92WWHYrrRytojpKoOlLOb93iZxCJ/9H4vkXoXs7Yjw0/pS qEz/0T0PjDsd1bKZJsz8T1/RshFP+9tuOJmghqv7WD8VXPKC1MA04hhV6XV8rNvImcWMT9Ffm89c MwYvbPslMDELFE4hDA4PbDH37dwxrIYNYn9ajYlF5zvhsQ84KbwdkSSY1l0v1gFBodPZQ+w5Jr72 9hnX/v7V+QTJIWAmno7uUK7Sjoykfk5HGjrG9HctBH2zRHMZ4F8M/ZgrJ4LisYGzOzimGDOG+q/W Ni8K866T4S8Zvzl3UHdy8GGM1+TAgcV7Nk6HPcvhOEg5UHt4udE9pDrQVVl8sPSzO+mhqFz/3Ae1 +Wvb5okOmcSOdT5XdTzuDRda17C+qe9OZkP03FVZ3P9wOsgYTSN62FnVkGDuXu3vTXJF80Rr2V23 M9ftZalEIQxzvMe5/SAfYzOXxcYxBH2hEI7ix61A4qgNOwm8PaYszIo5ws0zhbsLmuZweskH9Ufi bu2S9artLAfkxYdwqanhjIwxLtO53fUoNii43jJgpI/iYTPUWGpbWqB5yK+XGTXGcfZjNDTGMYns m2XEhjYjobQQsM8vjCelpYtjGqoFaw40UfEVzBdMMgLnsKI3HLozMigEoCpsdDgsnsEldX6rlMaR LyrOtg0C69QOknJTIwCY3eUR59MWhoysPD9zrQdCFy2o8K9uIfX5xsos69KJeuSdTt46Hn8Vlq/O LCjannJ3bXpj4nz7BHbNf1yqiG5w9JpFAj3eSPhtWoorw4D+vRNrstKr/mi9nBsuERsP6EegRLDw RVdVlSdkcyAy4xj838Gzmo3Y0tLJFWjTELHwXIpfHENe8GLhjXlBh9xDTpXj+4EWbK7nKkaogLEk SpRcgbmnmITAnB8hUTfQHimKyc5TUyokvvdZ9+7ohDEnITf1TE1vBwRuqw82aP0V9HPwC0j8/J90 UmJdxrn8LGmgMLVN8NPHBb5i/8Syx2W70nVa2HK62LO5pOhlfeKqqUOuxUPXA3xqGBu97M0d8R1b y2HzBrXYTcJSC8PLWAlOJ30cr0fWcYzwg+ZifPRgmNJgf7AL7Xk4UIeyiR306vczhrdyXGmB57fN qxWvdBBzjybfFVT1F50hYXgRQ0NY4dZT47ZR1tMZSULYE70kueQtRbWd9uEKBk9U+5vk1t54/7BZ fZ0w8ruVqQExm/opoXdmgcnLwP5OqNkgTNn/GjZ/cGnU1iGwMQrKM5q8zgqexqPZivXSzbPcTzKd I6OZD0Lxy1n+TaWO63nHhEZ06xvCoTsYFuPxpfXLQIcKO5JCFLFkZpRwwuILdv/clszUIT2ApWG8 u3PV7Q2P8llHIRg6zBYLA4fPHJUxVlUIxcfwy6yFSfKK8fOiBcWQcV80lw/aezwdcUe8AHUVNM+s dw3jFDaKFpDJfQReEyXhV0E+1ozgBtdLWPenpKBVTt+a8b3dYv4MxNTMulJkqfou4CqyQ+sKQaNL YFG0Sgy+6qH9N+2AOloEdk7CnImO8JbFxJy8mwmtDxFd/EKc2IW9CJ2D+HXKD9gaOtxRYLeiHP1U 8g0PhjW0uRgmR21PeKLxr5zdhSp9qmxmxD7S0uiJlpe6kBE6e5Qw1++CVDP8N6E6cAp7zWsV2WN1 NXMFhA78ZORcixbi52d1O1Y7lHRNi4IB4lArnxzCWS0ZoQMQC4FsgF2uBi2FBrfP5lfNpVGYlU2i vJbTIvPRZT3wAwVRo8u7PTCk+5N8gIHsM9rXamRk7LCLQ5sxZJu4EvPrbF4U4UE5Q+6g8HqBpCM6 AasSMob8Cy6VUgT9a2eJ+zto0STCfZob9cHgIIPtkTIWzaru2yz2yXnhgUAao25QSGIfgVs5ra1i dTCs6h7m0hURg2vFyyayHev1G4b97yhOifm1HHpkqWBx5g6MZ6/dN38bXmzsr873GwpfNi3z4tc2 aXuDlmFwp1aGGutrGSxH4hFZzV5g5lrq36+SGZ59nrrhhJEs27gzN9y95/66tKLeaHeEUQg74BmR Xyn7S4SBDO7722x4U36btonaiKwD/VRbyyQuyHgjbyCTgYCqvwjqTCwO+w+MGSZUYYUieI8p0VxZ hGuMrmER7HQ5zeh2qbTeR/i5lm6PQKXv2lABoEgM1O6+8HzQY9/I50lKJP7JSbqt2y7bjTWkgYee csgOfzhveFpU8QQyTR6iV6rmUSZC6iq9Xo+QewCA4+P0JDtS0mlEWEcUydx4rN/znE0fKWxjn0Or BuziU0tom9Lf/+KSVwi1G6WesnNmfZ0tL/PbtnA8hkCUsPhYbC5HuDKC58zPscc8WsKMzHKFD50E oFiJjez3US885jDFqRxi1G4KhYz3o6tqvYVHee3lNBYkHWrX/MIfRsXTSx1093m1DaBHjk1nssKx DWR7C+XgnalZxIlQD6VTP1Ij/Vpb8rAuQTU2SPTt27OZlXyW6P4UzvxFLtt6sqzkqZreeNGq4r3C e33i/p/JmHh3Wn2REp3XZiFHmW5iMFZJoXr6D6l9XLAyNpu5WJjo6L0ERcpAYgkOUl97+uDbnXTR RKij95+o9svEUCZKK1QOf/uYCeWkcz2lNwkJcWHf+vgo7VZcq7aUxydft0AmzUQ53hjbB3tQtQv3 mJi9TknT8tgfrN5uUEOaDKFrActkgx0lhPJWUJ2uOeIdYoSX+XeBt6MNWfrzNizyiaqK/cKX5K5N 5TVrmIbn7FLnub2EnQgzAbrKXfjWOLtWskxsBuYQp5RpEDUnJBtEqVGThESp4FQNGYUqtF/46mTf 1QBsVgn+l3nxhpIDfzBD5ngeAxAt1AYtMScrIa7Y52z+4MGpGOaDsU8askX5kZK5pqE+YD/UxoC1 ugWV7YpPuSzq4ny/MnSBlrptwBVI/k17bDPijw5V2Dm6D527jMovidRMSG4CQBL6LBOYM/R0mJlm /cPR5e8HSjMlycQZDGI1WrKzSLdgvfm8+ZtppXfGgxe0B2IE2OYPBiUpTAw4GdNVIWQ6rV5A3UPo NpNz2YaCnLmWGlxoQMPTYCSuwwzD2X1su0eTvwATZM7ph54THL7j+rTXWhY2TZ1ZLOUiHJCkhCIr bHKqO+sTriRxNA01bHlyFb6lFmgoZGZWLfTGzjQZowXT/dlpiYiw+w6HedDVFv4FtCloCwl594YM sZ7xWcleAKxTD2ABp8weofH8LKibghYdu5gsn7xcvHAhAZa4LFpeFUtUpyk1/BsRg69px88C4fel IIYU9tcXD8E2SwVUCXq0aLG3PnHqQEiqu3kdRkvwR3ZU/dmRa5lMiRmiQW31J5tqtGq3oKUP9DMU nenxhETh5oUtccEWcRM0rnQBMgI2nnhBAza9yAXdIexsNlpqKvfNrBwXOW+7nmKewWSZgCPp6MEG m1KS2S5yk8To80LHCT4vTy2LXpl8OPFsLN3p2sgTZJbJb5vR/ANS/QWaaeWFyMdSbGdC8ke7rkJI iswMGTjnQVMFCFfSCJT3hpGjLSBcZ6KGvmsnAf9gY1z+mFe/7h1Vtk17KtnkLJLEDKC3Zvyu65Di nXD8BGfNjAzLWoMN7rIMduB57beQ9PUbRV4nRWJLtuoito1ZK4vGw2Beq/vXq9lwBtceH+a55Jmt INsxJsbXcJcFYmWBA6KJyXdy1b7Zviwwmc6iOqdvu42lrk4ckDgzUDJ3bzoUqG90arqe3CxNx8ry bF1GanDOsXegZ2XX1u49x5cEN1PYSqfgodpZlY7LjqnT9lQZ+RU4C9qSKJBztSiw1ajdS23yas5O v07RiNr02fW52TfLGWssbm/QJBfXdtLqIOPaMM4iB2/RikPhjtNuyUPr+Cm6GVzyUgg5v+FSIDqD 17rFGeD3a59RDwvciTho3sRlnWFLgEQR/l260vlEPorHqXVEGxejv17UDXeO9dMVtpEfjLTWqpQV 5XdFY7BhM8jXSs0udTqbnnVlTB2ZyAmYAJjVN7AQZtr0VB2ZQm1i32IW0FBnTFEV8aRmG/YGmp0I yX8goYRCWBcPbsKNLpPv5D8UhBehpTtb+qFaPahWCqtwVYPWIkqE1iRUALfphDKxF/OAZuDPyEGc 9VsAgpTg4naeRXYCAxEHqUGgPXC5jZLgK+FcVGRaq9307OrSWTv1ehswZxurDuWZ/70R/EplQJqT C5HW3wGVl5yCDP0mGIxGz+aHqw55NgNVJRco8yWffzuW2jvM6JapkO3W/XuW0/639IrPfGn4CNTX H5N+F13C/qklF17X721fHC0dscmuSgerSlE/Wo00DU4NsO5pnpAHFScqnd3ZGNgPdJrLDWJ1P0We fINrQMcbIRR9w4fYk5d39QPfKB7mUfim0ESLoJT6ISd+MTgIUptpA8tfWceaEppwvKotALZWLZQP I7SrED0jKQFIaEfmPldH//Z3ArmliQf9sc+A0JKFbKBEFAHvCgisW0Y2EUZXtC3bIfkbTBiqJ8TX hVinNW49ucZhIWBnCts6DRqBMZ+Ghd92UilUpmnVbT9Cnw8B1JoOvG92XfFl/yXNqEeQSgAh2X0e llHSobTmQv4ExRv/FcbZlnKj6qJP7cuOGXjU4ZC7wJzaRmx83UYHTE5S6XunxduKimjw82lFleOf sBctCD0LFYK0q0D/7BgkFf2mGi/VAOMB2hyl1XGMdB57d1qcZZEc60JiFsDkMqadm6Sp2DY3LUBQ pPyKeISk5qq/hI7rnyWx4rucaIcSp+SGDX2hck6ApVhAKZcNhWrifu2Unx5lgGiNeGO3yeks6Obc AXJ9FGPFhu2GQ0pBetpQ7oV1cxQLn1BT1FFshYfHukQO1uhSYsyifBp6t5YQMrLXkxB5sjlkm8PX pvpEP1KVkMWRPgMF5cSP83m2e3Qv2XZKb2VZUjDbbjl0gkuVKlX+JDtm6TtTSDTLZgpcOWVhD0ib JCOz/j3b66XyDzpqJkcpJYQjkT8icssuLjVOlUpsj5oEIrtstRo8zdv5nnvYSfA+RTBdBbBpp8TR 4F9+TV0rLnSZDJK25PTkI/c94dz8Vfi4m9jfRR4g6lN/nwlhbUbLipMj5gY361x4p2FBLH8Uei/F Zwp5Ttgt+khLUHeT3gOvhnTTN8Lpf+EcZw/k0Jumcv7habh5vtSaYjteM0eGx4o0FlxlQRCwVfZv f/1dtBLIinD/q1xs/PfJVvmfHriV/ug4HcZfN7RYvNN6a7pv3yEcOAlN6H7+EXofkVFI34hYl/Fh aVoI+Z3QN4tQ8DdFsmSEx8LYRH+n3BhI0wk84a7EHVlN8GhtmGqSWuqt/+QgG3p0gyisgXx2BIGk I2uPxKwXgB+e2aDj3efgQgeeW7vJS7KrXCviwt1deKM6cISzlnUPn3FYai9PbGZzQozvJtItdbEO mI7J1Mwq/pfboJ+RRYRepiRvGeKGHU8CrM9rNEjv2v6nCF+gGXGEDJ97hn4B1veZJBtMtfMfN+2z znTnDdCaMz5IW+lI6lKbHFHVbav70SYN4uDwuVpeL0e3N2MgxJCg0kh70apSP1a7/6BuKRpX15Yw ZRtbXbNXKDgwS2oFHmOiJgC5ViwvLwhZhcr4KYEbX7XtnV0+/selYWWl86ajenbhJSmIem3DN3f4 MAmpgjF95KRXXOPmsbKGZX0OXSL9N+diAh+tNKtCEj4uQ1B3HILDNNPVGHyv8w/rY0hF3KAmpvuE ggesTlgy8APycg2FIwv3pyD2L9Pw11GohcXFdAwPJT3U9xBBuYUFkEtiYSc3v+RHR/O9GOOtG0xI oHGFZXfI4hPKPyAqxztIVkr3cpCXoWSg0M8i/YCeAgRjY2MHAC4qb/XKLDoKTv1QTO68rxV3yYlH CbP+vqMWP+oAm/8oHmjkawa/QKPLJlf2ruQTkhrTsNBM6THZYIQ6q7jsyoQo3iEyqB+7kGeupxRQ zulnbOPA3If0oTT8R69hv5ASYG4G/6/mtDst4FYNLcsy0/WtxjpQgM6bXb7e+pW9ERxYaCz5UEOk HKkyOAd0ysn/DT1opy/c1We1jYPICs2a0aLxNwymdzXqQ3oVoedFMn1tOndaK5T3uTcikyOVzFvI yf/QL295f4gQDMaQvKPD1V+QnFyjfHZV9w3/6KOlxVCNIYG6gkkT6/hK9vE5proVX2gRVioQkK9C +U2ASrp9e1mNRrneiahJxwIHWn/3k2g4uZzIuqHDf7S24GuW57+nndWUvRwMaQgbEY40uCDQBaSn jlI5yp+kYdChMz8s9nupihJPCyfBDq4aiEIG//x04YxoFTkdhUdB0aPAm1Oeg375NtRIwfzwtZ/g 12gutYxAcQ6jdkE5EWc+DgVSVVzuHlPo8wEj1KSi/wYrYx9lLu/9xoviO8q9SfCAXkud0lCfq0e+ nmrQ42roHQMnQmsCwr4SHVmrKw00vb9uyCRc7kYnfTB+aAkn+agCMDQWVZVuxgsRsvBp72nXUAGb mm9hF9i5q8FS5D/SZLWTCVsI5WI5PYGQNPfuZCoF/J9CNhoUf0p8Dn2SmG48qibc12LKSEGjUA9U +OkAoCZjFVB8kGRc8EdY/NKRM/b0LBGLUCEncFfd5z+URNqBO671KfUG9cX23mqVzKzz4qUPXaoQ 2V8gRsnxp2AolWmDHBuS+XhZW9tfGh6hZ+wPKYqLewuBWy1zDARJ8PWHqd1AmjJ7+yaItSIQZJW4 OeTpyHRgbqUcpc+5XAWylfD45G9rO9NxBNeHoRwD9KtvnxZEQrVDDRbrvajXmSg4Z//igckDZRYj HJvn9004k1FbkJsFRzMiDSDorV93vHQmtfehNukP4EXVcr4us96DGyORR2lt9wkIR3mbE+MofDv6 Gd13gLLPE9IJxKCkl1GpqrevGwhPz6FgDt3E3/eEC9YFfNGR3k2Wms2FdEtvLqjxfhKEXAnSJBlq FFn+5Gm+7Kp7rgY/IAAmnHoVp/1MXakDzBTgGa/WzwkT22Okv9HTLqmNS6LDrvpr5DHLOdZykwGl DyrfM6vns1+b3RW3r6MASe/iKImSlZA8IRajbuz6KhSkDsRLOtrfbI08idRNY5adGiV2zrkwTyWd /VJZrd8LOBKv1VIdeieIMjEYY9/WuzJcmYSEt5bpatY3rRjm+2oNAQmHu/ovnyTyikRP1YjQgpdL bqBTTdW/gMSeg8QM2UctiyVq09zXawUu44c3BM7awxKRv3oU2NUb3l7o7JqQsTyG+FfJ7DRWkhvk vF4wD/0ZxYj3LgM185rmZofiJ4oMW2mmYeWgtC/LDOnJQiTpw0HplkF8LApOKbAiDHCCtElOp4Jv hTnstPMHyX2ZpuBZn0jMq1rMQg4+RsMeRbJ4z012N/SClTh0Q2Rd2RH2bZWEC7rhETKCDDGma0YT T1lOfCJI92egXVwQWH1owS7HwVU5MrXksHC9Osm88UL6KhckFO2Hy0bjaPEs22fGqXalezWWwZTA dhiNc/HdkvDhvn061mjSuvPZ6CAgmSImIvWfgbolviqCjc+KVdtIyfYsKEAiBQplo7QoKRqdDVBn W+S+yQ+T/CnWlrZTkDLRsPeKp449YMTtZado1Nw5l3nQXxiybQ4+SqV4ZE3xB3t6QAy04Du1GC4M TZfsD+wQ7ydwbWnCbHzZ82ONIAaLCilSaum5gcT9AW5BTWGmsSjVwZgd6nPFMzPVFMTklgWHO5k8 Zoiiu9An0FQJ6QAT4bUKByAAv77lPk1+cMHJ5zEyxhG7ozuQisSkj7L0QtjkWozKWEb3CNuZCDJ1 97doQm3rLn8fyAiRm3jAEGMcj2mvEkC90UNZg9vJ2HI2pGAasFwp93reeSvuWkJLjgCfNrLX0YYX 0zi9uvzIzRl8LEl4HMSkEPKADsqNF978V2bNGfAFYCDpqZ/PQkQ0ideLI3JoxheuqG1bRI2lUv7s Rhuuah4x/skIlUxXMM/Dy5TRqfEKKnO7YCBtRTrDU9vz08VRMZdSZSAa00RJRfbvnAZlDI0gJx9+ Wfq3ze6THskWuM/ThRw7bhi+TXpzdFIcHYoiYBIJydheXf8V/YsaInJshZwBRb6p0w/r8seuGrL4 1sdwT6CiRZtBruWtd9lgcJNtOD0PxSQwQqWSJWVtGkI/DJD3Dstt9yYpvm+y0lfVWAf7W3eTkmnP zCVVdVML+60Uh9gyoWAB0IAyZr5SjDNytJkMRkowMiErV7Ft+u4xhHQYkv64uJUoQ2iaiP5XTI0w rAwE45s2XciriEt5T0dSSoWRZSGqIVkNFX8/pmm7E5h8qwYtU2Dd8INkS951AfmH5qHkqjRM5OZy B2EGyXXcGK1leCH1jq4ZxLkIP+jdCqadSXTc5sEFGVgDuy6xE0XlvV74yWeV4v67Ufw6sQS4BwYR j2cjT5P83GO4O2P4d+fR9sojq3AwTrvUxYshxYy1chPRObDjHNPoSmMHG5m5MdNFXWWRpsobwZ/D dJcD7N5hyflQM1nIfuhRSQ80OhGCCZ5LBW8Q2qkE7Oq7U8ECnIPI5rbeBKa1Bo9sMGrkBjAFUNer QT4DXje4KH+rjderi0tJKmu6DB2l/6cATRIIgM8dD7Ub470g1tjb6TabBRN/DjDE3/z0p62dhXo4 F5a6QrUEq2W4RaW2LRi8bTcPCIgdDI6PQYLfB6+lDYgPE1hDQNdmFj0GJTN5kpOz55xzKE3JvzPL mZIgdvg5GpgnLYoToDDWpkrDiwVy5Ib2su3hN+ZQpHyS0pS5nM9L/idhvOGTUUqOJDWmFyWFcJK2 P0rJSlSSw4mp7KO1RXXpt3taNjsHOWjGuP0QB9gWfVI+pXHXxyIgdTSoxFA+WXa3j7+UuPClyJoW vnf/ulsshGV+1QE/Hrl9kC5t57qTbglNWmTvVB2Snt9s9jJb8TAhwJ7YOe87zEUULEj3w9nSV6na WS5QoQKkhaBW7Yw4qbZbQXh20fbirkOL2OnOeaAGhmIzWMXzpB89mLwfYjbK7qt6quJzzA05WblJ ti+EeOehTyzg9fATtuiiFRBeoPMEHFVe/cEOienXLFu3ZFpF0wUMOQ825S/6TeFnZzePuba8lxeT LyGCgZUxsJ/UNK44PmiRurffXjWYYU7Cic1fSWZymX/D/tjDC/tOL4zLuRECMj4dRYvLzYclEbRb 2WNpXTpDgPkciKe3fJphAnenRiBSdOkFyGI4aWeCQbcIZ/edIHpUZayQn9qu0ZJTnOaOQ7mtv8Bj 8VtFoNnr79ua+zAEbHBNKfxf+rddVv0wz956gZBFvVXQeE+KIFW9mHGO7HR5l594cPo5HaMEz7FS jBLHTMHCp8LjMxjbC8AQfLDoA/hPATI9NWn9sDQMPAsv0CWLjbMHC2VSorcR0JrofIBHJqyEMd/2 juAO1pv1Zs+6HjaRaTRybdzBSeBj4sa1Ej0y+mvYPuDP4yjWuPYOoERk+7zBXnKnm/iyQJOj2p6e x/ftBvTQgRbMmvvElcbwAXrITyk4Sl2CrZ1umrmHJiQGoSbKmV6XMUdIfGUGj2FbaLimHn/1RH34 3KW41Z48lgdJThfbDwXaGea93Wuj5L/CMWegLwT2KLOTEHJgKSQMr/qDlbeHPjBsriQB+Om3S4HY fa928I8ql2kJAEqcHRnupU0FdzA8R4Cle94EazHbdWe/38ft0rLtvoKYaQ7mXq1Q3G4ipTGw3zof LW36uLNa5CQKyxCQOpZ9DqeH7qkqFBr4pi3W5dNo0/kJzEf7DS2p5h+0exwSXVqQvJLvR7cRFGSe iWljJeaBDbFCEWGWzMSZrBfai6EUoJaiJ+vd3WalQKkb3orbA7ScP7cupmj7cEn6YTmFOncqNlVb wTM79SmD17Rpfz4cpzbodaC6zdr0JvWmXp0E6ndRBsxwqp5JpIoP3dYJAdpnc5gPQ6UPDVgd4+eJ sa9Ot96aXZ1tXXcN7ItU37rYutgBGCxAqj45OdMv/FvIRLZC6kzXPyWcPtTCwZ4V7Vt6+VdSSH7c C+Izak0a5+yFuXIHU6592Fq/BtwMh8VS5/vVjUCJTysFuM8cjXhcmkRc20lcuyAcVB1xZanC+lQK EwweU3E5yuyy7wFOBQnt5VMGDDbI5yoCW0MmmuMS/muZ+kclgDRvBsmL56DSkee3eUVAQx/NQ/Cl YBzBDEQqncWDrGdv9HPjvnHE3JA7Xp5ZBkWEOuenw19BBncQjheQADnxL6YGIw9rjkZbeS/3VygV z9uvNNgQe0O5c7rDQB/V7e36bJH1XHSUryNOg/hun9VtiNcf4he4CGXGX1283klpCBPsQHQ8UOuk ONUX15ETSJ32GKJaPwpHAsqIj+qvM2f86ymB03Pm5OW0kJYbT94pMDfBHcS3azZllyrQ0Hk1/fna N7RScOAXmQ1mMagLTMQV4btKcBe+LW24mi74aVO6dx7f+u7+20ELXyQPOTxN3DLGdur3h0F3O+QV S31YF1kJJXk8ZAW8yprMpZo+Qt/cX4vi5wjCouA7BHo8MPbr0vipFanwxWixKwmaypkifjQQJsXz 95aVGKBPTCre2G3+PL2CJRzhzV6VGoEvmYYgVn2Gr/esJqqYt0VEudzo6I+IA9awRSEd0uXRYJXc l7slUUtA3DGQUPI26Dy/A2PHxARZwzee5uCLsbz85/0jTHZo8geK6WCU4OaO4JzsNc83szDUgdUb QTBmB93ID976yZWDrFvJJjID3U0fZct92eRGlanly/kVnPOuAKM0dpjyuSKDDKgeBAV7sZ/0fY1J xJWzoVCcluxVpDjVPGl3mUycrXO3IYwTJdTEcNCfCFv5KJa5sd+BzSS69R6r3Rkv8mXO6Zv3gUAl G/Ut+Ub39EwfrDfNMThpGVsjMBkkLDL8LyjSJKcVPIeHOhlO4ZijWe/T61Pr5ggflzn2yRQ4xAwu AZ1zabgXCFCY2gLbjIqVK6UNG5gaefX840t653PXNmqUh4CyDDaLGkz7WoH3T4RplFOW4yqOpTmk fKXfmtLKDN21r724UyzN7tYrR0+kRhylBRnMP5xZ2ndXG1PFBmvOJ6nyjePJ8PrPvxzcPDtU/j0I lCS6BSr11jyXuRgd56UJe52KgImQe+dEL4uaOQ8WENom2Qmg8dNWgxVaPMUOjoKH1S1qNc4Do68Y jo7Yg1fH8ZCbArr99jygnl7o57sXez5E3TJfRts7QS9MhvqTuqtdlFft+crX6S9tyft3vW64Xvsh CEJlw+zME9iNazQyXKR9P1OhwLVZ+5CkA8AaXQFEiGHZdBHi7IlfrY/69T1RTYtMvFZVWoSNTpNC q37c/eQLk1DHJqfbUqYfqbKitCvO5QtHm0BF7V1CpbZpgt1Qb4AZWmDw97Q8R5Kjso82B4HjHV/U tfdXcfP2hxsijoxGc+cNahpYBK1vPmMIVz00H0qubbMA0Qa+ySURcoCD4QAc3QedUbmIWZXSF4pF y0OUKxdDQ8oS+720C+pJWc/GpWUAddsrE1jQrvjzP1/ThQnuEDqW5rpn8lqY2QH1g0M5G8IvSOHl BrDHccmdBGiUT7fkoyxIRNrHwXQEFRuuyf7NyNGFEtlLiciFslgpWvVsgDgt1bIw+7WKL77b8k7g dNMve7dIslnS2EYbouNGuIsO6r3med+ByF/PbkfQ6UmyU3PW76vj5LU+JCf51QlANl4fTDzYk8/d NqSLzMbDxxp3OfAyw0VC/U0hQPnZrgfGbnQqSMJikz+1CQ2FxgdyDXCtNVnGJud0VQL42p09Gms4 rMe9/Z6itsjFXqThCUhC6J5ss3N8m0RkRyn5KFx047T5Oj+yURIG1LxXNWsR3KErzllpAPYoXGSN T4z/xih2+OWlVdP4Aqt2eXtMaBgv+eGrP+D83g620AMh0hb+c2oOH8w+NZr11qPORbOxYiaOpGns tcSaDrqczGajPx5GEVPJrYBr9VRQc4Tn4Xv3Pg6s20LNQE6Mit48xpZM3zcVwj1nM2azUDxhOQKO xZuFvX40Pm1LkCIGSw7rFcQX73O388pY2jTVCV/pml2r+nXKuF6FHdlWS+WdvpzIOPP7VRXjHgyR DJYZx1oFNDjULSRmqvaQ0fFE2EiI5OBl7TyOakucEL88Ge5BTq6W1MxUxz4au8jIj+9yl9O4k1H4 yuF7GzlDUMld2M+czP9mxA+X07C5tWV6PaDswMOxZduKWrC3WPV13WuoMNnCT9GK+VYJet06cygD jAuobc55/usBihR2w99ddTpALURUXVrl2VcL/mv2EBzGUihiH5qN2cy5cIOdLLaELHy/F9SJ9dIK ZqLcYcGSyKvUO2IS1KJ2idpoeKy+y3kfG1imJLF/808PxnciEbH7f0txtnCI2m7dVlKHY8yd7Oil R2uarMBbCOOVJhT1yT+yWrOEBmKUEHcdjPn0loesffvpSZj4r14qm+LS5cSVE9ReNwkLBEVgLLZB 7WtLicnubuukoOsYXGQdcX1L31HtdtR2bJ0SZtUICqeP4OTX5vjjEDLgoyvGbjEsNiSAE3cRZoJ2 Yy8WfTyA1V2iCp3BIqSvnNYbkHg7Ww5bMvMU9fpdWmusO8Cq+IhG/7PvlWf8tt+lYH0oHY2GnJcM iH2nV9+d1kn9aw1HVw2+/xCF2RBu8lB5/HJ5pSuqZT0Sy8ksVkqy6lF525f1BeuzB14Jdm4GTci5 cQpDvXAE2Nnotfkyz03SinAdCaV7TFe/Iw36f5nHH5D0KYOfK+GDMTAyhENRPd9GpDe8kcdZWZPN 3/iOCpOC7pnOYRcME8rptxfRp2oJAZASKgrlxIRkwXSiP6GFwQ280V813sSNTb5NWt13YBg7nqxi dYdS3107dl1yCbkjcpOBpC1f8tmDtFI4iWckU7T7gkWsEkMAOwCiKsD0vl3hpw1HnjVHJrf0mn0/ ayuccPBPAecUs2e3wJtPwoFNkvAYYZmmvNbzYw+xrGRAkvtS3lmRiG+OoDeFwF4my9Zx0lLBi+g5 H1uKgo5Ff8zUKEzaRft34hacRKQ1gkhlodl1V1x1dNGJ9JPcC2Td0sTLIlX2i7fQWZFsN4Sunyuy NpvR51MG7f/1yPLV2UBfZdcUHCSRXionVZTNKzodiNgmHXECbviVIal36XRtlhd/AD3BmX54f/Jm gZtYUzlfecXXEKF25D+ibMY5Jy2mh2RzYHa7JZzcIUGhhGQU2pKSO4/dGelu4lRtUBLssfTww3l6 ddalc+vbMK7cbY0Oz1o++A6GxHsHQ3jUI5xw2+RC0W4F3WVgzBHKdkvAX9bnk23o+oUyyvTEBKgy PuO/AOjF6LM6Tj5Idj9EF86z+VvWpArBNaHl1gs8BCpY/uPWKUIyOQoQtST0v/5sFOLF79hijOq6 AmSa9/45Ex6MI2TMv4MJDD5oC6bUa3229Q4yvpRFfMdpfE/2H81P09+C9BAgsf0haOY6+xFq5vt3 xqAZxdyifuWGtMPnfq1ZK5R6B2NmauI8aqPReK6NTMgDnMTL/wy9chLRtRrOG9wMNh1A51IC76v2 jWYjF7Vz+nitnhR8Ui+dEeZPzf4A7MHwX92BRCNlxJAqmKUM2e7RFnNzZb+xoEYszyicR5z9P9B3 SFxyTMSUtRbwnUAvvNPsMnFw71lrE99KUJvvj46OrU5My1939fBU8E3bOe/u9tEA+GhG+X0vQIaU mqZK+82lnh78eEbRLnC8idFWcfOKqFbnp5uarBnEYazUsAjJuXdJVP9mCrbaPAa52ZK4OFOTx/if ZE6ZYxSe+z6R60VyN4V2sCq159CpPo7OClR7//6E0Nnoq4qbIeIYPOr+ueE4VvZub8VyBZzjbiQM 6HbkhDei/e3buGPWurZlbi6COBfQ7BJG/6V/DcDZxjN4NSxhPu16di3WV08v1JX7891oNBC7uxsX FcvKts4/uOHqHJFm8lFLIA+215xGZDfCQ0ZQdNWO8q374qHbXDZuEkkU5ec1P+G3drNhqOWx1QXM WUmU3TWvyoghCcOeC9AOauXzwGWtC4VdadNaik2M1TKdh9/EVYhblOxDM4qRzs+x/YCHnYi4ofHe Mm6E4Gq6/7W7HnEMu1tzO1pW+/4dXSoSdSo28YBZekySiwCAR1/cvUReQT/ZxFGThD154i7KBZ6O iQT9X44hZBupj1RQZCGy7BJOk1DVYdufPxHWKU5z1IH3DvqeUClWNokZVOTSgB2Ho2/n4iezE80J ak98BnQBOM1fmAMiV856sq7mOEb1zu8k4UJUPx+TwHKQREtVT17vHpFVjpp70PAaC+RBMcAgzbcv Mg8ijLjsX/IZ9HoPRiUSFuU4YBh74gm6IOS27j/NPTCKT71RG+Si2rxh2W1X6zBphyE4Fy/TKggZ E0aNaYTKkJFj506pjOC1aWkwgAgHfICzg/4q8Aat35E6oSw2RgG2stOCy52Vni38/AGf2TZMSOR0 MbSVzHVNZ8bBUXNqguSmHl/3t0FLQ1e7RmzewRkJPecFRApIiemLq2H8nw9iOzP7YBummjlYQIIK rbmNSkGmXHVIUJjylYHrxx4PM6q6Bpn9PdKyV3H7OrTtChE2YaC7t2xZyOkgi0ANSIO7mAusMY+1 6s38aHgPqJyvYkWcA/H26oi+F/W8B7O92Qc324Pvf+JIySGiDueCK5ppPtpndgFJISrODfi6RpKd q0a7OqVOJvp/0lqjZLRU/oqBE82SmZqe8AEvx/hOonNqotGwTpr5pA+Mu6tmSCuqMv/ueh7qvOFp wi7jfplvt04kwvB14U5vV1+Z+79NQZr6KIgO5FpPecJTLGYDLvTbwALqLWz51oaRcrHRSgb8Su0S O1KPqgHOjETX/3/u4H2CHfjQSRUNk+hLZziiBCSEoTS7/zrzuDwpuLaVAqJPrBrxyqKM6z/EbNqu B7MMaaC+fihnPPorTUCUEVZuPKKdQXMIg3gEb6+2b7mrSBGDH/OszX5aF3zsWv3by4SRdZQmKhIy 5Aur+6O0O2009ikHWjFbMA1MdxGHbdbli6DT0xYkMjiJ/sAIIblXL+72zQgcSJAfOABtnG14Xztx ACJQOZfAhw9pmGvYCIPq56gwWPmyMl3s4UrqrD6UOhCc5ajHQKLkyoERS1aq+SqNZfUCgVyOI0NX AEJNq9g3hQ4Az6aTLdt/zwTmWIzg4balCIUk6xrUJx8eVpgOMlare/dtTnj0nKz+Tgrxe74oQ9gI PApLoXJAiO02C+AoxXGjOx9asv0ZJzIfcA4weKRB+ceAxl5o83uTGczs/EgR7JK8k/ZKZ00GgJNz ijpduwv69nTOUBmScvIhfD5QM08ILJea0+IKsk+9rxqE/fklmFqczcFu8VQy4LEfN0NQCbu1of4P E9/YmIc2R2oeJSzrbx2mMtNqN/w8kO72sat9TsJz1hTpXYPMHLyEDr1YZCcjZeZGL0wNrqVhFi4K UQKzR7Io41i49Mj+0MX0MkgmMp8gwAT+i2tglfwiOkgX0k2s9o8Elu0U5+Hi3wT28UXPtrmNBcXZ 6ln8XCOCHsa9kUTFrP1Kh2634clJUuPjKuw1dWmvvmKvAa6aGO7v9qucAqAIHAgg5xBCdUVeCmOV sqgfpUmn18cTIgHo+hr/AXC1rTcAzysR0+5XUrCcTGxRtuVc9cnTYqvZnQd56nWV0mZjynxGFEEA uS+vMTAmVtVKiYtcOJNyrS9D4R39ZPwODirqKKJoWqf7Ol+rzS7EWC5MdilHVp+Jtu2x72XWiCn4 E899TZA2eYCnfdgJLH5dOyFhw2XeJPLYHB0GoFRiN3V0xKuP+7ytvfnrXgxFEs9XLHq5pMO7lT/o UsiAft3nEH0PTsMd1T/RUUclFuWCecrYw7vJPo0uq5F3h3/WysDMx+ys6cGmq7IAa8OUuaL1B7a9 n5cC0TN5uyKYHEtmnnZxXLFMkrV4OetYzVNUrF5UwyCECPH9vUSvEr7dtz+dPPAJ1pHevMNjXhaO G1uvMWlLkogEbF/v1Z6JQlVhEC+dSbxxjqzxT84EjjT1CuMJj+0WNDmvgxdLZkQxz4WsEQS9qJ3f gtXZdjhnfwUpvJapVuCbpBqn4TR1WAiVEesrEbaksqf57f3RpRUZFZRCdCDJRu2LtQygytOLhJIz EeApluf5ZqvN+KRLAqSBF+vtMkPEAlDSJwwRz7ycC07HISlTmarHLBfrg0DyGNdhaCqTs+5nM55q 5Jrh3iWKqZk8bqWH/y3fng5ggPxNz/D0zgLwLQHLrmSsN0shsmonj6oSJniEw3PVEyXgESq2Lb4i Z6r5kay38x+1ufws+uJhPOml7vHMBtIKPh2aCaVZGE8yPExsvyKSaCdMXCQ1uycyAH3PSkmBIbcb 85lK23gc5ZUkKuAZvB4pNAgdX+aci3PcqCzPw+Uh5kg64Qhb9uJ7Gd7CkfUUAdm1T6ufPva3qEa6 sJBwtasNt7KzgO1V13fhEWLpwkD91Yj0D+/p0YoCE6IrIo+MLomTeI4uAT7lbaH8WGo2Huiesp/s kMsqaQELKSjBYTTXWZzF0ioZOn76Qe9YNnkgpFe2wZMQJYWs7kZtcCo7ZM3RblU6IzzdFeJ0ouAe lhNOI50gQEHoLQB+S9mywS2GQGMOvNtvxaKsp5yHee8B3PL9r9dOqprj++BI5Dj8gQimubl9ypiE ePg2RyJrCXajaf6Ea1CI+VZq6POikQ1EiMtAgkciKTaDIOpJ+7S7oJhL2XALkHNvdiSfV7eEsFon G0WhjbIOZl7RehhVMZr3A8m89iHwy6mfZ6VgVwhl3pNLWikAyQQ0PDJC5f/N//rzRB3IxnEI/oNH ax1DIx1uR5RdcDrTEgI21eovCTcJl2NH+5O1aIq6LLDZ5JXqyQTDze+ceFKu5aHlMatpvZ6z97M8 1J17raoMVrmJqaWmHVHrgdystfnZ01DsV1eLf4UXCewCl7m9coBLzJ6RId66JK8vmwM8n2z2k7ri SlmdznLNgYBcdBIPX98VO3QYKaGWTMrooQCKItiNgPK5AUyrv79lWHpu5WOXhbGL1alSxTtFtezw EW0lMPPlBb2vUCtJQK7tUqFsCez0kwwvw6vnAjvWxOIeVmh5JnU1w8t6HHDnL+N9VHiV2BGoMvJh ZPVzCZAdSnaKZ2r4uVR0kn8MAJ9ezdKkkSXHFg9lZ0X2G+Xj+mXaWNIkaCYy/R2Z35YAUNR2BRS+ o6du5tCA7Ee7pWuxNYaztRLatg9o6oSQ9T1AMBzVWaztXSD/NyNYGZU0zicyHWGzPJeudvOY+dsP YaWLzh9+PwSa54HAy8Ac1koYOiNcHqk73igVxL0p9HtxqJVCkEX3hwuVHWkmI5fFXhx8bgeBawEw UAJr+kTM+n9w1I4tIJ8TroxAonRdD6+6YLpBuozRzPuVPizqdEGyjVNiluGqamv0NRUMTBYVmuLC pJXG0+jS+WQFAq+7C7NHYue91MFLa50NBz0O4L1PgZJGQElPDZGwY+KBPn5xsxQKB4AF+Fc2UER1 0Zz1sTL5XgKy84yPirvMMjxiAm8jUmOt1Bf37jPwnqzIaijanoV8Uxn9Ljo0PKnuy7lHm5evt039 z++dqlq6PdgP7lsqiz5Z6Ja5uSgpl+iruNdmo+eFl3B8pujS+rBS0uxnmXq86BSy9e7NmJj64YrG msZBMp3KGVMhAWWvfbm0TLVV4/V4JIZWNkP2rShM3NtXlgDLWJCTuqNPR1v0wDnfqVnn3v/kkSwn 6RWMKAadnbExuekbhSjGT1OcKy2tWjDS8XdJxWkXEoefU7qbuUmCH7s2MS5VQ8iPdINPJPGUEztb HNBlfKuuP/fgGxfdIN2du+JFwnc5Rczbgh32Rf9V5/UYrLhZJV0YNalijt7QA9NFRg3mTG0DfheI ZLhRps+XKTUStTbxZeFA/gt4cCE/acr9XvGdFbQEyl3F5G1ERCSaB+i0RVKIUU1/CTs2Ps+lWk6q 0kef8Bk8N7KGVNi8CYfC3+1Upfc8HoL3JBo0ozcpiarDvxm16fdb3sFdVrwRbbe8UtltOTRFTOln VSz/kDU8/vMXpNm8pOTEbHZJl/qOH25LWkwBNzI7PsSFGvzQADU5UKg7cnFhevWJ4bxCbdxAhXFv X3u8QLNF+kRYOZnbcpQ6p/6Matc2yk6KVcuT6L8/Wbb9+/ggYLnCbrDwNoLWOogsyaEMVQ/GMStb Jm/hpMsbtHEXGK+ctonNW23pbocIwaI8h08Knz97s/iApx3nuT/x5gintD0BpqxdEDW4H8LJZ5id V7TFZvkXQf7pQR9B0Hp5U7MPHxzWoKaQf3lGVnkiKGP+QWO0R6GSKQWahphHQjLQyNAPjBCBomFu PB0WVD0MLapKXxTWs+sSnWuFoXUWOEGb0eiPeFujn/9qcFgeJWVmvQAhUxB+/zKe9ZAgFAwQ2Fws qONy8YxG215vO0uvljV9Jkx06LXK2D/5ZoLPWArFrqoA6z1oXK3AcYsxyMvtzpbuAgUieQOxvkM0 xy0ZlW96mtG1Il9x8YFEcaLSwcYYx8KVBETVi3dCsXDxaWdzJ1BFVP6JnLLprh+OHWUcavyG/Gk6 RwaXZsq/mI8u5D2Rci9OQ/ih50e3qt3YRA7XRCKq+XfLjeZcl+jBcUigGzEcV4wc1crd7y/qCKVe +KKZqndHPpODmBrSmWPsQzoED9BfFY4PvEHp0CD9dRim57379KhjOX6adRKvVP04cvXxB2z+6ArU ojChyxZQTEVu2m1azw4aU4X7WodAbyd081jqO+wF1OE0yrwPOdA0CaFoHIfWm0g5Jr4qDRkxDOq4 nV8iRL5lU8RA0Dwx2kkXtFyynoJPxhnTo2btdLRdNMB338kqldmvx0ZD6MJ/F71MyKmmLJWWXE2n EWvnrhLNiIP1KG6vrvjEdmok8xJgTvG5rD5DNU+Mu0lyBs0JDQ1SwbwnNFd74sHLLeWpcKlBzqgG 0KdNP5sgwqOE8GDQ3r4VYiCRNMC1+h6rMhtwONTddJo8cMCHsb8TGP/nptU4SH+SpPfp/JjeIbpr BlSR9Eqh0b3hOQdYRgKaESmH80eQgjhZ7h53G2QgKS31Jw80805kPX8UxH0AXltID91NAY9z6t9r Og8g0RMRlq59chd8UbqDncJcL3ASFLL341LKzmMxRo54JwC0/C3Q+JNWZVWYhEecUtitThze1Nkc aDB99cbPJJcSOF9pmlkclOWJA5b8rBr0giZfxdgLONPF7Q76rRkrXndNY9PikWYsyXcG5JjnlRSK bfTc9SjMtFUUwNXun/zDDrwuCF/UAdub5gfrr3D9nIpnDu4bZcb1c7a4unXuriWQlS3LtHZOPz0d svksIpBJq89p1q+Yc4wsVGwtzV2TqDoBlP4msCqgF3wP3xBBV1APDHNEfj85VC3/39RIMtFKidpN AMcqJsoJuWXELDlApinaPcla2HZkEc13zMEl+glITjqRKxC4cihPiZweP0TxpsH1+Bv86iDsFPIw WwwyAiVaucemzsGPjbzKZmXUctf9AuTzl7JX8Vb0G18Ko0PyLVgN4XU61TSXqvZhZqRRtihwtVkV MlzZSAzFOw1S/bUurzbdLbOY/hDimFiPmZWeGML0+3hAdUl/OzowSD5qARzKKtM+AvwLnUYa2ryD vTDUHvsCXQy5sCYUxO4TA0uT2Qs4eP/yqqGTl8OUB4P2+igKjVxYoy5Ejn6pt2u5Ut7cDfr5N31u SbnsGX/WM5eGZh2/alELM1AgtD4+ACOxtdztrTk8iwhEqjSAnhz1WfZJNbHI6/9tHyPI470eOgJ3 3bw8NZ9C04o0K2RRNZ3zxqEZlp9u19ALCfktLkQfZKACyp7Upm/b/zruer4rKbA+jSMMl9mqeQ0v zDoggJeKCroFPQ37o9w02sd3jJTo0hQuEpdNfXjO6vDvI2mA9QCoTbeksPzopo5B0sQncuAatiuu e0N9IRtH9TC534pORf+6VrmUThaz8Fq7LGUP13DKgnGPD+KhumSYR7+Va1b8MGWqKrOh0j/OIhrR 5gLLlWyk+J4c64JJUvN6ul55GjTlvlRT7lsy9KFGlb3ynJmM+TcetXdU7iIzXH/LWc4wJM1c9jjS 58JZ8LnUnX8ZoxSk0nORPdO+5ALSMw2/J4Ish1irSyvUjhdBu5ma5xAL0JS0pf2lsiJuaL9yoDkv Y7kpfxNXUiD3M7guWF6YrDguHpz5R4AZdCc+sOvHj8z3YFbYSWhslPzQAdwGHAEtNSyb0zFSItWT YrSvDOlt78M0WVu1QFt5AAsztl7zHXR7OaCnogtIGdYa7qIvnr25MBsoVHgoiBFWT++3F2OYoPJ2 djASBxUxvsRX8warntffUMhknlJyoGPLr64BPawW1FzVhppiyMtc9Ued3m6OFOIUVosMDuWcdy8F daI2fhdCbbu76QrKqfwjXGIud9pbKBle4sR8uoe7/smQgSXgdxm9M3ENw83SsK/xn7Munxl4U+1o nKdrp8bPF2BDw8RMWmZ+9cbtmKYQjYcx+syPRIaMOn06ojHpvMQKgaRiI12QX1hJLp6TtA4O4xqy 3qn3/Vtu/XMdYIjgaLhi+JX/UnWolI6X+AsMbB9rrzRvzS6VMqvidGmuygfsDhZRs1cc+OgbqGcH xFdnhZRDJg37pR553y3bPiDgudPi6FaY1MMKdAeMMEwerxg3z+ywcbzP2RV+UtNDGHbbpjP7utvO aZyG8Prilw/vFS1/PiX0zNQh16M9PF8gywDbE4WOIfXQi1VBLEdiBo/+4gVXMP5PjR/x4LPmfoZg Hb6sr5X8lIiXRj4PH5A4JU6XMHbExfITxIcgHzQvBwMHTJa8ckEC50oeoeo9iKNVZhQ+Cba1F5ya BohHpC8NBxJHtvfoN3LEa7e+nn6OjcZ/ecScbZIpExv3WEcHZhNxjr2oOv6U6jWJwgvmFTSCp+Le KL2ldcCivuQFxtA5M2dvScGoNLc21C2XeHCZmtFD8AQqDDuuKIc68Ce/F+0cAUyG8wdhGaVb0FpL WRNH8I8Vwdg9qjyZvS3uNwajjm56gTu3dUT58V3XNIvIhb0OEOIyoBiYShYbdr/0CIhZYLB3bs8A W9Vx43aS8/ONi0AOvG/iNOHsoYolfA0zZ2BX3kRM0GEHYEJql7cZ4LYn8FQeOH7az1JyUw0H+3un Dx//7CVP5LBPvDfO1WUNLm9qaq5867AYESSeTWe6rw2Z9Hw8cM6h1lOSNgXPEBUKOdJvpKQtjShO Sed+gCPZBLwfJwlX5KlhqZYbXwn7+JF2Pu7tzoaspjt6ykYXFFHnPNl+5ranb0Yfdmi6DH2po7d6 0V48uP+f7434cyUAQLbRT646oYQoOwkZAHSR0YgiIip80Z5UKDT/hXA0ezvspKEI7KPuS/2QalNE sqNW/qpcJdTSa9qq27HZAt8xVz8uOTfxGqejfVocui1oOa7Z1brb9rC2z/X+hDh16GgKYm8jZtGc ST0T5TFTf2Q1oi/yEZRjc/TwXqbd7Z50HVjJHD9tUBlwYsNJ9ZvVKkjjBiREM+OsjnOxqGWnPseY EQiJoWr7q+Mgc5WVBf71tXRKyWHE2tC57VGerCycH67wMu55dI36edj9SP63HmnvdZ/A9LapCFOj iQ08bKt8xDL3nl7M+tcx5DW+43SFy6UYWZ3jmXz1F3mSjHeRgpqRhTkJ0xwAFnB10ZYVIXTp2XSE eJnICpJf1UJzNapuQyKznG/zMA0WibAEgP3Ee6/3NjSM27vvUhf4ySLS30HFzu3o3IJQVfEI5dbn a9C4UsvOKYps2PaLbqtNO9cVrsXHAAzNhsvxg6qYrWDQodXzuK9IYzt2Agup90WVtRgzE8ZWlI8A PCJ8q7HR1tXoD8M1VteYPLPA1hzMALRqxoBv358hP/H+HCXC//9fAKSyskqMHgLJMylJ+ZjWX8/3 XfUVVUuCGpjmYdzXf1Tastyo/bhSX70UXR+5GHY4JJwFtFgipXdo87iYYXq9gzAXtZ9aG9IWOfmy XHyhzvLGeSL3jgS0KaNwluvx7vLvjBphRt6hHXD9sDewM+UrQvmKPVoUG93dVBERtdRp/1hK5DED qobJJ7BjvUyebPNRyLkPL8YXsXlmyEZRmH1RovO66mBMXDAd0LrLMhzZhwSntoB942QHVCbaYMku OiyFqsGKpqKTrKT1ISWqPUgYgWKBIfzu1fxUmRfLuk4s49h2AHf3o5XmFiugrGTsvPqdJ2S0+HaA WUssTWUp0Kv+1drxxLETWYb9HwGh0lMUBBxXhd6wrtGUBOiJvc125bj6pSlNH4+xOWFED+ngSeNY lJ3L1jnwZaEt2hWSWzR7P6LlFNxe0H5Uyo0+wlDDL9SahhtsXQ8v8q0LsrbCTQB/ZQmgLT04P0LB Dwfpf0kONzyhDaiEnq9ECM8WbbYht9jV6Tf9LY2mi3Ak0GAOjDTMdmhsyvidB824aIxn5LRdpcdZ UAgXstBtd3cTX/6L4yHTxu/poWbZsyj5xeDFJEiISDfPh1uNjSmAoSZo0hwPuY0vifGcNklYOvmR kxCkC5GKCf2CE4afDdTKiZp6Cd4jxaZpjfo9HzXF9Xd0QdlVopaX2s2LV6l6IVtqXOm4/KhfQJj/ mLoOXAU4RVZErKu+2w21J3fapwU6uWJWHWk6Dr4NXfbFcTvESJxseXzYTvLXnl8W/Y8yV+nz1vju 2A3Xq57Gc8kwBhIKCS8dvy+7gd17C9KyuNgdfPGU9rcvDhzW80PIbmS9wl7n5PfGN57eDNWfhRH9 FhHSK1PV1GyZTAeeT4AGr6dY8N/wq1qoowl23c3SWTbVaLIvyqNViWs4MDq3Z2dfOWXx2rX7D7WR S5A1hSH4ZeAPLPPA2D1W7mCtLqM2Opg6/23gEUQgajXmrzkexbvj6a+zj3bvkZJ5SYNvA2zy/QOw F5ZJcl2LlZjxhTyiZceDmKON6gegjpJJCOFOGZSk/dN4rMuC7HnxCxl5kel+chb4rkvohkKkxkpm GS4j3cZbU7me0KVjwm5Ba70efKeaG6xTow2jPG3mZc4C+Xb4GQP2OgYJ6p8YCCbcDSz+KM6Nqt2T w3xKWDOcNIQC4Tfz0wv7EGdag2TCzp8DTry0nUXFbvdzo3nXHrpq997goKPl2kD8VHsb2/B4ybS1 KxgZhHpZi3KuxK+OVzcYhxFbL4RlSBSVCYif5sxg6ClBW8bN51osb6+ZIpgga2hqExgPDRnAN6DL O4s5h4VLdBmi6sCHPgedjRvxcghvb1+kfwqmGE1xU2ZVJxSYylmYbXj2vTfaGOo3X+qEWcSFEd5M kYr7iAmLzd1lTCSTOvRZtAFwFPiFVZW76pUz77J/LkxSBPy6jbB7mvEfi5G3NMvzLIyEcRxApM1p aEOhqnLbVQvCq3/TxQb9RSRa9RJ0AkO4U0JCShOADTTF+jTAj0KvegbRzF7BO3TN+M4Eq5KbtyCq Mn7h86xJNBFbWeott2ydjmOuU/oiFQwnU3EmgKzCvJbe5HeAQZuJ4pWMrrSW3MBiShohVShQ28Fl ZxC5IcJj7fwrgnEz387pfPBk6cJ1fP6EFR1zT2LtuZc0jN2WrJiLq5TAkzj9SFQLB7geS+3uJD+G rAkn4CFfx+wXtqvYLCio3J1MAT9IwCbPZTCBt+SW5K9KlkmfaBqBFuglMAnQ1LpCubqTkU9nOY+8 oyogKRDCY0t2SJRH6QeDKLnffCo5y4QWdn3PG9sb1xROYb4Xm5MIS/QNBXAdKJX1KMy0TXutpqkl PTz+eqMj0DN8pPaueRJUp4PQc3L56iQS3+MLucI7EX2DyqR0pCsB6LsNDqHZenFzvy+SL/k4MHXZ /cVfuhWmy69lD98/5b54QFSkJP0temxpeXjxh09qCRJeOB04AG03IElLcElYTQ/CSX3tn08w7XOw mdoEf1JpRf2/WRn5O7GVACceze3d5wRjMAMyfzZqKBa8T2pRmsMKq2lUFP3Fq/rKOkvj57Z9PKEK q7G62+6Kv3jkSUaXDsvVZQflwKCUbL8jmnH0/byuif0qOTo1wlRvfn4zTqwr31YWPW+74/zly/YR 47IytcqQA59p63RAshhXliJXb6M/kWSqQ3Bf/INnoio0os4WSpIwQyqA1Q4NlcrXXhNf4+0p+me7 86xyPL3Ip5vL4vkOpe/mV2h3vzrQO/VKx5bvEA8rS1d7NiVdvHkfKWGBka8tfHkhsgBbHgAA1GRC dLKb4YVJeEm9JaV3enAdq1ouEWRSEEM9cW08JdvbkI3ZCNACQob896x2rujOuTaDL99CYDFYebdb mBzK9blpj367hcANn6Vt8/yfcGw3LryU05bEKfi2BPEjeFi5n6pTWuu88egRLW1w0xt/2KgDm/2G C+x1PhHLKNDQoMl+Xodsu9jdEa4uXwniN1XhkgrUXpQZORaMGdv769xKkLMnYtZVjNwJ1GBvhLlY mSosFO/F1JM9Z9A2/wkAIzuI3a4PJHZhH2X8keJK7V5WzzOATkaXKMlOH45z/4WMoiXVMew80MML 7TT5y6XyU1YjdtqKpgZEipJrpk0EXFHpCuPw/Z+BUwRJD3tnpPDBwXYXViGnd9Yo6EcJNvKssxVq CNd00cVvYkk9ZpPcGEQcn/HeT6srNR9iTcoYSa06ScY8/Fi94U0RmtCojjCxYNDHiiRadu/8sXi3 8dB8DGlOEQLcjWOl5jlS6DGamCQkNm9y66t2Gg5Rj63GL/ZCWWoow3wYtZ5+8LgvKLC3BEtp1ne5 nGxNUVS6UgzLU2wE7pgh5D1qIZWy6cz+6aZmGndWijKy3RJaDYFEHAVBFS37onk0lUK1M1iHv0sS q2Vl8iGm0HD2B2EdfQvVvsHMaB6uqMrj7MOr5ggSkiORYKadnnD+iltfv4sBVu4hpAzIkhuSGp9D HzPP8EWzSEjZ7YFkO1YxrygccABAYHKvgbrys9leJbJdUesvX+PwGbvIrs20w2b4SRMp/wjFJvUw eixeY5xQsnyYYFA2pFHtbUM111txwlk/92Gg0yAnMSCQiXsNPuF9E0+xfTzwucPVqV8txEluj+03 JEn+R7eZiskPTKwOhUTC25hA1SxRBWOdjM1LY5AWlnGPSrFzWSGi/T14EIVwubLks4JA+4oJOzlL L3CeRl9Tx1+XeMtuiN9qqmmZqN9Y2NIGKBCO1Kz/WhFDTO6WWzYI6fgYNAVDF9GR6bWmQuaaj3BN 06f+3l/g0U71tsEXqhWEbZz9it9Xwj7gKauh3STYsnK3bCfcOCksrup6XhNMoCjnWFXQIvemSVgX xU6byo22bn1p/Df6E61upuiceFUe2mvPm/9lsHhYj278bPMSs3RaYLiAxsZYZaS2O5EwvfBjvCil Vv2XyRDnGOoYSpSOwkrNOHmHINtjz/l9Ywh3UAfEqsVEBs/FJG9VnQBIpaIU9OfKNxThAOKVW3qz fr0APDwR12cg3m2t9COcdpK33d25NzTZHOJdLijWus860CP1/ch0SzA/7lKGcHDQImGVF7kxlXZ7 wIa7h/GHbAZqqMbKTCH6o2nXjokOzQVtdKK3NAzA2P+UuQkgZICtUdHES1WjRjaVplzZaOoR8X9L llSart4BmWX45jyO/LsNQcVU6feRmgiQiRSzI2Pp2mkvBU9ep9XV0np2IyWMA2quEQkmnOApvnVr 0RtTIBMgamByHsftmuocNMHEwSzd//TGLtJ/Dr+f27ysZZ1w06KkWIuwPidfFimpL0nwNRTQGqWQ PrXMOHQgrXKv1YN9Lk5kSXF3OsCG6jygTlgik5TfvZLbjXcioA7aYlBSeXRKayppIJAeqI/WJ8Xj sP8TerpxyFv8Iw/W5j/Ly5LLpzLnIjX7m6EyWmhXH4z9Pk+pqP1+wTyWpml5dvkB4muEeLnLjAyn iFRRoPpw9kwxYwRU9WlpX1Ws9XLO1Bjtnjh+3PvFczgVHeFx2d2w8gKUJhYB7Xpo5HZIJcIMBUet TppVExoMrKpjVtv/D5i6z70ERKlc3iEI7zcsz1Rbdgd/mLhD3B4qdOl3OjINjqbf3BqcGwaPSBt5 OgszIO14e/v18zy2cHbUDXJ0nJ9QyLSK8dMGwD+fQCOzQUAJm6+DA5JKRUzdM3ctnNBUCCdq6pDD 38tubCCYCaWA+fM4wRmbnDUB0xTTx0jQFtWX7wdxYdZBi06TkfYQU4P+tq+cLW6sgWOML/4u7ZdO nMnWi35AcH0j5tu8on51oPeEZ2psi1cMUs0yhN92Lk0a8zbkS6CYl1hpuel4Bn+lEQJMMGHQKSff hxfG8wr134dP1Pmb+MZ3LIvfiLVH2nLwsunrHVXx0yNcmvzUwc3S4vvrLN55NHtda7456zxUB1vk wLBCX1qPfaoW/EVUoKqgJvpHmxZ4AD8iM+sZA/Lz1j7IezJcfKgwN7qLt0xH+BRUcScR6zj3n7JX C8htwRF7o/aVwP9WiB1TKew1ALun+eSvhKBZwrXZNrdnbiiqxLyV/3Lm/PEMrbes+jDZ4E2O82la WbyGum6XnDXsOaY610txBG6OB/GdEv7Nh86rM74DJTnrm5JkotkT/1KhMhzLBiW775sb1FScQ4M/ 8WDDW/D8VPrAnxdNzbxHuLG00zUGM+F8HeKUAbpDThH8pJenfjetDCrHjyaye+kJj3Hf+Bm4Gq9p K0TTWJImZiFSyO8QkLN+VJsdCxnjkC+j5RXKUOdrNbNimi01fztuI7z2o57SzBfwxbKqVcSNSyeS Z+fAgDCZEgv4MJeDNthnrtFweUUKDc0l5pXy1WHdOpE9QWmxqLv8jY7otObLkTYIRDYY3RLwmu0Q 7Wtpghhotp6kvz+NyRQ/MxXZghobodNHXJfTcvbGDZnaDiRxc5RhTu9kU/3wBxJPuxW95WGjEdOS 7v3WiiJOTEPEK91OKcJcSQ9GnTg6dzm+T1xi3zYA7YtuBx70o2P7HifaKPqbFGLAcqiQ/7uFRJyj IBa3YppzKky8r2gAP6R1LJzLoBx+XGU+fnZcRynH0QSSfz7nXs9CsLFP5VECPmQWtOtcTZGUbheX P5QHNyAgJE0crMAQnzDAsVt7BczUWTPykvHbsIp8MQHac8xe1JBlgGNteakKuWAcSi+WdfX5xhno rYwobTMCIemESoSPjgOkbHBF/80VCTz5OlgrMvceAqSxzQufFdurEeL+o8WGWBJuph83OTm5kh83 W/5jWKn+VofFV5uggf/fiFHUuLzE6x/Ul+hnQjuc+xP15Q6PzoMkMuZO55b0yQG7ZfOwh3gDogyR RYNcC+O9i7kEYR+/r9ewRfYuVFFC3gv5NH4aLNLLaMHBTAbhQGK8BvQz+XfPP6b8UkBZH+QYsFNK gioKjnsy44WPYfhIZBO+4y3sjAoOO482r33u6WB01ye4i1GmasUkY+1ihFXYzieXwHbmQ+a4YQVn GgabA3ZvYchghbIzKGUkR26zSEJffMBglfOkb/rf1b9ggF51QI+jAqzHLQC4eOj+3KSNe9zWUzuV YuFn7zI7J/v1YGdk+xCT1LsewGFQ10rcZdjTpM/sBCTOM92DrcOAVbYQi/d3LvgNaOIVsxEgGPfh OvQY652thXkGA4QofywxLVLi8kHnNsNjtYh5HLljpu6sJVHA73effqfU8z4hmFBQQj1ngfcej7ld J1EVC+Ad4D+TpMP7irNmXRkh4Y9wXaEjXkst+qqipWAlUGx9Yp2pMAPuB2KqPXs1FxEUBoPq1QVC liFUC3hhYue8YiLRY99eAinuipULn5kHpPiFljX6UFd81bZxNUkySJVv10eCMl5ERl9cI2XcSLro zt85eAjBIxlwZADT6tQ/I7ZWNWz0G9i3WxxJZ5qc0UioN0i+75Q0r4gxYYWkVWkqFSBn8JP0js8y t4bXbGFhn2YE3tE9AnYT5JpsWRyrqpBpeWDBL3XwvjsP2eBzAqF/I3eol2+b+qH670qLCBv2313K ACoKka4WyLeqZM/BsNqA9aXTH4sY8/L7TM+7knjoK5aEXv09HNThiBZqjLuQ1I+a9gZKj/BVZGqG 4q3KnbfInjHSW/SAkyDfqpHF1sHVX8EiCa+R8zGcdj7379Lh/rc64A0gi7vbTAijjl/K7Hlj7sZy I/shEYzIKODxWa5SGGaWjLh0yujkZ6jabtLTvnRIJ50EuKfKgBIX96zWVb+EOKeF55kPklv7Gg9u 66UTuM/rHAPrBxWDMUmiuw1z5g0VG+3O2DeuVjlCCWbR7EAWqR0ukZBlKWobLRsUaFJxSL/AKdz3 N0rWF2p7a4Zbs0ML3Al0cwxF3sFFE2TjALyDxy/uJCaJyoDXSu8pv+QUv+AF3xfIpcCe+w/Trlza kjD3EdSh0Yf4zJs47mGE4+WmRdPLWJqnBw522vwa9sLddLjio2i3IUdxgP5/ZIkKCM88B7auXO4m CO9H9+w+0yvT3XxRIzH+36ikdBIC2kPMF4dkMP1IEQPOJZk9Y34hyteSJLA6wkPKs5rUQU0Jmlop kAdVFLo2PLn/zTy2gu2f45ZTdQomVL+Wrve21a1meO8BSSE2TDHJnqVUw5cK/TOrSB/56CGFbYhX yfGPF2gkqVX54wNs1LRs+S31lpdM3pxBcr4Dge1gwhsAwJ14Yw1ubI3DutiAZixujaRAHjyQkld+ WAQaSzsYq+CUF/SV7Nf7xuxeANNn/ujJsHtZYa7859i3uQimxpKCLKFgfxB5BJ2H4qh1InFE5TEY v+fIFT9wFtZVoQ280xOX6Q0/3U+jmQKWEUEftLx+dBmzRul9lZcTO2RlbkZ7LoCPAoeVufZxUiPX dM+RW9hcS/yrdCz13RLWsYIMTbggn4VJfOH2bUvMIjawolYBSQ/YWTg23lBrW0qDIMZGf71loTRF zobFh9/bsDc7IYMlg+RmEM/MhufkUxdbH5c5xaFf8b7G5Wd1xo9ZUmiYdjR5SmY5Gu+yMvRpMXhG cSa4dxzdrWcqCZ8iNN6eWMFqd1xv+upGE3urGWu4oTLNBZi1ZSrqxRtgPGS3djGkWDmqJtNvaGKl wg7nTFQCNpiQ9irUnltaMggrLN7HsmHpcUL62h6nMUUey3tPrsiDLwdjQaLdBoQr8pvsFMttBo/x TNsucPZZuV1Qgl7WeWPXw4ri9WNuruTyjjSAA38rfHkd/0Mm0gJxmE9J3wvFPsJhl8aRdLADuvY8 lgx12V/uMH0NVIT+PyBg37rIzfFcRMv08qLxI3UvAIxUmCCjL5Jb8rhNZwCQE1+22UpJ5CR6Jy2W WPFFgiAdzD4VOF/AeegkSoim4PyAo7PBkzhGWwy51k0OVvQvNO3c9gmVRRDJvU/53LuEjmSznAX8 9leGIvKB2/GYdIDmCPt5Ks6kz/tJuWoWAXgHf3us66wmetTttx1oI9akiwqXk1ETBaCsLExN7Hrq 9Sq+jCYCvsDWsdFmqNwLR1zjN+E3S7fwDmr6KUrRE3pfebe3Yf9yVB1RbolRPvavMCHtn7HL9sA9 7Wvu5/FAjucfRJvp2LQ0P3Ni292xtxzsLHOkBUXZvWe3bpchlKadst8tLVLGpu2brkYMx1MPSr38 NsCyy978d1EjISeHDfQ4NgvXWamP9j9ol6NpKN27BhJ8ql2CROghHqVfgGH8dZjJ/CckQk/DiZJE 9Uqp2dJfFWY98RXm6zkOs75YfJp7xRWRmYVuUZXHDF5eMocX1JhOqettqwl+j7Pzp7tPYohZmkGV Iw/CplVdgwNBrmNMWl8alxW6lpLItUy0VC+9IoCvC7w31/OjKaVhdHmE2Ejpf0GEcb7zzDmjMihD tGVlwOTkuxYLfwH2fxqsJlR+jDQYetnc9ldETnXxmuAWIeZ47z5YZmnCa2qF0CWSiNayQhAVyj1B xVdw1yyK02kIWgP2tEtFLfNzPhdDeHO7EST1Ucr2JSc7ksSJ5MhtuLeCnL3d/Xm6XR7iGYwzpzLe gT6BmUPuc5xZkc5cLNxXd9pogd989jCPEzrAeTBecOsQnInQvJvJdBbIYqWUSHNp22O1ABb70wmC CvwmMIl65zeNfjFyaf4HFamy1MJnpJKWmvcg1NxBfA+15f0x+UuvvL7icxY4d38kfztYg36PRhSo w42AiT7rBwE4OgOTLFZcFT2+LgZ3smS/VC7Djmd8Ek8nIr3T5gs1w+UTgTnAiA2xaEnyQZuOyjmb ZtSdGKmlFldEFAdIIa1I+pYyAE5mTUynSwBryRlenmtXKSS7sdVylIbosNc5GLumX2NeXsTzBxay uieByePucCewefxIralg1af7nczjKUHvaARd5HiWzLz7YOjP1wyifTq722Z6gCNped6A/yf/8i2D zsXdtTRkVRYHx/Q7MYa+zd9JZWGmX6QJc/IfJDKmwkR5Jn87mVWI4RvR11bWYxV3vqn2qljFdt4v BUQR7Q9og9QHGMcrCvNNgZOdLr9KWq5Zn45Mai36wGQihXLhecVlzdRQxsb64XHizWhPzRCWVz5y /rRkvQGaauJ8ZxDgj52kyZ+oQjpx1mZFTqvikHTkDHEukks2iLAygYPiMOGpfShFstgb+jEzsr38 ymPA5q8D1BQQMUW/vecFmUwyVmyU4FxoPDSJqTdsuAmdXvbFo0Ry0MXy/IGvYAGyZch5uSeIC1pQ fEei1YrS6le2HlNL+6mtjsgaf4losOkwcibmNfdr2fR4yXL+wljpkPM9WKFRYj0PoaS4thzbMatR HDpUJj2NddxEqCdXOJpNEn6RnKIZA4wRd3zflCVynb4BoNqk/krqRwZ8thyKFXjO40IH7xpgjmMh uWUlffIPylySZzOwJ472Q6D+VlJuuOP6FFFVNO+lvkFklvaSgJbXQ+GZHMRcFD+zvBagtbDyXNpE XSjDd8rg0rXul/m/Up9RBXRIahyS/7uahfVhMVis0mDDm8amJoSuAdmFcHYiS0F3m4PvbBNXJ9ns AjuHjLFPYlM4l7Rkk/hT5TpOd/gJFEWw4RzW/qmnDi8HV3atV5Cf+q/DU/SA99EubXiuxrr0Tc+n aHohc3/KBctlQQbXbnTSKtnahfmlcFWv5Juet8/cMgsR8UJcnia2it5vmTpD5OWdHQFV0g4G/FfC gdIKaNBTEFDUSoIsc3te5EcX/0Hd3UStDm5AOLj2baBgISJC4rCXWaJDqpclr3+Tm2Kw/F3nedD/ Lq3hDdius2KJigWs7f5Uj8TKz/i14iy0QaJNjCi2bFuXWsvhyVDzgI2W6s420BA3e3dTKGV5ZDt8 jL3P4JwIVbMGd7TW7gdWMzAjDby8L4okQVqc9yI1kwbPEGrTj/RRjoVPcEcuZHqueSb0FZReG5pe WikvXppl/7Aki9npdJPjwHGCU2Cpi7hn8hzo2lYJh2hP27N72z0Qr5R+/k7bljtSpYCz8e/xM03/ TJlNpRR5mNWNN7QZKoC866KCX65zYP5LxJD+pY6lhZBABOuvwHYibpya6galn8XekEGVt5VxnbzY tzIlL3tn9utdbclayt/k0Kb0GYGYd/cEzgVO4EIdZ/SwhUl0kCSphOZV3grYQ5zYb0KcByPxBlRE bZwE+zg1eqCHUWM9n14a+4/oa4+Fo+j1V1zf3VeU+hgI37s63YotXbOry5pBAN+rOIdjBm+ElgD6 cWZhU/OvkNik/Of10CnwMxGHHBusIiX4Xr12fVtI9YKtyZ84fezF1dmXYTqV3zvqzSw8XuoQwG+P L0KExOO+TG+6Rd2CXSbERbEOLDMM3ZOp390bEg12UBVsflTyQOQelXhqHNkbqZIC4EaH+PkRxPHo 4Jno6ouRgMidykHvoEqZ8mWLACYXUTI65iXWdVf3dTIMe2RgQK9f+n9ce3Ec19/6h+MBJ2xcoObV N+GtSyEHxFdFjWOMmKllfJkzScAXvKZCy8I3RlNV5zaK68u6/s7G43wFsA/E4DzlypdudSaDrdGf kn3JtAz+OiuVItxuzOKNZXSBvNbHerFj2ReU/IMYQI9zJwhjNlgtFDtLBUxWE67COYJ54txkYAMj WDgAX7gWHdEq+b1C58af0QEa1fI5WBaZ/2mt+f8iQbjwZswNdH2zZvd2H81ZkuxoZZ7EKP4dt9wy hMv5uywwTHqNp1/73OAwk1fROm3Wsza9iEzKnDsJILXvCQfPt9HvthuQShLqPHa3YSA/LWSYlDKM g32VZwqe0rW5kLHnmH09vmKvw9qgso9/zmYFPhVpVSqr4qK33sTclshzzddeEWXS9T+WLENV17JH FClj5uYcgWWR0q9iGb6GOy5Ir/+y1FKWGu52x7fEjkd419W9/akKOpBD3qAVaXIkWcJNPaxR5yXE X0td79GK1A1m9m78/mTpu6cXJO1bjg8btMjmjXvkNTVe5hqbH1q1bARy1AE1f81RkxDQei8C0l2B DQOo4iPukW3RIT5rVvhEWde8tWnlYg0psJX1NZEJGsdI5NAHhkaNktGre+zOfMuvP2Iu9ttT7cTw H5vApXryrcPxCa78V8v+odJgSumzNkjDpwYUPBkReZp8KQqoHc0xiuRman7vcFlq6T6EKPKY0gWR 8SzXawoTOXxa7Mi7PH94gf7lgr0mVIMdWKgGQP34He4qVt8e1+5PIpJdrJw7of2UbkUcBr7xrfod LpnPlDwzxBoTydXAF3rZdOYU439id034RG23fWc2O4brQxj6PnaHegBz8abZFw5HdVDvlrptOanx 3/DXjK1Jnc3z0h648bj5iO45AiVoB1Erj1uKsTthRBdWymNNPe3vpotMN6SOUM1rVbLsVINeQqYF k+Ouj28ep5OPXFltLOI+MH48l2VBVXAbsrxuPoK2dltCeKcOHEm2UB6F7VOWPh41bTthSrmRn9Nb glhh5D6CGUCQxAzuEvq34qawBN4Wv6rlCm77APZNJfGcHFDTLXi2EutC/5LrhqYLIpXFBr9uJGWT Zq0eH51+883Ipmq8lraI2/sn6fniH0S+mWcbyaAgC96LI2by1qlfNrDUcvL5N4qX5Rdtq/WTzd65 UHQov5TO/UeHA0+EGgyQA/6zvCd6sDJyS5oqiLeWpbnpeCFsHZKmrCINKiJwFom/dGsNg4tR7Lnr f2okPFwFQ1zhMiBL1GqqBf8IJlFMzoKAIlpAmDxNLevIf6AgmRyyxQFaWfF9VSG/aBmrMHufCXBm 8IQqwLCPsad+kLRQ7CIEQjyXoQBwkNZlyJSYmvJvUy4CdwvYk9md7jsHjuo9rjfp4LfLqxMG7C1X p+2Mh6q7FpNm4yUQxmv7y4JWeXtdROV+BTNMcIAof17t2W8hpFu2hbqIqpS6mWt3VkxOg9cQN0aA nCiEewsCJMVHsESvLGPd7qRKLuETkHhZAIMtdt/IktQXwKy3bGkp+KMO1iIaZu3i3TunsbsSrnuL j+WWsEWmH6wO5Zb2WGUZaTmGakglrCALkFSWgIZZbf4guJEQKIt+tLOsWig59ZkxMkMs/DF5DtEN 8z5IpGpVzrq9mYlKG6c27SCJceYVWbF21JVTxQfyygGPQ2QYejBJ0CD2mG6Pb5J5wbEvZ36Ui4fG 0mLbU+fJFB+DD8AryfneTmscZBS80CdrGNqTRg7RV2RfdpwZ8aiAUEiLqEjiyIx5iSVdbuQfUq9P 5Wl/Aw4uoGpgp4Dsxz039+iASa8NbE6ZQALNko9zdBQ/KPbq+Q7i1AfJtwUfihWYJId1EzYSTSHZ Z2r/Y8xvlSpcwSElybm4XiKj1FodroGtsoxlzWZBE8YZKMC41vhpIVNrDI84HEUJyDKiq2YP9V3B E3TDasR71+XX0euQUWvetlHpYR9jvFwOUHYXuSADqEgkM/moqndp8vOxZDsKjwj9pT28AzZNdasq A21/9/e0GiBY/j+zVauNc9baqI2rHlXRYhqka1uW/YNo6KVfco3LpK+ZpMxHucdi/U8SRJ7Ozdup 7yV2aOd/8G1z4V5Vvf+sNNcxkkkHo5v0WXD2wEXp+6diKpnogPKtnM3ABObKhRtk+Dbz4TChTfQR YsYEZXxZ80fcwVSy43O4StTkVALjxXSS3zc7kCiGpg51ABdTINZ2RyDo+2SmR4r9+VAG062GfjLQ dFpYXU+cAdTwOfVHKLEsdbVCChyX2od9/Bnx9uh610l7Tb69purDVnlmqUwe1Otv79hfhrLCEKcR jOqBAbVJCDR3/RLwYJrww+PVL6LhnOtUivR0C2hTEPl4OWATl+e5vrtoCcW4cI7nVW+TYkNMbyzC ABd32XGJ9CEZpkH2WWlsE63RU6XJeJ74kzzXzsjRpElD+Lu9HixcflQy9jWZ+8bvXsNeyMvy/d/H wQGUgyDLxRZiabXdNOO72G2HO+tlBVK0ohYaDxkn5Mkco6VGOcI9g9DcYf6m235ptsxTj7me8eca SFEcK3uhPqEpuH0jsvqUSHsJNVgdAip1qgy+eQwWrU5G+K/MI+4AX7CeHPTWxvPADTuVxdekccSs tJbnt6jcPr9rCuGHBvxaL1P4c9SPYoQ9z+ICon4AJXbF7L3/XYGSuavBPq9PrmMcB29215BmqTz4 GoJ1eSIljRz2H8lDMr6W9o8eyXJpudtER3jLwiO5mdOo2AVEwJT/liNgs1BGt07l4oue8hhk4ljm wMI0V49QCX3r2V+c2C6V7i6RNOK4uHi4LdSumoZxaelUAsW/x0U4hOqGedCda2ucq2zlcHNLsNTb fSYSaH1ntAU7czVNjq5dkxb62hX/UTv8oEr1/UPvGoGcrW+h25mxURNMskeY4GV6oh2yfmMFALqr hvo82J+9MydF7ZLLdxYf2tGlP5sR6mzxj7Z8b6QAe0a5V9qVBB4WTnb5W9VYnPtkiGJRgGzLk295 Q3OUivkIm0KSkicisUWUXAWU8UHYtYcvac/F1U756dK9ElglEV/76pD/Xd/Mz7l5PEuP1/nNFUq0 BgAhXwDz8FqR67JT6zRdQjeR+Een7+HkxbV/N0P/h7Qyikuxmy47zPxT3h+1YtUJ9GtyWLVZCAym b8pKAAOvs1x6SbqJr631NZC1LnpVDBkWSBySnNuOnorFDrMDf9X8jWvnualqsEVnvBuoab8SyGbf dYTPH6q+j9ErDPRNU2wL144yf6vLR1J+6OQEH9E2K/AntY2l+7O46dlJmNm3Hr2zu6kP+u8t1xa3 1LQQ6YZbjKP3FvLKzMpqsQ5qeJvnUMe8EfhZcq9oQ9PjThgvwCDxq0s0F6BGDbkPVC7NXAL55/UC Hlxp1QEeF0lq4TsF6BwSsokSukGszIiRXbZT8CJNPgkAD2QenC3q3herK7+KorJ9ltD1EmOQ9m6k ysEyBN1a1WlYnqNNK9NRw3EHAt7Af7WJ9J+JJYcj5JCHco2M4UFzRiIp4NuitK+MiZcPSBUbsykZ 53iO2ZMB+atwRIpzZA6sCtVxuuW3551Rq5VeBNrG9eMEW94DtSzvapfXmc4iRf/dqKs19ZepGaKv RpJ8mrdDpOCoOio0Lt/isEy8xCoCTyZLui6cm/uqgpkv5Lv5VuMjGQnropYV5iSQ1bwlyv6rNjja Jn2nFAa3Fb4zqHthAdlLHzhqtLOlELFAeCHq04TwZDobbgkasSPsgj3G79bo8yxG/2fm6rRQtUr+ OrQnzSsej9Dg6LziNYbc9V4H9So3Nx968NY+EI7W4p12kLUcjvYqlAwDtmiiJMzVMVyIgELIzdkk IhAHPO6ovNQKHH/dMYFRKZ7BJFTyvcbeSBXLIFSZejEP381wlKuDlBCgTuTWzKAFuvWsIRGgOe0O T9zTJJvK95/GfA72ahpLdIrkRmTvLB4neUQEet4TURTp4TxxvRnZvGlo8yOOVPwdYe3ltnuKddA5 IAqIAWCNj81dB2u1fUIvBsH7PcdWHYUsbEhyhd8mmYS7tGw3c4aN/eLuLz3YVNimJow/MdyA7U4g mgesaTJ20PZu6fPc+WCk9FouBdn7R4+vbogb1F1IsKRI5W7i8L2iJdXLicudxekl90IlYtKz+Sg+ 624mZwrZVxBmYn5EcMtUxB3f2VjK4xUiGDh57UDXIZOYlLrUJ1kfZ091ehnY+4dqM/zfrSNcFrMv SknpQCB9v80b3ly/NJh5LBNwaCn2Tb2cR0zMTyPCAWdEW5YghOgG6L1ppdH6zUgo6oAfRK2sdY56 m+imkbSbUGLXZlFsFExf9tTM8Vf/0BuFfshNvFCuE+MBFeU0J5rjvUhF85uKCrufJ54evObPVPHK uwCBozkpOQodRcHWSPDBDq2XeVS/foVK7bfGy1MzcXmp2Y70HR084jrBbvCT2mhlg4bhileiqjPz qlAQbG05dpCXQtDYAw1GuCBw6iLS9Ju16gnc3RN1SvGLsmxvFdVQj32HcSSIVeIutXF4CgpaGmci C6wR5iG0HhpLwE2/Pml+/H7vbO7bTWW2bqh18BQpXGVvoh3IYbnuPY7P/9GsAMjDzw7kbc4halio Nb+dTTKP6tucR1kfzzs/rqKe3HMgEkeDEyUh4Dqqper+b4k06y/ibp2tZv6fCeLkncJlsbVgvNSr rRYqU/OQ6YHnhFCOIQznTCH30VfBueBEzqNLSQjkUDTHBFNQ5kQXiqbm/2/4tCDnPhZTf7NKQpHL pvdXsHb6K++I8CWW/nW/Mn3tsPQAZtZ7rV85T6C1ZUSHjvvCRQezhUBUuHkUs/Z87sj/WZet9I2p 6Ya6fN/2qPGy9nHwX44h82nchjlpWDBGnkgUoxnYgWqwLf6gmxOMqFObnv3zP/GwAlJJrM40IrXI CqQrpASh6MAus8HJ8XRIMeCV8ohS6mZKxHmW89qBMhgr0yoJOYdJFy0z4k8A3n5abbTbON7zS/AS 9WuofXwuladburj6nTdozEn3cMfE6c/bE86lm1DMYjtCgiFVL/L8qENPOaaP/RfCTkBwBfD/vwQ/ EqG2D3oCxWPoWloYl9LSL9qlpRSTFGMWdSNt22rMgdZgC/FQonHYEVyjniVYL7uFXURXE0THotsK VHWknfYZhcrxJZ0HnjH4fcHU4Acz3Q9hcbLHuTGlvyPqt8lD5tlKXsnYTShGvZaI6AAeGLEkrXdV Dt5cWjn33aj+uM97e/MZ/9/jZlMeHyLA3eqXr5EOBBqm2UVe2rDIHKcX7UtAXUkbaucgWG8H78wV v3JEUF58+jWLPrOkiaNuuQVf80qlimybwa6d78M/qIHPUXgWWBGia4I/Xlyg1R0Uwt5XAwSo1rPz mG6EG1tEPcFyuoUjSweF6nUMHS1sgg4CWtmoIkBBAnxAhP3ugY8iJ68T+IIuDpa2CDjr9tQZpckL NgxfFiIqafuCtUh6+uuMdXYhPkjio3OQwNuyacEMZp1fbDTjr9HvU4N4WUHTwiBVUoh5c2vLKAmo lS2EoKBHyLR88ybkkY2a3GP+y9TTcVW0LhMih5630gFbNVHMRZKG5Qq6dGuh3ktrSveu/WN/qKsT fMbAxeN38w0h+dj4CWZFW6hHo+zTOtpsD8rlawq+JXWCwqVbZl9lYlmzrY/iINmGNmofRLkl1BmE rndX+hRqxC2PEITtIkIlUJ3NnxaKBxmFZKkoFJdVQ5rKBzSKwzeF2nCvqKLn+aEDF2L7RvK0DWQ6 NvG2F6KsoYCvz66vU8TKcaNBFEyW7OC03ZLQULDbYMBQ6C/Nl8IdszfO+i5JdAJylERzQCd8jkn3 d18kic/htb9SGdkmUd01HuxCkjtp+NcULooUCLBFEpvBMgObHSCbyIG7ABOkNG1yRVW8Mohs8rIn q6ABtnHStwi5ykapp7ufJ/KSFduldpvMpUgdTUOFGTinvIRU5cQK7x5sPoG4WEsQG4JhaTSExVJZ 9z73yxYGLFVythNUcBlPTbxNTfdN23m/DwiDvmfwuYIVtnMhHLsego9d/LXQUfLvO7n1+FUYSU2Q iZUnXinfTA3sSw7iRaNpt9b+lDGPNV2XfNdMWOPTKHE1KiFyTQ+gSznPQlsrGeBT6AN+sfQA15Cb B4J4EuNgSrx1RC9rinqxnA01tL3/IuCWKTcQl7jl+4/5Nz+7ZRy7FSOTkeluu6dOXNCGzllVfgW9 3JopCUbJ5ypwtSSEm00VB25apaH8oJM0nQNd7VuLEQVavilJqLnGdwDoZDTS35s4a9je5tyniqdt BdJhE1Gy/qMbxeMdC8iN8OBAv5+WastPHc67HuS6MjK77WvTj8tci7+0UUIXWm51tqbxcJds61zL kWkqCqr9Rg3//IYwNVQfAZPYtAfKP3iRyOemM/M0kVWBE6J6Zs3+OrBBM5tzMQKr4kk8/q3XucXA 8cywHmBY2PPG58szQ3f6xx7IZ/QvCZ2yY3f3eUgP2Df0zWtNKhWksvYINdp8OTKpt79g49U50Vo+ Pzf6MFoSkhCNhzsrmu4a07ShwFuaoo6PtnMZoHwmFSyygUGPCvHgoqrrOHnDx4DdmHfum09yxwIQ GMlTA4ZrNHK9he6UtIjzRmrYZvxCrHgNKyBG7jnHBAwnQ+0rjZm5MxTl2yBYWWt6aFCbJIWjsfQY HtyfUlmVG+wZtW6nOqPh2OmYb52m6vQNriOBPG1TcQzPRAMBI6D6Cl77arsVV8seev4Sj7MceHfq Mr4WH7Rx54EPCuMgIpuUwOYs3YjU/zEF4Tc0mx+wJSxZT9AMuU4OkGWz+6jCPtP3kA4PyeiPTVz9 R1CnE/IgmZiiTgDvfNcYv1ZFHzkvByJc8gFYAzrn19BcYvWEEnytSMv19ShVumPiyIvuGAcd013n IGPsd2g639or1LZEjMtHvoXHsoOgu1BZAWjOzxe+QPG9hmPW5g3M191wmrN5KqSCq3F6gAt/QvGz AfCMYzflsZmHRrTOa3AACdXS0KqbvGbdHbLkPJ78aN65NHUDAxIrUoEfi8xMYvUa/8c/FLh8q2vS XV4Ajxyp10uFpOymtIeD80GOH0bRYbq5Hf4G2LCDK33bwQVflvk0droHUjbCvRDYxnp85uivgX0z BpixseQ9tyLA4Cx5nWQNjlch2j0ykrsgAwpaexg1oc4wJAQU3MtMUOUFob5Flk7yUHlSnZl+rlDJ cqLZIgq2ekK8ikHQA8vbSAeH1S+9yVSA+Osh7r/cFS4gnmDd8h4WTm6FCocRnhVtc76AqW8+vdky 99Pbvf6mbWaE5BNUnczVBA/k3zXqJ1DJTcXQ0EHCShTcfmzwog85eUs0Rv93h+9HcmIShc9lchXN AU+TDdLW32mtqKrzWf+w+hTEQ+ksOj0mPRIkc2vPRpjckbLXM8L+U6Qxtu0MzE28y2PWmiOE2Lu+ X67m+As+bg4ELXML/i0pWdDEb2yJ+ay+MMLtq6Wvk5QaY4PooXjVUmUco+2PIhh5QibdtKWc5jX3 z3/KTVfPNfKSTGYYCEt/3J41c6YIfYGVIxn3ww2zOHDXNpOiMCfgNNoYrXI3ok/hoao1dqfRgXHM HJFfZuTtthQH8JkrGUJza57ZqXWWUsncqscGLNE6iHeTj5aiuKkohopaAQB0EdHwqFWYCbBwtHiy TI5CujgqBpGiDBWSQ39GFThQHDeLmLKlRVORFw+Jsp0nAuWQaqtpWJPq5/uYsstDw2iFxtHvlvw5 ZrwDnI6jxyVH+fYbkluYd/oeynsPnywpi38DmRDBr5CZDXJSdZIR9+ES6Ayifjin3JCEYwfxrThq 9t54j6uPb/GYny0MMOjETwHRyfCKecr9llLf4GBFxnNTr9ZX/iJEY6wgDbP0ts8Xe+AP0k5R3EtA MKiOOVj1wJpncAqXSBCw6R69kkJYxrnnhJUgzDYo/F7HIfAmH1TWLUNjWCwTYD9Ht2KmJ5qwFjVx DvmHSqsgC1Vr6rM1HTvPxfB4Uim/CsvUX0ki/d83VIfjG1RgUAdDmuuG00NO1dF1s8IOcVhKGaHp qG5gcJ0bvzj7FSaxoSE1kTzLJI5aKEbT+AGCR8LV94/ppxv8bBCfPm0fh39TMG9q+rGlttaYITmL 33dhOgEFjfuqu0Qxw88arH2/i8PD56dqCZ4hCfSUGwTyJ8vMtaVWgK6lrCyDO60ExVqpyzcogiBW jVJkoz8TmtBXsnxMVXkjnQ0SZRKzfaUeOZbv1YOTB00gwl45SiVfIDm/mP66jB2SQ6M9hhgdgAmq 8oUCY9VzrRx4ixayL7qNIzqaGm2VdRUp3hF/S+JlzfHPR2h7P+afMVcZk8k7WWglS5M+um+DAdG6 CBfvyNDMY5J57tJRy5Zy9CZd+6+vFfz9N3thZPoUsnBY4MOFicRpJZFVckbdIvlyoOI1xLSmUhRr rFl+isdShlCdRK3rsz75aM6mMNAoqAqaxCMPArX4t+yypkzCnTETVlUvTDFSZvCglp5ROWuz4iSZ jIyaIgJZkpcFXHsaFnPuL8JrFxoFk3HfXLzdWZtj5GNQ90xzJ3LBY7knRGgn9IW//ZyiajpM1LQY qdMG9O+ms1zJM0/+hWF2NGsf8O4hp7TXQX0gHHZpx+RwcGgMiuIlWANLHwhO5eArVLcs7RgFJ/sb 6tFbYB+Ao8E6sEMIUVtOE4zTeto6WHN2fNaD/5DyJMuSE46L12SKbOF0uY61oXi2KCQeWUkt3C6r pIsxzBmQUEGKRhHsq3G4uFkynfhVHZshtB13rDMK6e2diMaiInlIZQOZ5moiC9Hw0b4kLBjCJr2Y YPFgJaWbV2qX5W+uVxfMc70ezykbpKxZmCNJCfceo9uiDYzQrTefTBe6Bw8a/Q5M5v5j88HqF3c4 SBOAlHAajcrqAkWMIJxflraceEd8qMY50h3mJlqeQCTiaWh/8qUF/BlRwDy2JKw3QUtl+QpACyf5 vcvITe9cG2BzuvB7fQQR1Hr20ysGqYdJBMT2r1HeiAyXPtfDO7+jg4MDmwfWYT+h14inD5030MDd TVCXBcRSLzTTtc7OPWJaRCM6DwYuVJ7hItHGPJaPfbbqUSY/lxePJWU6GnFJoLBdCJsP1cX9AVbo NNrV/pviB/14/R4v6BFqHQoFjks6V1zF3q6wzOwSbQmfNRyvWsW6gYO81dX9/LMRuvOHPj1L+hS/ M7WcErYG0eeCpIPUHr5E9PcU58+P4gxAoXq7OuBg1Sr94wAqGEWriId922N9WECmavQpgG4fCyuZ w6xiZ9EVyajpwBoze4QH5Rzkee6Yh9brO3Wiq5Jku5IWZDOJurhplP+tilH7X+pFCz+hO1mjwTlM 7T4zxnVKChJYK0ThXvTeLquSk/RRdOpfcCk59BVPZUQd+Vua+YtYhgR3A5b/DdfjTAeu7aIHifd0 BssOSGuwR0pG1VFFPMW1hFVYGhw6Q1lcE5nqlDONyHwCcOIFay9xIn4MZ/TBpIiIm7gwrOah7qJB N8Ygkbfi144EKKGKStW9FBvca0z1/JRB+yk+NmOUzywf5rGPJI/6Mvm1sxMJWAQO5Vo2jKXRectB djIMVGauENpQG8zZU5L3Op+WkdScTdohM+AYAYrtNrqiwKn4q17dNC3egbciELomX8j6NdawPPmn ByDpG+1bfd4wDc2/zC3SN9MqJfHIsBW524a2vfTwBrRISqOVggcxN/fvQJvV0FjPNfFi5F3pcRfZ 5wuAQJF5AwgBVE4OaKW1j2xRJFtm871T+Yt7tXklPC5/F9y59SvbgwNWZS6Xp7cBvCpZA/tC3M83 dpKRLMZDaCrL6y7xkAybi3ot3kDgWADBi6Qolxh5hLSaBC7i1AqfdHFgsr9j3BRXsEe2sGF56tri DXl8xfGEZx9LlmFYw+4pib748fZSMyNZ0xgzmV1KWcdpF8fpuHH20D3+x4x6Or6S1bH6Bc3RXaBj cNve5es6G+r3KcVvmAxRhLoBanXbdUIHrt3lzB9UZ37woSjiCmlotVUqB7w5CeXM10KU1NmuxR3n 8wADFUuOzeGwDCj5RDt15+jJ6okMr1YtUUN72nrKwam2gxmKL3FutWk9P5G12eM/IlDckGkXJveX eWR7evy6Pi/UXvccE6acBtwztw34psdnUKCoKR9fESTUhs/YBGSK8q8QkOzGex8lSSgKb4OlwvXc 9DNwmQsSj7OLDb8nAxRozy0uwKg2TdnWuiIuhqGTxDD4F6rtajjDjlpi0bB82lZ3ql1iCvfhAwBm jn0TK3kdao9VEaH1VM57t03VVdReB+a+DcFDHPZtgXQQ0yUsOrfsAKrvydnclQsFWp9yr8bFh6q9 HQ4A25vcAwhEBrBUnoeWLmxapiEQRxQ7uazMpcmuacgD6czd0yupwsAX2Orq476SGQJp5NOH9BQM aHNhWoVOrc2thYL671PhGu5jDSs5AGDwO7Iz2XNREGjf0+n93W3NP+7q5g+q90H6qzUHZr5dQtfi UL5Jj7O9wmngF6VgwtUQHv284rL5+lMmZPyzbInn+V6JfRPTk6ioDxqbOXBr5uFAHj3xIrHWrCdM bN7r4pB9EESGwOwmZsoWcxIrfzwXxs5n8Fvch9dxi8kCaBzXia/gL030X1L54Qt4eg2O2LopDsUs YpY0p1cNiiH3LCBWvT0RTu5JyEmDHxG67DiYuMVj4Zd4NtCyx9b0bxWo1pJL9p0/MvvIaOa+2AqB w9xy0ikMwEE00gDpLkIwaqJ0/mnhlALatcsSqiR8pdPDTOmLdn2deIqVFFZZQNKRj3Z3CRlnPLO7 auDFJUAT5SKrnjnFbU41D/RZanDjF454NhKxlWJZlmtjmdysPDAI3gv3AFOcEYFbkNkG1/XLjzsb 1IYB9u6JWTMWBDHulszmxzrFADVQC3911rmvKXTwQVksqm1Aa7RHmCC9nxHdHljALFBlD6HUUYbZ frZzz0Nw3ieKSFcn0YLGMGN1vqDIROzy41a1KwBnbNke7yhIs0A8Fle4GvjB/HAb//70DfNubAQc PvBK1DEwYvfIlsP+J7rMuIi00Aa5enXXkG2QzH+EKD4Qdx83brR49YBnqAeWLGH1iD8izkM1y+we 7aa7CXsKd6yVb18rRA/kmswhst5zcR8RhRrEscGncQWxPo5x/N/uWqA73O00kSa7aDMw32DrElwy OHGVBKas8qHnrlrtGdMUR5wqHbjT1BYXrwZn3QU6iHwJshUxdLouP2KfitW9+3UfxytmlbsrmtX2 JYIBW8T3XpSMhBPrCsFGKq/7RLA1sAdctbiFeN3PlFQ3TQbKmY4TifIW0QhoqgaKqgQ8WOLknIDF Pm7gl8xjQttjB2+r7G280j//F6il3xl37CSiQfBSa3+63rayZNFYE4HhytifZesbP07ahwaOIQMp o7wJVCsaihCHe/tgLuk2YYG24xMgcdDorD/9dXAuiUFCHCza/TKVpm8+DJBYKlh+fOd1X3uno1ND RqvTyxH2DHeIX1f6lnLcYH2xf0uzZDUM749aNhLS5ABGk0v3enE9j9eW4lo0RwBsA6KNhmf+RfN0 YVigfKomTC3QrNODopXhqEYPbHIamEFOFwen66gNf9r4lYNJVPl0OKw3tslj+8WN41MCzISouDpq rOokqjBX5SspuUMLeN1CUjuP+0buor/+BjvwMfwYUsyFT7R+uHt3SdDBAxUl6ww0Nqp0K3hW6mc6 q09Xsd/bOGZA34zdcucECdLo3H7sPc7j8cndAFg1mZAQHEAmxGawOLlEQhmsyDNjh5ubSaWvQ/6h wQKrD0Nf9GmCL4xTG6mcA3RzXCcTy/kpoiM2ewKHYRt9dEVUdV4PRZMEG5fS/j2R5Gc8iXIfkUxN mRgv8EQXW5asZuidtbaaBentWRM9XzXFqY30EJqkX/287zcvEhHtwSbzGY/NOhIodPVvH08XXGh7 dVM/aNH8uTDzFq3JXcF9PYuUKi59e1EjcnipfgKswm5hwMnOhoXw6zGeow/LnoNnwUHmsaRnGBb9 PswqJgpwwwf3hYcrv7Of6/bH40pjVrPODiH52JJS/el5JarNNe1eWovJTQqKhOCA7Myk85ITGdCB zIX7Ubqmc5uIrXBtk2rFv+EsRjHahFhkb89iWKUuJYoOUGzoHwmho2wYsGQ7uW07XcSOQ1/ZUHX0 nyP4LvL0C2CUREyzf5/lSJslqH5S0C33TC/UZREOQCZFC5vyA9POxhDFtXjCXlhZbhu/4hSaYDJB sDXPl4Ie5vlKlOkBxlt7hGmf/Ew02bh2+YuZgMkuiKYM54apv2zK+BpG30Cy3o/CQeARVoKRCj/F St6gRVDqFve4MAsBcKr0JiCsXPhFh9459amAcnoFtvWZ58gNo3NI7kD48U1r0i+5I9nwuTckvywZ 7WRtM+GVeeO9I4rLdzxA+S79TLBzOatV4VxZkYmZm1ea4AE2zkw7C01vzWzaPu8ogv5Gj/OzF24j Cc6B99ZI6H6tDvrFkeSy7tP6vufhgI8zPlHMms+ah3DWbZoE5FrYVt2GnUt3PLNumB26Y+YUDW7i Dm0CuJyfhgTSiFwmJzZArEcuzas3elmsS1b0iVOmR4n5xl7xN1Dk80OoobYHy+hwbnm1ObdbVe8+ KQteDxMSDt2zbsgTbkAKxSKYocEqM3ZxMOWjUU+E1mTNw698sJFRvJBzJvstfZOxusCerXtsSSRh zVL8NaVW6+YL5Gong2XrXboCYuMnUHSJHIZ01A5qznqIp3uXnCIdXJALg/A5eZSUyOs/ZalPyDc4 wwd26MTSyofvoLEimjEKMM9HZranD0T8STnKAOkK9CPqvkF1XplucLvErxMqWZkCrT0S11VzLMD0 sg9SwwtgIs8AWakqQOo00DCNcE0SMhZ0CdGzp67l9iST8vbmtUHNG9W/8ThbabZ4y19Cc2VrTNcM RglkOewj4bfbSBzfLCOqFq02Z/1itoNK5r3BkEPNB6Wv4ajWJ1rlIi/iPZ/NY3blgs6xSv3DEonH UtImFLbYrQoB99vnbtwOh6BBKDbLVRWG1MMqT6awrvom2tvIhZg/B3Z74Eo+LDcPWJE4BsDYzpB/ W196BZKnCDQebsfljlK03p8CQ2BOOLYCgjBuOhR+eFsC0fdqzHPv71+xt5t7RFHHzy+xdAxOcI6V cxqitwfjl/sS1VVaWNwKCpFGtKJc4rp8ZYbvy1UrxCWGLZyv50ppcKIycNkTHRUdSUEx7HHmQ38/ m6xrMYtk9RJ8igX52EsSzcs4wuuhhARbHOX+++uBYVMHkLX3bVPe4vHiR6u0JN88l2r+Krc2vjY7 v5yNee+TdpHGDDOHh4aaqMgSvMUGP664gvIoQ9M6WLHqPtEGCShFx52KPfqRu3u//PBk6s2eb/zX q0vhGGZrMe/JQBPJbqjrRewL3rBhA6/7L9MP5Igt03NvqtlVUTWBeVYe3JiFJv8e6DmTLgmir796 blUMaeVNxF7icBAQv3CNWMrJv3jcUSGkcGaFLNi+9c79Xt73aAvuyioEsrg/QyPi/Ds2pVdjra3+ rwrhlaKNoJcSDh2oAHCDhpuAyIHlPG9ZeNUKuDczPDFZJHxK4MsikNDttXl2jWCRlxWeK2lc+7ic g0YhNlRa7WanBsmBzIdkoBt17zCa2jNEDHPA8NeyYK6V8XmrTpFyt4oFpLHeA+E9qw4rWRXPualV ZiXXa4hAdvWl8NHx3DQNILkf53j8xtbQnOCpYsKYO1qkK+2bIk/SjnsqdwkPRUVSCJD5AVGyFelW AxHsH0wZstBUSpffPGdrCBt/ap1jdjwWlPUVlr2nBhj1kazNUH46tCnIb/uwly73ZJ9Le0xS5jop TeoZ+5UKcX0/dsBQhtkAfRnpMV6aDebTXVPBUnI8q2126JhQeJP+elNghcgijEyvC90ux70eZIP7 PsglHIS3eWxPp7eAiPxo/iZqNQgF8iLV6lNLwy4Z7dKCEbnjbjSsRaNSBFLUqJ43w68Z3FEFPtOc CtecsF7rDazRfYIfS0VAekcLBNoC7iiHyNmxUJGdSrfwtndtLpXn37VG6d7Q33tUVCm1h+z2LtxC cmfOphx9Vfyc1+92E37+/dlwMmDMpzsvMIazwuPt8Lfhpl7qLz/UnpIaa/wgnw2eweRtCmhiYmED vxRZbhVqFRPRG6K/bapdqR3/OXb3SImF3DQH9B7pfJbtx784qhsLyLnEhjUaaF4QYgnj+Evxnj+a YNtMTtCzdOvS8M1fnvn/LzvHZ1sgdnTZpaFBZPTQ6aR0fh1gX7D63+4iip8nSun7ZPIQhaMI3qbh sM8qEQpH2/ohplsS0zu3Or1Y55MQQoEHnF1Cix/oAA1xToildyD+Ue5U6CUxPhITgW90cTlLjvwc t+zB/Q5UZW+ULjQNAwmt2++QUU8eP9cY1Rg2SzjNEVKVTAT39VsoG1OU3e+wB/fKWYHm/sE2D885 stTmqt+Eji4tjwjStTtWrcfS7cl+PR31wRZ0GkpEWXqzAIdyrtHHS9cGik0RwobquUP47FaZcM9g l5Spr4GKN3A6f5rPFX2kTbK87P/5SdEn7Psx3QmQ+Ukt/RrA+f3e9X0Ki7c7VqzwuwtX6lQaV8mU /pUE5/WGKuD3L2XxrfCTa+MVXO57LPVIoDecV/ns7bnlANvr4CRDN3zaTqDV3b3FoQVwu2rIr/Lc BsdZ6inciCaDc/TfQPACJIGKHdSja+ENsclbTZPdxKUhBk7mZcYt3Nldd5IJeUdKAxV1qLkMJ5S4 8anmRGUnOlFuxQwBg180aibZ0AKtJ3CCbgP1uugoSyK4uWwSc91x0oj1kloaxiPDDAInFNKnRyD1 7S1UzkGfxuanyVUaoY4K7jeTt3fn7Dl1rNDDfr25UKcRAOK1ykvpXVDWA6ZZjOsPR9lS23coSm00 HtuyaTNNMZcR/L/+lFyS9alraZIsO1vhHh/kQl1YjHJUn7bIs5be71oix5uUPyjLWgLki8R5LOlE u12xDXg0AxEIFVoRfaGJCuFZ8IOoolG6uyIOl6gKEkxVBHHv+w993rNAKllmXEh2R/jpGjSJivAZ oHBSAshdIjN1i/WdXpt9tipirvMIWXSJO7mF+annBUIOLmVmO2x3LoI2GpHwdCL28nX05M6CA1Nb tZ7ic05EbyFEc8tb+nQ8spiQtywkEx/tkXJxsFf6o34luN00kDYAcgRdJmBaEhvb1GqF7Aqt5/Zi 2/ImPGa1bGzdL9X1UNuiHD+Y31Z58lsfJ3vWV75Ns+Cwc+b6G2NtEBFd5aRVqoXFcaDsJufh6+OH VqQOmblvkPHNtUQaaPutgDTerMoFCBISa9MJFgMX0zzq5ZW3fRi+q9tZOSHr9sVioi3HEEfcJktr Jft6HUMFiIXB9KJCwHY1w6beBzwvYkKv+7bXur6eEQvO/nXjtrNr0DOAt2lovhbkOxSayf4V1MSX 6OoZiMYRT3Aj+vRMLZzNvTCSmVHMOg73nTIMiHNhIXM1svGl9slzy5gn/68C4gOdIUAJnb34Q3kD jAz6kIlSb/8Y8cKlDG0uJnKW6EEJREDVxyWNhEnxhlRqpf8MMKr6pmYMkal0ytLrJY00P7TEKFhz MY+VXh2UZfUPNc8nMa5HAojF9n44JZemS2wpCavRkN4Hqg3y5uTU6OGO2lkL8ux0zYRdXMep9Voj +wTWR2rmoaCdWggXfinW7Ca3dKUH/TNlJCLEITQnCQfDsEWm5GOZjkknEhmfqaSe3LW/UDpOUTMI L14lWtkEkWQf05BNkwX3a8py0wGUYHhYwU96hb10CPKO0OeHlwL8okJNGKa/Op7YID5gPYSrvKoQ EF7lGrQ9bec3/ukaZs1yN4IU8623BFFe1U3WDYyTw+PuUJTeMIv4GWT5FM+PKRohoRXwuRo4/uud 3E/iwhqO0jUKeJtEdJb+Ax6/cF44W5cF68Dk1QdKzd/GI3aUNLvJCwRUr03TDSkg1SaANwMIV9da DM6yXoXaY499V7tz53LmFXCjYjHOvGjbY6N5b8b2bis4etWdsQs3WNGoNB43oukATbLCdI5THB+h ypJeXWRMBtBnRbDHUBaagQE/DWpUWdPxaRXxM5zGeszWFFu5Wyg5dyZkEPlM1G8x5xNYX2XVp0wn hw+xt0rVGgkiy2cNVtJgZ2V8k5Jc64rDXjjM83+HpMZEC5dtxw9mGnwCNRemL3dFbPJall1BVPOv gPRtmCWowkTy2AFjTFApwL9LK7xYiiwbvzncjyRSRpIhwoUtJMFbqsJQR8SprDI2jj1a1w3aeY9t bEWZhwcmGSVBNEukIu/HqC9MPIVtPK23yj5dMvWfqWxbiihJ06N7yCr4ud34OeYQjQYyxHG26D/N AgXa8OllQPLesRcU/a1/05Mh+0KxmSj/olZ9VMO6GmOdWZql9NUyq2XMyaaVQuWRwlmFs53zw+8C VsC+qG+1k1P7KjvkqRw8m/mnnIPI+UiUiWrtyKASZCWN9uHpJMH2G08EcAPST7infVMR2CmTSWC1 GQ8+qpSdU8XOYh15FVCX2xc58exatHMZ64CgKTjMWP5dDGvSEwXWbh/08uKZ+43+pPfBJCb2qkaF B58DbCRq6GfJnEemxFNaEl70nbpiFaAq1AFBwDL5Rihm/0HJlhxBx+LqOCrNEuxUXUgydGSlZy3w 0EXMoWBS9wrt4mALmDKnTGyNujyvQwVnyAQQ5moXiNoHD+QQ8l6xi+KNwCtyqTtRqaCj9MY5XGVS bChmt1lr43oqQ8qJaEadSkU1i6C5oE152DRO7zwoiohwv0bZyqQr4X2XOYtu3gTb2IFwipsNeXrN MZfOVUuIf2if4rVKIspozjFaZ4HURhHfgxs4Q3yqV+ubNplI1OVsE99G76wnx/sJ/xHuBftvZOWN swnKzLPv16/Xu4C+Hj4ZNJkJM2FAsTkUpGupvC8YtpVYzGuxrEb/6yaGL/l2jOYlPo5DGesEH83m h+U1Vyqu0RRBRnHvrZYiuJuYNuypRNJtnRHd6R1ftX/YDj5XX2KqtYU8TXMyKAE4o8TNtXqgr5Ik nBEjX1YSAV/evmqrLjzV5OAbtR+UmWJeTcw1IZTfeiPw/buO+IXmB5kVJGYGUblfIjA1qzclaSrL mtVETgbyacDs4QqlvgaQO5bumHXBjUWL12o5EygM+hrP6ebyJDo9pxtol5wOU2hCUD3UeRP0ysKa laBGFiVmiJ4gGOShqGxBM67XirXtAsi/bQkOR6OXlRcN7uPbMOWFjpbBamNlNUU0VbldVGeCg3AD 0pU8NVrVsHAv60Ll0UzwWg5DvjGM4xFsm87oU2YoZrKXbjVWGKeUQKKUxxw7JaRqczUBjGOA7DXN ZC5CJ9nIRgM9N7ZE/sc1TqBEQ2s2PeuWpTwKvSW59GwkMnPaE4tLMEF/5YTFtKH1R/8QfqoZBtfN 9JIW2yhx3a7xBYSE9omLh9Xi9EqLWBMFCCOPmeeBrE0I3OJwM9TZVIStQ0YqeYNIFL59OOfdSevB 5F+AMOLMoLwdgxJspEp00tzQFomUl4RqiOC/q09CwuDXFXvZL0/jdpSouZHXokKteB2PJA6H5AJc jL1bjIKy5Evy8xxn3U7moCVr5U1F+t6s0DRGnCi2qr5RjeS1OdmIC6IyjBLkJTamvY71HuqtZ6bH 5hP+Pp+w2lHol54jB6AApU9NpeHuaHTHvdIre+eiicve4RaG0vMt9AICCAO50IRfhUsJh+vsnlIS D2fPARuha8L5TzwNKRQmJAWeLIMWPTSIaepRC3LfzvzaoffnAWQNtv/9tLaVgCwII4GFMFQsQJK/ +pYem8s2GXaB1qBOfL+/e/EpuANmhLdDbnQGvFhCDEufu4wNCkkR7yiDf9g9EHWJZvXWfPLSaqVU kpCdAJraVWStYulM/7RnQGAtUZBbYHyyp0Huwfy3ITx54f7lm9+JnyfDxFvC+YuVQ0N/Y/zbJHjJ zcSd8RLi5EaOqm9G5XLXXDwsQA0lFFSsa7sui2dCvGMPi0VavNFsfZo5W6ogYy/6ezbGiQ1zHBZg WIfJP7YsVb7B29gs1HqF1OOyl4EDkGO+Y5nmpD8EhTnbMHp1pZWmDTIcYh20IaKDjCYCiJb2MZoM Vs8z7vl+PeAF6b5lGl65kI2gE/AkvQJ1tX30HqXVtNjy3iSvTgeX4BpPn/DmV9PM/ApmGnAe27IT H7K//72WVa56/k0CoFRHJHdgqwy0jLF1Vl7UeQtfpJN3WOax5Z0na5XOjtAQe1UsS+t0YXgnqCx0 gt3GfUIQ5a0md2UdGMHUG5Se5pF23RMX+Lm9Jbk7l3OoHt7M6veeytVfCmzc3XBbPtbCBI5+Vszw mL6kiFPxdg7WJ3ZElC6lbrahXQoV83i7RZftgd3Q/Ae2Fc24qdFvLXjHS/1CnLdfm9UYhi9HQ2kP ardF0vIAYmRB258nBxgo66OKoANjTn/etAe20DoehCCc2zqHa7KEz7xeE2xU3nexMpL5mMJu3eFm V7Du3sa34voxJ9vrOMLdbxn4witHsmf5Wg/UbrZyHesuz0QP8aIOUQYwtvpc45x6EdhMK9h7IcjC 8oW/2d/UImKmf6whqTm5M+hbgxpQwxmkSC8SYbck3MmGl3Sef6XNyaNxdoPxeAjOinAkEWsHvIcy d3iggZBmvwj9gsigezFr6c+58wcuf1yrAIZeoz6KtMGlxy06+2Ayb/4Z7ytIBbv2kc5X7NzdSP20 pvU6IX5xaQDVoxaLXzHVG5LHex9LRJg1nqYqg5EnXIruWQgfNko4EBxq4ZmCAMr3Vip8O1hkjuoC frW/woVDXM5rVH5BP0tsK3/t9D4r5RP0vDvcTF0xwasDhyOpz07aTS786D3qVN5h1HHeQXBX5NDw 6yVDXiID2B9xTJxdTmoxSPhDBLDDM6aehnbCCyMrLrGfIPtZ2kYPVw6ejM0YT0yrCNQoe1riookj bKlP0fi+nxl5Vr5xdYwdGkKu/8PrZS/PRyZw18mqAwf7TaWRqBfc4izAOdLc+oS8ZP343huU4R/C +NXB3lMeJd3Zz+IXL1TqIJdx7cTnIwWPIObgq8+ln2PiJKAsxGY+6Uwtz7hu+GRBHiqWjMwdeWxn gV3oztoS5tEmIGfBvPreY9YjZaQqtrDuNeZyZhHN7EiJpwKtD1/pwwR2Pf+P+yY5B2ol5GBB764u 2vVSj15Grs3o2kGkKF7+AgNkZI+jESu6mWiSgOdinqF8DIi0I53oDCjrKEpjt9ntue2Vm/pY8Bch lsJXm5ETTXYYYPA+I29mQk//bahe9mMQYpDOhpMCRv4CvPSUQiA/zKXB5/SabFDXYfL+zI7dwpei GUAamavZUFw0snqaa6Vo4jMl4dnnv5fKv6uIacuHdndJwUBcMRK2hewgJWphyCdAkGEw5inYnUR9 9r/kCjVvI8o0WPzMX+2fLJnZRmQX7N/uc7sdvJ+Ccex9fRYRwM8xQH6b8a+JjyR7baQz+SY2o6yZ tUzt2xMyCQhmFFttmzH/nSo8D1Hs8JrgbeFHOcMug/J3FYo7JPZU/cZhlvgVAr1XHQC2EzXn3JD6 9/y5SML+l0C2OcEf7IlfTci9YB9uCkCGo60IEgR6EPiGKiuNZ1o/5s0BcWdCvuvatEKQ+aZdzbCi BMTO63tCl81yN3QWJhIxLByyxumrm21ifnjDNGo5NFcSRDO2mg8NOn9I2Zsy0Q+vPwTQWNiUsEcX WDM7vzSW5tAb0yan9r//icEvObbLNskY250aKtU4jFYg5rtBrWjXUSroJj93jIDAXB/TwqBcqRu1 LAADBMDzAxH0/x6DewalVYuZacYBPrGLuN6RAQLz+NsRaB40jnh3i9WnoJgicrZSCqtlvD5Ut8Zm AIgd8+tewt7+9C2ZJtwlZigAUhZu0DYypAUjrvPCXzU6qErBgXiAV9FXxnwJeEbQuMC6r1rJU8lW 8CIfUX1N/HpiTDVMr3L2P+l38VW9MnyUWWJ0Tqhq0+9lyGbEfAp40FvhrHmRGpdXaNdT7rTLzI28 fZycd6CKQq5FJGnuZgBn9fHxyeUZIJDsytCA2FOnHfLexu0Ri2NJ5oZbisLfZBIDRREY2DWwJyza AkBTnLQY3+wUdDVi9VuVTZFVs7yLciSNKutKi8th/zkdhQO89obpjfEJzU8NGTIwvvurM3R50Mmm i7/q2c23cQ/+EO5Bm8XkFQCbdBN0XB5C85cONktYS5N4pr9AuQa7j1mBbhDULcwj1NgWshvAtq0K AxQqZBAIOAZeIM8yAyaj9ab8eOa1dcWAOLxu1e9icwdMKjPifxXqMqaj4b5937VUl6pRdDbjH2eE hu6NlT7Mv3EKAvgXvW5jlb2wixOYNpn3vmVJ+XXK0HjsZ19YAsj4v24faYkOJ7kFILQvAcI3PHr4 oqGKBM7pGPXoie7eQ/9/tzNCgNr7LplPmseSZpqPE9a9vo6xYFGF7xmoN6GLxbIG4zvmlRcB31Xp tuJLhX9ho2wW8olmNLys1sBFTt3qlegYrQEeBllUqaFeN1tlxQAxyrP7cgIvw0OzoHFM2lAkaTL2 h0gxic8iIqFUMPuuY3zBMmnOKpoieGDO9EoONpDJ3D6I4zV1jOun0l40vz+KRa9ToJTNrMtTG+ZU eEhoaXs9DLdCZ9G6RX0Zh/0pwxyt5J5ZxuaA6Hn8Nq/T7pJwR3n+Pl/bVpnPXypsCDs/0k4uhXKr DMndxLh7P+7lXpM4M0S3nw4ULO2Cli7mUnAUIW53PiBKV3dMfH7hKEAO9YeLWRIgTUZFj0NjF8hl wCE+f31AnyuPqlK3XtU9ubeiBmHyhZper0Mba1+nW3QS3b1P1+Kqqvc1lirBwOihtc3GntRzIpYA KPY4dYjiw4/uartFluJEnDWra/uPxCUFcdv4ShxLRhcJ3Ngm3XwtJeMtaVDcxfbP8+CNDQhFMJmg iZWxBOj0ZfcvtW3HfTEOJLkX8Oeo+4rQ9bGwEkF6XFiR9v3oWNFUNJB3VXX03yQpyH78w3SMZWQt Sa1c1gvQegX0zez0lBeoZUh7gUpiAnY4bEVYhcTJQjlG9gNZ/uLoWEMF0vvOdcT9zGUdq9Vn07/W P9Fo/NELr4LyKYZTuUE/0gWmP4Ms02CIK8cLgaOq9pp1E+/qw0XKsVtun8BMdwLlTAfqlSHDQde+ TBqy5IAwuhadMupH2qu2WsJM8lLZgGNT5euZcg2W3ywr8buQyIh1HwJ5BSx54wec3ruKJQxpxUST +IVoLHG3YObfHXKHDpcMXvLlZeJCg9/wCoA07/0vfgW/wxzIXwMfXOMS04C7QfOAfuzE31FiWsLt JvhZT4JAt8pAO259icZ5++NNYRbzuGtMPzyzly7GhpvGYSWpsICMgu4VllernqBiUhXjxnSlybal yUCzviSsPA6l2Bi/dldmUBH+kXtQo2WFXDelHdBSvIh6n5RvvFnHgxmMipdSw9rPIXXxZGDSGEUh RtsM00ccVLhlNRCbKaY0ayRzlbQT44TxRJP/7VH18ke203vo1Pt2TO+niztLCrYpQDVGUpmO9M+/ wRLjqqGmQd2enEdP8z4XW2FnDABM4USF5tMA1LaapAK83eKzjdqat++YgQSkFwXfkv+Pz29K2b/q oNI3DuTJWG8YYizzUwy3qLOX3XTNCyVGJ/61co4n2bo1wTnsmbF2WvA2ExqOWbTfopmLAp2vZSFe QjPDL7F6NBdCr/44qzp35SGX4eoDPKj93d8TFzTanpKlBlFst1DzYB7RBo67ls1g0pr3IGPiVKzm eZEUaYvfJ6CqhBWSMqRcNukkqOuqCR2HerK7IrKs75gJaVESkiJDWgkt56yyK/Ci+WRJF2YaOIOb T9ndL6noyH7ukrcvck8zWssTUCjRYQA1hVhN1LWeiYzfyhGCJ/1NZLSxf8p0u6kxU0YlSYWa2SxJ WwTLqk6vXIT0R5h2mKpAg0WaSFqbiqoaIPAQhLc2bIG7QYwkYN78f5sR/IFpGtH/qFHQiJ+ltRSI xZZYAANQa421eFLJz8p3OnW/TsHD/iIUiw1wDDa3QrzJ7nCqf/krB0A3W+DAIRHG71Fci6B0kIN0 z+HSuRaAjnwENwO4jfqTeqIJ6zxZhUbxOlTAIN4K1fHDe155Z3USs5SKQOgDDeBp0eJU6EQZfe7u kLbHaxYK2bv6macl8GadXpHSSpU/nuZSnfwtF7iG3+kzHzZ+bPzBoZm9rGI2pvt6q7292Vsh4hmZ G6LFvk+9EwpfJrAbN+h8KuTt3umo8n3H5bMr+/PMXWovsp7f315fZVzNTuBKm1BKBf0hxZAD7wLh hOuZPBE7DqV2tIwcmzl4tthFxXh1D2hVTx10f+p6uJ7MRg2yxvdDoSqfX3sId6GvJSZIvXJEnZYv werM2cWp2RZqL6zrnWSqXqn1QptdiT/an1+tNm9Yg3t/jzZVaXuWDbIeysFcwQ9aWU1Mqd5Dx1Sy QSNGOO7RV2j5rKSiSSbYdoG8lHVcKnynN+8itnoGdYSY3TU92uTo2FDXbENGuLYAKuKbqw5nR2eU xp5ZfICGXzBhjSal7Veh++RYG4RugmMmJUgxxGx5PIpvFYsL+M1/E8ARQaKGUqsfCVkrqYd2UnZe l58c8CvQ5MerFINcCbH3dYqR9sPwnnfJFXABEXyPJ88LHxhYNHnCgepfiSRgKixlTpZ+pptOhyLc 8mCKm0jWYp+ZUdMI2UZs7+t0n3E9nLI/XuoDX9LAy1IpPyBGVrPFpxyseXdE2WZsLVGXQF9vRCNy OFabwdQ2KHZBe49BuAagxKqJoca91MfQmXuYfJE6oq+4sTg8mTyblBh2d4LSUz74mLd3RDOQcKJN 0OwHN+McixAzAkl9OU7KLtIBjRxSleyeSDF4kkzwFdrVDpZJJMep0I1/lnRX2evHDLTfuQq+N59+ T7vr0bklkIhd69WPPdMBh3srthVzoHGnv/JpHAs1rd5wURF7gxIl4jbcEcLO9+GZzp9ZLkup24wS q35+RR6hvBCIwh4qOhDTgGODN3xZS/+s3LI6BekioF7N/8IwD7Ng9FqdC0WTO2krctsmeO0WQe/w 4kfW+ZM7MEfgOQop2OyNr2l+PO1AltUAFB93eCY4jpUJYKZ0DsH+41C1rmN6j5DktFaNbaOMYUTL oWoqCUVDwgpx/y+npCru+lYXCUP3xOap0E+EuaqCip4V0s5y9QRefTALfgHocFR0dCamVOcQP7Y5 JRxWPVpZQrvrkiDMrwLGI9IMYgGO++6FdiKsX8wAjpDZXZfl61xyXppHp/N9bqJ5fFnv9uncviOY iSbINOfK7lj4EqHeePlKEDPoCJKvQQwX4MdAkbl6awcyr6UtagBwovcjtBOmcb+GRxc+ulICbExq MWcAQG2EFP8smJL6+wUH15vaWD+YC38XrVr/6RghLlHJSUFFBor8HxHR9a3/8gQAN6xsLUpYJVuU zxRNkeGJWyYyfRpNu4nEpvtXTOf32DjroRbzSjnDAhZiipDm+Kv9JV71u5iuS9IrTUR0Cq2OIjIq oTxNbp4fujvS15NwQyU1h1KX4hv88KHdxF1x/WaGYbYR0Qzxc1mnzAZRRv48Q0rj/t1QZF19FxSq 8w5KOQUl3xw2nkq5GxLwARi079Opc1JN8pCJ0Xk8v13vziuxsWSHERh1x9Fmp5ec57HBZcGFvNOI UkM1ZAT3quGMiZcI5XYzzyBvoXfWRMzagsvHP1aNk5ZXBhWfyR1XCIXOXjxnqD+udYwiwG00Xuc/ 8Un3trLyAoZftXU4Ww1LAFr1dSxKRHg01WWNlPVznJP+miywCQ7kMeYa2meNKKEtcpZ4ki7Vqxw7 Rm8W59rHTqAZGSrRh/OQVgJ5VPB+mwHQxXO+R4mO+tq5BLYl7m26edcdrBdY+szjklNB9uzlEKzZ I96ihCaOZ5EjMqbXU2Z5dbovfTwQYkFxtfUBAOt82ep5F/3pgAFMSenplriItoVHIy5qSlo+O5Gf oh7tbrf8vdudBpika7i5aC/L8WhX1+LNH5zDPB5DnrjfdVvYDauhXciz30w6wQmuJopIjkVc0YHa mzfbfp+pR2drnsN82t9ieKmk8FsXNxA4niGlevyh/NNWl1d3Te3AEPP3uSFYstGBcxB7CMYjc3fP +XY5BnpLtiFnjVkvso9QBVB+KQtWrE9+BSckE26ncXWgXVw2ALIFoLOdtl14xRB+88MmDzlcxVJi eX1rgyavNwzwIsUM+uy+PjBXHPeYx0DyHtgYyPGxCwH0BunZCgSfOeRM7OAYpkXmmCfMATAbexBb tq86c4ipzorq06X9fLafBGDnB5OGYmezF0Yq6qM2UQt1n0QU/kTWlX1+PBMOGabS9mkLphIguw0B KkHbF39vXNEG5nh3RN/8e5PGCXPOoEGRTjDrwWFt3NMg7pZPjRhZYAL6KdB2A+oUUmnHJVvTYr0M PnDE8SjHTdZalV1WBLZ8En9LzDVTxI47IS3FvrtSmZQi1HPTRDPWLwG/fzrHNi9s41gLzvAnBkgg d/i3blY8dUc2kEmYVwsjcc+WyUL+zSZYTDpjJkvo9Dm+QmbxDg6rtR+Ke+67LlxAeSMZdC1JjIJR 7vQtJg+n344VEshn3a4zxNc+/erX8QcwBfaIFWCjioL1S2qiZM73GsesZFsHJDjFbftWkq9dedDc jVeOice8dOJuj3UIV3ee1el1/hHxhdKyD8A26m0Pz+DOI46aamgc68rmY3jpgm3oFjWE7cYBp7mR Twin5Z8qPswY76cJ2cwBxP9OMa+yGamNAnUbBEEfAyXx4tTWSTaF51ATEHHomzLs9beexeoLS6Uh qgEwvqqPzr4ZBd4dOmcZAOFHJP9ttsomENWV28Z5bmaV4TzHf/O3snapl6wgX6UU/U3QiyWkiIBT Allu8HHe1XS2jB7DDMADdMdqVtwsK1mOa4JvV7Be/wY+eQpCKfssHykkrMtBJwq76JW0QP84XHHw prc9capZS/6fLab8TveTB0WyXQTJfrfkuVJP1WvlB2+aBvB2pBggnYs1ExsviuRs/YpBauXiK9hH 4wvDN4kCehFeyre2L+nw60lleFK4MHRp3Gl6QBZvrvknFjSEPQNf0CKXI6F/bqjijnS8Nz9+wBnS nyndcvLBlFLDOIPZuibU9PYMjVGPqrVl/Yc+08aDWZGoo1UdfaXyAb/+O5UZG/vZgHXkTkn/LXak f2yYcAprDlpd0tobtVEhggia6c0pQqZnnwwc+nawVEmFKMkDa9n4Z9iSgjtRVMsgq2ICz7QDPZxz 0X6C9s8xyi30DW31Euc/UqOVixEIsg+JpKxK42XYfgQ3TrBJPJyErnZIe7x0zaKpHczJIqs45/w1 qHV2as3EPDARze+c1NG2k43qW6vqsQ4NmeebGquhp48EfQMmtlsh6nAOubSHqbszXUc4YpUOLvVZ PONyO5ASuzfgUFzHe3lWyMPrlCAJOn7fkJ4YQDnnTutpmOg5wNI5FJbAJGl6PXX6XSfvpmogJAxz LRA/bv00fhzbxUL8Le0WaiOSdY3kDiB4AlYWyeueSJgFOPDKErgeObhco1nrGapgoQXm06bFx0Fr UyWmGEXubBrsTb7ZqZVsVVpAXp7UH5bJa4+oZkDvNomp7KiYPWHPgfUeA+BTOZETwddkVM2JcqHH o/PjT/m89D2K799ToOM/1RyJU4fUR96Vg2DWsRhiP8KZXmRitCarrTug7iiwA6lGe7l9zZCCX768 DdjY1IuxVKt3vAj3qHFOECM35BO4lVkls9TVhfz5W0FzBy/mUVFFtpWkfEV1FlBDGPcFX1YFj94E B/cUYqx0OV7Fe8NBBPzRcsXkXA1SmKQNNNBCXoMPqjF/8Wv1E1XxbtQAqpUVUnIfACJm71yxPHZp DctI3D6/QbW9R+S47OfX+SruIi9IM7IwjKB96YufnnE6w0tfyG6HHXAw142gYxs8cuw5g9478mFW 9qfHnZGWZ0CvTxm78FkcmGwEBLYkVbGG4awmA1fTu2H8RUPpyiGt1Yk1mG6Pfi85VNaqhWrfKd1r 66TptnpFtD4bFIKoGJ5SPDFLVeMUsc8z9E8+sopnMn4DrWLgMrP7dfBBFnSuihjQwvK9iy2hFIX+ M+tByNvmWi4g40+S1vQE4CNHekS439GL+VQfJxbGiaZpdhfpHxk5V03PTLt1TmD/LQQwgF7bt1Tq sFUQhg4wvM8hsgUdmgjVDaQS9qIudMfUOP06ifDXoY5IeaV0nPe0+eXhCLmN9axaEtJE1un2OtPt X9y0tybuJJL53gHVNkHQZlphhdVpLBmejjF1y52v8XY4UXGht5CG3KZdH7iL2ctp2SVWbyv/SgEb AOawCIWd0SL2+G2jB6UeZ9pTSKMGi54tLuYPDe2C3EC79VfUy4iDhHv6TCiJk8OcHhODcOYoRZPP 4pN866A/5gglArea1iM9eignGwa0S7LYvHMhRw17/jVAs0WiBQ+67ZRLR53dhJXZo8z+3bJpgHrb BOBfYA/1kc6GmjuRWP2AiRdnWtWtfOcIu62GiftKbIMcNy3/lxX+zoD+GCX+E0wO/ousDuQoGAeZ Ax3Te5z/vXn7kg+64ivSlL70i+3Mm/gDDohiBjinZQH1DLJDMjMO2E+VaP19JRyYp6No5+opMoit 5p0DuqV/vWHFGoGMSSsogYHQyVdz8EBnY4c4RwIDgZ8/b82PzVID1Qmu/cwXmjJeJTuJNWenRC/g ossPBfjPvaNyB/EwK5kHPCk1cxhC//XSsv/5M7C1San5iFBIkePfM7QhSDhyXdFzJG/9LB4huAbM rb6MNIjFJ4qdl4usbMRJOv+QttDfInuy1vVFCcdZkmW343g/NC2u3LosqYbYFZGR6S1qWQXiokzA bYYod7SfbqcTX3f7jwfCYTJuq2euZSkKJQEo8MdyM22Bz1uGQQVSDHmkBzS/+WkYA3ZQvlAwp+ve lna+ujOa0LI0gB27e6CAPZXAU155118ZPchyx/0+qcVxLLYrBZPJhtv4w86wRCCM7irAOXsRUaDf K+TgdbV6MJfOVbbUE3Diaj/BC5wVIeBKrY3idpNTRbPH9pzdGP5rMK4UYvBStYna41zV+GK6NYfH 8KB5erOFfZeVPBXVTh4cy3PxmzZhV17zPY3ydzFu6u/c/yG7vrieA7sAxmuPkErryJrZBn4iJ3im bv3c05r9aYhW9t9D9GCNE3LpO/h6JrGraMVuWMvQHlmCynp4BfN5YEHLWdDM1RRdajnnYKUUDzYW SvAFDwVd5+bo3Ua1cg01hw7bMpERz7mc2cAvUYpLIBrxH69te3xTTxUUecHETOog1u441qRSM7ST X0TicfZfhpjIQw20LLSMWWvzlyATd+KXuXCBA8BE1foupstSHzcBVjOsZs26CgSid+MmON9BI2MH j4fAxpuSYkTtG2YtDUtbZsLEeRm2GSMAFsGRMtCB2//35T/eqOZg2WCXkJ8JsOhPb9WdSQWQvuV9 cBinZaWZAAJEo72yJOZC494bmT6989IXInB1hv6tKKLjP6r9h0ePSXbJ8NwRBCNZqW7N+w4x51zn EJVdIms2OwzqEoASLjrWpuVOh/f2AzQS36W1jWTBpbImUkA7iiUdvWrXVVPdOkXj4uYXI1m/nG6Z /EkTs+4Mb5joMFW5+xsMsh1FShnA2/8Ec5y72PPwsher/rE1ArRpe95rsasor21bplFipI+jZeyh ecnEyBOrShdL0K7OMrIIR515eCkD62JADDMEHPuRfBn626czMXiCcvTRfG95oUxkhWehEeK7u2q/ gUrmYck8nLqAFlYMZa7E+fPesLAww13yzUo6d/G7Y4c526szNa7nf/d3XyYhPEegIbK3X1t52NIK /k0cLVobqp3PMrXWmHDVcDV0Pj6Umdp/IjHUHLfX/62NGqspWBgA8gsMWVkeWvbE7O9PjSCuYFDK Xr4xiGbQQltQZlXFQJoQc657LG3MyLb450QIBkk1fWYg7e2BoyoN3EBV2HDALVlD5iw5l5N8JF3u 6pN+NKNlzZJurSrMZsWTFWNadVDRY54phgva1JVv1AKxCDpb1G+AA8JBW5exzM638NsKfrgEc7ql zr1ImWuCPvwrELbxQrdjIKnXIDX0p2gcahmsiOp5T1hPkcD5haLLgKB7neSttcZnyM/Y5qliJ1J1 ZJ4R1Z+jUpZiwKrWCc+loO6BmBaFiTppMHZPT0qbbNbME0t8TIEZObxUMoqBpaJM9zyzmKMfo36R qhQxpEM9fJ6egtX09GAlI9H+Ns+b6frAxd+cXuT208D7mmFecIQNWG0HQT2z826ekkt56sYw2SkY BkPPq7d4aPWQkm/q48qMSU+N4ytU1pRaUrQk7VzamkSTGPtYDFnQuAAfVOMV40bWv6fsuas2zqor HgqB5ZJnRpfSXsysNQmgSHThkcq6li51sciqcg3m7N3pdx9BWG9uiE2aclQi9aJXctEZtATJmpxl PkRn6xwa536R6vLbEJspiIXA0mNGNEXlTlwwjgzQAp5VP5lXdxpMhY0rMQRLoQgBgDdzLWl799Mc PvLT/cLz+yYuNZNV+jPzDQZ9cYUZzHNgbScK897t35WzZwVJpER4CGDvpPlIxVwpNFVyh1JVRqMK g0JXO/eBrnHXNyOT/JBho88u4D+uilC4XWdBB9m9vpmaSyvX/bVIpDbsGuKQdwAyq8J4oSY9tz8s 3Zw8fmXRMjVTq4qjF/VggtYogT9vHuzZ7+T8w2VruX3DL9nDl83GEJ1a7mF7+SwdEsR+rjUEQLsD iAEiIVyO0CK7PpI+XdMmG4ZTnVl4iwoG44MIsPbuvijELXnWiZH/KPjztWwrGLWh6r8a+e/S1aDL 0vNxUCZwB4DCnkfSaEZNXRvprueM6vLOXt8O7j1KxHzNaif9mwRYn8M+dehfqRhodsP2vpVi7pL/ +/HpYlWrXzuQBp06x1zB9SxKDkAmLO3vLb6Gsyd6yeRKUlF6rQ7fKSJDazjwZylBg2l5/jyI0C4A NfGWe8r7/PaGkrgqle4vYTWiibjTGoDg7093yD6318wXbv5q17ust240KyLzQIn6bvjMXsdj6e9p afcTc/PsbF3Ic6uOtYP7Kq25ZR2uJRGOuGpJBCMm4T1/s/C7qdj4LHj/O7z7IvUyLMxc9b0f+xF/ Y2YTOkvyZ510NrMzXIbmV+lS47le2n+ym0+TPlkRC9E6YL9gOoqGnW4paVc01l672hjdDdPr7XbW sRLVHaCAaCosgZNfuLdyJI4QAvuVFhYwRkaG10wCxUqOH7SUvihrtd4CGN67tk1tn5tih+Syr3C2 C3kWRwU0pTm3hNTJ/TVPkEMQ5YvH7Mfo/+KtiSCAXydlXDU7DDeaIyIHkoEP8e8efF61IDGFUyyB EpTXXWdIpgqr1kjpGoeBJcfqTa/QxueqnPKkWvTnd2K7I+W6cwHhd4Fs7ztIPCdK00h6HSQz7kWn t9DuL/MFq+4HfGCktfGJUDQfhY3Ov99QcoBFaERVtkzKi2xmnnCksj5Pj9bW7Og9x1vffQfY9Bc2 7f+gYtmFDiLpsxKkttdyGXTOMa/+Raq6Hr2MnGSwwgjtqxyICKQznZIKLcxQqf3nb9CzlFc4OSTT SrpMNJb8qbcPjbAA8jNuDpZrRjBmDONyk2tNvCBN2Frlyuj9wSGf5ypJfSHPKYD4TeF5lXGYxgtB MnNOBVELLreJZEZ1HlIdKDsf4ySzZdawaxAR/z8CuxGmvlLWfpEZnQh0XQiFhBRHXNYfLtnhL23Y 8aQ5fCjLjjmrLsZNnvspGj4qyD6b9ikMvIqG/popqBFcaGu0wLTVVjAR2fBSOG7JO+3oq2nMqx/Q yQgz9fQuYh3VmoR5mD0pkBqHuG+l+prbgh87Q25Ldzm+0IfRORJDGCJoGWGXdKETuuB1alTdkczg Wb4T+bvsPhMXhErHhPUwUcmjcjY4QeX+6wNbHa90POHyFmy4F7QgBl+bx9vnjbhpvO5DKzI21DSd IQ1e+5ko+dFNAy5RlD9kQ8gB7yylj8V4Q9YsBxRb3AjaL37i4V2HPRd/LTK6XFpSLDFtADk4exZB cR75iHHtpgpOxCWu3gqJkDUCcuhy/tLwtI8HvkeuLi3mGAmKmOs7irmqfGfkLbupKod0kfP0fu3c 1yu4u51IYCf92yD+PnfLuL6O4m8VR2vOLodVUhWCCrDytAjxXGejBNy+qa+bb216nXq9lY2x0YtU w3a3DkC8G2Q9UxS+R7nGrTCs5bc7yLdjnDxnRfOHorRTp8yvrrZ+GatupKtDiFyn9wbFpFO90eJW evwl2jvCVkT7jEZPBVzZDNKqC38IXR9e1VDJOfVzjqjXY0slULEFegINbXr/fAHSfZ2nEODXAk1i u1L1KSXppwy9HzhOFAOVoHi2tdyuq2MYd7D40t0HNYeGydcS6oL8/puePTp8ZhIwNlPX8U4lKubm x9w3sdOtzvBxr7HlFr7w3Uh5Y8OsMDZla/9KjjDWVWuEtjGyoGiErpuN8S55LgD/ixV1cRB1Bcf+ H8S4jgj4bXLXXcZSuMoPskaCB3nTINHEd27pSZ1GYHqeD37PE6TOQtakf6eOfFR0xZz8X65tQfB+ 46+9RNU19JRwxiTnJ6ZJv573/zQh9Lq1fxFggMqpHy7M+d9RQeYQsDDODtjEMqi5DM5ffvTbHvyf ZJO8Em1dG3hnhXeb1Xu3DrNAJKhlzepKyju84pQ/pjdB5x9+PYFyxeIpIzOBHcM0wAQlxFTdiGal Wn/JycXw6XITgYHmjK/uJX3/AvJ1wjTwM6lgzYQjFoBWl8/Xih9ox8mz8+7hpvxuMHHm2Qs+Homy fu3hzmndM90lntQtcYrTvUTRRyfa7mjBhHYyU+05fmOk8eMyQQoMEr/OOCmpsdPQjTFUGnOsHODK dA6Ucxh0cnDK2+kCU2FvjiIS1PfpjNa29ZI5eeCbwVnXSjAHyawox2mtLyDS/wuSF6eNBgYHYeje MhZq5+y/4b1W1eHU8kNt19jFSmr3vUTCjDLkv6fS/w7yMnh1ehaeuRg7f+AdNPpN6DXbJ1z0StZn 6K63J7vMOphx0rSZjmE8ssloLRQr5xrdYBoih4vSqCtkiKm7ZJgqer1vx9Zw5ZSqtoYrwBr/b4Lo jkBGrAKmAWAYBchwvmfERHamw3+7VHNc3pwmuzvaB87xjRwOupom8uQrr9EJSTtoMfFUIaP3lbb8 NHA0Vxcnbr1UiX1oeLbLnVN4xTwi2spp/TgZqAhinpOZtptOxdPZdycIQUiFRAn5aSDEk+yS/IHF oVF6twx/bZrQi0/7HUOyqn2ddxv0g1nKbVyDqAAewpuUzuTDDmcsL1iPRN2E+vbnqL/aRt82G+d0 or30Bh8ndnyA1peV4D/i01SAG39DVjPNwFMVVzSnZo8aqZQVmP+2du3c3kbCvTAB5vSZZyWlEfTw G1QebxoWswb8eWromZH4TdtoQMNCEJeLVJWT3wZR6zMh8f/D6VhP9OVbapQPJejONBtMXb9GJPxc Z6Iw8087573xFdMWDM3xOoKeuQlhKU5D7SNQgcMigUCysi5fGGBgwi+18PBn4CoAhhN1+dXhQAsa W5cPHML3mzh6WUVcsGiE/w8M2JL9ig8fOQ4rcepv85o2fFcQyYco2D+7Df/0Aw1Fc9N/qkcqIx5Y zKLkGFW504mayDAyclruU1wlFPiI4/L741yo/w1N+gJ4KK3qDlk7+V1Kv9G6i2fe9eDIjZs3DPfJ Kwm7hLyRnQhpZYaHefkk/nCTbbmkhq8uhKhEAm1UF1EC+UAdQijqcwY7tfSLGG2AoexM6W0s1Qu6 pLFT3QMqt+X1IWRIdmsl7alPsMy+eF/3DSGu8axiBgymT0JyzFgAevnyMrJA+IX6iaIRon/3gzpN 7AN3OBwvU++N5H98OuDYfAvp4q2Kyz4u0Hhy0F/c11XgXLYW3lYzxLZyIciKj+MXnh87fOvKpdAq X5VMm6qSW+uqq/fJc0rFWeNCCPhBbb2QSdHRBEimN83cv5eM6C90L19SGAyAitygMQXrnwI6HuIa 2jgt+bUNzEjps1wnwAwhtvIyC0nc7kuQOpxrs4q/OdtBcAQ+ew68p4K0RkqVBjlRduGPfjX6fFJB UZT7etEr6+mwJxL9rjTt8sxUgvDP4CV7z7Qb/NBZYZUhnquIPt3eabOtx+Fmlz01Cj2VoLuXb3XJ m+dJQu4f9ncLZShf0gnA3LizfNd9KwuMKbAWQ24kzvwdbDWp5Rtmv2MvSTBfJCpERq7mkhN+d7eV sA98C8ORDlQkODNyest9Lsd0UoEvP3bU6d4Uy7vTbqAPt6tTbgjKIcDLzB6QwYkQRYsE24sV2Hlm Tyz+LG4bXc2ssf/xCSd09I9N8Bv3s3C+wy3Oz4eShlx4n1m5VavyocwBzwbhSUN89t2e4GTW5WTV /+UvTslRCzNSgE1J7dTmd8kGGszND+5Xmgr2YIpA33ffU6QA5G7gLqgOtIJ1xJp3M9F6JV3E8Pr3 1BIhTVC0ZVYq4AR+WSXUYMbM4bCLTTIKaT9xjRql5opiIyZkIAke8YvW/mTnOznBldu8wY3/66iE vQsePnfYYwpAnhZnRC/o+ozZgy17q79MEVxaifqRxMQdKOsF3YfdNQykHrxRt5PKfDb2d1zS8H+b XYUTYGRFOmbvzjsdkNfkikVoeMeKzS7a/RMxwd7/4a68NblsncfeFEWLfFiPx4SBLv0Oe8oZZgFf eUVtFFaA0Au2dOKtklGFoRUsTpO38TRn3yDPT9bk5z4le6Had20Kkqy1U5kXVbAhsbaNT0PDTpCP 0S54vqaNXEzlG3jbGxU+Nk0aXamvL3oNf+8m1Sscwzw9Xmr86Gyv1DK/6taDDB7eqfxAFDXZotQS b0zdbl1/ELBwW0tExPMmm79hLXuGTQt6Bx4SSYCvK148umhzwByQsPyICc0SVtrzagr4HB+t942p Ll3dvLJaQ52t0b1iVK9JQC3O54ZP42gcYi+REF4uI2pxvGrQ/R27eWs4L65RA1vqKrr362AV8RbE D40k6f8Z/dFVrnUB71nezXgxCFpczPhI8dp9qeHbV4sr1+XBtta1HYdERu1U2vmFwToB6kmrwNkq oLFauXt/VGgvz4ejAUTVdMgeovbrLd2wECI31KUZxzCB84y4KUEk1voITwmeFM98800ovfQ3JkCQ R7GmJALpfkMU4mHzK/tAZofVNg9wKNScTjMm79xj0TILrJ7h4VK7ukN+Z0egSVif8UcX4BZRqJ1o Olr6sU0LTe8GD8ccIFhyG+KF2ajoB5bW825fhdSCwdC/7XENGc2PsftUFvCmBK8NIThyMRYYKUuS gRbvcX6CNUaxxOhRvMt//u0we5b+2X5lx0g1y9FjdRJ7cBuAjDj+AkZaLe7IMP3+MnH14WVAD4eV BLHDELxhfZEkM9sJ6S9m2ZtwuGMpn4zRgj3UN3JfLP349bxWNCIjnZknSM1QMFeRSwuGXa+oH9Ue umkjnrR2S4y2Cvtl6O7xqWorv8UKsNfGMw8e2GMcCBk5DiG28wz9sdHFA6UeHEYLy2fiB8aXU1hY NO24KPK74bE5I+TKCfI9dfPcWOrQI3AiSaqZzwYcEj046tzdkTHKy0HPapZESNWk4C44ahdHSop2 H7zL9niGTVoDEj52vVhw7AKuBRMvrozuqTpe6cuduvpYk9H3UYOfQ42iFKmFTgSStjDcAXghu+RX av/tJInAIUWwwl1mn3P9BaElnFEP7oykvRbxA9kIsFNnYeO9WyAmakb7zoL2zwUh+5tmEti3dFsu /N95iwN46h5qPkvr5uLvsh42GvseDNTCI0EYu0+pbrkADzqgyPzjwhPYOE4AVklrZ3lyGO4Obuj6 AZItFbq98o8vJ0MX8LytQt9kSoQWoXh7dNeJ6mcnebYYwmdbsi7CWEZYwSTq5zk9cxnzXo4fjC1v l+vMkMeI5gUsBzx38kga4GWD+S+e5cgdWDjsp0SXEzEe4YBL/ADK1SZKRVSzGUcwlVTlqEhtUdW0 DW+dC572YjD6bGkLVpBUdKlYH9LlUfNCslkW3Yx7oTnwfqslcGRHHnn2xZCMFzIVI71gN4xgR7GM vKcf7+Kd6RpJ0s7YJHMHnFT2w1ijwJYcuUi3b6+QRgI5LhqfNscK0b8dWvy1OjPCFNpEfBCKsRzc JXGrpAPErfI6SQbhl7N3acz2mIhd9wla8G54PltU/HpGdnYocNoscn7qhztVgC4XxAwOMkpOdncI Cl7WsGxALaIHp2CLIdTufQNMUs4iUZnu66kC4CWZNIxFHSo9JDGcNZBYBECknhZceb7sB1TIObU8 FNIivWsuafBvSu8YhPKSPeOrotiITQXdtGWzrC6rrlza6/RbAuCRiXaxU99acW5ufpByyXat3eP1 fCVbN3aq6LaNwmvrPxuvdMO2e2Xl/R0ssraKLHCA7/4cD+OtBS7YGwtrRIjA7a3XFKm7PyxiQfQS sYGwh2g6qWLDq6lZBqqARjwLMU+yO2XjWXMyqHFR3HJHMCFeV+b4etS2JBIVLn216ONlWnA717Hz /6byWt1TB0s7pm+sy6hNJyh3yCRM/nRVlf0Q42G8qvxP74DCIQR3cAIYQ8V4HZKUJWrggA6LaGU+ zPz8jxI77nRqk3zo9bnauQIPeAVwHmopsnEyVnUAO8pK+yjSkwv5D4OAG6bOtBmx1qNWtBfpUR+r ZUXt9O6Pu2U05U+ohZdQ/p9kKnWk6a+5fVrBDCfBAhDqG7Z5ftkHKl75x6L2I5ZRyuswsjW5peo+ 5Xs+mz9Ftk3nZb0YPbTwSnh0w/bxJmKbCZuAbTvG0gfaZ2G5cf9XxfTpPPN2WeniWyR0b1zRMOc8 89tVckrLZUNH89qxFK3VDucWwjMKE84Lxy8YXUn+tpFvNVDoM+jhHXZmYsetKOv3v9FF1W/tyh2v ofnr/n+fJ9gObQh9yiVqxu57aYo1MfkD8x1/ZTs0uXzaSiuc7vq7lcBt8xsbYGA9FcCJCjklm+o8 pATLIDJawyWkSQBWx4VtuUyJcoo6idilU69+14qREege1w0zTOhw2p+wCmNTpA8ujsq9Fp9QIGEl 044N5PCawtpZWl657rEJ6lTz2xGXxTsbRg1rRhp8T53BpaeJL1QCH/B1mdY2zDByFGxL3+8lyp4J 6chJh9V+RnAKCLU0Aph09lwO2rhIKrz8m1MupX9gHyE00Bpix1Ph0ZyroiZOymuJRN9aP+a8gJy7 JqCQph8wxCMOCOI6kJ0PCC54J3MtJ4wrV15rQoT7RnsMyiLX6QHvSZeddqx+7z/a0MFmpz+FSLXO fA2AehwFW7izBV+ijhMqYKJoi6ajg+o050E6wluW3ZdNHzqqLvftNDFDcZLVB08/BMRo7EDD9OeF 81lB71qbFN6tKCglGThCHPqBRaxIkAng0hmNr8q7WvkhpEm56RUM8XH9xjyjCgCwNpj6AywjehlK I95FMVBgE8TSeH7PKWI9jXbs1+v6I/X/g2eMIBa7BD0ta811ASmpV6Pkgd2Axqb0T3bX1Khf4xKf 35qS8xgIG471RX54J/u1BfP3k9cvpjReki2ldol6rC+jLoNxT3A3MgSaygs1wPrFRZbG2Ayp2UM0 x6SMW8Gev9b1jPFd/+WntfagQ/Z/5WLG7py3PaaEwEjIUr8Fa4KRqasq4JvNY2FZUPFYXFmz4npO Dsnjiv4Rks1aZeUEbGeDPTPY7j1wzluWVU2kMScc3HdBym1AAP3n7qez8SJa6/AppJEjsRNrrtq7 6YA/sGeJeFIm2k9O8Glol2b2xQDJFCT99Jf5kkE/albadDV6xgiMgo+ubCr9qQ82yr9dO94y8n8Q Bm6hoWSngJiZUuL5hRvol5JT80XGdf+knT/N++gavR4a4SAqfvbxKvUw8QiYYvERzHINo38nm+hq BBxr8daoQYukNu8YsbsDsPJt7/DxSO/tVXubDC7cHd1SPzubfNb+HVoKOsoo7gPzWi287k7FcKqt LqofI4Bku7FKNA7SeTduhi9kc1SuIKnasCesLpcT//GgdFxgjspc+mQgIAtdDt4JF3TzRtWbbjL1 LXjpv5A9emDdBOyAJLOW0tZTn3qUA+OWk+e5E3rwccJoLLm6P4tV92RdAE1N6g+GZdcWypcBrktb CH3CrcebfnH7yo82P1Q690bG79jD48SdrhqmaChrbUo8dlOu/PUZnF8oNa54f3jh/EpRkmWyyjdC wm15YjUsHEHPyGfh+NJZcKbvpEkp6zTsK34hzDNfHbZrYzza1AU5sSC4YgyLpi5nRpjSU7Rj7kK4 BUEV `protect end_protected
gpl-3.0
nickg/nvc
test/bounds/issue477a.vhd
1
2690
package test_pkg is type t_segment_type is ( TYPE_0, TYPE_1, TYPE_2, TYPE_3, TYPE_4, TYPE_5 ); type unsigned is array (natural range <>) of bit; type t_data_segment is record data_word : bit_vector(15 downto 0); -- 0: 16 word_idx : unsigned(11 downto 0); -- 16: 12 segment_type : t_segment_type; -- 28: 1+3 word_length : natural range 1 to 2; -- 32: 4 crc_check : boolean; -- 36: 1+3 table : natural range 1 to 2; -- 40: 4 end record; type t_data_segment_template is array(t_segment_type) of t_data_segment; constant C_SEGMENT_RECORDS : t_data_segment_template := ( TYPE_0 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_0, word_length => 1, crc_check => false, table => 16#a0#), TYPE_1 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_1, word_length => 2, crc_check => false, table => 1), TYPE_2 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_2, word_length => 1, crc_check => false, table => 16#a1#), TYPE_3 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_3, word_length => 2, crc_check => true, table => 16#a2#), TYPE_4 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_4, word_length => 2, crc_check => true, table => 16#a3#), TYPE_5 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_5, word_length => 2, crc_check => true, table => 16#a4#) ); constant C_DATA_INVALID_VERSION : bit_vector(7 downto 0) := x"FF"; constant C_DATA_VERSION : bit_vector(C_SEGMENT_RECORDS(TYPE_2).word_length*8-1 downto 0) := C_DATA_INVALID_VERSION; end package;
gpl-3.0
nickg/nvc
test/regress/array8.vhd
1
2178
-- Test case from Brian Padalino -- package p1 is type t_byte_endianness is (LOWER_BYTE_LEFT, FIRST_BYTE_LEFT, LOWER_BYTE_RIGHT, FIRST_BYTE_RIGHT) ; type t_slv_array is array(natural range <>) of bit_vector ; subtype t_byte_array is t_slv_array(open)(7 downto 0) ; function convert_byte_array_to_slv( constant byte_array : t_byte_array ; constant byte_endianness : t_byte_endianness ) return bit_vector ; end package ; package body p1 is -- example taken directly from uvvm: -- https://github.com/UVVM/UVVM/blob/92cb1495afa007f74ed79fb9935282196420add0/uvvm_util/src/methods_pkg.vhd#L6801 function convert_byte_array_to_slv( constant byte_array : t_byte_array; constant byte_endianness : t_byte_endianness ) return bit_vector is constant c_num_bytes : integer := byte_array'length; alias normalized_byte_array : t_byte_array(0 to c_num_bytes-1) is byte_array; variable v_slv : bit_vector(8*c_num_bytes-1 downto 0); begin assert byte_array'ascending report "byte_array must be ascending" severity error; for byte_idx in 0 to c_num_bytes-1 loop if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then v_slv(8*(c_num_bytes-byte_idx)-1 downto 8*(c_num_bytes-1-byte_idx)) := normalized_byte_array(byte_idx); else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT v_slv(8*(byte_idx+1)-1 downto 8*byte_idx) := normalized_byte_array(byte_idx); end if; end loop; return v_slv; end function; end package body ; entity array8 is end entity ; use work.p1.all; architecture arch of array8 is signal s : t_byte_array(0 to 2) := ( X"44", X"55", X"66" ); begin process begin assert convert_byte_array_to_slv((X"01", X"02", X"03"), LOWER_BYTE_LEFT) = X"010203"; assert convert_byte_array_to_slv((X"01", X"02", X"03"), LOWER_BYTE_RIGHT) = X"030201"; assert convert_byte_array_to_slv(s, LOWER_BYTE_LEFT) = X"445566"; assert convert_byte_array_to_slv(s, LOWER_BYTE_RIGHT) = X"665544"; std.env.stop ; end process; end architecture ;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/impl/ip/tmp.srcs/sources_1/ip/doHistStretch_ap_sitofp_4_no_dsp_32/xbip_dsp48_wrapper_v3_0_4/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
9
142613
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Rb6OdOK5N2kns0OPFJ+v++CzW8nfRqW9kd0J9AvFumoKiqRN9RHtgeg+p+kC5+qKBEeV8v2CM3Mx xfOLSM2Cbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k+/wkBwU+75iNI7Pd10nZcfLz44mnsMTesDjGv76vFtqR9MdNa8H8rqfcawbc0HbSX7oNM6fXhzb ZIl25X3rGOfwr0205uzvk8cI8UM31Lilzi8gh1sXYNzAv8MUxqbzKQuW2XpCt8tyVJ5kUhhrvFpU 7URPhIc62Py3y1k/gfo= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pgfafIGGp1tHNQPDXi+OQpZbcu2upcV93o63NRGqNNXWpUk0deitz7Tr2tFq2IAmDb9e5cDGzosN wc2HwV4SZrznPMxa5rnP4UZLSClctZgURi4Som//iIktCWSStO+jq5SZyuVvMYghufzLjPqnSq9U 1bj9vnfPyo8Q2hlqXWg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block heJdJqnqzjVrY/S+XNH4QV3QihEwi8x4Ix2h9aqH6V7ViDMghYArPkAxVO6Vx9Htmx+sZ2yLq4Cz x8ynQe3IaPqHbBNdi9n+KbU2uCHWUpGKFGmU/LYOmNMRLKMEyqEUfJLzc8NpaFHn85hzZraBmUO4 aGpuvZoUNP+bwT2kd44TT7MOnaC7QDOjmY0xtsBie6UH37DZd62dHCPksfoaABt20PcFMr2srBib bLhzlGOJLjsebEcXRnzCco4XEuikFgWWWB2pd+Mj8elgDokgaXws6I5912Ez8ZdNcrsdgzgJk1m6 EDRohn4BMUWNyQVs+GvfHBB2PAQOdskUT25CmA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ED3v4CpU6j53PGNeXye1tx8ABSsZBn1OT/PYmlGlCDLQWHFrax6zuosDPcbkRx4O0w8xZyqIx8qV KnDW2Yswv3W9fR4qN0GyXRMeKa+xMdkgZUUArUiO5lf9vj6LZ3u+aXwsnqxmsXiB9OnreyM4GXxt AzZFYMsq1DelvjZYOisn+enipfIbo2tP6XhUbXjFf3aO8343PJE65BOL/Sm+1kkXLmp2rExSv2yw CH9WEhCgxwZWiNrZTwvbtrvO7OiGhZyJio96Fab5AFAh46qJeZByJX7ChjpmGHZzT4hfRMoFVTa2 HnR8MBxXiDFiitNSYVZxqv4PJ9Wk0dc0caQSbg== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sAEfgBC7wl78iHUrQ5nqTxEZCq4XxeaYI6sEE8/WhkwSsP6fFnv8OTNLY3nlkTA1Obw33hRKTUh8 7H3QGRWw9iSpyBdAVJNfebnlxdlN3SK1DndaeQ6WO84fmlb6xuChGfvKTBETQkCjrnAkGaoZwvU2 ShutfHn0cGMI4uzcpXayP7dAC33r53NF5tGx8wdw2vpgtIDOWkayFtB6AQOd3rv53Ah0xYQJv2t4 yYdSgZIWSiNjwZl9Rz7N9iL0wtgDTxt0VJ3hM8YlwWx1u1C+FLCq+RodrQbXSF5NNOf7dLU8F7pM WF4rmsr5wf6XsSsJ/x5zCzBpjFLhipB9vnUQEg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block VevQXR2oyG2lLjHJS1dP+h/ReuKQ7BhVUHwZi9LKq4BKTiNecbSf2h+4B7+1hoeF8tgDTTspvVVK /oGHQ3mkHNjaXA3f7kJ9PFsDFZvUz1kxQOEgLE8hlvfQQsXmpHEVfIAQRI9Iix+pnJo6IvCG1CWO wggNWzLapacmuQru1jt5Zyxko6D/cdNaID+4/GmmhyhbypKlMTKx/oyXibJ5Vj7pvU05MpIy0mRv i0TmiC57XiQ5R2+2a/zGjnjTvSjuho2S1cRGlvrBK3uVc8xVpAo6b9FJ2hvy86SpaimNPXGQpWFm 7yIt2xq4eQfkGs18DZHW6i8vXtwLdITYLdHhO9nrB23LPrvJl0EUb8F91UuDddCdvueBMixEkWdp 92uzt2IkVF5nXYPlBbDPwQBrRgNZD4HT4a+w9VLXX0bR0ZSZ9Rk1YSYkw2q8/o7iIajNShRVvybA K5Q7+R3zO+MzC0zmU4m1aEx6GThdMXIDbhAgECOxOKpg14OXomRM1bci0pRmGloDnW/TU8AtCdB8 PrRAI5DmX7rAkWac9k+d4NPgMiiLbvOW+QAK2cvDF83y4poE3g6IfsieFmv+YrgyhgX33gtYU9ep fi58KDzW0ATS850ebuB3ebsP3og89xiwXixMCU8qIHT1ZP4dzB/fKei+c+DN+AqAXjbh8XZ0ycH7 jlyp8rbGiea3HjueZfsutKII/C29cTU2lh7EedVIrButZ+zk9MFoc5Hh9oOyNINTD5JSSB2Gq6Mz Uma6FaoiGR+lhzc4ZwUMecZ8MpjfJkSfX4JBYCBTIyUOgVw8Ua66lPXyXtAqlKE+QfusSd9wpnNX eycu9hEbiQzINj0iNKx6sWDzGdRJ2rhnERY99zztiZfbwIiqAhJzraBwmst71CkaQx38btOrOpTC D6e1D4cipCJ6G0oX+DTjYLxja9PY/HIbhMV8vC2z2E1CH9FdTkzcPq2G3qKxCzqK2koTicx9T186 MqjJ07uNxPDtIKGRFGXm5dG/8BcaH4eUeYW2ru44ydNMWaSGT8kvglMOK3XB0QzH/4jJ59P4XoOk vO/FotHd8SQAjgNGqbfpZSvjPsG6keI1et+Dk70TFhzRMontsCtmNzutlIElpvjt5A8LxpHE1qP5 K0V/Xs48rQDlCEoxFCXDWqu+bs+/aaH8IoWECkg4PtX6MwAtEm1AwOK4m0gPCJDUDfWo3hB29WXO lmVoQ5ck8AvpAriM7DRYc7Hr7G5c2aP7eFXIKv7y63WfU/RB2jo90Gw2/ttBAzHa3mXdoDMlNtFq f7h7feDzrqBK7y9bNvW6+sY0m+EWfE3gsPNtQRCo32ExOIPH/Ymi+25iOkgtalfSKIhVFPvdq7rF aHTTvRtZME9Pf0jUovkOW1jkLiKmQ5oZMFDX6snrDXqzPCSmyhqztcI1B+kmhZiOfRsAJ9K/nffD MxIwQ6kOPXJ3Nwm+UHy12jTrxFXt23fDOwDPOexsL1UcjZRnwTJRjMkkB4dBBwSk0sJU7+Pv3Y2T HGxIqIicluyPHqWQMpyyq/UiiGNk3PasoBRPRm6GiUEzUs7+DykITdMQQebhAg3ikglsivJVfPJY 0RsTAieDXqPpvGXekFPFQrdHtXU6rRDZ1Zrrhyr2KDfdu+KW2vNFTOstyNM//IpKVxn+RqP8zAI0 6AOkk26Se3Vz8c42jJY2t+5QVmklbIDeNmaFFKpArzIZqjo4tRQVVldHbeAA/WNq4cftJdXx3oDj MjNF4jo120t7I6frZx6E9hO6R4Tpiiy73gbFxMQ7ljARdBvdWO+Yk9KGjlzLZaJBZimutZQUVeBl qFEjhuMNVt5UtOxR/+CM8usyPIT1kxxi8a9ISdTOsEDmH9KsuOo+2tcgb2xkaEnoKcAc3ZxrD2j8 pxyYScr+oGDXre0CrZQZfmBUr7eiKAWwD1c9k4tbupgPjzUGMstlyeDVgarLUcH9ifXuQhai+G1t 80RbpacfDbrTmqs3HBlKgssk6/h9yULYOsmu8LR46/fuznmKDAP2f9nAFHGR5JHFS1S0FAWWn+rc ei4O8X9kf6++1snj/pdBp5aZRr5LqWK1WJgDu9YI2QPt7VESkUI8OvpZ+1Vvsw+E4ALgKKP1b0b7 xHFAbP3wmLZOqFQ/trY/VOjfBKbZpWc7f8Y05WPNJBPzIrP4Cw1hC1YCtcIX8DU5xtXharQk00Rq BqSBC7gC/FbJdUAWkzdJtg1h/vA58pgqA0n7PwxOvx03CecHEFsZ+Jn1cKpUPA7NHa4Q2Yn4QJKH UAYgbQWp6BNDEPuSHVgcVDDfugS+/IB9hBdZW10caEvpDLw0RzqRuj4+EqEcyES6fNU0M20/El0L CzRHTtBQFNxTZvgziMRoCYO5KKUYxLH3fJ8UXXfuvpA9wddWT2kayItMbwsxABp7Ltfck62c6JPp aNPpdlVzz5NRqcF6lMw/RKpBUAVhGf5Yn7ZG0ctylPOFd8E2X+wBF218FhSqBkl248/x43ntfsqC lYq1NRWZ9Un4+EIpwbC85nfqvAwCUPqFufhUdafyegnMzxZ+ULNs5vQD/0BPfabF46AQ46axZ1I8 CUxcTPp1OsJxMM3x8TPK31hym8vBviJ5B5+lM7MuwdveBw9ZWYLRgCb8Zt09NBN6+MNpcgRwexY2 P4GUySZeNwaPzDEMy5U4GJGFwz5PWaZNrd9xqvAe6Y7ZQA1aRCMfVkmuACJrtjKtEskZCN66fQS/ mpCdpgjfLuuVKPSnwyUk7IuAYUj23aT6R8KMjrdsJV4bv3XWTdjQKprBJ2sPcodCYvNVbS86C3o/ PY7CQkH31x5aMR+/Df5LWsMcJm6kVR8uOxPX2b/YWQxU8J/x6vgEPo4dm/5Bse+/PxR+M64w8xih stfHgoO52YwcE7qUi88aKc7L0+1JygfvOfL08bDH3KwRjYgk7ePD8+JpmNBGoPpb/BlFhHmcAceB XC6QcYlIWRgQFeNaP8E4LSVopvZQdBMj5o0frOnwjBHTqKrVE/blMfWEs55t+YJZFVpXavTfOYqt mP+YdXL5UvxSZ6W1AI9khGxelxWUIFpgX+FmzuF984fPpFcDx5cuIsVqFtya7hwef17TpqAojYAR f7ckjt+vdZ1no9rQqRj0HIg2s0nw6X4BOKcHnAjzZt1zK+DsLvhUjn8QA3tMWDJbmaGd19JRYEIY yBze8a/zYxwyGPBP50zZiwPhR3Gz8oM5qWL/Lfibw0Ix376El2/gNtSd3UiGqyuHPKHg0n+lrmxu Pcg2rcIgWBWnxZ6R9eiDY6mSOOXBfggs7qqsJd3sKo0hKygtlnZMKJA/LKArbae24Q9nIcC36lN7 L77Z+gnqyhPWfbbuMLSgJY/bymTc5HKjU2odeO9Y3xrDaKCeC964W9auSA0FLpU5anfq58NegSOO uQSYBMufWzTUCpr1+rEGBqJTHbGIOT50u42h5iawClP8yRu+b9aP4iM8XsT3FSvl9osjxdQAJ3l1 YaPDXJCm9I0NjAFH4jZkguMUcbg4DP7a2VHG+JZPGVTiqpiIkq1F8RK34/yJ+AV1ZGHYu5uf0RsH Ts4Agza+RS+bB+B48KXdaqrKK4pQ8Tk9uZhQcoeVi3MR83cY2BSQVU9/vWQoehACHY9/mM4NsrOd lYaPC4gh6C/7M2V+20BBP63sARdndl65uU9pf8RQxWsjqFqQpiiqK4LAFKIjxF50fNAwGDuAYWu1 IMnmg18tUNJmi4bwKr8Uaz0DRXnTdEoWTmerrpqgVkcVLXJaswKYxGIFIA1wpxipNDvo4Li+oDmH cYoHRvxQVd/qf+ZAIHdOdOc+doPv0Hiy8ZmHqpZyhDYH7bMWPlsOQp0LNMZ8BFFBo+2p/pgQ+oBO 6ljzhjcLUuNJRc9TqBwms3CcN5d2VAU1OYsU3rd3+/23kZCZ0ShzkcgoTIlkvjJcoYjf12hzIn7b Y5m/tSu/vWp86/FxeqHEDZ8pSLfHkIzEJwkdZHsl7C7zuECDKGpritTFeaKmShmzB2qDGqwMGXXx 2rZp9GcUV3Q3G78Ce1IRcYXWq7tAK/PdE1nb/OsRWa5lCo6r3q8tQ/kdKSMoUrZJ98Bnjdssx1qC +7/dOd+c2TyXFY3I8uY2gOfLY4qVCp65ZYRZoya9735IajCYvNXoQgxMvFx+LtkD1T73zAIuLJ5q Lsaw4BS2rEQA8qL0PZBvoSIDHI1Pfc7uI94YQEzyp6h6kZNr4sFaOeHZrvfdfTLj/c+X0XvjPj0m tMwb1JY9WhZn3T15jVsJGecpjmEbB8eDtThhZJp6Vg7MwoxLmErZDu7oUs/zRnLxQ5yhY7JroUiB jDsP8OgAAdTIguzpSktFCOAQ/UJIWNdJUly9TbE4tm4JfdsqC5e+8lH1ry9Azlkit1DFPPDJkDPG 50tjJmmF8d7cUca9JPxqr3usw52umntgK7LBjfXTvxe6WlghoeXRJt+2VUXpc5fZNadEh+DuYWGm C43pqgmqUkP0c5KIbRe9b1jVhEjwq+bpj6GvNKaJwxJPZxBj/rdNNlKVxO/Diiof3OfhldK+IcTR WPK9Las85DHIz1yK3jYTVm6j6y73UoyUvZWUrI1xYpO0b/V/U7ZVgG2/AFymQqE2JYfn0Xrw+B9L mjmQRw8evWyX1ZTaB5+fTU6MBCfgwy619bba+fXPkeS/I/w5N42hPWyr+MXMhoW71eHUc53fUsPW nxebEZTmxuWoo0NYZTGWvO5Aq4hhOR3XQRVoMkKiM07NPzCA8B8wZNV8Nqo3gKKpQBmgFYpShDOY ZySuTXcGyRAcTPyMZHlhniFwSgmMm3vHh8aItWzPoy3mvOgMpBF1PFjQ6mF6H9K3AZWnTRYHRPnE GyVPSI0Eg3oVdnf0W72LAmuolxFuc61lc1Xmg5As7mN+FIZFLvtqNcJQNqOKSX/PcZ/Tiy1CNJcg Jwth2h37x9oAHMCw7h+TlJNI664RHiTpXyRvAvL9fXCK9TCJ6JBy5w+DjKChlx0GK46ikDMZkizq ZWvCRo3nX9dmZbNl/kCVk324f+gqwuS/f8XcrZsCXlt7qFcWnrIFDbwqfDFM/dSFaCGdaNGb0Xli Zwor2hLmA+vTLBW4yxnFrlI604X2tDYVpWXCztYJVCdNNtPXxs7Vgh/opVei2ZD+72u/LO17Q5z0 EnljiPcF7JLo6qsO/srAv48+rP2B4oWeszFFfC+KTHSw50UUZ7NZrZ+YWBRrrLVAE6QQQqLAisSe /PB4TUkgLxdQgu0N99gjGOSuakhLG5PPtaYSCFsv0OacVx57U6mJA99Jx37SINwC8FFBR5Q3EZAC so9bSIhLlyJ02AAfDKkK5zoBr/GbCKAlqUvoOMvKoc3EZblRjjL3Nqhe0rLXnTyiZk8jExHBGwTo Vve5CjPTsLqewV3T4Ymy/eo2YDy4Njcdtn9xLJhkBxAcaH8RE6D5TqsZFtcYVZXNzA80xk1WKCpK jq7cYqlhBiemZF4VpZmD+GepRRjt2iqd1wCnpYdW5Ac553t03HSN93PoRUpa1MyBEj5GS9rr6ltN nAoWfgdp1UgMB3/oyVW2+zAIGOamVt4cKj9JGJn7wkU8zNQvbDFcnJrwnbuRhHKhHm6C9KC5G3qq 0PSqVeLOdQ0nxxWsbpKicHNUN5+qDpdyeU/OnTBP/4Fq3oHiJtoeLBwxBFp+RDo2AyLFHNqK5Ti5 03K2HUWqSnghQCfu/N86xwYLTjuFr5yR7y8vCYx4uvYNW5rCLjBXJyWP+BQ1TxKpv4/AMISga0c3 dIahq5XlaMHUe68bxv+VMt/bj+SmUauIAq18NN6Q96zei2eKDW0fHyttVGqdsiFMNSPWQ4oaU+6G 84mN9FWiJbXA2M0CaKotNZLW5Si/uDj1yPiWvSRDCoiEUhpt1vdfXdes8eH7JjVuJt29r9utAlLM JlCROgC+oBRmwt/U6R36lBjt7wqIjxUa5wvab5lG4imNAn5szu7JdPrIfmXEVsNN3HdckQ48jzV8 TbDfEdGh9+/d4Kfy3VDzKgeSIvGLXmHIAlw5F5iRzCVYgMrUuzJbXYfm9hxOlvC9qVUB5wovMuc6 aRXcjXQsB2TpIRMf/NzX/Z0j6xcbEzih0KkKzNHPFf7ZhVcYi7PW9BgQHdvl5UUVeDacVBkIQApY SoR2cXMAc4r49pg16KUnnHGZUYgTZuS8C3z/twEx8AQmmuX3g1yrkKN8gsVfSQG8e9D5iVXmhfbD MdYGums9teHVYcuwWcOECJf9hFTp1i18633WoGkZcUkRagsDuGd9wISbDug7aNAmI70hRb4tXseM r8F/v1XGQLhh5gTxDqtzHQR0I4A5fbSBwSd4EKw4NDlkMPoZoNPej4JIIjQvDMNs/bZl/BiycFXz ZX8Q+WVoAYjqdXE3Goyp03ndTwSRTzy+/5Etsg44nIUZli7QcU8u6d/uqk8kgZkdgbzBkIKmrqof hGHiFCRfEt4eJqOL7uJ1qnq94b/bSyPxzbdspJmKq3jTsJCtEcUmvo5xOdqHM93KrQnUh8W9qJx7 vH/MLWYbQW71ktVGeWxtgZ9z2XEqP4mAI7LZjzqWBl8QQMNytGGDVvZGCY+mVTg7qBH2M4A8z+/C Pp0IQsQw67CmkwtHeakaCiK8aMHuPxo3oFRGr/s2TiJOQ0jA6RGRNv8LyVNnKAW0rtPpOurKa0x0 gl0dLSEC5AWdfO6FL61u5ri3tQPmNxbswApCZb7vH5An4HNVBYltrHboGxqN1fnfiTuMjtH/ALwb UO60lkz3g3xSiz3XUuXJUVetd8QPEq85UzoJQ7aQ+8gEnQ/O/RwafGti0PMggD3MC4hXYn7gRL4j sZykdO+KG+R8nf9iLelMggeRW5erjeqkqvdzJLm/r+EVohYFkM+rvEoYoJzgL5vefjwgo7BnKvYR QO+DnIrkV95TwkGM/OEBX7DaGoKBErNWpKonm/WNWRbyg5mZbg0EJ/5AQcMJi/Kf9UBxFCDu5nPp fWs0G4hzFQK7fBES/cs0Tng9lavASAx8omZYFfz/zB9SEXGiEZhkdtdOft+gGoTVrrH8SoooofE7 DdLDE3pBjlYleNdDENHUOslnadBq2rQPdyrLXvV6PdwMka7opnWMRsQdFzcf+kLoCCSnF/7fyQ1V /YNWfMgQ/FUxUn9WhNn8d+zm9wV6brrcqJTkx+tnpPlaG0gG1Fn/PhsKBDk+xX87Zg49NaFtQrCx RipIBez8hJpRiEauYrBIEwD8yuIpLFExHK8ZihPgGcVKeAIpdhR8tE9sMlY2Yar2JrMtGOL/wBOu Im6yiF4dukvjnQiBb7jorwunR9jxhP1nYgZyhti8uv7Arz9FtPpCH2izAui2sbODbU49sdGMDkDp Wh+U4wAyt54XPktbwlPfvNGh26n+5YIAzfqqTGfGAjR6zH61tMnhiorU9iQhvgAS7YgtC+2xCJBn GHFS8qzVgs80P1p6IbOWkKiiBptHFwnc+kH3DGwuGxAKOX9Kn+dqwuc84vPiacYQJMoRW3HandId 5V5fJ2yNo0F7s1OG4pf7JeIFTbDyIJE48MY2yQZhxnp59RjKfZOxcPu8W+UjiiUkpTpuKd4+jNzS T6FOXH1a4BH6c0R35yfTpH0dZbGGB4V/k9FaNUCJqIrxtiT8kAEvwQbjSnAfX6RD5hZoFFmN72pO o9gd96NTp1IKSBjrbDstYcQJfUp6HZYKQPdRWxA3j3NplfsK3cPGQFK+AruCJG8J+6ntoF4/j+jC x2IXYLhlyB5Zd89RN0PBGBi9EREeR7MC/bz25Sv28gnTuRQCGOynB58oLw0Lk5MlFxYo0eEvE1aU 72PZzPYyqWSL4emCMCY1wX9S2xmFbrfr+GyNTn7rpYpFQuNPUltxtp/zAj8MRsrIa0WuebT75alZ LXOIeyA5MTzz9uWXJimLlHQDTeXUmEAOHNmKQWzgtOC1IO/yqK1Y4O7MRLKKUneKD8TAUamAPX3z fvm3MUBFDOljb0dCIfEHUaPYaI8qPQkmdmzIJnpUjkCm1uQK5s+rzysEYoAIPhu+6axybueYMA2J B52uNep2oRZFu0tXwA5bcldu9PeYJfWf52j+xk7mbk3iWU1URz9hqtP1TnehAjroqiaVG8kik2IQ DhetAWrGOjhr2NiasVA/279Iq543VlGEwXN1ZJ4FGTe9YWzA2nTXUbska5McpKqedC2TAui71vkQ 5jZJdBhoAlCZPgfkwxcVQAEiAnnkcK33srwqSkWn7gQhDmpQaMY2yGVkv386dBxFWI3xtyDH1zG8 WQg9VeZYxHx+hhsswiHSE6oZ0tHIsQ/q3Pn3HZ8L/CMcnx0basr5tVNQAAr9rlWwEV4ydRxw5/sw OZGH2dKMLcfB9YWvIhp+olvB/lE5LiAoKXrob4KUkO0gzROQiXrbwMRRFUnzgA9oNxzKIV0vcU3B 3Rza2WQF5UggkWenRr4wfxU/D4IOw7fkK+YYm/f62gBPEa6wjBSyluQcqO8xxG+cS6UgwkGI/oMf UyUdpRNeDACw0sk+dLkQipJk9JgzBKBxH8yvyebIC7dUXZYF8wN0CgZ9AfuuKqwCtrx3i3UsUXyV FFay1W7F1htlfwB1giSUrbQS2bsmHCCjPwg35T+epBZ8i6NfiZZxuUasiCmmyx9tlyu00QX0NZnq jQDo7XhBRKGlHF/f/h2HaeqoZpxPk7fkNa3eknCQnroTRPHNEmb1ofERfkuGMaNoiNkuQqxTfM+w almhFr1O0NwDjt1WOXa/wHsCQtcKcvkFdcyNXgclQzFxAsE22rnlnh0EkGHRw6E5E2wzLpXN7kGa fY+sTta+6O5W5+mjmAme2O7UsvbLntQGUJKKB8hCTavy/mCan3ICEj4TFAgTe0ID3hbrCD36X8el /c4mApcloRoEcqSHaTPh3BR2lPtVGJlHQctLLMTQNn0ZFfxjtKYclrry6Gl2cvB97qtMfwgBhZIJ ZNq9o8MYFJ36fMpVhSW2OTUonsym6VPcnXOWA+/Qyz9x2xEt8vfixMdJyw6XhY6kaRkQxlnFPkEw ANNen6M/uDZxQl151kyHCK9A8JR6k9VsZYSzTJH+U8gLtngdZKHT5D6ncm0A7G1F3X8PC1RKJDAW EXmHQQiDpkG7DDgS6WfYTanLSYeYMRI2yYzBXGkXvWL7aN/Nq83PceDaz3TxBeJbeqCUlo3sNJKm 4JNoSgQRRO1mVTVcSw7LWp0lJRONmPWBUCoPdQq/Tr4+FUWN00vA3/ZYbwD08XSlsS+NkmswdYac kQNfOV+5hAwLinjartRwWePnZk2bdNON8GRFoQvxFdR7XqV9aKOEOT3m0hxT8hI7p3wkjSiN862V 2n8hK1VftxHYC/03kuOiUe4T/y76onGOa4sIo5CUCq0+innwho7gUv/RogWTJ84oqid/u8b1L5YZ om6OPgoomx3YXIya901CCEWzit9Ke1OcD8RObmWgZgdplQvduY2UcDwBis6i8b2Z+UlLRIubtqli jx8rZQTmw3EIArSjv+DdRKcF1zODLS5aK1gKxB4RxZobpAo7EFX+48D4CbcgSfI6XvzFAiVtG4pF zBgqz6IXR6itFZfZW4aAzAMSex3mxtDF21XK+gpL/wF69iN5Wr1gz/DV/LfjOIQIwBewbSZmkBnQ O9tkuykuFM+WLIF8wv/4RhMHqqq7zQTtlzM5WwtDOTPiKRAPdYOixJ0EpZz1An5QQBA0kzMF5RIb NvKGOgrvP/An0x64Sx7pI4ygN5///vKTGm7MTgRaDBCtEPZoymYfnmQRz+GvRPtQtmq6rBAhVVP2 mQ4FHwgBzfKa4LV93xKsm51T3tApqpKTAK5R9qaN6YGg9/eReWnXmYGnjGiGFA9ZdSIFmjxtjA8H /3Z4iaER0AOxElfpFuNb1oOp1CUz3cBWYvflYXLYKYhzzzcxzqc0Ca3X77nmMGxpRbB6aixz3AbK swoV3bKf/F5ZxcQrDCzfIrpxXuIyijc7NLMhutJ2PhYCf2eG0TwTip0zP+eADOMXd8gXUoeABNUP isysLHMJOQXGfZ/XR7tpGiZAFhzkBLgX46Ya4SwPVGjggJ7PDsTbAv5JKwS1WL/rZzmYKTulZirX u0YSNqd7OvbhOqHYXx5hFL7IG1OFbXqFx7G6gCpY+VdKoh7fAkzMRC6QmYIy5/bZxnNTnJUJg5YQ sXIFX6rcyECkOYR7Csvb26DHmPCpnQMTX1iGQcIVE3GUN0/Xek+y71gxof/H1HEc14MPqqQ/lxpl X1ogXZWW7+HgfsWoC50rsI6hJNbvOKEOIHnVJSiHFp2+mYczPbj2KARrSt/yxKo3uD6/amjIdO8p YKGfZCykfhwl4aVQOYgmmiRWWdv9L/YYBbPWu/ZLb6XxMrSW3ibxzLlQgCpAFzqO9dqaeBkP5FTC BzJ1TlVYvDzFQxEq/6kd7oBu00XEHMIjm0BkqzkPqXHCIgj4cVaX0uJdXGgHylHcFKf4Z41gsHjf zM4j2ncCFS+xDeMM05R6MZsXm4+UCochs2eRoOwO6qCE93S5Ldss0gdmM5VX+7ziLPDN/GTuNDcZ OqqzK3GkXLL50fcLQ7enGo2kKCrLfJpfr3bSORy/YK4PUdsFy+yTE2Kz0UqBCameDWGMmBzijdup 7AjE88M0Ku2VDs7Ba0Nd/65R0kOP5rKtytdOxcd07hwMqqQUbDPhtYUnXQ1H3ioB5PGZWLX0oR0Z pl9i/jYJyLBXxoE1fUAAPgUKJGhPaEBJhdicZuFc5pA8XIxbXbgvpIW+WG0dcjiPpqYaZ3nTKHE9 5Cp+rIuWrdNbs77SWoLiOgD4U2SMHX6EXYT06vD+r6PxXgwn+G3OYk/FPQPX51z2pdAOd2W/4tjg Gs09vdoL5t1EoJ/gF+LM/MbHanP52w6w3qEVcaEk07g2YJPA6mWzNwuTCNr3okDAjh9Fh1L+Gu2s Ne4I/JK3O8X5OFUtZnkcZMI//a6JyPueAjBg7zhEy73ZzI7CgLUlCjeCt5CwvaxS2/4HnZe1tk5V t0WmcF9CWVwi0bQvs+22+LZWDIZ9Fz3r56trPbnc4Pm1W7jq0s5jn0nCNUlcG4RluZPhwFnrUJCc F7hpCpjDB1VzHCk3D2JMt+OJeRIATKIyBsEQWPjQTVYHUcEX1493tvqMSGqLBmUGatlj3tFmz9A+ 6A5YxGgIL2AZn+cD987HrrYXwpiFgVDLZWf68fJ8CSYoGVXoPyPj9a5lhZ4dBzNhu6yDT3uvmR5+ 4Cimv8cDuQdpr3sheZb3RlY7zkrqO+BIxrmTGRcH0fhD8KwYRGmhranH1xdBb1iu7nRdUiKAh4jS prghQ5NOYCOVvglfUTUxN7xYyLsGq2fWJwz/Te/MWPopXGWT2J9EVHKJ66eKm2Nebv9y7YSQijG1 J0qrlPtmNRfuZimIqlwr3gymQOtQ08G1sGn83Lzuls55Z2osKJtn0b61Hz1ZlJP/fAs9dF1j8UMc XKAopaBCTONMO9r2puOG8niuRNUdCeIIbhQoCbk2mzvG/6w6v0WLF963igtPzYXknG8lsE+juwzR btJkgohMYr6t0931s5VDs0FfyDgNeLBjRkVeTSjqQztdeUOlLuYCOle/lp3MbumxkeqmhI/d8uWU L8bX9HRlCNEbgb5tcS0MFPLrnT6cCrq9Y6JYIVu5RAPIWX0kMocjmIDIQvLciW258LvkidaWgL8q P2/tmg+fpMsk8fHb9tMwptMteM8jm+MAhUA9PWnbtosctJmZZZLjOZpmhXjCJepL+4wSra77K1Yo LvmMOo0ASDPuDugdlWlh8hjqP8zNEI3nKC5p7l4sJ2YYWap+226hWq3lYE1HNfjDUt0tuqpl1wry OMoMk0FX9ey3XRuo6ZzhvnloZMaQs/KKy9DJgoPb+v/bUJuHZUOXWrjvFtQUtfBIatnfVtn9vi0d 0M+RS99jshkrcmlFDi0J54nzwKzbpd+ZUSiz1AATA80DnZmazj+8tvBJrKr2OpRn9cH1QUTpAIb0 +LxnDKVhivgeWPJBK0KPRdYQgbITwWaWYwDBiCdUfdHXy4IJTH8hQxPvEZ9PRjLZ6IKN5I9PUKA+ x21pjgDGItCPc/xNVy0mJ7ctphq+ulsMA0Cj+GKgBkvoECN7qmSKBJfSRVOtGd0m3mKol8YM05hv 2X24epgwU24B6z1gBsGNZzGpiRpHqboHS2RasoZXZuAeNWcaAtWTR3NqWM/NxaymwXdiD7fgdHwq Vtqj/s0Pwa+L1ZEHhZYMNqWm6gZtMjavejgn8m/HLETl5QnQ5OtKrVbcoetFxBKjc/YEf3jNvY8t d4/5U9mIPh/GFHqkzWvlCLPaJuL86g+11H9YimiisZXmBSB0p6Ei5du0Vw9e0W5E/xiH8LvqMBJS 6X8aLoWVOYlx+LtEVo/MulpP6SSA8ZkaLpOeJK+ZkO02Hvi/9PHESnuhv51TpOhs+dztnRRWxofV wwoIUKHhu1ilzEpUkLiqpHxWI5Lm2CbrDFbBojTwvpdRueR15r4pNuTQpH3o5QG0OKdWorY985et Y0u5ZtBpuA2mRqGMqh8ux/DuGWEdrPrkPft8n71Ls8PB4z6mOB6UcjZmGyoInJXAyJxegsHiNnOg CVuIp2Ik2wlX+GPxoJRNdfZfaXzV5h7qKdrD2DQMB4Fhnl2i38R5nlrFXjQq34S/hlRU7U1rytmN nYsiRjSyvmsSEh3VD/hmDFz/KQnlEcgSsMcDG8BGCcdS+2Wp9kwzHKdwmpLrB01+P4pi5VWVkQM2 3UB9jMbU1KKlhbp5zryenkqo5ACaDehNtqFrylkcIZyiKEODJRGPd1ilYsZAd7diT0Ki1+fAn5SB NfjWK4KTATtLNPsvlKUcblOg/80vN5w4tOdeTfC2RH4v8JI1yHvgF1CGeHztpMLrzpWD360Maqgl 3d85phpLgLAVb02m3KhOFmTmNvS8Tb7R/Y+PkfL8sM/yanP1tZxIlCfx6qjH3PfTicrhB8wJNXV9 K+Xof7BhDBOBeYQ5/YBEsHxiyX7dPXtsJyOZWVY8GDdjdI8N74zqgd5s52VRuBfBpV433G1/fIVJ Ji5wbVjMHvSwDAvGTb9x8FU7fiW6uemw7dRIkEMT28uQv98xsnb3xLGZYaPMzN3LzQP38Gaf+psx WRYK6CI8ctqWE2G+xA3u3bBL4e/Et9kVSDxdho/g0AAs1H7QkDWQMsC3N7wFeh5Qn5HXBmrnDSYB G+ydfBPGT/6mxxYHZrhU3pXqF4apujLRPaB1EU9/+u1ivZgxZBEp+U80IJJHLmZcXx3bfxQDxtAK BmHZAukF1L1aBIJ/RF4GcpeSIZ/wZRfcVnQW0wxD4PG7TDi7rSytXaJq3G4nlZfd9oRPiKRP45ZK NMgmRdN5+rT9q+Y19J/P1gS91FYK7SZqtVLielIlPPZapmO9ZaqkUbsoRoHrlVaedMsDi126ALDQ xneQIEiHoqkcs4w34pKFMK1cd2UCl84uFP2S4SdMI+rsdfu8f32WqJWHqTRzUKAGMHqyTzRBpzts 9z4nZyF49OV6aRG6khdXOIZ1rYJEkVa5JIvj9S+Ez4RGIKzXN2rBQT+Eep+d4+gQE/tZDEoNTiOO YW/5f5iGi7Onc5CiQYR+uGWyxhDz+Fd/JMJpWGM5RXLhsyfzDmsvai6gB4ZjMFb1j58v+KVccMwZ ZzYlotO7/2YTPr/kf86MqccA2bUmGroGojzHAA/4VST6BcdaafO0uafSEKNfnRoWPPVoMC6Oqwe/ 7t4xMUHdGYm1Wbx/4B+42ZRoxqZUMG/tsvnXKrMNH1Amd/5X5tPUlknirDo/eyVB/l41tf+qDN0j QIQf4Cy6ej9esFEaBjuIylTejP/Ak+F030m64Vm9kwp/3G6o4rIPLsQyxZtjNSTA+YUb7Ns74zr3 MCGAS+I7gkmQnz3e6qPz6vLLol5JNi8u/x+LjTZim+eWp7lt8fvDDo/2uKVBCdNfgHiMmx3pXTV5 3owfjT6YzGCepZ+GsfrUn9drl9RDa01CLE5aG8H6B2FLIQIhUU+gZGP6x8UzkWm1Z8frkFSYVhlu WL5vv9PRR6lTEc6cXGRe/PZjXivmIe4Wr0PQgufAZDxieucCwpqD/dKaZML5ABe7MWxH5pgQ9Nxh sgytcPCNjZwUxKkacx8XwV/r6TtMHpOAmsoPSp9xLUjAocYuAnqGdMxgSyVgXHWo29zk2RRJNFso RYSCsBDa8GazPrv91riszqgGvI/aMghCv0uI0oOCaNRuHFo4sIUWU+EoE2e84GTQqEfJKhR6BUlO cPSgxcr+VS/3qxUdkDJYX3WrH/jFOya/IELp8IYhX5XJk8OYnmYDZSZJpyhmBmlKQ+6EWi/KdZUt NeVwyhSsLU7M/80erxqoxJGR5IFiwq8lpUwgJWrAAHlPBjjE1yM8MmvilsLEhEB6zvxC4QnTqTH7 uZKNiccVSAr+NiMxBKEm4rO74CvRI0JMn9/xXDY5xzW3fm2JYjXWSKWo39pbkG6qzR4QOn/snmBC 8DHESE5RfEFNcG/G/tvAZ14RAekCarXnX/l3ziRBsXiyt9g6DmEE7l/neQdswtH726H3JVYUveOg GmEJy6WKvvyb3JCcpfQMuWRLQlP48RVAKlodQ87Sq/fRUNvCjqEYN/FwAU/Lo2qUW4M9y5NvLFD4 xQ1+9//BTIMGaahSh+zT25nWmC3bsTmYD2BbOxBj0Y2M0fh33aRBy+FCSRYBSNRhh1pTEIOQwskh 5GQkNYL4QDC7UyzpKlBWFouFiOh+6N1Px7Ibz/ODHhFCghU0liV+8bl2SYgIz910Cu8Pl23BZOhp v7C0eUs4UWVwhd+oHWlkjGGMaXe6xiAWf8cdY+zajDFhBOhMQh3L3/YVUEXw1gX0M8b5qAkvpnEB 9RNiFDsLcjcXP/sUDzEvvTeEKaTssSR8/QVEqWYKwpUgL87NTiCVlst8/vXSx3Sb642yyz5NiX6p vXphpTXx7pQpYLmvmaoCWlE0ulyMOvybMvhhtxxrG4bvT9WEmffGlZgg5kcMysMQnFdv5wfG19Sh 8LtmU5CFCFXDEWOdK8F0kbfx3mVZ4WAhSJKWXyZIFMfVFTB0wiP4hrxcLvJOXX41FUm8gpcUX0pC wL5goVN761J9yYICTq1YX0vgaFkyKN9ApkiN0dEOdw6UnJVmm434uUQ+fJu5uHBfn4hGJ0dV0R5U ckhHLwXcCe4uK4iBVJhOg1pCR/EKKKsvdZXSjxR9OvuDBwNQVsOfWiEAaghLMlfda2GojmEiZUMz bX15HHUiOa1wZHind72cXDu/NXsravxnIra680haoMTosd3XnTvRzJe+ImKqRbjRzc+wHGi5ow3R aSxFPPPmWf/O8+lO+0T9qh29q0vbxBFxtnLWWsQNs7FJD3A6fs8A8abA6WfOFhbVliVLijgNFvAF 7aQ1HHDSYdE+LFoR8CDDwXCLDFhO6f7dvYPyEWW40TUuU2TKmkD/NcgNYGT0/i7PBTjrCcllGpGx cIHYs9PE3N914OLbHcgOt5tjaPF6aCjlJEI1LcJTavw1q8VcGqIUjqElYEqm/cjPp05kNX8vVRpC S1AOg0wVxnX+d36oz5merf9sov2o+mrAEjbap1yh1Kx9lid8TjcMIaTlk1saHqPP+UNN5i/jZRRe GUEw4YsGbxG3I4oe3JXXG+BavFY560lceLYhpwgqjuorvlO5AYNemRI/5dkRcf0EWGYOMHbMUZVY JXMC0b1r9z+55m8RXywh+ucPbh/EbvEzaFUwwZP4kc2P2QjEe5CrT/9yzwLkhwEZh+BVEcItTJKO Vpqc262vy/xUJeUwCc27C96ZnGCeyZpj8mKnzpKwgAVIpCZKVrctrLht/ZFvaQJ+W05Upks55Q+V k7WVrAF5A0S2mChcNdr5NrtaisZCzrjU8mhgWfkrcwVpYwOwtzxaS2QySzun6f6sPabQIgGGVx30 ZgLHV+1SPeA9mcBM8dMlJVBZAZhJVg5rSensDxbiTSufcIIlZQhM8MBwQwt7I9dvq400qljartjP 40gE+ZikV1SmctWka7Cc4S+mTMzXbIO3LqCA/7O1gwozsL4frMXFEU/1C5sHALeoCJ8mIpS+F3H0 Swc+OQAjx4nWyA5rJDy6NX3rpRPAk+cVqjdGc8icZnxjKx0v4jeb74JORihUqlLTryDCkjLuw8vn MxsWmT5wW8DtZHGZuaWJAgSkPjrNDnOzHkB06j2/lvS+o1CafFjrpF/opH0F8qQe5F017InXs8U+ 8ck6DCY2DY7lki//H+HwRjeVqOoamBHoxhgD2RIbpeCpfIb47EDjf9RUcPsWLE/I4HFQ5kEBrdcf g7darJADDbZG2uLn0JTOhvn1V77O1M1MYoxFRsaSG7wlXzPxuVDXh6RCi66Qon73YzyQ8rByej6u imKM04aShx7+DJEpTF3c8BMpguygwij0Qoip3YTosopCTQ82pxao5EkEV0Aptdb327z0KNvxI2zu QdetARwCIP5rW/chee/3eUYuIu9dJdHT9EDsKZJcSzbO4+ChCCeod7qVRdDdHBpK4swDuKgeMkyK rBqEw4SBzXZjwJN8/1WS1uuEZLw9tZzl88JHlvS8ERgSti/EorJDgw+MpWLmGrdSQMp6VkTFyAcj dg0bwK0qu3o3ANAfwfJuYvHZK1Omwq41hZqJF1gQrcCalTKeSmrVV7bHrUy+2nbUv7Tf2k6IGXv5 huB007MzaIyyMN07AKRgGUsiUcA+BJmK7WsUnW+NravhDIfm1y/4JXW6UJ/8dxQX74rfM7p4K1WK Hje9i4GGWg5b/T5+9RL8EyAUgPOu7JtJ3hMKl0SoW3CH+XiAiTWJsCgGkxyMOzrvXQEn6HUq6kLP 0sRL+14JOaIwdq9T4XnC74UR1mzVVVqcZwQDftQX9p5MNVJ9cj+sgtsk6QU3jZ7uPPKHzcwHaime GroYxmys9sIirV7gCuge9JY/6I+L/TQ5NRNFURj0l8WuMW+FnTFq6pBEH4z6OW5FM93NW363gj8v gFa8suUQQRFR2PdfzZlb/LN8M68mD1n6gwNveKIYAQ9Pa0KmniXopgNV1IwS10kc8QXlz66/r+SK qjcgofLSfvL+ie81LyCCwtUPmoIrFTAEJHE4XhrjigTDNQsiWa9ycTyP14THfzwpUrKsJp5229nh i4C26d0bLquXzT0DyR0yp6O58bdbn0yWBYhRPO61I2/Ml3aubmc6mIfroF2lTd/6PcjxWu6OW4nf HXa4ClJ5rVQG94mT7gqfykFv9U+KPjZv4ot+QvyvGYyndrbr1VaEfxbCmwPU18z9MZ3hYp72jt58 xth3udchrZESG+I5AdKkAznrXRkEQDhiBK3gAGVqpVskjTdsgp7s2KkSZBAAww/WESlrkkv0ohB1 dnKQ7QVCU67rcgx5CcY0gJBV0RhwieGeNETn9kxpkY2gqU9a7NqaYKP8p9j5vygwCoQFynkSwph0 NoaVBNterL62ZE2AlleQ1/E0aP9d+pVBZDZr3kQAjXzttYgb3r/bV7UsTm9O6mFOKVwxiiBIMe7N aHtOK3BopphC/0fTLrCyTULF/Nxrl8bH4v7/FFkY3eA1+rKlPcrk0jcxqNgqGStkK4Lr8noIoSKH yADQEfn41EtBdCDkMJ5LMlhbpyvBn2Bs2dF1WI6TmUhfxUFFYDerJ8DMUukiqR1X3QrOa/3aWxTr 9kFqBw45ugbevb+nwlFf7VbXk1q5PgPtJu9Sin+X2GP3/Q2BZI3UVILcRjJT0HBPuWQglejj4VVq VOqi6K772+1sUKv2cUnGeXhl02IVg6jtSLvLrUj+L3+74/bWP1pTeFA4ezaQHH7RNTgGtTVeCmc2 CwEF+ueiW68I+N/XGSwPlzVARRMx+OzVWMZKoNIJUGxNAMYmQrEaBlbcgF90L3VHCUy18H93Ozse d0f9jOgPWIF/Nf/MEWtpvlx7TlB1VCnCfb292gjIyIpQ2yDL2bqiFQj/ncPR3bwKFyHumRh0pO0D hAA+2tpL+u3m1X7TATIS5VxI6BscKIMWYrXv6UuGcegBGequqe1/Mo5hnf1RvNPnsZHpw9bix4Ww Hm3aNxE2Q4TkMc2XoilUowonlIdTEPJjtU5NLSV8h6Rbxy2tTIJWsr/ok9U2Ah6cJj2/fsotmIN/ 7r7CSgXvQZaWo4gVdklvapJcNaxru/2IypyG1J7AMwkzJtcTLMI4F1IGU7/tOu99Lxcfltqj3kZP 6w6Pg1VNrFcXM/bYrTDITj6/hR9aqCvfwH/HDwF7qrABb7ZVzC2W2UnyFkWjjwjM/emto3WSxSJg WjjJtqK5MXJXJjGwkDMfcGaBGXfMJnEOk0FQjHnXwQXYv/LlgC9PytWXJd+1EUdQxGQtmpQnZOdb pViuJnQy239HbNPHj5E8tPVqHFlZz0ksE/whCYv1HGZXJPZYyu4fZ/WAFsMeVKAZ6GUBAJw3Svl4 ANwwBcnZJsgmDDTg3iMUi1hyomB4qQRWBn+IWUubkADrEwqrNBhy13+4H8QX6Bfu+YkXjqe82pFP lY2JuCKGs9Cv6bFdEJUY4GavzO2mrrypdcKIcIc1r5EhDev9UtpqWGs7bHPM/GOeJV2mnOpbqhgz l7r/45ly6pPfbchd2YQ0Yklu6NOajENrukqB2HaNhrKVdl9BluACZSYOz498dN83hJqIyuxW93R4 WmUyyzttF6qNzoWubFwIwYPghpjOBsUys1boZEPJqSnJINq2OXh8DKEUUH9CZ+yzBuwaUe8D1Oqr eo7L8fwU3ljP7NJTYgPUv/bZ1+eZ0kwnqsneYddLPNBFRsMFPqZ5sq856Kz9DxXqUXm/vjuu4YeH figHLDPXuUt3Hfy2ReW8gUI7ATdMC7sf9t9OBjhhwRyUv1m+cZnnEmGYa5hPYPV3TvH20wK1mTxD W7SYoM7TjrqSf/lQw21GHtcjGce3US/hSfo+QLHF31FLBQyF4NgNf7VOmYCrllE+uWOC2rWS6EO0 UHe1swfjyYAPUG1i6oob3Cq5/NGA39KCztkgFnzF3by0GTJi9oCWcCLteG8nL8UapJipV2TZVokP ybRk+aVtrZi7zxZu+DhMhzehpUCco7vY8NcaEuTfs/SxyL863xc0lpC7DVp75u5cqgwwrVKMBIeR 0I+Kw//p1bxE8sNjx6IV1mz69iGVImVCm0aBMtN6Cub4w5Dv9nqiFJrxBfPkxNQ9+wwrOc/IxDsU pvqsXg4NfH0VBcKlvALnSTp+0Ki2D4E8nvJQAnrU2m1Lv/SiA1B9FSgyQaxvjT+YyRFzaWMZLACl Zi1sCUVPMHl39TucYIRNEMpzGXYYBKTElCBcuzGxkhBWgCr5aAYn0iWxMSg2Db74ntxG5JMff/nS zqXz2BqoC+aOUXXBFkE6/BMsM2f0cQmwaED9Dw9BGQpvtit0LVvf+5ggzDm4G4kh82UNti6toRs1 EO/wtkD3zygqG3S2N3op/aN7fSEjUAGpVzwByvQjSBgE4CI5QAxlT0TLfhUb9qJXuFJd5sAHlCoM aIYR3GnmWP5bOH3+GDmSRGMN+7K/O+biqx1RpfpgYXZBqpr0Wm7Td053cAu+Gz17drs3P3+MUcy6 DU1l3yG/4tbI6Xn4Lyy6FB8VSbVWC+wLmHwQ3PF5maYNbcedsEo3JacRisB68TBFFO+2m2IIhaPk foPJz8XdmGH+jmv4UmMhc3kzqFEbDSFLQGpvAemtL+ZtLrxGxgk+A2LnQxuBSwVA1exdRpRi5Uv/ A65oXSmDExwFK2tZwVY/M5b17CxvhgcDx3lCTs3ZJvE9OlZ8ztERg/LAPHcIHLjvPH1aqEgl9Ae5 V92ltNMrRu3ka6s6GMe41vichml2S7qFz1yZEI2/Mw7T4EzddA5Or/WDjVyKzSSrofN+HWuugwcq kRpBDZvmJoldfmUTYp9FAvGPvsEE4YU7LmtjLpH8rzTJ+Pt48y/agOmcYyISxnbjTvMFd/w6k8dg 8N7ePr+h3XUZl71ZIkWOuE2yTm8TDWZn1EFyqvL9pvzMA1nrDCtrvMrQbilhQuKAYuGtg5eY68X6 X1+kxxlJcJR8KRNZMB+G0DsVhiP0h7EndY2nc8rNh/58CEQ1BRtLZFdPIF+HQlph0uhJ/qbnJtFn i6TVRIc74lSkLHATPHPyV2qve160DcnwUnlcxMIdd61hxH9iWailuMqIz+pu/9RgYAYaS3TcxmX4 FXC9xJ7Nnwe6axH1zA/1DPyUZFLT15TaJJ94YVfkLXVucKBLJDvp1Foc90O8ubNt/5GoXgO9kwT6 ZgWzxaz6q7mB9GenzMCxyzJVuAsZBbSXq95EPeR+SkmX76oPfTIZnqZI821X+nUqdgzdc/gXSbw1 G8QBHCjaSuxpZORVy3xaSA+M/+yNemkVJm5YSD8Y/0Yr7/RaLIszO3TAtonU8FCbznwS610sAyaD hiKtXWSFmarkC5m5M6Mk4nOlbC17YxS5Z4+aKSTN2VpnGANY3weXT3biirI2lNQ7A/G76cOLbp2g B+Yyfng3vMWg9ArJmI4Cbrhn3b9sVweDYMGM2jAZunPUF5t++0MuHNQtRxpXndtwxOqYVcFLsbk+ C5LWvJ2iZy58d4TRWlvMiqqGl3l/GfXPdIf+MztOcPSU4MVoAbH87ApFW22wOIeYAzAkPm+ITrwS i61Z0BiAUpCMu8KohlSkRzL5lC4sPrbpkx/CAkWGVZZ2v1wCJxnzkswjZ0wrqYxgpoiuo7y2JI5U rZG3pH6wNJNc616k/rmKhvlvidE901eZmFpXn4j2gzSTcSw7G0w5/YL2Fc8Zu36n5sbiaREnJ8C7 TO5nfhwVIQ4DA9pNtsOZioxYr3w+e696KbHGelO9rEjZ6qHINCXzFZ2+eqzYxaRyqXQdxvSQPS9n ndtmOiA6Dvt0Gu+taZZI7TOKw857Vq9pE9x65dTPkCfq+08Bltnyl61r2pmX9sppu+z0dAmyG3hq C01F0h3ahB2ta4cyA0jphmJ2F59cxMf2eZ4RWl+ZzWPM/d+dU3C0IUT6Kw512e4dfRtoPnEd19hw JBx3liG9CqptXZL5FVxTCyzD7AhRsRmClGDRXNL9jmsjqoiQ5DXI62ECyh6n/21uV65BexWouMeF eM8kdo2PCy8TTq+8gYthlODQALXAZE+1Zm8M9XVD9kRNPqNjCP2FDf2Ls8+ZyRItEzPykOuvtovQ 9ZeYj8ozW6uJ88H4YJBjhqGO6FtoLCCI0AZUYSrtjUoIkTlSIEvRgQqMX/mWQMtxClZPN0s2Mcic 3sXNR3i+r/UqbDQa/kxQuGJi2Upq2FU7OSntYFmdhe94+Z5VJzqIWQFbp2031ICJHMioYF7jLnct lznOuMPKK0+Az31yOmN/LnO4K6ElVYsfhjAUHv6TEz7nJW0Rgj0ctsoFxVYkWOiowN8jyYuftJA+ 1G3VDX8x/Gam1H/eVeska/MlIqrMy2KJkHv+5nomANEGBwiuiSjDuYFl8bUivulvYeNK6K1ZlWy0 MORsgb1/ynPQOPhT92TAV+ns+2X1BVFMhJBL8i8Z9mPBwpnjXOBTMOCu/vkXS256KUggiffEriMV LHLHnNHf1HWgO3n6ajiimUwNB+MZJ3gOqNrGuhVx65mkJEZ0pOoi7frpeHx9lRD7VZ0r8cAOmW4j i6LLxrt4w7ZFNO9Ykqkb0d8PsjOJA6EcrYy0/OQ9EwgBCBYElEz411x1pVNXLl4/7EUcxx6Bdqbh HxU6xdimtOr6Rjo39WaD+ZzTvuj+5duIbeSDVAex4bfVW2Jkxsdn4vEgYlQkb0b7fcmhgXUcyxGw qVgWaNHbt1qnvD2FRQLARIapUiEl8DaQqCwchwXISBs+WgPQKinwoK4b54o1C9GTrw1thKxR/koi menEEVhF0hp4H2Dt96UpyMXL7Sz2EyQYLcROjk15stE1qxA/cQ1o+sP4MpWXHtYBoINHw7EGh91s M13qgJR8IjjnkQPS8wU4Jl7ln9aH+iN4NHzLO/8llVd/z+NJFkIhNIIr5t54UF9xUYneFVtLIynK yxfs9Z/yqh+17uDNW53tgXwvw4two3J1WR00ZPEflJVUUGvcqtT8ju2aK2jE8QiFweXuA8eyOx6p IcYe1letC2XgIqBeo0RCS2jUAarzAr6OO0JLqzF7FXG4fSU/W5QJutj8PBJVL08XrZN7eGPYYY4T Drqfvy8vj2/1qhfPIMDDLgHO7J3G2K5tae3qQD/G+xUH0m8Vgm/XchbNQZA2xJvtre8rwl5J4H9x w8xVRBNAUWE6U1/TLr80x3W1rqmYbZtE7WLNXMdM0lSljURXHHRj4Yei8QyjrAb4cHCad64aGXRi X5SAAOTWqKQNsehU7DrSPVVHEUdnvAcWzz+3NoDMg9vwSKsUEktjih3p93PdGzPcMiBFixYZ+AXD 12Ciz8diz80RBZ7pHcpc1O/Fr1NpMqzRlUwZeSeQu6rYxbfjCdd+7lWMv/ezgrI/NQTKmyESpwjO d7b8iZ2HvPRjYgzkjVeXwuTgPiNorbX2xUKovXYVuj6cxHkweH8ciXrPCKo6xYX8Izl2lIZu6/4x 5SwcBqZDHpw9KWX2CnwkInTf+377kFBQOVofEPEuRyzD7BOpKpJnELc//GkF8fx9N1NC4HW5QqPE PowMFsmxv+o7lobPYAfYI+Cvb3SoOOgoiVgn2ijKi2j7mVIxf5/p/zlyx7HACzbGEbeDnp+nUpl3 wrMKYyDX/jh80AcIDL035/2XILGGqUyjw0HeIHd92tSKQCRMAMGC3gJnqLvl6yt8ppMGEP/Wx4jn qd+FN4cfmTHmiQjGX/VD/+1+1IpEsaQETgrTL5MamfSVZDHCAPhEY0VNb6R9+tvBe+toRtMI0DyS JrslNub5GrlfwRpFLyMlAwYkuAjpEDIUKtgB1b0CkQoSnCeGzo93yzUSIPdYFr6OsTAyjHi0knrH Xz13Mab8cIjV3frGLZkXJXwd9T5PFrNj4OnXrJzOaEH8G9CvTVlkSYmnFj1uumbCw/Z9bwDGhI90 hxYVPZ1KmGcZHa5xK/bpAdaQwbXXbmHelRJ4jp/MUp4gk/geC2by8qvfHOvKpLTMIG+lOk/SG5Yy TfMjHM4aEu2QJX7G1lCeZvILJXuU+0io5HCkuDkLQodXnKJemoa6ZojlGbXkptsyeM9lhQlPz9IU BI+D6K6mPpzXqHdvYCIVo7DuHN1XCMjEJZ5n/ZYX0B0abCOF6kER0Cn9HCz5xwZWB176abvPn0qa AIccAYfprkwRurTqwKAlEkJjqwB7UoIpPZifVmMClRGMlSg61GAOi0EL4Wsk1zC79h/IORrQEPyC /nuh7gPmqFS7ltFJEZI4InQIfoqjkKC4K8aS8wCFL6oMU7z3RVa7dMz5jOxiWA0V/vUEN5MemxcH gcScTYfG94vsJpmBIBOibuK6OTjQ+437kXvUkS/uGDjSGlNazVsJuw8piTmwd2wkOwAgHE17+Qol ZnCqL0DqNZ796HmUidfIPgovhFXVkR0wYIh6JLDI6bqcO3CT4fL5BIfMxv8lLCDDvojbao49inKk +nntdlYJG13B3pIOm0BBgaoQpRza0BkMzGq8xe19rVB86jveSf9kF3/WYvGe2I9SeTUVuckw43am rEgm5GXxy1eoJT93Rye+kICxaVJImUraMeZv2/okv92LfirQPjBBqzU6VHbaGOPrdUf3DBZ8Zx7t msoqPqhtelB3qnrYiU5XfPgg06Byyt6vJoI8d7tVh9mgNTJzsxj3C2woiZsvrVYBd/JkaunJo/of OHEKwKkJ5OItSt2fywNY5SjQW/6CA6NhkeyY0RU4UlPZTn9bRBUr7hU3sun5tSl0qblrYkhY+jIB tHCCcj2q6jcN4SSVg/AW5cZUuW4AWP+jq5Gp++50hz40CKRbJmvyRh4crnu5A1/GQ9r6RhYIeUy0 bS217IJxGElJPaaVjQGdlzG7rkqaPuDyLucM7lF2KU0mHyDG1dDHd1a9nIMAzirQV9IPNLV8tevU N0epj68EkSW0jWw1TKGoAz6OXXxSWwwAxPdEB8CVxvxQcIsBuAPT/VMa7j8Acg1pSj1T8x3cdhKj 2jY3dgBvlaq2KJDPHVVuPNtsxYNM8i2nhm3T1U2ndW4p+pQzadDjarS8uG4Yftls2yOHCVzhcQ2Z Ue8vR7MlxdvB8WKOvnyk3PxO+jMNZGRuFRolh9024+BcK+u1j+FRfklOi76fPNwewiO9NComhus9 mucYIP8J73Yi15WaxgkfUfKZ+GTQkjd3HqX7QC/iJhPUGr2JRMV06p195KKrnvb4rwIT/Nl5v0o6 18saPuub3SKRWxQ5i4fCNxmVMV/q3aYFR42jBjSVT0ke2/coNi75pguh+mANUx+aPbcqsCNBjTN9 +TB3Zmr3z3Fsgk8eGRmLHxPDDI2mL/7bt11zs0XeAUMA/adz0hYo753Z+upxhe1d6FGXOt0y8pLS bOG/zkBLGJEzZinYRXugHOOEmqsa20oIdB1pYaIYWbP2vUiN2tDOfYV7xeL/PREb/evMhrZdcE2u Pkr3NtDIipS9ayh90HowWVlLBZgZbgw6g8m6OJGZQ5I7RwgnARqkEFgWjXZXjJUe4T2Pv2HkiV1O KS+anOoinEPjuKUmCQWHxL+WfpdLTtWrqMECXR5C2rc3pXv74WwEz1GHUd4+awhO71sVeNcf69+2 yFU0FMEdiXBhoOtKTfIiQ5z9eKhHWCjhLSY6PoIBf0KLM8u9Jre0O8EYuwgKXByULVPMluOYJk0P UI1MZ0pmo/Zj/V+F6p0690Qpt0gtdnGiMXNpnaY2pZXElPbWp3wdch75TQbihwfZmnqdAq8gifWO velSpenzxXAz0Y1sLWYdC9hITJ7GlTT79qF31g+qz1wFAGlr3wTYS3eh4Si3ri5o7Og3JFXvQBzA pnCdKwOSmfWmtlpVlS1ToQfrpbom0ncumDk4LrjDCgStjlJfh9sW7KHD9Reu35VEkBQwzKQj1iIv 5IgVAihhv566g66apztSziR85j+rQEqZFXZz7urdFTE7IJbNT1ktGcub2tziu6XISkhqyjMxWIAh c5WThNYAJhrE83XDAE65xA+19nzXwvNUmRNMOSGMYiJRU/latOZ+Q+VyjnN8LSbIrPtlb3bxKPF8 vkiKIKLFAWVAbrk+YNZ+XR8kmW7gETzr1EAX6AUXVx/t0je0N/qhk8PZapeQAVVdAPvuZ2hEcnNY 9bE2uNxFam3YNPsKWJEyQB6laxTnIcBAvoDiJqMzj8Kb0qnycCaAsakBZYD6rEHbPRyPzHbZJmtD ip0Nklv7eMudk5e6Qpykbwiky/05lowod3w1VUDHhWpWO0xTj4xSMjpDg4Wdyc0C1OzXmmwsQ+0a 3opRcIN4A00IGHzjFUYpDrY4woTVsOEPckKy3kaVdmO95R+03+q2VfKSvlnDUiKdf0owJzuSmzdp NjxQvJoLMVWGzbo3lUNlssFpBp4U9XeII3Bq5+ngq+q+linHUIvAQSYiKwzJvmzZ0XXl7Qoa7onP +KRKkYiULx9NlfLvtC16iSdbcQrWMIlkgZ+vmbovpow60xqIoGQSIpPSlPmDPOc+zINwiqROAkBE 6HbjR13xTbs4nWdtrtkLZDpLGQpXF88tpjLlu9p3dRYrodKPDrl2rAItS5KFTYGyBcxRb00wjWFJ 6HqJP1LvulWZoi2FybzNKSg3pPvLfP9T+HkC7GCLLSamwgFIa1VK4DJk1jabFMiKOanbwoa26A1Y 8rWtqEDu1hDqzqXyRG1nNbqEhvKnoqqRs/8efqz3dFVmiAZv3xMuFNbm3wK6DuGDTMO60QF5RMkO IymiOV3alSWbwZN+vbsuHeZ/mWb5l7AFUhjdW21XxyJ67kRsaQzhwlgVcNdzj6HnJvJ3qA+ylJei 3NHbSfz5po2qaMLjHiYWwNIvjJR7hyqs9FfyN2T4Si6iKNORxmZ5MNqlLG/NPCFQnno//TN/5AHm 4ckgX6HsanUBxK9Y4cPViecyRXkHkU6oKP1gXNbvrFSWlfs2E6W0odw39Z1e16DINE6NWje3ypkn zZa7L4ov8dZ8aMBBFPqC+9phwHu5Mv4WzUi/chfezxXCMVyd/WeKAb9RfmT6E4nxydcHxyjYT3/9 pSfKm+dfiwxHhdtW6DeeaW6crUT0/mUPGEW/pFpzNAJo9iZFjXhg0mg/WA986syEIeWccXGBPJMT wZp46H2FzdOp1ssdNwbLNDbZFrCUZ8n92veBHpp4fZnDQyyEcNzKPdGe4716qtNSPdwP44flMBAQ rEqIpjO1e9epHLiJHUTztRnEBTFW4y+7lGItVCk/1uxHInsxk73ue2In/S9u2OjrQx1oLGHu1Wl+ 939CNYFUcIM79f8AIYtPua8y+7T2+ZGLPesjv07U9KnN9FUpapkkkbSqy5Gi6uPoVbM8zn/PC3cT hvopIak1fnie2AaJiB4G8mxDbGCpqT6VbS0+gHvxMg50thD3tTAdKvDfiEhYUFfKMZN+PIuLmYBf 9tt7cPT0zKDK57Rknj99s27OEHVHen7dzAaX0UJlXNNGU8yzgM8KuZiMYW8PXvuGvPR6sMPC+/Hi a1vtSXjl28y9LB07rw0NPXlyjL0Ob9spCNtvkjvCGOBs+ZEZYeQt6E1ZypeeQVgibFCx+nbXhu0X YzddDSHUmc99bcVRc0n4WTbD7RmnsFdfFTY8wPbIrOSikF4sIU7tUPrR4RnqTT7QzCgYXMhgSn26 4xHTDvynZjnIx3I0q/UdhVtcIHidElCRcfulR6MOipggOnuYLLgMWGFE3BTz8MsZTDlFCdIvxCVb P8zTo1hq369OHQC681sqr7GUsn/Yd/hxBrk7on/tMRdJFncI0f2GCrSGhRnX339Hr4egRCce9rYh /hrxTwMH6Nc6RsrCHBNW4PtTkPGBI+wSoIYZyUFTv1FrNJVjcaVj5Cksltm5vnZblyEjXRhbKWN3 C5KfNzFdx26yClL+VqNPIMcWlIQy7iHTU/OdRe9Ut8wdi+D7ZG6knghnBCzRMv7ud8KiDRlAKsAk C3tnApMKNkgJ3MwWBfwfLyRwEpWfvkBaoMP9ojKEOsZJRWo8S9UZQzAPFcsiIxx1vHS71qIYZ9mk TkC38plcb6BkngGarZHK1kIsIl88tkO5SGCQX7lnMa5M1ygcMaZR5FydHWVxMDnhUyVs5bVI6LwA 2hdptaJfQ2YSqWA3n38YZz6SOXpbKA2nkUHRcd8HEmsfFl+V80TcA9hm3bb00Oh6jZtcz3Z2W3cY 6kV1OYsGXlo+LnXBvR5cJcc5+/++m1surLU6pygEGUETUi3MWqAvP7cpQctMtgJWKwsDouKttZCY qhzUXk+GLmo+vigLJFfyICeNO6tP4kuhd8N62ZqxOIxakOX9gBeiOGBxXg1y53fUC85P14FtVfDb sTgrUXWH/e1KgtApOQzfbUO987fvE75RLPHwA15WKhhC+OdQ2msXy1C/eTV5YyDz0en3II9mRH5d fyQFMESTuWX0wwPOwvGfxq69a8JgphN81iKaCBp/X8/YMQ2x5XQKOsKX7VW1QjuT9R1Wh5OVcGo0 dXvl+HF7e0blg1nIlmamyDM/jeC4c/fROSIBXmnXI8qxMechSJvIhxgMsW8MLj1tsf7pusB7v8Qh 9q9UYcKPxX2gJhIEgokqzy9druRPHSeydpCqQg3Z1S+6LYzV+QCK6TNzxR0AUfoKpQmTMvHpFFLR jcUmfd4rJo4ywCHfDLz28rnxl3L5fAMK6pigy50jr0LYXdGTdtWSB8+PUbRO+eZrl2b7NhNpzqVe kmIPgT9lLoXmX1m5beaJjYWlkj/Q2vjsSz4kTWDxUaAp1LCXwIuLR8nQuWqixnOx2CHvRQa9N/2R zr6MgWLv0uUKlFmSpX0kHnoV09G+9J6+qEBkpFyXIIUfdY6eQuYE3JKWSy5q7xz7FsNaaJBKTTLZ jWz1uVwqrYwK8L0AjSDZvRS+N6GHbfx0Yk9UQGk/q4AwmhzgBXH6sn4ABwbjfcx0nr2xttB8Y3Bu De+uE3Qe2QYmY3qdwxM1O6qm1+hcoRiLEE3mJFDYyHsM64L1/ykqAvN01n7fymEDE5Y4mRj0eUm4 Ouh2h6ZwuJIQwm4WmU6mjzmpmhBcLJRFhUHv7TF2MvDlowdpfrUx6Ii/+7B46E24vpAOFtnnR0rl WwzELbjZetyBQAtk/EY2mV1h+nFUsfZLoZUtoROzivoxAZ3b2kVjPP0S8GPPh3jXhy9wlWYVwKZn ceiJx71+yXaEBe817+K/LTSjKQVVMmcIWJIGqjFQ1ocMTx2PPPqJqrQYGvuBYf4JwWmf247Z5pBz USA2Jjo3rNgw+1Xfo+UB//9wH+IX+X/dVnwyRc12hHjbOa1eZcXeK8U+ziyXn0xALIgJDL/IJ0bc +joJQxKgLTgFAKyQeXeqcpGcUsSAxw6rCdG6mmFFyBCp0OpmCggn6Vfme4FN6Kydzla225hXCplV R3NVWpRFvFcdoQlHvmS0sTbbGMlC3GEiS2VyATj5oWKaA/fJnQef3uzia1dKkhqcZmUNPgGhgT1C Vs8xHy3JsP8rHG3mA8FmEQApZvuScTRztmbM7yZrKPSjrm6prztM/7YiWKEK1ogswgaL9aNiaxK7 VtyOsS3Z2WPmf7G/U39kSGS7CFrlxBT0JvtMO3gTQiE5DSa6w3n+tk9GKv7LG3fD1IhloUloIvay dbNHbbN1lXa0khoK6hF/cUQ0v5F4gC3uINL6RtBrfLv4u9jUfsLCf4T1veuGSWYWjBUaRcpkln2P pUpsfOTjZycf3FbqOe7y9fhd6XcpAztPQwZHMkq052xMF4VuhwUStwkCjzngd4Sa2WMrBDyeGxdw Qk16BnuIpfSSqstnARySZHcwaj0sAEQNkuukKjBbhgpIqP5q32HGxlSwz//E5Dqf+iN4Lt4DupTJ NXgW+L45Dxc4ayN6tNKi+HmOiFsp9YrlyeoLGqlojJWNpXgETkkdq6pEA+N0bNaknt/ATJmL7/au a3jv+HmaTXZMolkExzOMltjpkz2ZbwIkowc2AUPU0nVDQKjcnceSIwoP8yrvTBshLJqlj7Q8wyPe UrX1BRo4+aeUoLY0dW8uYAYDvm3GBKpsANaXD8ScQZb9fXuf4U+0q9PmdNsiDMJKVIx/JCnsB7DV x2cwulobHcSWj1XVZKnhu1gRa3/CuBi1dWde4uSJnOrarQ1HfCUeQNw8/WWePHiHC5S/Sy4UH4YH mLXrQRrtz2pv9XBuLU34ANOxSywkd6PJuZtiNS8HjWzj6ofx39siV7Itkh4/BA3JSnjhPrymgBGB Ilo1BTs5qR4dqSquSLvVOV7q6YnbTTReVMRnklbkwb6ae/MEwBrrk/AwK7QY0/ghDvTzwe2i18tV /9WlcByPc/oAQHtI0Xu2wT1RrSrP4lWj3BWdhxaR5zov5BTyDqDuKl3Rl6MuIaf5Fen/p/JshOr8 tH0Pd/9QVOqAmxQrx7zJnMs0ExvbzjQ0cFWQnV1QvonMEy1/TY3Bs/jYcnMT5JKU0L/JvrOafZaA A+ipv25Z2fU1bB/4wQcFM/WM1QDmfOQ6qg5BKM6lxv6U+ORQsFJJ4X2MBSC0CXIStxek8PABDZqn mx+lKedJHKy7MYZYw1viTN5QKkVnIA4bjfamBNjevSd/60QpnbNEbSaSWsevLGHoF+5soEMIwnii AqLhtU95cT8ocp113F3/DIhL702owmzUeJY465xpo2k8hgLqYK76cBnRkzGShT4DGG+hinzwXtk1 v9QGqw5l4yWZXMBZFLrZT1v/WkygNmi//ETFedKE1yKlmWeqK2u+cPOhcOGhpxKMiiDOuhyTu9yE ExgWbpZqljQ/N7OOx8R/OqfjxEWXeL1iNfQYiFsw6+gRZCsMPJstkU2XQlTLoqmV4ICLrZARqGVE qskWDaE/f3k3hzZuh5QtxZO6W3/Xe/plvqi3KeP0EOA4I2pB2IYJn+D19iQWIJnaqI4FXO91PHeI 3nmQlRyHv+2cK40M0QRwnmSHuFfbyiuh+sP16uEOy2DXTzVERg23e8TZyStdhmPXwNAVGWZjmC2a prIoF/p/kKXZzhxrr8uH9G/pCQLaEGM/ZZYUcW9lWXNFfmgGIw50Ju1oKhABZf50aa0Tgu9lUiG+ N7ioP47r9Ixqpue4hRiWZcvaAvuquEI/InYjAwuxdO/T84WWCUbcqo5MrIbfspUgD742WooI5PQo 11jAUjKKDH+OUZOBIzcCNDK+umldnLMTMTthFaIJhWDht2S752yusfC+yclRaVqvDES+Ao2yCmqc HDs0jltcWBwb/SFCW8XmC+wUmjTH4zd32byHw2qPrEZlEJx9y183XDn6x8IjwDJyEo3uuclAwPOm RTUAMvTdoghCjvWZsM59ZyIJQRY47fdMfi/bebn24NOU+jMr1cul9zvkGeb7YJocd/zgh5FlqY9y /ZVZ+EEfNGeQVXVzImW5Yb8OwFUV4Zz0bFhS6wGvrIaKg/UwLlKY4Dk1LZmVgTgwSfkZ6MmOnDe1 /1pKm+LcDY627gxukpFAXF9Ctt14hqj35Uu+pHKwkGgD0a3m8negiQKYRNDGYyEXmEZ1gZlcvDlA 5iFpb7BPyHd2xlMpiMbaRpzgvRqNtg7C5mB9oQ5onJs8O346C9tIFle0zTiXxzs8OcCPhZc+vMIg EEY5FTekcfrklk4bmQclbNZFmEF6LUbQHL4qIVGJUApoTcA8289IWSOCkR/CueGrgeSk1N/1r7ft URgcmUNe0A4Xt87H3nLG4i7U5gLrqBaOX/qEaBuVrBG8NWQGm3sS7hnmqkKsC3xK5ZHBfzg/NB4F nq2m1ELA1PPb+hWVsmp35vGC7B7uEPsozD9GqvjFIxLKMfWLbkXDBsxHXA2HVP8TEOOz3YpDvedP Sz9ychts0FMIJzJRaYz3/mgzq++QG8+x2RY1W8CGjVF3xFKYZ090r02PyMDwQwZGUatd1pLMEzn6 /NYTXLK+PyMlfN8tEtg9OlUc9joyOBaUOZqwz9IxZVjVL2EcqUhV9FdgH5cXc3MpvLyTsnCb6GbM fOE+gli9D13c35Kd7sBJ0EKPyyzLaCSb0mQX7MVa1uxBrNv0WZXN8Fi1VlDin3jw6INxVzx2JBaS ro2o81ym5K2TnpGYK31kfqPbkMHl0nSsnEEv7hDbMWKohlv9vxdObWz7Bj91BoNu4AGI15MzfXsK 4OFn+EVWPZoC0B6RrsdUAc4rEP4St/0m/pHIPHrhAFoL2TTUY5CpwL0OmuQzGA0SYqUtGy6d41Vj fo2wUaPx5uda+Lp63wozRAEIKQv8+88HSMGeYS8d25fmq8BZ12s/RvfH2bWaME7yfkFrtqYIEWRn 0IQ9XeVCQkXhKxo3hCb04xxiQJwD54fUUOMwBKawYDKTQffGr1sBQPAIti+bx5R1N8gE/oZI3OzA j0B2oWy01VEZC0zD4QvR2ZRVqMrixx8tB+lHSr7t11KMQr5HgjB1HmYzy2fQUiisRtj5/ntXp2eN pCLzITaTNKhWv/0YmoYVJAvPNYa/D0SS1S5VFuE2i7e6t/0zR7qK2HX0cHZoKeQBTuCUujwhj912 +OkqUBdk6oQTFljByt/znzTw2sG5fvG2VzZUoRyxN9XDVT5nLaobM9v0za6JTAvaynS9LVnUjrCE Zs8GcmxvusMGztQ6bx/b0qmjb7q7AzJaBRhJsqct6oLTAa/V1gt2E8DdYuCp3IKzwtd6KjKg7gFZ IW99fo+zGvMtr93Bz3dXTQzrgmf1of448HGuQIbcEgTSN3YHPismCWZuQ/Bw2R6+3QAJEuJa17Eb n8vtFC0A8JZomIokk1Axz9JC8DGhWZXhWM/W8qgPKWZ+jtvX3nB1jiaiAaU8aLE3wSdfShtNBoql EF/H89xdStSQBSvDDziutBgVvwIYOqJrOGo1geBN0oZIHvJkFVLZzYBFYcGHBw2/B6LhbwFrky8d toaChAA0Z6uSiGhHPQjHpWae8C3i7BXD+xw8SK2Y9MYNxCsOQ9CHcQwvdTTFXG4wdEKxxk4hADh/ S+xn0gNaJEqP19F7CLMgIsA+s114kyPNXh5VcHnXbegHaKGk2SWBohtlm3qhsu+hy6JiZBWV8+Pu cuC64mBmZO3+EFcnw7Mglh7qqigUpz4OnS9tFd+lVzvCkiEAIoxm8YNseX8R/bsxnbUvgVrul0+L F67Qmj+Lgpkpi3sNomxCQmdqJZRnPGFU/iV64Fx1ToJd2GN7OXRfWt/iPOK4ZcIbovXA6QQB4rZn 8f2DQ90E3DeAhWfnmjXKTtfrvDdpxGMnvMwnBzDBhwh5qKew8FAhwPPi2lAL/WzoiL5UFhib1/AO rbe76iP6MrcPDlbpjrI+9r8Ns34mP6jBRQwWz1luipQpgG1mqIVXSQYFgnrLp9Eidp4sPblPYZPR Gla/1gkE+tNMoWaDsqjKsZBXyM1Vh4s+zRarOBp5s+jUk6Hsw/z3VaWDww5w5i54WDbQn7JSng5v fpet66dNszXPKaygTdK1KXDdN6FhDTf5agpySmET8pMRxYU9VtLp0KNMbmjeXX9RPBubs23oyD+a c1BlbkTUz54PIBGDLn2assGcuZJ2AeHG7A9NQJpCwoWo6H8Nnp8DNes0WnzTsnhbIzVSjiu3l3gK Sf33EmzMkvb60B4ZgOxkc1qzipyCgp/WayMlSHrjWLaKsBtfcrxsua7zVQKv5nqiD+v13ZsDOV3v g7UW9PvkBuGYIfK4yxaB3cea+76XjjX89M2zjRLfEfnLOvrImzBt+00CCHTywRA6lDrzYBcLYJ40 X5RIxTHhmrbrRA9P8zlMe5+mCL+twRIW9vJz0LO+Om2Wg6aeP02Mic7SeACoflEh4rEnDuZjeTwb 2oGcLucThT81uZE5KuV/GAGUIB5Mu1RLp6ExU7YDS7W4U6o4ug2ASXoIjbdAfhG7nN2tDzI7QXmG FiS/sg008FFs0ORDG6BPPzH23pm1jlxJ1POL2/wf7lDhzLWz04r9yU/yxgD+odA98NqGz5Lb7CMJ bKKxzW4X2blJ1j7dGewj+psgFwxWQyoTS2jbv85N+TAt7dMqdCBBJQyOFENxWNK7RS1BV1/tY/qm +miFjaVYAJ1kCPwBesVef7iOBv4gB5Dzbye6RLlsspJrE6Lv4c4hsniJRDGGr7ALynPm9B4XqeLs /OszPDmciqEQpGvYQ37QEubmCXUtML8OMP9D+z/kNqMiPuu778GRVOI2dT8qgwWl4rL8kt/V2qxA nVwHlYt6mF2aPeGAuiMVHh/KnlSc3gKkgt98DBe7HzAM/iNSvEjOz9k49C4HTy5uG3D+8XK+wQ7z sbdfbLW70l9J11ifYQbeQ3pSN7rCIVQTzwEDkTYbdAEXwYr24K4QIKf5KYQlZtjprGudB/B9QzY/ 10zzgK9L4splNqCO0406PWdDl202D9WdXZ8wrSx1+uuGnqCcoT8OQZNsaAyfX+LXbF8Hk8TLfowN vbcfz28r0Ux6oo4JxG2o6RFBBjN9J//qmihXRnkfCHBmxeogNSvnRZqsNq09Uy4nH6rGBO1S8ojM vwffXVBHfGvf00lXtNoiPGIpZ7YH4NUUfwcZqOmYfuoDItfHpCxRxEPJdPBQy8Kl0Hh5GbsU2PSJ HOvO8gPYhjkxW7LME24p2coU9I7FN6bn+pxPoX3ZM8FjTYL2/e+x8pX5PhMUU4ZNB+H9fbxmQ9Yw nU0+WLiTiLI8RiI9vqX7MWf7nvIhiPEvQjVMjYMRCi/IIsjnNw7IhHgrv8IN0UtEms7MOr+GsGPK ilonye/cyX/1OvarL3/lWBjHsCJ4QrazbpsGTHyvxb4Y2dPL/+oaRy/VZC7kBbqIbXdQs6ap3cA/ 2gSzetNyc0nPgxRyy8Miz8mlNBDvZCDXoNL647M4+0BHqbCLDgzEZYoP005jzOV8exZj02TkwM5n aI+yQUyBB1gAykAKOASsqwX9Ftd+dKjLfjEt/+U0O6oTSpGjGJjnTi0ylb0nkj+65bvmaXVlnAgW uIL5QII0udZb65LHGKZbXeCe8PtgHzj/e0hTA9sIuHwvNUSw0UPsjZdrqkXdoAFwnn5dXDCyvTeO JwhSqZ4aYh9B/VbKYnjtoaWW3RyenYwk9Mm7qVHitmshxPdzIL7uOQ9vxFxj/LMUXSsTY7k49Ag0 495tcCOYS4PtAhum3L6a0nT8PjMXUw0EhNc9SA3qEds16yjbfEeSYnfbbQxgKvwzA9NDSfVtc/Ot 26QLtvXzy1anmNo+SbQ4TDkMMeHmPyl4u3gfl2Zaa/6PbBNkpSZSTzvRRr485zOfBGG5VE8RQj2i WzURexLs4ie8iZuS4SId/PO9vLkGKKqEtPrgUW2ukhm4ku1pVlESz5edo9SX1H8EEtROBl2r8P7y TlcOM5R0qIQXwWyOlawBtwZTK9vLJEohZWtdldPSU3T1mg0G50QbRZbAi3Pu7lM5xbQYA9h1hJuM Za17exc4GZNXnEgnA+HMvuKkxUikojbwOw6M6URZxKtw+uu/6Icw81E3+m/vERb+Wfu/3Xc1nR/C 7zDW5A46dja53E+zonlNNYc8PftCrUCa5pfKK5zQVz1n5keH+OS9VlOBiVnaFYubRS9XrzjU0euL 19WqzyvnQ+pSpItbwwRIs8JUCcbhzGI7hWEZBZCdQm3t5dQoYM/oAGAEmBgZD5s7+IMUr4Ea2b8P xX8qczb/uBAqjYff/dbZtgLL3eB9+3ZBMnPNjhIODeXc3Ta5eV4FTKUW6BXAQ4tBvWSKz+gQ+NRV 4PRVHxdglXo1cVOCAICk6Aota1SoWwA7WP+wbewISTP5LXEW6cFBOmebeZiBu5wBN7Am9Foh1s1y ND8bopWEoPscyPGTB2w1+8Gt9V/qMs2l9lNfwU8fC9wYfFlPpqXdZxFro+NzyIFWR4vnPf1EdSAz Qwj0Mbywh/RXO4KbpwqtVJ25TFWSFaU++eg0FMVhGNb62nw1YD8EXOAEinkOeyBTk6YtuG3zLWrN /uDSAgNy6jHDiot6b3SW6M+vN/+OXgILwWxNhXkMgvXghJVfIfgwNAyaSH6y6GGBsaZCWdriQZqO 0XjZgb6x7dVbZi/ScHoOSU28sApKWztDyUbKwr+C0QOc9Yqi8A8xkC6Rt8E87RVzIK9SSrZAIb2J a3lDPqYIXjSMu18dOlS0SkL7s+nGqxFf9/iQHq/a5Xvjvrv97G0bx6ouY7eNdyfajdEFwYB7hwj7 WSlTUwFgRkx8EDv7r1xVJJ8W+7NT4OTkT4yjQ3v9RkOu2k+qlmKuKA9zT3MjgBMzY2iaS5FjQzhY a7KZdM0w/8XhUQbSO8NPNR6hMtdtUrKImgMgz9f3qs+6ot/0Xbe2BhFyW+e9k8I+7PjYy1I3UO+G wIaMn2v/gNKDxRn07swaHiRM2DuZtEulDIlmOWoFb29yc8Jl4xjDtfjByZmL8gxBsZ3NB0QOQvKV WLWN8rbyf9p8N1309v7TWcU4x6jGcmiDhAXCckeWMrIMhlBgijBG9fZf3rkPmNWW96qb9LpPyaaN WAd7QL2lfN45io/Ccx5sgoSm30JHTOnK6h//2FU+p67W5Sp8WhPMMvxHmiO4fynNduUZgtbWWJf/ u0XKvIM4O9mNb+vD6jsPADBeFGcFeAQEVLwNdC4SOphxyAyPKPgggPMO4ednH7oxO5P2HkBtHDtp 8TsRrwzs31/lROMpiCfleWHJF1yajnD5wJIkDpD1lnYrs6roIjqCxmZ8wT/tl3Ple4AlUQZTzNMa icYXpV54wzcseX8XWquFVRWIALfCV1TFllsIa6zbg6llMCsKk1kLt3h6iMSceHi2eupsCh0YDTVM 5acW0lgArllY8fDByZ9DUr214irgdcgJkveuavA8lWTqkg29ATzICllZbnTLHUmluQQxaIgJbNiK Eo5RMembSLGbGHbLIL0rxGP6R+R9SfTvf+rB90NrZpmvBVLOA2aK196rgcYxrnXk0pKzaXljVkZA vY0ozv9T+axWK7OeACkIacsUMXVil2BL3qnH4qLB8L0juTuw3sNot1x4qMioDGCq1FvsoiV5eqRd 8nzjcjAeCNQ4dGRyZfEYoxLBriTc9yrslcIAHdScXzdE4u3CsU1vvc56aufM6n5BUO+UNhjalh/d qZDHxOQvhyfwVf99ZQHxMmOt7ghYevjo4OQgAwwoGXvzJVQ2ltpsUglKLeBbbkmV/EuoJhBmk30z 4WEmfNF8Go0v30ZRnHXirnmcH2e3WbfhH9WzBpizTqjmb89Jaf3W4gOmOnXiAgvTWvm5DntfHfHn 5Z8jbfMOrX2lN5BlAF9jHodHnvIwQ2Z6wykVeL7+QVNwGDnB2AJ3PLnjCzYPI06M+lAn7MJW1SlM HJFLJ94tbuBHtvNO+VziPVvZrdLd4wgrl7nTayVt+cbhPqgR2ymsPFc3UE8Y4B81BKEBpZEQ3csU B6/jxNKFYfFEzyVCEWYPKR2mtXYFU6ob9Rp3cFwW2f2ytmVTclVlvHiarcAb6wj4OObqoHFV9UL4 Rm8CkMUtA3SM3+C4P2l4jml18JtbJm/41AClO0g4X5l3iNBe7IUZi4XnOxIbz8f6j8fJxqter7pG fME03bvL6OxyK/eTXjNhWf3CUbpgXeekb2zt+gHJlV965CLQdZFP7ZTa45jJrGKbOjezheoqRikj JlUNMU2e031/V2RbfKUdCbOxUKfgFOo1PHG9kyfB8LYvo0Xt7jNtWHnkEstICfODOZWrpgdt72uv goGmcy3Wffi7Zai14ZwHhPFRoX0xQsDoTjnAhcKIKK46bt4Op+b0Pvso41KiRH8tDOrRwa43PnwD K/wT6859zNRbL5MeDyldpyssPXgxwkzAeJ+ctYjXGGhQHs3oLLWaHANO4po6cq9A0DvUa+H5B0Gm niHm89CDPKz4uNrKdtEEj75vk4v57W/YllDfmoVD3gOvpds/UROjiOYZ/IOx2RXIA1RLNzY0EBH+ BpZk5Y2yxtfrL9J78mQDtwEI5ainznid7oqrTvGq62rD/yEfBtIHm4rpHw++fRBJxpgBPX17I7PP Q+/owLs+cX0PnlTHcb+NIfVB08LvnDLqROotbGQCSnjc1InHOu4QG1hJ4jrdt3bjzLr/mJRWWD0E 2aFrM7uWQ5eEolTBDacSr8mbi5vNpdIf4SxVOEibuAVmhe4D/SpsfDjUjI61cJUojh9rFU7DMvQw jNVyeAZBp8aO+l/jJi2pAiR7GQsYbxZTOM16dGactaRZUsch3tE8ovQEUDH0g5nRDAwOhh2FNeiM 71X1VjEQ3Aa/ouZBLIr/Y/+c3Ru9lj1eAAj1P7UdujhfnSB6+Sr6CVVkO3TkwlXX9hDJAc6NmgU3 Duxcz0q5NKevrYJ9PATbZmTd2lGeidsLrpLoq0FvBpN69MRUt5U8usjkJp3Yv2R/Ce4ti+l/y9bz GMj6gHndtb1ZKrJ7OIBysRmlii+UlfhscJPfu3ixj283vgaMuTprTjrv/opEcfAiHyck6wdZ1w6w Go1lrKYya9WYyBKbdEz0EqPiA2R6ssEi1ev1SS4UPOR+NjjqYggsJxxI8WWsHeT0t5Du9CPlUlnA igudV53I3RqCdTbua3yWjyo9UTlWXWNXWbz41z5PVJ0LyHyovInsqrvJ9Is7p09PnS0IuvPEX2/A 53QFX5SAYBhRyYWIDNVhkAj/wqcsE2XVQZu2duZKEYyk7THFfKf6dAtkQv7gNbD1OBPVKMZqs7L8 1HQB8MrkUpgB2RqSRVYJEPIcRhBHuJXncwQY8NivW6Z6/eHa/PwuJdxTLs2Cx56JXK6gJxFEhOKa GdNWLY2oEPiLGttdtWyo8SGa25avMZ69X53g5IHrUMuAkgoNKA7chVashUpl4+DFrHyNLgOciD9K kvPhV99P7JJnxmrMQvxn3DOdnXhv0A+rdQ+GmHg30CMg/EeAz45hH7yWStBy/zeetdkya2gNGpuP KLZVxbMC/942WXWjyEi3iBk1MWB3h4lwkmYItpzBUC7IeYOQZLyKM3MUHQiTSJvT2eBkG3+R/tNN wkp3tOL7cAVEVvRCV93Cgecxfw0LFNaitawYPUFjfKyof0590a5y5p1JpRZg+JUnaCDz9Qp0L+wq k8rIRQAp9WtVlnXWpc49J4nGdrTWByFNPyTKzEIrEdUvHFsJWTOWFzn0I+z7OJNd0Qun7gWtP3V+ yTMuu7nMhIZdFZqhV2Mm4+3JP1Ho4s2H/WLoSRJWg/UNFvS8nPv2xDDGuI2ukc6S9PLazVESvl2G K2DGTzzn1RwHxZT62Je3Tf8tEJyNaLwizXckVecG2wDfxdo8RGFaPrAxOXQSVrbVWsFfMWD5Ssu/ Hp8vrwlxv0ZsvLddiIb5T8773J6kDthnPv1k42n+XJLCT0HqW0PibpbtUOtMCNmNCKVuBhu06ats 5BNuN3E5Mj6DLitN+H3sufI1IABMpAy1yMcxneR3tgqq0KKV45aGzaj9IPHnMYqsax3wtNihzr7F 9wGX1/DwJCKmG8Ltmw/LSG31JFc0OCUTSmFumB3UP9Jl7eGsEMli1KHp+a2e7pbjsN3uVBGt8plO UP6u8vgQK5r/6OT0Z30FTfCtO6DBY/udsTZGZlwGecGIGqHJD7zs0Oh5pDUApKAZyC6QRiN3s4Et PWX2hWpK5AxDFv9NR5075sSFLUG8Q1FQzyQ/t3VcwhDlOf1vK15y9ZfdxJ4PToJuzB+6cYGIWuBK V/feBcsGWz0KFHw72ol8tXLUqwEhqu45xf78beiaFoCvHNOQmCfPt2D2XSg275uu7uPOc3jb8L/m j1badCj6E6p0jmqCZiwTbKQvsw4vFynKaG+gTW74zgcGUiwV0L1R0ng70JdGtiQFjXGtsoTmoajV wJf7Jb9U6wbHd4PtcQAN5fz4DbsTBskhZOcTQyO+gbReUKcEheTuAxofzKRbHE0lAUCru0Zhpxsf U1ziPqEO6TSTswmsnxYJa4y0lfhAJO8EsIjNO/E9asZZ/6Za4azlbLs2HVkaRXxTW+DHLvgJdBb1 NY9LsddR9+/DypcY5z9QQgGIABuxolhdaIHHZpHbqHe0XitjOQWu11a98ZoaF952JQ7s+sk3Y8rT QlyR6f2CBYFLOH8+ElASr2pOePZj2t2rzHrDk6MCJ9f7znro/PIMpzeTXRgONh0RVH+wavUXM/PV iCiCQw1mX42fbk18zSUDuBLVeBVkZLCSGXVidnEUuhrHpIedbjym8st/Z/ck6EtWqq5QC6ev4NPS SLfvCvJBDwvFSBnHKuU/9QPEcoHQoMrWoxIKAaU2zDs9ni8iicNNfhUpxZtAf9yewYc6C0isJMga fXoNfW6uxDA9HShkWIBqQCF5n/2jSmP7cUdfQd6tJFe8tmImvKNqQ2B1kUUJicYsXtJ0fHJ0q3w4 3Ry2tiBKGYOodVNNpcyZ9+ej7x3V3Z4NUq5FEk6eyTEPJGJdSHehwydCgHIVtsKsKtU54R7ib4Qi p832wU+GjpyMNDJ9e+enhLM+xzwso21WGUiSb4KQt+7Eu50HKIhesv/HYkllNk1x8hbf+8YnaT3j JbBBoLuw5zW/2fmgrqpQloO/I1JzQQv4hnsAakbOBqlphhlhon3yujQzdK9+n7l4pD2C4Te0bBrR ks0difEvapCxxG9OGSs0qIrBVI7kZXY1rdGDuJm6ok7RfEL1RnZD/oehnVP5vuShtLGrxM7BkQ4z S+dSx3p0idFJAxpo5coSp4pcJX8iwGoxGg4Be2II5ytw+IY1G0KS4/zok4qFOnSka97zrMvaywbx DOayhe5/Bd2OfBdDndqOajojGO2X5tYpocEwR7IT6WHDRH9CjykWQk7m/aoAKxw63NgFpKKGbdG7 nWHN8AuOfqdF9EtOZGBK3N+9vjIuUp7IDDsHYIncUHwIEXE8GSXl1Mb6sycnF29vDP1NHkEJICJZ EeE+rXcawvMNxTXLv541rrfMP/p7qpMr9SjKlpMuiiT7hR06FI+aT4OyjsP8NgmXLZ/mtWrO/6x5 KCVTVhO4w6xpwRrEELl8Cc9tPw++M8FIlZOd9CXlQbS3CNVh8nwlKqcLZvbkP6Ss8a+gfWK7SdMm iw5ZLycBrFawXXbeoxE2YX64PiFUoo0DXolNjC4x8kOHTGPAViRwN/Y7YPoLHWGybRfqQfMuALjY HywV/VPAd84LhpAY7fUgwgD7FuRerrssWYBLQXEDBGq3EqCGMnj8UlwEDFIURZzQSaUP48gIj84o 4ZqMp8rcBbE6XyW6Tg7edZqEQ86QB0a7WOAMkpYqizisUd1ure8LS71vGjJ/Jd2C6oyZgXatXrMC 948QBaYxyuZRNzUIwvJnbXzo8Pg1nwaoIn7/fFdsW0n+uwGbqOySZ0/Jxvc0yZQhSolUgs3WcMrk ARjM98k6Y1fcIKdAGHIUwtB2dIh+CylH9uVD9/MQGRPTcIObUhzcHTU15cTNpGrvAp3PWRQ5xgai yNE2sAtR5NOjXg6Z8UMaCfzRE10SgDinkszZQ7Jy/PTiL/ga9AblYYFuNI7wwdqcYMCz2e4hZVi3 UseIO39QRUmFyGvC+BdQAuEnP9gG6x38kC5g+Pkayv1wE0xijnHbKE5PxfL/tqfdFIw1Uy67mC7E UtXUAy4OmvBZHxJ7QXe2+KJVfeG4yx4qbDYgcTgRuUD9BaXbQKHFSKDbrZ7A0E1fm+KsrpvH+Ir4 ueQPb9AigLBUMlg8D3ovtUWXemfIzdUiucseOQ//R6RYzm/TFBCEmyKMvyoXbVfYT5BWOyw7EEEl ZPl0DMUcCEfh4vwlK7zNHXFL8UViEwFEvdCgefgz04MALfptnCEkC5QCdo2IsF4zM6RBL3QqWW6D koOnJznPJSoTHZmofiZMLIe0pMMOdIekB1w5E8G8j8gBzBJ9XMGxSf0ISkFeQvds6AL1vQhUoWgl vAzpeRAQLxENtIFSpbRWtnGZSTojQiMSOkhioBykIMIsnAyLrIOplhUhbN8evDDIzB6Tb7nWdcB/ yGGuwqWNtlWcgsIoNCkR6Fq74N0TMF2uI5GI9h1FadJiHc62dmgn+K1W2JwNU+rWl3aAjmyMeRiJ cwD/ZxrPNJZRwb1HTj/B8S36C3LE7UWeO01lA99/ED4qtlQQJceJtStKt4vx4n0U6vN3s8NRbWIP EjxhmoekGh+f5dz5Syn56pXUEnT/AH15r7PR4bDm7kF+qA/Nh31xalxg9w4006VWoGaS2WiGV9Or le1Erpq2afYwZHIyzNBABw0jY5uDQIwJhMlkAy9bmJMxGa23L70vpst4bQJZQoT3zJ/EX8ZHoDbN 15X/V1vzAU9dXvPoOEycwqg94qbq6nzZ6x+OS1GYcObSora1Nw5D6HlJSQNeDE2eTsHUBdiZTrsU YD9nhSPTovN569SEtjEGU4ePIvtkrerZMyCHATq5QBgV24XPb80mKWYgkxf0c5FiuYz/sojO5ZgR NyZZZ/OjuQAxpvBJYmQcsr6VpPKBHwLGVF+l6Tah8FBz58unLXO4iIDpRllefKSeZ91PTbOMYlV5 AuMOPYp68ffy7FMBdD0R7SM+KkLhQ+xtpeg7NyC4W38mLC4ZklWZHbyeA/3+UEf0z7IAz3XWthZc y9h8VW7dVHxsc1GISczN7bdbKo6LuJTT8ab6CUzAIggkYIICVhkyDtToRNATTwAAOzI33xLS9LN9 172a88zaRYBNVo6+DvgY9swwgXbV4KP31hU7DpTZXC0HD6/pNWLVu0s4BD/MVY1zDSwwXBY3pUNF Kg4JGDL+UsjGT0vT6RFDJX3OCvFyM9asfKegPZnzNzV6xGxL+Ail43/sNvJXsINiM9U9glrvFjz1 ytf0eVLjwvDpip/bojrnusaXl8m0B0Oz8vGdsVnMYKidZ42g9g4GKr9onEoWxw0khJMvp15sJioc LhgzIQLfx6NFaTqmJa4INGXJjzWE+7PVm9bbaSokbC6DgDoH2o5TOMvzuAmwkuSEz/IDr93ZV/e4 4Fcl+E0I/QwoL2+2XhgEI0GPegl5WNjeFyqSn8D5E4vD+I6VLEMdzddKiRwnM5/zbJsLoCWj1Wpd uWLDzC9/D+XwYYMl8EQNWwThB5je5hbekBHeAUwIxymJ1Erv4QIx6Z2t3ZQLYIbvSLSkxmDAWM62 BkJVqF47eVeCd/AvMlyv7yms58Iy/LOXmr64xt5JSx2ozZHCRWSew98NgVyOdsSwZuuZwiwjRF1w hpfEzCR7ZqP72+NyzGkgCs0eJRnuWgNyDpW/3U1wads+Fy4RRMmb0ZBn2tOcUkcwshIBOthfYZhQ TGuCp9gti2LN2TsH7f3kokCS4mUT+zcx/4vpTm6zXlTbkcfTpEXHLVsY0UTisZd+wtWJ2pbDHWQD rKKpIRgs1oAwPWsQLqBgRM1cKw00lOsr6Y5cdhSAs569mNnpPMeGnrPXDfNbLFCbpzwX3a/Pb41Z j474YPTZ3qC/Jcp0d0BXngrHgjEyxuCFLboVb9BbcdFbuz6q5vM3tpxYOPRXinUyJZNUpZyDvejc yvQJrKgFEaevmla/7fA0445bqqiwe+PH/xFfRdThyFjgnW/z+yvdSvgveVraQHTOtREEr8c71a10 j91xNZrXzusJJpB2iPCMuVA8bGcXDKx/b+G9icJukd7J59T6LIfHIMLc43uAZnLAXczjk8CMZHC+ JkRrfqAo1/MKA5gdGBFfWpuxKQqndgGhURdNIvJXlNZV1EfYVc0CGRVYjfRRQCG3qn8tkjnsc2yS oNNkPX8VnKIATaf3jm+xmyTFZdR+5rXfWJgUXYGV0cLWlkFb4vTq8gtHy0AiC5gGlHD5/iFaoz28 k2h4FxU7VMlYHGJN2Y6FIFlTyW97U/oyq5eCPCfoFOKut5No5dRAmlJJB1DqRm6DTe0l1yIz7AXU DJFK1rqb4qvjtcKyxwX67fxOx6BNRGjkbJySjfghHcMWOkydB9ktP2ONh7r7c+5q50jWGT5jO8Jf dbQ6JVuA7oDf8Bf/xZqbmNkNdpvgy4EGBWkw//8N2C4eDN9rRKUOSrj3OPm1W7vfpmf62yjD5505 0F+zBRooC1WLiVlBJH1Bsl70rS6ZmZvCBUJgJeNtyWOqlmP6NARylPPet8mice9reoorXqYCYRni KG+hbBrQvPbJWazrByvCdhAQ+2G/symB/HannjnKtHWzTVK9BGlcrvXjitcyV9MsCatRN49Ol4zi W68a0VOKcXuj/uGZW1S/IRKNewCX5OFfrz7aKoBBiY2QwWu+O39YYgBwMMLXth56Ousp5XWIQ9wJ Zrn5Cn4ZL0YNdJgXNNb3C/ImVIrkwtvJpnv7x7C0wVRL5awgWfAieHKXs6UKgKbZa5EtaPSBJZfs BmEFHl0pd60f66PZpf3X2eGINyFWfMyYVRMdggqf7vMcHbXKNwyzOZNZp2hY9PPTX47vOnGcQzcS MDTIzbhhej19CVe2Gt3SFsLCnJky7LfAyG+KBp29hMbHtiPirucQVide+tJuz9A/yRfXbOLBdUYl BQYo9YyfpyS5eZ0jOmbmGBi6vwuTt/bmtvLbO3430ZvafKJ1nrwUNuu14Gd/Z8Leo4I5QS45o2Yx WNpKeEu2G3LzQwWoIIaCTegb0NCjRh+NADkp7mQtZg8L+SZs2e3jcBvv+V/EDtiZCm42oY9EdNkt sgkuDX5E1vm9Bqfw99U1HIg6bJ6IqAyT6paXftjo6zlC1xuLi6jZHW813HaGCc7nOmwdPeRikRSD iZg8ih9khhHQyrU1LNC0+VyGKvRx4cNKGLEXqji5Ll/9q/mv23uJu1R1+bj+di6VlXIKjikcdCfP 4/bEubpHfo8EDy2nOZ1Y6Gs+ptppsGILePl9XX5wAvYW/TcGfbB+McenOvPp3kZosbSTV1FYSjys cODMJ2Z523swJnJj549S3AY68mOpKZcDgJVa6auHFiy+pFetqBaLaDiYgtBCHzPyNzNPQh65xaXr zzYX0v5bD4q4Xkl+6xDmH5AnKslCSxw5gz8iyrbLLmF4qoN8znQJ/tGkIxfKSEfmikP1CLZs7RtD zslIZ+05eLbuTVa0zYTZmy2wildlJIXWnFYaRj4a28W9QmfUJb5kHHki37zuZF9g0cFtsJgyguma 3QaK0n1NgQEDjTbFHoT5buBNluTl7FNhmNAdKM8D7ibAc8GhGyfs2/RoJH3Vd4iX5xMRQbW2LCvb lNSNpAcmvCx/PHZlQoTrSWYtcb2Q3Cs3p/HlVp/CrGkG/wuCBW8SrvxjwQqwK1WFWpZ7OjlXSgn6 /PGGANv9oTh/eYcFSHo46xW7svYY2P6dsYG6ls0CHJqIELwozbbQ6FKiLG1YZSeuBWS6Y1h3q5te HHFL7jDLdthychj9SBgRe+xiI1SRJ0i3RRiDI4rYzN1IRG3+SH76q2+F9SqcWr/36W3sKU7UWOlb lNyLowlkjp4lZcWdTI4P+Rv3X721HdkDEi6JCfXCzTjzGtGNvJZX4nl1BS7n2524WtgqnMljfJfI TD3o6xd8mGQ2EFVOPJWw6cGhqM81r/mE7lXBPD/QawR8dRPI+0pEx0rBnlDIvxEWFkzI+ziE1QSY mZ4iW1yeqd5866qVijLe1PwazmMhoKprAVH7oajoQY42vMMpQKJ7K674HxmZNUvM38yD7WqtFoKH prlGI1F6FiNv90E+DBcUE3gIuTveO+pHeGb7VWL8C0ehKlXykhZEL0Wi2tGkMJBpr3Q+6v9xQQXN DV6lzYqDM1Y9Wy0LcAUDNXTHW+VRvzvVf7XXBBXPFKR8f6PaQFOjhZVb0PFfiHTP4GWLMzOVqP75 GNK9riaCEhdAaG00DpB7DQ09zfX2a+QyQIxtwaAssYDYqbzaZdvH65XgOSsfdMCSmeBcSUXBNVwU RVr3e7/XBu3g8hFT3d/0nz88+iGHxr+y4yw2Gq3orFY7aHh4fME3HO7wpuaGAJ9buQeRNuW0MTNV fx98kWLf4OqZe69d3rTlxRuugzYaU+VJ4vZALPQC+W2PgA8LJyXVRH3yz5cEsaCpB/80twVKW2MQ FDKDKEjJsQdjFR31d0IcSZyhFE8nmuqrL+r/EuMDh4bH8s9CkfcYeaEmqc7LoG650K87yz7AsURu O3BzujfIce5n7cVdmCijp8Pzr6gmF8aFol/0b10G2iKuRPMXCTvGbRAyCeky9FAxJS5SCmYayDsz 2eyDw+LqXbqa5i8wckxQJscBRDXi3aPD2hz2Wsekl6+gTCkfTWK16EhCUdtANqVPwKB5serVZkmE sXHoG6T9fCeYU8UuJdbn8NAG2bNlbcxSNopmsIA2WLZmX2oW6ao0bzhezEcnXLcR2aAIEgu7dapI hQXqbDth47EIhoLzImKGCFltOuif4Lx1o2j6clgYpajSAWEXI2HxDElkPLFkaW2hZ+kDXL6evUgH gsKhxZyToBR2eHW3F7CJZ79nGgZ6am/tS8aZESUeXJyIMnK+Tu3TB5hZVaOFOD4mmrsBwr5AuvrD uoC5gAO+1BXtQg6dLVx4va9vZFMT1hUMU6HkjYwpDXfs4Qos/dIANimASpRWMEfEcyzMFd7RF0x9 JOvKZeBUjE1cLkpIBMG/7TQOsJ3Tji9NDL6KE/JJddv3eNHoClsORbUjGo94FdkD5cgpRLm8zT+J TCpId2YBHbeQrI9VY3nZF1101QbyV3h68AJw4zIHrVMobQirW6tDrfzyO2V4g6qEePfyxJhFVug1 cAiH0nNCztdPHIR/QHneUiF7mSDYHwjcqDBAnFWqhJa5RhxxlIcPYZpH7+HolGn972MYFUiLsRie k4LL/DR2r0fudglhcPv+NUa86TFcLxLT1SPfDyXrzRTRMii3gDoch70Ayfdai2ltcmnwyzLOaNsR ZJahiTbo3WePnaa+MZcd3UJVgRJ8YNu6o1MErm5MXtLId3cry8asfAbO8Yav8C/iRJu5xdyEdqEG ij9T4WbpmDxW/nr0KSq695RwllxAMNSOT+OvSZV+RFotDE53JxjwNL9v9t2D4sdwzTQUGtuL53X1 CwFZwfx2vWTMvWgyj1S8dS95WFHcG3QwlwCi8pTd/iUBXl+U8dqoyklrEJVwWXfK2ydHIOyOzTKY MF3l+vjnOnUUAROripU0rrcT22fgyrIV8NYQYGuUsvBa+2Tu4Kb/cF5w/0vLpHEn34btmPunPuhr 35dMMEoNjs5YXRRG41YjtEE9pMRlSZWrc6JOm/zniP5I6P5F7Os6+QoEgE1kNF4rlalp7rEM+aUk qkeU6vpX+C5oEGHX7wN+YWjIu1K3+zYYLL2V9i4DT7YfgWC7HgVUi38S85n5sjtzPjg72hCdlqrc 8VxCGPBRGYK5HhFzdwlzivvUxofONp9N/tVctUFMZi0ODF2yRo+IUIEQ8YXlxrC0/Hv1neNvkvdx 80vEE3VBpJe+MzK7P+CChKLd9E1Tyu9pThyTEdwMeK0BKlUxC/+eh1thPitrwbXt+MIN47TaYw8h +gabbNV0wjzdQq+wiUFlR4x5V68RD1o+0manrdCnpZVlSDraG2o41/heTFLjPcRYWKQ4r7oY+pEf 2uW0Tlmw6xtkwbltjBMu3xOffXtAl9jgvgZSgv9Ma6YYjI0zHqOKm1pSj5Y1rYlzbkaFJ5VVApf6 /w40+iU09zDBkk4HenAoYf7gQFN9ViPxT6jHId4V2n8oKpFWZXORznvGxtNg+ebDA5ENyUsjIpKq JIwcWkLD7RJo23tCGKaCUp3zdBqn9EBLq3lBcqCAzjPEcKp6wHl2Pt1uA2/vO2+Y3v46wUGW/Pq6 fOgy6XeqRbIvdi0VFOI3jsnBpomybrK9I5RDMAY0UDoHwn4I1dfStICG9UW5A/1PT9dAQ3tBTr2r K/i9qo9GFW7JLBfbpcRRpA+3yYKRd6D7M3q/tBicFNiC0Rb/tnDGMNary6AWGDEjG4KTfqu6kZQm j2fnZR37JZCJrZdgvcW4eg8iO4knBsnFLU4LQFdRcq8zF8vpi1IGYQjgZjo1JXuAtXCeBrmMwUza f4//HeqxYsFPkbeLrD2NqnBbM3hOXxRwUIv7ozGmwPWibDsjC2hNOub02G3s5TGhOqdLxp4NWo2i g9m1U/0uC4AgQzAQ0JfnHjSs4X5snzYl+m+FQ+LJhSqKeVROTH4oblLmB4rdEG/YGhvto4A7mHMk 1g7ORzWLi+QIS8VQZfgynRHCnRUaWsrvDzwKDoz5fMBEpvcuu/tbp9BnCCx+Hi2fMlzwVjX6jm2v U56j1O9etQ7++MnJo6g0MOmNzfUtXRcS5ROGfr+BNwpVF6xCqnqVogTens2nOXUYcDaOdJVhnl4v lba6Dy+XPM36IJLlaLucrBYoOCTM/DaS6kYL8J3n8a39/kaCB9Ing+dK8NZkXclvAO7NsC76Z92r FR51tdfpbRcd3UXgbDHJbxX6oskTpzdXFhcW/eUZagLHPu1o09whIrbejo3cHnIFsPntd3HRx6pU afaimvwviH6wG/h86QSUhuMyHJIa6WPXNumkUVxGSrWhD4+xYf1DWGImn9/mVB4NeIJu0FgGQVgo y0KYFGShtCWmP5/WexSfGlt6XdC3okLp3ZoKQKgUt4bMCxPN+4VauckVs6lLTdNVq1o+P9xDQMNm GWVu59infJicaZlJqobKrBh+DC8WHO+rWcPf35IOb/cXnLGSe2AdDc7nDcaHe4mE9+X/KY4s+LtI 3+CkqOjZd+GD8O6Tby5Lie/RuTStWoUyjnLyHDcIi5dFWKHTn/aqE4uIx6wZdj5lIOThgIDxtNSh IpWxyq52jFlHeHUXE9p3huCV7olxk2GBJ1iX5M4YG6QDpCI8PrS3pc9JJ+p5vANOrIjHphhDkua4 TguHLH/Xsx5unVbpXlLF/RGBvjKYIIAQN1YGuG/SvI/oWuin0uBu1g27rm1R/K09bM3RJKVTnc2h 7vspQW8QOdROJyUpjcbr5wypCOgfzcYBLAnqpUDJJ1Utvbce/37zGAe7WWE0GuEh+Zhnoh1x7Z9/ 923c7LPLMIVSsC59gcTOEgLxjVoEeSripEleEqREsCLCc82W+NJcmAGE18TxshbrzVXCRk2tQRdr mcmKr772KTEotEdG2UqVtyCq2mBhuwvZcoXMYCQPvcNdJIGgoOSeAI9XhVTBhTk84Hh5smh3cMFt pQH4Tferzz2fP9aBjfM6si6XSxw0NTqtzxQo8FCfWVm7f4Gr2QTGno2jVdnnKkUzRlcDp41fHGSs o5HI9AkhEaRlS62zoSZxsp9GMoK1i7BIY6uHwFien6ryH4RPnpUN2BG7mUxa+BPjTOtCewWJsIwJ n2vLIrJZR8ZilATGgNwWgeKRwgNtUCuMOvrc0UWtSiAGBK4qXZ8F2SocZEk2GVSyIKg+HsQ+rJ67 NKPecBDhFJROuyBwAS9TO4HUQCikvGZ89l+ynij+nJMIdVTREXLEV/kIUplq0BoT+nVeXKpfqrMn fMXPN66XrylNE5oWd4ZvpPtwGeUzPkLJerLFFd36aco6vVCaUWjt1VsVjCYm+nQrXIOpspBg/HPE a6yFTcMlqsit5DRl4pMmqqnBsV0XdTYbjkGv7sZyBW3vBDJjUB3Tl7+DHMVNtynbh0/d6dGulrh/ FxvFHNGFnrPWZAOtVdZnkK2XMZJUDtmox3BTamVU+GmxbAPFCXf9+K/EB1mj3uH55i2KsLC99/Fl lqQ9ahbGmHoK/Nu4zWksHdttBnRP+tJi29eafjIB3ynceuSeqbL524TD65l0a5ONxdU295qp9i2N 16vAxK8Pd+tYWQ+tWRC67XxYgLalL+SBAPBbbc6/179eAn5e5K5Asgr0fO254xSjtQsT4e+gENBh DqJlf0oSDZahciwqbnKJoPjjTtYZROhIVEiXLPoCuNKWpohPchWtCag7xmTafeTp+uUqrPv7uCLG igJXzTkd6CaXowgdNBBfpC+Fn0pE6EWYtJVgXCiVwR9ycvEpW1w624zke8rDipeGrn/NnGUbyXcs 5uk4TNqWWh8Wf6Y8Pvxvb/cwfSvSN0M7YLNt+fylZNTxftLZ3oRqbX/B0Pg8o1j8yBfefY+exOfG sx+fYFxyFyyHriZN3t1u/gad3uAAdaSY5mYM6U50gMOgiSC918OssNPj/5mpiK6ajxS4aBRhQIIn 25VCp7gmRtkT3+BLKHWFFO/WJvse8JDjhWdBSB5mwSv4d/d/bvTjLj618ureNdFrg1SWQsEcCHpL CCTQYYK73piKDeM/TEYT6uGnl0mcDTkexElE6TNHJCXxBQJ6AW+HeRtjSQ1UsgggprJvBQOD5fMH iZ3TBcdkdRwNIjyA8sHmjZYa2r+NATOrElnnuuT4oVoi737DYD2Zr0LmU8aFyciIAFUseIUpbqwQ ipPtSUyafqAYIr023j3hKev4Q4EC3TVZic5L4Dg1+fhSGcmv0JQGbdzsK7sIjWL9HkVV1Cfv/KfC xRgUdi4gAdyff+Tf15lsjFfbWcSlcigjZvlxtn3g5x4Lkp/vrjNonUw9gbjpCVq15+TgvqyyxUvY 2SaXPBI7/8sEEoLUq4QDfTPKaLBPI0om7y73achG798JKYxHYouCmF0U996vr1vRnJAijngsUy5I r/L/w4Y3ut3RhJ+QuvSAJbDhWGr/CWrAQZy0z2fyvGZt/rNkDp1CBThJIjOb1Q2s/yE4yl2B5JG8 5IeThBgd2Ry97GT4xsaIt+ETOvBvugEEP5spFFK89rwUWRwSRfUtHpi0SuigBhhc6uoJF2Tg6fNN crIolUZ9sP4MaFL5R7ccLz6T0FPMvBwgcinDzqza2uCS2wcaIgGxwtIl4o4cGcI+8QDdJjb393oL hmwPbVriWLxrCz9sObiwbkeQOQHuXmX/Jh5lDIixBjSdtWhkyHqEVW5h7ig07n07UeIiQ5tt6oqi mFW8/mUZsoX2Ghjr0VzQot0CiXFZvJNoRaXM1h0IcrYsfK8t49EF/wIA/v8RPv4RjskfKCpWtwJ/ lguD7hKT8PWIuzbXvcVWA28tzjg6umCiV9o1XkMXyE2PEUtERtKuX74BkvYs5CfvguRj6X37PjLd uC73ll2ioaY1Rnz3mFMxT/dvBuVWpNmDCzpZL/21xtFSUlxsS4apJ4W29UIGbgQJN6LmQ7BNlhdu SsnqR4BRm1GfZbTBRYGy3urUXNOrFDA2FyAlFVXomiiTiUnnq5IAWHztZhz/uzKznQh/wwT497vS ZfPNFMA+xF6bUide+cMT0QhZEEYAyMwKvrnkoal4+3+MMPNoECyIIdWqnMyZm+m/h5/Im9NGobAR XSctP7MsvOCnMhZqu2yRuplxeHag1Kh0M6726aEfhijwhqHs7fs3uSCJ2fpcgobtuguuHwItLMgi wKYMude45TAGyWWd2SR9Nyek36Bpp8wMzz6eia3c4FqRn13Tza1VldfmxYf/uY35C1fFUfmNLUS9 R0PR6upvPJfpL21elYl/iD58Y0lr6TB9oZHd91kbimungYdilot49BQtcFXOXGsuV6ypC5ie0K1g XlnTtUSq56JUrL1lhuoQdAX53UyK06921Cwi+nZl/rjlTeQeI+XWtPIFOM3o3JWniPDapygguDCg KVkFaXzs1WR5NzCI26rFwDFw27Z2I8shZnesQnN87iSHBJi0YU5dmiEaTwaM8TJdgBnK3CDMpi0L KqHAA1ZfvfpAB3uADQCskJE4JmyYkAlMsF1x+jVWojNT8sMfP/2IclseDbdZtAo/Mz4eJ0e1tgnA AX4OTOJ68h5fX7nbmw7/1X16GibR48+2+tUvkssozUE+Y6SNO4YAB1phPuaflsIdsib/eN0nAHLR 8+xVzlSSOkQ3K0P6yTGBbeD35PcvPC8YOJoD3/wvpM4kbOwqr9sJ1qPU9MieWAw6WoFSFcM0g+FW XtMdWhnIEV9AO3oJPCZVNPQUVHLMI5tPv+6Y7bXvfH+SV7rLCOQd1rmom0D1RCiNy3kMBZolW4vW N+rZQhb47KXT0l7yJqmhIkiwfxmIJ8rGjb3eA/9TwjIRMY55ofFiosl7wJUvWani/mRIwqk8PIaw ZecXuu/M6XJtY0iK7Tr0i3o8zMFPDB4iJx36xehqeAWly9DKZ24MEaZcgAuwvZsqE/loW1ZBM3rW seYHcV+SfN/66mHrbmQu0uCZf9r9P38EOKVDMerIHyfZklEaXHXS4gWIrslA4i2q1v6LGOhPORSb plkntzGTBnNYVNMdNSRAMZdS8K6k2oIC2+Bjsc6xdjdrDer5wwqm+nMfpC7g1LwoCoih6uEU3dmg zgL1W2R4gjcXRfL8wBoiNo2lWYMQWA+/aA5DU/FLK0Hu5llkNskiuOIPCtYyH3DeOMrrFJRGD35R q9TZC3RnhW5oceHHnIlURy19v2Y+1GJEMCn5x2SkjRRLF2Y8zH9r4KAmGl5MdJ5F53Oa7gzYa8xH PJyUpLQ/O0GtL6WmwA/s4hHyzVFGEa9htUI00HE8dVQ7YRHJ1kH26KU5vnrr/4cdqlv9LlX+9TNI qC4hrCkpAJahCIyNwlVfC+uh1gZaxwwkUfLyxJdahTRNASjvsKNejpeqZXkRO7+r+/otVxjj2XW9 7KEeDokp/HiU3i3FvQR52Ssnea9GURuVy2rOsHpEuUDhMzFe8E5kLZR/UxgAf7BnJ/JKXDRla13A o7DjZnJWPdvx/7xn5D4cWYnXzHUJdlFUzWStvxA9RyDTefPJQLIAXRhcSe6XO5sOis+49YKeDaeh 8onhv8H8MfZFSeQXvtX7BFW1TqEzBb+No/7GfdhjabmiM0URcd0b/H7fCtvFFj60YyepXEi7qtBE 9RSUh4AdC5blxq6HsWeohD3uVpbsWjC/MTabor/k13UA87iznc+2QesbaYIDRg8fHta6H2wq4MUD WOr71gSo70ZB5tUkW3n+U0q9XA1e17RvnzDbiV6YGYp9nmB/8750uXlLERprstokkIv8nnKe9vWk uQ5GLhef26VJtFe8CfgDs6VUsIeretMWFEUKTG6B8rmro+MX79DakmsHBkqeewuwuTmFlwzkhI4i 6hB8Rvg6tOARgcqPE07V2Ks583oTKExOyv7aK08y8qwmoD/kqq3SemIrEyBBnALznofmR8B5nD0/ +TnopZPW4GCzBmZRg/qL8uz6vgosis0LDIIaL8ro/xdIH3dEhckwAFoweF/p/p7TXmYLj+tgVtWa Ch9uJC3/gG3rgtzwOBwtHorlOSBmTRLpGS87yMuR0V6ED8NEvsG7vatRDtSRVpxQCeq989OtJFx1 u+39sipU/RXi465gNz84XXzudzlNtKXf055R6oYnGXMsSCV0/r/IWQ08uB24URlniaZ49sTMmIwT zzxOpWibLg4RmYT/O8dbl+NjufGv7j5OJQ3m8D5wMayc+e0rcsZ4h68YPg/ynkf8X235PLyUDQZF 3/aKUU0GGgOsb9Gigkp6AS64aHmb558SyF7JiShQ9n4fo6opsbYMOXBT1YdQNs/Ph0PWRk1Iwxqa TyWQwL9djTZHk54GfOfOoZnQtnkOpx28KhtQsYm6+kPmWBidjV7ldAwrU/zOa0Ofme2q+t5e37bw wlLkMP4upMLJYK+xjOO5IY4bJ2L2zULY4bljgiRTv8FLzAgy+1xa25g2K0iIN53R1cpOvdrTdTZ2 WxvM6oVCGR3GWq4U+JkVmGFSnXldoSk/ERbeaI6NP4K6uEwWWBVt6El4tcNwBUqfqatwMVEBPQ5u HRYXL/YYNadN9/ReqDNQ8iRGujCHohyM65BraVlZHsg/vnwPt/2itybjPFEimS+JLY1EaQ1N+Kfv H1NuReWxo+p+VXDZCtaOaEDVp+00mQKBKueiY7ahCTFzIEjEGzb42NvTpxqy7mq3P5MgsTBt1svi ZmR2GDcBVNShdrkuFmE1q6CAwB/VtdY++Z2DU2MEjzUuqb1Uyw5GkKIawr65gKcGOPFuh8ldII8s SzBgg5NTlOBfllvkqftfBH+prz9+u0/cR3aaNvdbccFCaJZvleEDIh3v86opsJjhFhm/0SCil60M BU90LP7rXl6FzCMfjvHETr6Zk78/OL7ixC1fJcLejUj/WeXM8KhgKfI/tc573iBOnIJrAFLxP5uw vQGrvHlhsDLng90knYIs2J+sTiratNWzkaYCNYo7/KwISzHWjEwWWOoDlitDKg//QAo2DnZl6l1F pDAg12C3dGfb6HJE+qXrktbGb3zMiU7JsVonDRy/UXzLiQYid3qivj7i5Ht7zReMRuSOqeqRyyY8 AaX/k3vhFORnpe5bqXObRVLKiieNPadzjfmbH2DTiApWFJGBwaB4LeYXABfspXqjHbHzHx04QzUb zznQbZe1xVt/1qAQXPJkoniKEpWVcWDBtqOsMMAQ27QeV10E4Hww1wah4qs5wl+pDyrJcil8Ewnw oBp4e8+DUGqGQqlcPYfHdTMJKWCOPfj1yB8161ckuSVBVgIXCdxYgqOk26kx2RFY/GlpRwl3hdzx 8XvI62o++DZlHKhvROBdsqC+H/PvSRVGMs5Cov3fMf3XuRlD1+HZHLtiKcmvFrKBs4fQ1jsg8fb+ fv0QJiC0qw4W6R9DTvRT/WObwih3uUr26mzS8NYPY302fd+QjfxBzU4NQyAGcMbkDqgUYZo/XpQH ZJTXMZWL+s+S9pdLmYhBmIUo6INsua1p0KGxrJhuAiZsFrkYvX8KrfBH4abELO4SVzZdOPmJ28FB SusBTBTVkAu5jbYi3+at5wL5Wri3z/AUKF/n4x6UMeHcdbOzAoqpHpblqzMhTH8Cb/PYPX5e06dn 2BwYjZMM1MOHgDT8sTz+VkKuX8a0F4mruAuruTBVzy4hPhWqdnGQZ+gmM3rC9RGxFpk60vKZeSOD UYJ9uZS4YnX3Ni0gyzke1LAtZ62un0xxlHeJLAXIayZ4quGqI28dTjVpiSbsZgKhWBbn9qBXLxWc 9fQdlxLqLK0TdGaLE+nrGTcUWnoExW34RuMNVZQl6Lgqnkt/PFM9gWOybcZ5dIQVGsuFHLE3HCjN VzKy7euyzTrVu3gDwI0D+aBT29r2W8tEXsG1kDLGcyRt0qW9mLbk04YjrSLLLBOCoeGG/R3WkoTV hxsb2f34D0ur6aj9fccUcd2iAJOvgjLvk1urmxvDddlwxoviLlvt3jVt4mzOo0zj5bjJ40Sh1XAk zldHo46NJaGLWFzkNOq8dgh7tj3KHGrSDzRAkbMhqFuVTgAAlQ6WGsJZT3qFneRL6HGkPhfjelp4 XrR/CCPje6iEi8dRJPIldOoWnjNvRAjpWLyL26ckfeGp6YtEL0p9x67RYbcudGZobbp9rE6tJOBR Y1unTcHO8UN4tnywxZOAxROvJqYXFPC+a8lL1Nsb5bYrXFXr7QrAA3xpwDzHj18p3GOUEU0mB/OB iGG/VVmk/lt4i48u/41mKS+KkegOHIyRE3SsG8eDkJYUcZvjAZoCyNVuKtO0N+fYExRGE1rV3ZrY J6svd8hB9ERV7Iu9sOsMV+ynscih3zikfnVaEQU4NusP6PioUueLRx7E4hCRlwA5EZgUBKWP3gEG tE25TJnVpWXVHWQ+wsDFHex6w7eysGSNlNfUWhJgXVMrV4VVbdNZZOf8dj3lq3Kp7/ANCozpn8jd TyngxOR5d8+mns3Sxb8PR0/gBpJzsDR7GSDIRDZ8v5rf77GzjvtGKBxmHxAMys9BKrjybaPn+eJR VtGuPxdNEhnVwtFggSv4CzMNTwDbrtmTIU6uO8zI7CW4JadpcS1PcC5Zwt8VGP7gFthyWm/e6fS2 /v3UqeQMDJmNIgIFcGR5oROGI3xezdLYgcWfk3J8dpmf9Lw5CRW4lALiGvsYhLQuRLtoWiildTAN +v6kAXxEvtGKfl282ga1GX6fVs5IlPFDO1hc/nlGBY5tg7z/5jGiaOhri0w8rvsT12cy8uAfYL6E TwGgOkRz5YnLzzbnLt8YEEXy31l5Gjf1+O1nsKQosVa0t/Gf8M8olC6w4HkhGT4y1zggrGdd4uvl M2GcQPwYWdAhFW2yuOY2VeqTteeQbI21s8vOf935YPzfQxlh39xgDnEf9KmbXNF5AVsLmgjutHoS /M2u95AqPCSABU0B/knubMs/aw26uCDnPWbVbw38YphZhJK6rxPJEx+VH2ES6lFzHljeDMWWK3L6 BdZL8bPEtOnMq3dFqMJFMgzUde/kulPMf4d22AfuRZS5pSwXvnhtkACoXrFTXSSRBPR5ss+xDQ9T +nWh8Y+3ASn0sbH8v/mpaBItkYZW3f8QeKDM9sfUJ1s9GCuo5DgDNx1m5fOmBCV/Y4ieaki0lUds rZvR1x8MRIlcU3G0V3Gev7J5Zs0voUFOKY7OdH55aMi+6XPZkJ/wb82ntttLNrpoR6LqBX5GD37t vQ6BmpWWIRGteTzAaDuh1lQuZ11gwtKK0sHxjSUY/2jdwmj5RNqclRxofSrU6B8ymkYVEyqVYJus gtukGsm+m9Ry3YzdmJDSo5P4yx9eurqpclKkYoSaoIt3V8lh8Xsi8W291AEnaZTU2clGA+LZ4Kpo wnCrvutTv6j18q31+y+BywVxf09bjVqpV1IkwWWGX0ywcyMprSqB8xmmtb+JFL2TWjXL09XAsOHd Rou0yWBEd1WY5EcMHV5AcB4XooKReKJ4ASMDYc/wvcltgVGgjGAlai6eSTtItKOAKZE9sRds7Pl/ wHeVgaEyb0ETSQbNZrpiT2fUQ1xf657IUPSVldHkjrA/LFcuNljwIjgazHKxICQNInDdxgvtGhXI XzseaQez9I2+0PLPDZdULZPdumrm6y5xjP90nM4BL30Kt9mSzszL1Ba83QvGiBbIyV10jDdsVNPs gaM2YeDpz9lmytfdyRPLd625Tj9YGlCxcURLNaEg8Hn+DDyE4d0ZVEaV13kMmO1e+teAdH6pEB0d v8M1Os4RTsRm9gBxKTWdVh9ouOaMcl/vjqnaxeLRe9yu4+ZdtzHklj8m3cXAbAIrmRuFq/OdsJFm Uy981toKZUUdlW6G4Hm4h7Trg+vijoNKb5Aps/tkSdgJoREP6FrB1ZTgaPQuI634rZk6x4VOjdGh roIW1FqU1vvj7PuUbW/+ANOj1YQFKVDNU+9BgiQd8fqkpmyNVyC54VmSbQnxDBrYAGx7y0pAAWok cs3IKdcrTPmMnt2fWUneevtmZ1oRWykxdOulUB5F5tWnpj1SBvNTs+O/g0452z7ACww/LjpcTZBb FjUBg3pde0a/OoAnpmZYV7wCYYsQfQ56/smosy41GoRTo+CPxgtofn5DsDxvpOsdDHPjo1dyutDt ks7zGRIsErbdqNOgu/YJgJ0kTzq2RcGKQMyzZBceuq1t9auNIdt35VBQ1QKsCeF6QwrUMz4bhkvV mEjqRmaoHjcIF/kqW2J2UAdlVzDzUfwX4CYrMHu+vtc2AWDeF5bTZNIiJOf1BM6AjC8yymE2t3cv yQeX+fe9DhupfjyujRxTZVp6f6ord5PlWMNGD+nnrfFK5IlZFkoUPiDW8+ydR0i/mUOesWX7nMsJ 62VXYVyQ/CakbdrUU6dIFO9HEO6kYM33r1BKOYiB6FU5bKzp7uWjotXhXkD7DuP4cYHvB7w4qu5Q DjaMoF1YTUgQLDvWbTcAsDfUDUohGKAMHYQO6fdQIHHA9Ychit9GU/8k8Ft7uE5hiUtGIS3lNpYa zhl2mz4bw+foPwodlxdWZEzWrMhnLqZEqitgaKRBys4+NIOYdVAmN7UTRO79T9iu2UgzNUsKDWgZ 21iRnShyR4EU3CnGuLRB6WBjwy/bz+dOBuBK+t2xkpyxsd9d9Z1bQ2Vdcv+ArdoAicU5gtObtNkZ VwrBXIzI0Prsipo5Bs7T7JOVZTOjqbnL0UrEp/MdDuEJOXm3RKN4Hx6IVijqRXgZWOinKcyHiVf2 7Ftp6vnK3WrVX+lVaDCE+5aNq+BgKM2BCt4ifX5ISCgtJH1j3j6GoFM/+I/TwFSVzrLIXLHC0k3i fJxrF+jYoKTBVWVd4LVdFXuKgUatAwB6Na1zsjse0tFmoChkjG1pqxF1SC00sYf/SlwjoTNGb8v/ 4QoqWpXibAsHCM79tui/s4I3A8JtA0jfR3Ed7jP/b2or738Sf6Ap/e696pPbNoLvbXgfO4NzLxUZ whJpd8XEGpaUxddlj94Yde8TSb4PzcaCbHK6blfN+qnT+pwcJoq1VITwjQDlq4SSLuDkggLjRHKX WIyn4Oz4NOAlwa6HT/4jOo80iOlwRPqu7I8Bvdivj18mie4U71Kl7ACMuU44X2Nbncjw2JcFK+uB UZoEcNl2kGeNjKqRe62/mJ6WI2OFlMigTejNFUSY9bHicSKBOD1MGpuApPPdDWbMmwF0gYBq0PxA MWbh23BlJMe4X/y1vIcd7ioRDsJDvwmN3wSIaOYKr9nsFyoIVDjDYQCMErwaxsLzyUU4cVZPmU2f B1+UB7F99bn3GckaU+XzzfQnef1gPMG5BgPacmfmZ7/etLsT9tEqKJQ/xx/+kz7y7o2rBX8+YJ5/ fccW4CbQf3CpBOXjumdqOmP9I+W+/a+rPrj1liBR0eXsK1uI4wUCNFcRhE1aOB7AxjAgYcNTUhtq a4+CTl4GjEFpay6tdstFPbvu7BuCLddWO0at1YX8h8QMRv01dsmRVHf0PfJ3NSec2esbY+DwdH4B dVnI+AjZsKx3gPTZi6FqcjTVlex79Jib3gnrBgoZBZoTs2d5WQmu+OIrT7pmYBi8r55JHXu61St7 Cg8jAVB7IslduOEz9zFYh6KXe6UJ/2AZ8J5wiARQOLUVtrUn9ZXCfXiPqc50tFJ3SbMVE5VgVUs2 AjkG1EyclBAtC+G1TqLiBxL99XylZwDP2ZxQiDRVsUYrReYDlRF5BS8eIvNeAX+edh2huGEB9mLn wiqeyAH3itRR8ONIsEJE/JKUcsvxsa87ptl0oZBBLvjCS0P2Lm1CqEeUGR3WUALZMtKOA+W6kvuF pg8zvH+iHAeoR9R12m/0s6f+KC2J0P+CfVEnd7p4D+HiMRN19C7fcdPMlkHC/eBoojDXXeUm9BTS pAIAyTf/EWR5Z/NRr25bWc9ogsrdFY+j2AJd0XaPuubbB1YWqhrhLbEsdm/bBPohrp8jtbMq0hif df7YzI0KDrZ+J/LHXc1UvSoFYJD1nWw2HDcgpeKS3hJhpmBZlsWHkrmqgtNDOOTnhO+eLh7Nd0Cx zRxFzwaR0gEnDECCaLuWr0irfBeQzXO7DM7gCAGIm1SGTEZw+qS9cZMFu03VUHQzHvzeD+z1Vjg+ b8UDJrW83IT6djEW4TcvQGURr7/MEPIaYawiuhBLdfIGUS0o8vRvTNHqqfpWkVjnZs8AwTM/YGR1 OmzbEZCDt52haVmGlFp0vd1ITb4gRF/EWn3E6PKYywtAlcxKDkZzHI8hhFPg2Irsl75xJh59lqdO /RlW7PmslbFwyI725neo3CPYK46ioiKUXKxcgIgYYu9OUtVxJC59dwlDriRCGjKxVmuIJuI4suLf m+T2a4WJYzVWZtb7drd7niyZgppPE0C2tFDDT4jotvYNyZHHuGisvkET0Sld3gxQHXWa3E5CgpJW vN+jxPhuSrLRZHfgLDTHYHXeXsAB4oDPT9oQu+HKHWPKUAVs2mv5diyWIBtHPwzTd5ayclPT5npf hDpZEOgmaJRM0bZCoL6/4pRXfvWHvmBiNvqGS8wURUup2fUWeFdcmZzgJ4RraFi/v2bjT+X/oftJ vmTNgZSDaVm9tHOxEHyeSciDjN2byXcP10Orbsb2u/wCpU2R6qc5egfE+4fdZzvfZJZId3I3AJ+l caP1teAH2/+9Mqt9ZKjIODdZxfOiqB0KdjF01AW9xjyDtYYQrZ8R40Xb4sL+p19shLC5R628a0PH fMZlt1tPcFnjtWa8YwQtCySQeKCGiNAvU702U5+ON935Bj0odmyYUyP4QqmdgOzlnE+4L2/TvyvJ OUxYiqz9cgmVFs2NJu9XEc/3aRDEnCxCrroD9fafZe0Sujy9soC3Uz6me6+/stnOjtpZXSa4Yn6Q O2J6C6cwlSbu1sGTGRbOYjw8iqb5iLFk/Awag5B9+ooabZSbWpMbekmT61eiCVHgWM2u9Pzv+C6M Okx2wwybMwNaD5PFpjUYyEukbQBN1boLGDES5oAZWWalefqHm8iUsjIpACV3HCJ8Tw7AwdB4u+ol hZgGT7+4AZqx2w+hQng/VXcJ6+vCeXJYOtpzc9TcGeJ1tXULJnU2YAecIc0IfpOehpgMTzo8Ly6Y CN99gD0y8MePI9ctBCcWNx56t3c/DyL8pqel7nNa/7QYFRxKjmfxr7iSyxbvIelAaOzAOcDeKA8X JC8rJiTGKK8zCFflRrPQiLnBp7XbrFwjoNEJXgw1AhcoVRqVTK6KUXmV1MblHnn97MrS8aboVSz5 bPI8fre2fuacvlpT92dJgD9bn3ogs5Laphy7yMa9r1gdlak6b4YPddFLp7H8cguSGgL1VW1DHYKE QjcR+xxAAkaVsv6qNHbLQ/Cst8TmdUsq2luHfgxuFrq3i9Rft6y8cZsydOe2IRxu4TeB3JMaqYZh gn25TtYCkdYcLwNoszUhF/Qu5vmpYTAxSLPrFek5EOIDoVLnJkcK7GjTx81eiMfwrSZjQgZ4yeHs ic9DFdM/YFTTsPv1GCJHgiEwxq+AeqL3d24wohM8kKWG/soA8+fdtT5v1WopnWkm7J7cGlsWACBW Gf2Fp0RYgMiTr9xjVIwzhT9gy68kYYZahYat7ZUMCFWHa0bUhQgGujPB15F6fqMTeTgNKsbmG0fx W7Z9moAjk/TwDXFoZL7pB/POjArQo5ck/AhpjysIN3lvVR6UmszLQnavQBfjXCiE6WUnVO8dknxq McHGY8m/F4NWdjq2u2IhzaxTxzTzeItXgu+jns2tAtQQfrNsYdJpkMDLWLG4WNeLU1FjkaL7+C4O /4MWZI0PVveqwbow3l5zKz6iPhVX0evcvoyAfD+5a80biY7U14YT6Cdc7RS6bBKqVTuqieCfIwxa ZtiXI7cpFkBoYqjGgJRJ0ssmApqAV8ZSv8MvJ9U6YpWO+0VgVaDYP0ZedIUFFO81gK+mrLNrsVbW 9ys9nONUCimeCkhgPJVFrToL+Ilcb3enfOJ0LKfb4UFy+44nX+OJYbtLPXGYavccOtIHRa9F3WoH aQ/cF2/GuKJg9LPyOpCA8fcqyZlZtV5P+LmMvaLuj+j6aH10oA1FJG9CDOUNw9M5cnatsDWvhBxX A60kHjE7kVOXvV5WPIP/SvC/vP03uTuCu6ZP3o/j0DP95DjvstoPqlKukWDz5K9UTl4aGJBAlrLm JZgJK8IZI9gMDZgT3y5HTe/j3TIx0gFs5q3mZqputRald0Vcm7kasZpRg1fjFln7EMJd7AbnXeOB sO50qKFVpUFsDwGJO4pjhs8FqOHlSf9UfyJFDASTEEgLHw3OkfK6NlxQ2CvdJ/ApJsCCGr23gGap DR8QxQB9SBFB42nOknDRpU5G4D0eF55+HcympV6l+cnxvE2tLDfENmBfWN+2ZJ+A7e4ytN2w/CD3 zRtNpi2caMNqUN0Kn/aHzVSSwnEJ/vAxyb5BQDHHKI5eB1rn88z7silmj9OUxf/C6TNMmqzQYUF6 CyqcD8n82D3BQIKGun73g0eP4TJSTeZhcm+tfA3Nw2H5RnMMdaBnSUn5Km9oUMtlkUNnyK6MeZWD YUYJ8h+r18KR5Ck6IqXdJ8s5ZYJRz4TZ84PkzWzafMMsYz9vg3LwxiMhnmYBCB449po4KOFYgsS3 /wS3bYKaVi34mTsmuun6NGmPM/Aw3azlHIJVuiE6JEWIDJctEraezNAk/MIk66BpmKPWZRsm0h1b h21faUcP4H9cVNhxAxva0NKhXP24/lyxNIKhG1zykR0s4jjWqeFDDfDqQ+WiijImd6dXYELMEdpo peigwXZPl4i1zsD1VEA60qJSqPKuUYIfHUf+/aFd41V/sPNft3OUofFXScBxS6A/wQTd9C3ZsYB/ ZT2LV93Oz3rGIiH/MlVoQ01Fx7XeOriC6MX2MmVTP4xa5jbHTmPon4WWqEp3S0PHi0ko5MAsbczi R7AdV9ZBJ+TR+jMmf2853Utx51PTR/xJ3sTDgxzxZvaq3O//uiFwqs7LWsX+NpzuCTIaUrcWX1mI TPbY9TlMhNNz93GItxBuu8thH5wz+9c1ZR1ZvYXWyG9llXvPgEm9Y0gAJH+NJPVDFjsWAvGnQy7T qW8eDbd9W1X3P99iX5gfDydh6/AzJMYutexyoNGt8XplWtKCUdgDH/EZRpakFelfsSPoHfIc1nY0 EDsDw87oW3AT5uOi4Bv7CbIdhjxAUPCmWnFTSuGwPSt05qrLgk3z/k57kyuJapX+3xuTmSsBlxF+ aqYH+7Ajygc+cFwyNPsOyOtnCoguJp/s5TxaqQfDr0Bgwcszpk7LNXdryuCcBWgCLdeltVuDIXR0 o0gGYeMIxKQ/4qoXWbfZA61pcWKY35vNbWPMbLtDX99BhwtZIHXem1FQ8TG5j8JWxG5YsXrh1/gK h5xUJgRpqPqxEKVjP+uTTh7hOz7F8GQCHU3QHytd1aD3CtOxtHt4kA212ejTJRs+DwmWF4WyFqvK KYbmPSjlu5Z3xn3NXQABCtkvUe0k/N0oh/lPuX/Kv6gCp6ZsEA1+O+ExElOqTtJMHPCgEXx5SYJH PT3uMVsUw0AE39svVB+toj1X6F/4LPFy7XStmQkc0GDl+9dWztTzGVsm8uofvH3W7y+u8clRKYWS TGrr71lD5/1JiutEKrNRcigeRj+23g+M/ZcisdvCp1KYNYJ3kMf1SfKimcxtMry/MVL1s7YB9cWr 9SuFFecECDZu8YVW8OVlHAp1Z9WnYifJ6+v4OeOnvmKxPTda6zcJMA6catBsbYCLZzdvC8skyHux JsTWVY5+DTGMQRB9lazi9eE9LbRJlcx2Xwkuekk7ETyzGm+4dUoFLT/rvQHSs55m2lGT9RtObTK1 jozG7RIq6PEdqhQZ189IA7OUwlIflEUOnia7j3KlOeH8s9tdNJHAexzCHp9XeFEYiVWAC/8ysJuV ImpZBwzCbrC5q0vx++3z/coFOAmNWR3/d8YlO92F5uZTd93G1X+okiTFyTZ05ONKoYpOsymXMv5E 09+eXRPlxd38Uz8t4yM/haGd43ofWKeZ/EiCUsr5e8m8bbbxQGXqbXL7jrauD/WJSuCTDPajJhBm iyU7m4DEmKxqkk5oqlJOul/b1tHQ68fmtEgXtg46ol57docGwe9kKT7NXF1vpgalx6QJp30e56y4 su+aSWS+pklWHy5a9NmPazh4eUa7eUGvP7/8krAJqL9aySogvZVWdTFrwn6xCfOkAinvfiIFPw5I 4SupWR956Jj3Pn9jXDDqD8S1ppEgvX3SCTQki4C61AocRXcqAcaiAV7wS6kh2ddfP7ndeAdjkBaA uAVhNh11HcpgGTYbVfwlR2T9k1sx2i381uaX2FlepzFNZgKYFbcVhIUtI0YscTi1rVwALrBq2XzQ 5lH7r9nsQBdp44NP53XoMHozi/wZtJEROcq3Flkge/ZnEVkUT4AJppCTvYCRzdRoDBJsum3C+Pyz EKR3QjvETyz1UC/r6ZQup+/zdWYnnomar6no7gTfqXp4HHQS50CvxrrOnGNinUODlnmclSLGaqXQ FGD99rz9Z1hrclTkYeNnoAEL/cw22PysKODxzjUtjwVN7p1yttlm+JtAsFgDwQrBlD3p+fT3Ji1T 86dmWEyrqOxhxq0PJeiFKPeLq/JYJzFzkVj0gKUtbauTGTbiQk4viPAYZP9EkqnC4i5hFTx1R8dx 7ifRgJnXGiLuirdCNS+UbKijrK/qIhtdLTDytAM92Q8jBmVcwEaLZyjZsaY3369UptOl84vvRlv9 4yzyYMyTORhiXGKKbBYRCr3nYWUryPS7bcuRuBp2zY1AaBH8SBfDb3NreXcV12R5Cz0eDrs1enQr DsZaVO6x3Dn/AxwYDyip2QjJ+QKjz6OQfWk8tQ5ydvTv6gUtrPfpjhw75KuwkHkVE+VvBJvKhKa7 dUpYzej/mhOBPis/AnX0wGUun/1GKvb0lGO+/Jw46K4Z6jkSfXKp/Km/Ul7bTcs2VE1SM29GFA1r KGP8ybOPa4F/pQefi3s8BsUd8b0y9GvAFe6sKeTMTz7sl7rdieeouCbcHGk2ilvoEE1mIVG8hiC0 EDGenTqZKkqm4BhfoKtZliYFcdTANcxwooFk5AKS5j+IXx4G6IzogCdjUt+0OXyR/aVQYqj+Dm5j jvqKU/BLeVi+ImoD6MMcYjwY7Is19Liz68HgYnepFoOmF4iouTgDn7Q58DHQZJ5XGrEHnHDN6hZu nS+Br5bBlygUsdJPmZZtyX5gVxjq0WroqHu6d0txP68zzPEPDK9j1WbM2hU97McaY5HmOxJHWtbv lSHG+t2vHyIsxF/wYax/LyoZcbjre5kk3V3FgUYMrYxjMe0QWqrFdl5amnRlBqluE23XSXYK4+Ca 60UyMz5UWl6pFFpCUucRTrmibluM/Iu2Frs4rS81rHbTa/ghHErmvStlqoF0Lg65aGHM/e6DWLMq Yxl6dIaBe6DFDU9Xh1EmZ+nFd4++ZERaXgUNn98wAe1jKRarvHeIlgH5f8cd6NiTGnzrJEWg9ZjT 45p1ae0On9qS/AORyp41gxyBa2rYqVl63QVcXq/K5s/Jg8FncR25DnukErEObs6ejoam+dWE8ge5 pPGOYEidT1vIxHMfw7SwBjjLES/BzuquRvPwQcgJbnFjrhPj6SU/8iG7H2d5bPe0n6azJtuudgak JdPBB9XaiI03KjaAY/ralDpCDeY4HOu14lRdZ5gVdGLm0p9rIk16ZF+ILrm78A3frNOVMUXW0jSX hcDmkNZeW/qry1a1YE4qmGcAopWAdJzet52X8sMzjgVdsTg9OlZ9G6MDNvCyO13zhbppqHbPHmDT 12pyUNqL0AyTtB/bXTl/F8Z5WWV8hX/AbsDHaSicWNJkISrOHW8ROtAewrZ7L5Gm8u9edZcKfL4y 8ekRo2pTAlAdKf+UhIPbzcZSZ19fN+dj/Z7x4Kr2Tsi+k7U6FDX6+4VgSGi1dryXItKUHApq1QzW JxUQ59xDILgqCP4zUIBJsDpMXSpOHmFufUgexWBkkN5BEwlgoyWmklp8phkcNEGIBnKeXSxPaERA 2wAaXXNmO6UD9B4BVeELHMnN58K5ouVZQVo/yuVgNFhS52C7Tq5ASN8ML9C6PkE7u6iDhvtr0NVV BgWYo50kMJdIk9RYaHMO+NiHClAZpPxddarwJzXtUt/Rbv8X/udzjRY0K1/4fhbOeCm6PUeEgbPu T13/aXy4HJHahEMjwvm6He7Z2561SPnnCQ21O98876flHcjEtvFNzQ+Zf4sau2QnmPoGznYdEK+J oJ3BVrGmkCgg80Z/jA+exROlXEqTMFu9DXVxEI/NB7mUIfj16Bw3TLZOQ2v+24g7A3+S4RSmWWy7 XvEsAXMQKGGAHYCB2Py0XHsgMcV/gmErXZbYxeDFtTly1+YJjDDvRNY4U4/5EjGUj40tnwOllm5d 9+m9QzP4tiFKRWChj0/UBRXCFxlg4ExGYNzA7tiZ9TKj7O8RYI5r0pkH3LJPDTYI1MLcr99KczBX 43aEe/9AUDDLYHtWwy5Qx0KV6gdS/EE9NzY2YiHqqR3iBo75AfOrYXWcyLRUN8c5EUPnxvU/auAy sORCBLRWFGnIPs8WKzhHhPh2e6AA4ARpnh4Wh9T3a7kLdS/AUdsNmzdXUdIdxjJ7BkoY0F1KNUda CbnxFxn46pPuijSkDtd2tVseeH2RAFv6kamBawYiDbqN0g2yE/f3qbBkzHnQpru/4DyPYXq4AEm2 1iOZbuPgmvNYHF/fg7Y9FLWpGUuXl2i41RJ5Fe9R8N99qN76LHDJaT1qEPbcs35ilX6vEyS/csSl npMx+MylOKFTQ+hWOvl2maZGlAWP5s05YS8dVfkJqsmeU7Xp66BoE/y4n8JGa+91fB0XKipd1Zu5 1DaPuOprFenZ8Ja06Vi0bMuIs/AW7yRP/sqAw6IgVShSlSpbTl6wCAYC24oYcfoa0p/vX5f8VH0K RejK1GpnZ66GV5EzPyAvI1I+cx2WvlvuZhBk/ZSESoQvKzZix8IuCAaQSXJHn/HySn8QMxwdduit EF3T7C9CpewmMUSrsMzxbhfZ/U1JMOQXkCtaF5NEIx4IAHtvDsJb/5uA9pup50glo/DNIENxrusj PSEgOsqvoO4TifUOQXaV1w7eYvv6ij2IFdsAp3SF/Bpf5MsziNERZA734SA5d5OTcBf/Fu50eV4U z4fBOSvBb+XCah8MQYemL1Tev/rIcW8+BvawGnAMeovmVt4tc9i3g2tTdA9DHmVV8bo5mUysYE51 IC4AbhcOzf8z7OIyPu90qKWEh4hlSesxgRMqamSAc86RIP7juxI4YXN+kHHIWsWKhpN10RAG1M9r OM8GlfINTdAODGxSST9hc6vNsu1x58bJeK3TGXDMC0q0tw0kbtcl5UCO1Z6yseL7o41s33JmW7QA MOWla53H4OpwsQMIduI6KYR63togu9O5jGFGd8FAu1uks3sLDHHYSUH6qWi1kKIys+YEOMrz6QaY 1SkqaMnSjWwm0bl3v0VdmgrxkHg7n5cPCO6GqQz+q/Xb5yYyuRB6IIuBu73rtcT7j3dBN8CllfKk vnO/mA12KiaW5a/oMh77+b/sflBX9eikXzcxVG51pvgE9cOmO01XjThBr6ANGHJ6qL+uS67BzUHh 4VJKrm9kMjBGX3BTkD3xcE052n0piBLmgg4JlZfhZGUM5wqoJcD8vcxbneySR9RLfz9K8RRTkQ/G mKS1PfbMaAIHOYasEFFRoZr64m4wlTIR+e5VzTmWabJasmfB5RlhHsWE2mI6MYlLspwho203eMC8 jpvWavq8TQ1qzXCxSVi0TkRx/m4nAAeWH5IeIMi2XtBZcBr6ic5DAUMmeCMuke/UBOCAYRfVe5l6 sYbFZ+LYE8mWqCwOgh3Alcfc7gzGbHg8HTv+00yAtRQpkXzVQN7/+dzEJTWdbh27ObqbiigBwLEA onM+YHmVVXG5jMdDCpkxUR5sA8NJJlapW6OAgVz27d9lYcDs0CNnmHTo1SZwKtxpxFSnz1XCHOCd sam2z50GcSAxMruYXBC25WoM13Q/W4X9hbKUMKc//66094IpM9H26Ll5VC2FAMQ3/uxJ6IuNjXwL 2IZ9A4if4Qa+Hr4rD71a6tD2edX5IKYQD0theNWGg8AMjKmll1XJ4/hs8brtm5tocNqUy5Fo4O0p 1d/J44RVAGOuw4hBxxPNfduFXWUsTNUnAw3BQfb/qf+KtjJopGPA26qrY3/jFE3LRsGhI9SM1OAm cRyx3XIIadlArhwkggp4gPGvDTelf8RLa2e0IRIdLRWpUSZakF+e/l7CjsC5GBaSHPH667WoQm3X i5C/NfUTWoj/ytDNiUR+SieRpd7ojQpjCSvbIq2jw7o2Y9dKirtDcUSS8eZeAfsNw3McRslksa3q Wcg2LYugOPY53crqLv4Lzo/SQz3dP27X+yWiX5x/2MdCj+L3kIQKrtoQjS+QxT4bOMb3XXPwJ0fR EPUjeozgcc/MzBLYs+8yyUKCDGa2eaiEzSO5qkW7uBjfIleVqCem/wA4INg83HgDOZYS2dBSkECh x1qMfzNFccE3SuUBLygA7dkQTn7NMsC4/CJdiRVx4D41g0w6eNQrAaAbheBA+rX2y0brPKSW0fG3 AyFsnDNblT52gJZ5NHRh8+3QJVUOkV8rRJq3aDscBuytHLWWcKBAos/uVGePhomUXGm6pU7HJKeA OHOqPuu1DDvhqndQakKhc4HI1rwOWWv8D9eoivt0u8ffpERUCJdz1/OeJatg1cCyE8HA9NbnzJOw dZ7uoTs9YBchU7Kv+/RC8QyUu8Z+m75QtRhbhCGZusSX85yQkxJUZvMnh2hI825ipTUJ4LzqQXF5 3zv+h0XIYgMFwFocc8a/YGHA2wF5h1S052f7f4jOCnrvYClUNaZWUEyBq0SNKzpP56vNk9wGFNaQ p3gKhmXN8sUJ7le6ZIC5sBN8D3jHGAouUHGvvT142FN4zI/81DeUreBYhv7KzODY0c4VNPQeKWlh MTeBSuBs3NDCXrX+KWeYRWTP8oMQYniVCkTxtfAyQRd9Sw6fsvNx8woRzXJD6fYR++RBc9f0rz6b Hte43OpcFSingMFvDTL7as2Ii8ExQ8klZq9ncQBskf7nWQvwsSvNDJd1MKvYPulefIOWqs9XEHuD mBD4nHBL0pVoepb6HC5FJM4cK/4iEa3Aht0FFd6VPqkNdxyu8Auv7ZpedcTyEuqM9wQ5O+yoX4Q5 XoXgK444NJ3tdFS4VgRwGwagcbxuqusMmSZztL4y2OcfZYvPGow8jAKXgbDfmGnP5K3P1nEExXR4 uwZQova9uYvRoAOhshu5IIj+H82bNTCE9vmKq1jEgpbLSLsHg9KldNKJrxx8aSfrQEY+Ul0XYsAC xVEFBLMBxMpPN5xWkUtf4lwIqulhBiyg0CW1E7pcvVVjG4wNpHwxKU5YLwy1fPkZbznvPG5Wl4+1 slG5Qrw/UevqA1SJeM3rAJB/Wtkh47cpFuZcsP5P9FeejY08e/4qtKs6THOzcbeF/td83wMixjTy bmPczM0kd0/XBfmI9zBCjXSBhME04e1LGguDdc4jW/ML7OQxerWOZf5VRPwBYDm5A3212/C0oMWd /19vdVR+70kj36n/RXEFUfin2F74cEnR1yxzRA1/wxPXfVigzze8OvRyOmCcEl1NTzxpVlJ9o+0k GP867SeAFxubQbObuYdVHqmYeXL06rmK0r/C5uPJzayKLA9JR8fv9n/ZPqtRk8tQpjmZFVNWdS/2 YBM7jkrNOOLKsQhNH0aQptebKuabLVbdcCBYN8OGVbd0JxaPdlzlO/Xy1/jHSBZdOUlElpV3zkSf lJdeVTWDellr/R5MF/abexrmyFRntEpiOoHWZzr5pYhVtc4j5sggpshVOpWuv+sslV5EwsvRFWWm 0HxWk8SuUTNJk5rgYeWxCl3x8XC/gst94+/8DcI+Ww3Aa45nrSAIhsEA619uivoplW/FFc1Lb8mc TliYv/jDtsFYFwXmB0WzatFjAqcnx4+Y+9Daq6BqXOtd15kCep8LD5XvkFpPObr0yuIXJVQzqdga BT1GRJ09Zh1Y91MCbL9SzccelUPJB87X1MHxHR4PdLzGYgZL7clqf2MPTQVHQbie3iYuun2UctgS uyrdjQwNEeVKapS2LoWafb/Y5cmRczRUA/IkmY24eNQeeQSwh3SsoY4OYLL2AzAagniLdEMiQjgS yeCpZNI3Nrv35tijyqxYGGJXU30R9Gb+ehwE1N6L6mRZwvxaeIIoUk+ipUWpJ6YLk/s0CJ/JWZ3u /t23K4FnU99YKSYSWfSbYYjIGMQqV6FAHd9w+55VV+P5ZuWBk9GmikEt5hUpbSd1TBKpQDdxwz3P X1fV4VFQT59xaI28sPvVNcQbKegF3gt00pOT6wylWsZ/F1N9KZCLk7C6HXdJFg5b/PurevxFRCf/ pfQxQPCJ8WuJ12n38ixTc5TJZqbFLa1nXVb3va9NF99OXft/UXo2fZ+vkqmTYFauv0L5e53lPR8Y m0wY0a+S4MCDS8ZBg7ZsOh64nKleq/muOzjkcsdR+JOwNTzYcNvja5tPwFehSoMWY5j1UV2CvGg3 nPqGRgtqFBgP3Cmv5QgC3A/akNzhOejGu0QdRfAsv2EULpwMaFZ/EjNnyjOYc8USqkMEyQeETcMC N+C/lji+eVIjK/EXVh24rK6bjeFzQGebIWpEdqHd+vnJL+7Ygu7SxsN9/dTuSyycw1xYQ/KJVIT5 08xMakAeZq9sYlkattKL/ahB5ZF1xjAoteHvayymTOyGQ9APghNKrexQfj2RAeXJsmfc8irH3EL8 lGJ0JmNHNrBqWuuOSS14/V9fyyRpeYNzNY8x2Xkk4UHE6rEeJ0B2LIdRVhn1qXiKY/KBcYYQ1npq S1TBnDHJC3OLXD6CW0a1hNLjsrLMMLkEZDVpU6YcI8R/Ir16QHpsMJ3jJD+BzG+Af63a/Z8H4JUa yliopKwO59fMXL+Na4RKwKaeXBjAxNq4opsCkuwP9xC4ku0Uz5+zanG6xHVyct3253tn34Y7ZoJt jMZ1cjUk5ae1fN1kwOY6qgw0FWmNYV6AhMAf29bEYiORtf3GCp6aaZvU5Q36QvpaUBgR7cxlsbew GpkaAW0wTK+v4QUZ2MRFrPKHhyQ3ELOJ4kJD7Vf2sHDF+9cXpxW39zSM/J0OhMGA+4UPhQz2vh4Q JzoIk7ZD0UrNR2sZvZXMRjrNyAL14GZ52OgNC5iZzEBbLsUgi3MnsaZWGDc/OesVlUbWapMjWcWP 96U+iRnyQoBEaY8qa7nLTYpt6Wv2TB13MOyfvqrMUacpDJOjGfPXdk2Ojq5/UmLAzxVldeVaX41D 8SgpzbalbdyWSP5y77yvzIHCQGLbH2Ri6Y8eNrnW2ZAhTBnre0ywgYSLgXvxP88V57MfvSYb7wsi ZFBKahPcTLN0ILGTfqeW5k+VZPAO8GIDjfNezfi4RbRLApY/O4MVxIwR2b/xG4pEiC/zvnHZdWaZ L6DZQXkoawHu3VMv0f0T00V79zGidZnqASMMvwpZjiMVNrEKQ8JJ7zhFwKyyGmWA6abJmdcfuU4E JNlUxC2JdTavJ2mcgn6I3NZI5Bn/Dx3rzzIFvrQdQhtKmQy2eKQUhN+HebL+8MDY7FbW4EawrBd7 nbeaOBLJZfXqD3h2r8uEt4LMqxmahJN2jV4HyzSINRItDDHEDOF+fhK/6N44EHTjKhilLO3+GZkP jB0d5tmdtqkmvUhGK4wEY7oJsA8BIa/W14/zBNI7ssHdcrAuYC8yGU0sYhBtJC/Zy+A/jyp5oIaS b/5wHxA+jWdJx1dHy30qBLVU2/DuVa8uIeepAfis2bYTXnDpU7kB7nFlss3QiE9d3AUeFw6YxbXt S3FiKiDAX8pQMTLaOqyaDHmIRa1+pA6gPARUdEGNr2mZdIz3BuAwA+o3nP6tjS1NwrPzrQzA7a6o 5ha9HCTLzpmyYY2ofSGWv+XqBoF7q5I0SUaiOsEAwhxJC7XCPjYQvaQOkfFb4IWRiOSbxJIVo7+0 H6Xpx3x/o4anF7AEmmMD8g+Fvw0+HHsulIAr0nOKVtYfihz0pTJl3BImK2Rj1+Hu+/IEg0sZIeVF DGfQadSmstJ/YAu9wjsYyp0rs63sUJLAHo7VvoAVgA1OH3CziZbbwJfduTeZ71VPSvw0gVot63Rc vCje3SAcr/bAW/OqJqPuoFnM7iyzcrThy2wLxsDDNYM2zQxb9A/xCnGerwqfm8RueQvU5h6nkr4+ pGC5+vszCN3He3mLbrNI+uERzqre1PVmd5EcHQ0uO578IQ+O88EtZu6Iea1NX0g4U1LsOR2vLdbd f076j3oMwpmYPQ679d4jw6pN04Vlhfdq5YDk4ZIEDaReE46C92c0Xxts3zvqZAFv569H/3S7W4yT jU9erWq34/COCmFeYilBS1ET2+GcQQ6W0DTwAyJotHRNPsiXthW+oKbZsiW4OvvDzfCKhml0lOa1 +foBQMxDeFecEoK5rw04xVZDzo1j8Aeh2sxINVBkD5+0b1gkw7O7Hk9OC2+YGpp6qPPqtcfR98Dk Rp7yAiD4avYEBWANyn17qkQ+qk89o7osfoUzfvFxQ9l93ZCy4dMze0SoKlMwfIwGMdnDC7E025ic 7+9wD/AIhMaiXN+u9yUDovool2DQ2JGyxtXlgjmYxrDRazBvp3pkEM7usnrJaVIdWS92aTBJMe17 Afq6XUTwZ+8pD4ImznP04Fiab4PXPYRZhvD9Hs3yQ4EtkDi1tfoEewuumlSCmm9aycQgWAuFxrl+ 7f4N83Tjh99MjRhNVb+8Y/PlnBpeK5lFKQwAjnsqxWxjW+dqX7jDySDXgy7toKgoynlSGvbrx6hI wVLqktPqkYopV8p0TV3IQHQfhzdIpAUDUuAiKNC+oeCgKWqF7yogJx5dNGsoBdIk3ucN2LUA30Wi UbF/a2kGBGCQ+/4iWMposWv3kdcx4UXxx3OzqU5xvFVLzAzdFyvT/p7mZYrnKrKK6Zh+kqv+rLkz EZXqblgKKefGPLwo6+9FiV/fxK3TOlGkqX5EtTGDsfXvyJ/VL+vIFtnWHRCDydgsI+c7d2p+epYr AXfvjl45u6D1P4jAUpfmVPIm5hI19A4fysRjDttUJ4k/nKiO35wb7Q++UFvxK2H8SAEw42yvmZqm sqrT+ZfXdhsGh67MWQe1Y1lUUctlaNTpcwOGmlYutM6XqZrMpcOFJD9gj+38gS3pSe2NqOFebx/i 1tGlxzAEmAPz4kwzatJ/WoB+Kvh6VPrlZchkOhSbtoGEGegQQFcNJ3qD68Rep8L06IybiN0I7YRU X0xtvf/m0CZUWNzz65Ba40GCdU021tRRw+bJCIGpdRiWoUY1h6cqh/MOlrB500IqzVB5YYCyAYnO qjzC4xrKC8IqWwtWTbS9VW6unpeAKlebYKnGiOpsWKrFeSQBMskFQnyqptnve4RuYgIBBfK8JdcS qjSGds3iS+lwgO9IJs6yJiBDnFrHDof1GDcob3XN2tEhFqx6q3QkdFbYyRlnqRXD8BZQvt+fB1wO Mxx2M44/eT4BzGazJ2+6sicXgMz2sjyINjeP0ZBmBZpXra/aukyyMQM/NtyU922ZLdz3SUe9TSW/ 2mYtY2U0iDqempX43t1lGmQf8jmUqLkKmojr5KFpOU8aoBRioH6m3nQ3r4yd3B8JVLDmVvfyeL+0 ZUh/Y5R2YJcxysSK9xX58eeFZU3VT8CQji01Xv3JOGP8Ir6EbtPNeFqupDrimQumnogGzwipAnoJ 6M4rUou8e8ZccPQ7pNI3UP+RcADTGOn0p+86JAfxTKVztAR3uhJfLgn5nI1dA24zim1MuI9RqGYJ AU7+dwtT5whOPBnv2g4xG2zJ+r4yGtV40J1kbfPKE6OTFOk1jMisaxAJtfqouhUsnlnNfxuTFT1S PjBh+gyv49WlPZnDxUaEtwOP1EtosPbqrdS4VLEMK3bCijxz0Sy/mtfag2jnx5YSKdvRfJe6UkPq vAUY5ZApbsOby4R3/6y+wRU+c404wPZDKPEew8+A5LvMkqGKrl5AtvLd4xcWWrQWKZeXzFbggrdS oPx8aI470APfuZTsC1BBel7CAsa+3Rsa/PGyU0og3/KeWw+J+F8NLM3l73z1RFZnovJPlveT0s3Z lAGbFl1v0S5ZSYjHbGY8E4oGX/F3oap3AvIXcMZeMAjtfK573g3/I1qSGRVOL7ggJmQJdZlIrL70 JwR+HYJ3N5CsZ04WHzh1oEmiNZrIJf6u+hZdqbBqPrnq0FKjmdMV6Xe516L8CtVRASXc/VVPxUUx Xq7XLnfIDNMPk5e7LTb25x8xE96SYFbJtnz1z1G/a+PmQfS9ZtCism7fh7C1/F5MWvTIYjH6S2HJ mu20ay8V0VbCNKNIRv2nrdq+x79CyFeP6UyOkD23CvZ3kxwwK/3TEhRRc539YG4AA4/e51KNsVEn p+RgvO1OaoGKPb+uWlkPikX7i4ZjBlfPUz5BPh+tiNwAgO55ZFOSFZJSr4K7wXlDj1K7amiCygZw g7tTr47zTy+sRkf4+NFaGhqKvFWIo4QA5eQpo2G70BuAysQlGD3XZHqoMRP8jd1CZrJnKz39SKgK kCMBbIUck/zJw5gnyScumHKJz0lTVN3vzUfEyTF7Unx6roUDkTDKZ7KL0M9FQLhHlXZsJ1nFU0jW XcSDq1IyX45Gi9fsRRkmKRLDWxBX0dBhF7Vua1/l5sgXB+3kSIrpAg9cNzqc054S3f63LeGrKSkt 5WSOIpPNlDzSt/7Vya06KYDmMIMDvizSf3VhXWBl7GNAOnMIIk3h4vDPRRm7T0x+zkVNZ61VORmU HAsG3D9F0efRg4ssMDCFoYWBvBhbzMNL8kW2zGtaewLkcvbKwr1sXJBed9ocN+PiBNX0eezG8Kt3 eUfXGYihwUiWXk3u3s+Li/xRoNjGiQtiuW/wF1Dd05NSXCrLY2pW1cIsr8FPr+iaJ2FyQgM/TReP cRmwv2KxtjjBSLTarQi5+yHGN2POlSgeUoudVFyILGzYNJw07wdGX+uHETMGbge76U9ENWP8nbZZ HrMqNt1MxEU5QwL/1fBqcg8uRFSEaJHreRN4ArZvF01ndx8DPC04QDqhPRvZ+ZsiDti7Fouc4ilO Jpc9cwtUMlfM9+zAfTESySjHLvQ0TiMZo1fGImB1HNmdD44bRygNyoe9WsAK12LO1wnS2wdl05lJ apKz9DPxWa4TKbUUp707Tsh9vL3gStoJjY/P4q9hMrv8qr6u5RAczdNdMPf/qLlSxq6DfsI7DsrE ySt3R13isg+mH6uigqGYLzEaz+NrbOfsEbMlV6vxjw6eq9o2CXLWN+g9VHnPlXBBx1eXh4+AhiQn SAKOSJjmNzG6MfxsHEVGdzTkPKMh9F1TqjtogpMp1VoQFlflm9RA1eQ0X7Yo4WkKDnJskMfWV5BB RWBfkMk+jrrxDyYJzg46O6s+cXdnc3xoOy0FiuD+Ud6L3Q8KzTVdu1aAhCOlSGUodeK/UZf7CQSY KKurbwxTOUc0cT3NvOEHLaKBSnJKzkLPru9PNh2Fnu+VRPYL4phtZEYtl1LuElAigkWbqZ38mnt7 kQG7bKXrnqCHe7UG8qlVtKUQWy0Tv8ByTRc8j+IQnAbSFxdj4Dyu/5q8K/e+en5AhHLIp9DETFCP etAYkQLq8aGaXaZkVlv7WljDVsKQ305iVfB4MYqKa7JH23vv8KP5GeHYJrjtRwLS4JXmDZ5Jj4n9 zsNTjl8SyJxIK/yy6ovNahEvBQM67hJcKpgGXjfp8k4LgqNSxPqfIybOiLEyYRQAQteFVYZAnQX2 4TNqpVXkMm8le1lr40z7LSwmSEiQAtjUNX2wPNZ9WJT4OfrIsbu6vDM7G5qy2yyqmaMQbp57vsUW D39uWvvaSCvJh8ilJdYywEMTA31LO7l6yFc4wPXym6mTCBzsqVDq8JYxEchMqgI5FVLHmm8v4SP8 WSevSOt41bCBU0nIwpC6IvOI4UEhUIcUPjorNcG3GueIFMwVndaHxEkBxx5PmPr3OcRq1uTIQ/C6 FfTrHwMwTdwsGhq7WKJLHsk2lYbhnNgoxHr7C2rFnQAfx7bDE0v+HMulkBZtKUjAh1UU/31vr7n2 Ws2PDFM52K4PYG45VwVsc3HGss+ZMc4IL1DAmtPFbopVsdjWogIjcyIltGC/DoHIhImJVxbqPlut RF++TeMasLGu5WpTZIqvN0ZTkzOV6D9o7Fh9xl28fhDptH3QVn2+xfw1U+XPYrVtoAXNAaJAG31K cLtFLKelKERxOIZ/B4SLD84TPQCMZ907YK07Cjohp9bWG7+9suVK14aTCOjvhFGT4n0z+HJUQHKA PmJQWUNCiw6Vxri6UgW240IYHoJL1ZBzeGX50Refbm6oWiJ8yYGRl1tsivJR/Vg6JtsTZJ1ESFeT BA5CMCIQoBH8bH2HnBwmpK3uDC7RFlL108EfKSbCcSaHbS7CZEdhl9OJebHyG2mc4dvDUYYni3vX 6k9BRhaVt1+xthcatEdYOKgu62dqd+FQ1Li2nrabEivdsJgyyp7YfqV/i71DOsiLj0/NUYv1cj2k yx2xyl6ExcgNWkkKuXJo2ga2lJUCjfN7QokymqSb+XVu/JH57Blnqh2Uq2Esf3OyHEVYGyZSQBUL aJCV7xZXbPq2OgyGyhOzP1lDddBQT9rqwr9IZ3BqqCNh7BcFvlRbA6IUS84sJVCr5wNx5nUt952M 9bPQfi9+HO7HEYvjXko4N3+qjboKWYSNjCsmJleU9R2VVp3KVtS7JjqqinfjcofwR0W/nrwukrR+ gdTZXTZszTZFC/3PrybLzvVqZnH+GphuAOlBL/oEsJ54xcWznbCmL7210QdJLYkb2mHMXjqbfUt8 82esvZUJMJgd0N5QKedlVO4HRjhYKs+cI0zRhtC8OV/Tc/FRt/PAB1K0lr38QI6X2AAn0R1EyD76 ebIJD3eCMtaIFJFd4NWSO5ZTtD8tYxzB60/jQwsQTBQXCRoH/Fhz1SUC11ErBupjytmhw+O8aoi3 aq5qmMQAwQOBtTxlpVbVd4CfdGKqpHF7uGbFnk9UZ//Cbc/Go9eIQRkZi6TUYEXdC3K5ElJC/VJj TpJPquvT2B++6x1llimBTVkJzITKuYysxPyvHDDOPWK1yiXygNapR7gYuFg1VBKhiqKZzQ8JgQEy uqud2nIBTsnNTQQqHRWiKPA/0J/ttt8CyifSw8BO/b8O4CxzyS9TrivbRVYEJuerXgG0rA2lkzYC l8Wb/Yk0nBoU69JQyKnL5U4p9T1gg31XfMt8GNv5QaZNxlcM93dSKWi1Gl3MpQ/m8GSmFDjQEWok hOQlLzeLltZ3sAjrL54EmSSbg3T5Pzmm6+SqpdmOpts22k1MHtRrb6dPTIzd7iTHV6XQ2xfdNnbv E8imjzl2d77H5jkRvlHz8okqpKDd3kUhQ8Obdf3cOcKmgsmYImf8WftjIxqDAoG1J83c63/T4bbl Dl7X0pYK8etHM0qC6OwUH0uugoQiNtniNztlkEG9p+mYtraFvtiXVYtoVvXQd00j0kNdUmIKP2ia 3OaQZVhgWWuthYS2Tc1Q/oLWO/rMsM3n4HjVuSxays6S1I+rsMxBe95mP39YrFqHvRT4949C96PM nixmVAs+W3TEJ+RfMron63ZUw1AK+plqc/7XJLSUwQ4QjMUbZRQ9yQbO+ETjTc7dolO6/J3/9YtX yBSbDl1V6EvAvuCbnuRWUPqQGdQSUpxu9sfVvuGpFcwQwisnp3i+z/xcxeX/FRZgFNbLqBw4/e5R E03b4LxULCR9hbw8bPp19tw22F8RWWIyb0TuSf+Kwt6j3gXq4Iyn/BbpZuIeT/G5EDAE2OIJqI3q Ay8sP463RpmcW+CAH1t7kCmOyF+JrbS7MMLvOt2OC5URDOH5+9up4+p/ptOgEQFSz32TChBjDGGD 4jxbtpWT5fZC8C3XyWH4UJu+u1KQHmAUUkLKfxSTWAVv+l0v+lApyUADZ8OK2afJ67/vn3N3LgBC wfqYfnFoQNXKQo8fLWpZPTIHGGRG+WjGhKB1THGZiwxrYO1yZeHXbWUlGvNu0pNhywYXu2679xRH cgWwrUzrgKxe3BE8fiIbVU19w1my++beh5pr29iWKUUnQAI7a1KoyUyCJy0xA1olwdVaG02yoHUY wBivcXgivBN4bFlPkis5bXWD/QuK1xXOxdtqJ9BmO9/qClkMpKKeVMmELSunuuu/4O5ck2lve0Os 0wkcvYBRDOMphQTouxn+vebSe3Lz33VWM8VzX368szEZjy+gm3n17jYx1FG3TaAaAWV5c+EIJLny VNmp/SdhiDvi8+KvKP3XKkDWeLfFK9P+M1+spMEMUIIiDGiUeessPcUzB1fCkhYvmQGNTLvS5SDR w9g2nZTOmluUquQ55OpTE7S5hIpePeD+8eWdMVPaQeddTzORBrnGVJprKbryyU3UEBAtVlMuNWOR Y7Ool7R5gaR3yL8DPjKnXVhY/VmclXsHmp7cYtxbb3ANugpPuXvjGU/iBs2O8N70aXrL8xc5xwm3 VmWSDhYwAFoVPGU5ywlhWs1KCOI/bedOSB8CtTbNkxdH8op0Pawb06AylKvgRUTyr4BHCiVqD/lL J9cRd9PdqW228uuybCZoXZFj8/ALE4wUYCRqq0Fqbmv/LBmz7srbe6FhzftVqsUukFR5+gqrvriV /VIkPw8AUDvqMB8V0nH4ugwjUSSX6OmIKJKYe2ZqE5UxR9G3xUku3ff5GWM04Dtlalxal8N3HpZT 25TOyejmL7Z7LB20CB4NoNWSoDPUGO9NfxQsE8cIplCGdaDyfAwKxBe++Gzg7YbmwX/f7BqZ6S/Z qRc7SKcUIZ/An46d1T5AJTPWky47Dq5F+PmWJ6rmJ6MKo3KHIdWnK4C07MYKn7wzAB+NqXVWY6EV WgechdBDUUJEieenJ/SGdtrXocOlx9TS+idoJuc1IQiwyLZbpv+rkYa9CebeZrJQGsPs/ocFQVVv VcIWV5zDElj5MvJi//HEbWJ3Ci7NBMrmPsOk+cT4vG8vX2Avl7ZTn4yGRKMJ2fJKzHszTk1KzC/q X2eTL5oYItpaTRaSp6Nz5ngbdpAjbBSO4NiqidG+DNInU1D3OaueMF28a+pk1stxhaHExIra9GmF bKLjZ2CzVwGEivng3Ap5h33ZFNPfb6/jTLuAodUJRWS3O31LTbps5l0U3V/yzZ9dRGeC8t62nZUb 1UCL3Rl0sMkdMjF5MUDBNCnBAuJcCNyWUdqt0pQkEK9qd8t2JOggze7px47mrgLgWEwdp0SG3YE8 o7wnay+tpXL1NN4F5RT9IGy0cyE4ixELl+WNbGX4/6Q/uhOW3kQ/bngndkbR8sNTJVnyXXQ7cLeM K7l9lv/IsX/q5p1BrsLGqLkX0+H3hyjIsG8p0alI1XzK9AiskJVOMIVhXUM28S6ULz9FDnWfwNr5 6Qr8irfiPLx4H3fanR8q+/ezyw1yZj1k+LzckvWqX9Leu4FfKRPlPTu0mRlGmB34FDBsjjrszpQR QzBNXAFr4yEd1tkOGApGt68akBXcbRuWeWDDjX2Lrl0Hp0VqI6dEiQXqZtEZBmrzviMJrKpbv+Ai jNzjWAoJYA/Cn9280B2SUJ9mFosfKcegsOpPoGHb1rU7pEkjNCr7kBO0QXCzSCOiQrcz0F1Su7os RwRj1WC1rmySTWAjUV6lZfRQ76zFITu7FPP3u32tSdd9sJEVXnFSRf+Ytp+D6rWa3PUmqpT05W3M 60A/DlcGW/7Cv5BrrL6Qljy8+GGZUzxdoHmYYS5SGDT0QtTR9r/NBsKT1HqUPrgrq4kR66DnshQj /+jCqaa6htgWwJu427qPXwNKCZbyKcmDOVDFkewRf//uDrcuoRwO06URTwIRnvjxBV145jjMEK+k e0Y/azev437qM4raUm44msBTYYSp6+llk9SobVHpmFfdNc2KZo+CGiHLgwB7EM6nlksHy5w/d13o dYE4JMTIrxOso64Gclx68ahqLOnQph0UeuZaktu8SdLBfsmIHYaw9Auqk+eyZ/SBat/5GEsgO7J2 M56dNxX8COsu1dv8qWpQJdlUV1yxKuTz/z5qhiFXNg0Z2MNFk2ELbERGLSlCV2ufKys2zrGeAFAf KI9FxTj6jDEaT9qAL4ouJgJD9oV6PVJwsUCHNpRcQxQdpxy9BEuDiY71psK9dVFufbrij3XkJnoN bCpZm+iRvMYZ89Psc560CjmJoZgPQVR6muFoB4wYmsqdTO+O/e9ZHwlfNgAcG9PenqF9DGFTSmL5 F0nqecv5oZxdip0lYCsSf0YSj4B57eiRuursmaATCMW/z0If4zbQhSGPnumk7z1jFOkmXmZyupNf Osb9SFdZkZTxyKEh28QMlYT3EUFtL1RmUve1zjbM3zdCoCJ96490RrnQCT0iQMxecf53z/DQN6+x E7RUtrfB119vKsEq8HOaxaNMwWGmlY3Wo3JcNh6YNYZvglOtuhTyU1ChQtblViPSlIZkxi1k7xlf f9JhdBLv81kXSwrv2U8gZab0esyrDZBLURRBVBodkifRIUQxDctcC+oCJY4af/LKzkr1D2nsojAq qmNwtyRB7JSHNaXTwSebXCurOr9/M9DW0GFzyDZmmQUzNBJ28xF55gz/5NwsgvqWHN6WlhMm3STg gcLwJplNZBk2ZtcHTO+/5y7of+R33WtWWaSxntlIirL5MPBaQ00zGfI2A6WvJkRvyv14QEHAwkB+ dAajPAwjjSS4/K+g8xM1Ybv8WrSH49SWUEXJfDC2hjGeln6wvvU/pbXTWpjtR6tz1RkvFR7mmirX 6wcMgJjBY9IZOgU8Jyv1p3yvLoeCqyXdwktUZQBV/Ef7YROR55oUCWXeButnGc+lpE12xVohXFjK 3iWc48n5Ad74SSsaqcUQCA5xwShNfQ0VjRWC1oayzPgxBmZuawnFXb53gBlwwAzHdvo6J5SZbdvL r/2FvNCSKxMz+65qs8PNGiIDP2vMHsRYE9CmsyQz9g3aTS4tlUZuikEokQXMSLWB/e5FtK92dKiC BIxzNdykEbotQcrymDarNmmjj5/DIOXqROIagkNocChEAtSLzE2CStryDpGEhSw6VlTkeli50vGR 2IPyrJJxCFI2qVTQM0IJeAfgcqiCpkCeb9Cs2jcYwsRsGESk164J+vqA7u2ZdLcFymD1y3hVvKK1 ZA4VK7cQ8l3NjLZ35SfRJ6JtKudWT/KOp2ZYrlZRjwrg+SZCHjlonLPhWDP0hGuTxywWCt4oBV3J 2JgQN4SOCb9MZT0fJsvwpXQI5Z58csImG4qPQ9obqc4VSvad1qXezOklwMDq56lZRtfs2TPfuwoK yT/U3jzOhp2CMkUKyj21WqSpYedm9oPL7gxjx1crsHMIBaB5BEvnGZ30GHf9TqOn2vAGQrGTXfZu k/jncnIQ6+TO01ien/1gy+1JN84ZOv1YP8CLf9bbP5uKgD2zj9IdgIDH3SUkBKDlSAAOXGxldM6v VAvrDmWxxCu8/V6fefVY7cEkrZYGjrN3UQEONGer76CcMfiGTStqqsuCVKzwxIGYUsTkOOd91T4K JyA9t9wFe1cWIZCgtuhkmthhLsjSvEVzkjT1X/vVAeUmz4C5vav/1cGCaXwtwiUoDrmrTOY0q8ee dJDWYJWombYDa3yHSqqgBA+LjAA4qRPeAsoycxWMgPgRjAMA1UBiMd5lkbUaLojV49iSy/lem91m 75QRz+4P5UhA7dAS77UdkNfwm9WmWsF7cmeThyYKdypmmZa12dklSmltAprBh2hdPwjjf9x41KlW AUFlZKwClxeZ/0QW6zt/sTBot/GueBtDEmfFO+h2ndSwlSu59e1mpj3FNRBnmrgg1ZJejuRjb5I6 xoYWLnCkR6mqPleHX8gDZ9hB73viL6WUuP4GLj6gOMpoRSPz5Wz71eeeNb9OLpNWCnkyB/ovBDD9 W8zvUlRhsk8gpJvw/11SnR9hrxEzmjyUIJOxKbNDFdSSSog4/1LFEKyOflS6ILBiWOIjCtNnmpBA uLTLnMyLuefMMh5QI5sAbpTz3TpOMP48VZ8dMGhqkcokdvmo2PJbQ6aufiLTlQ7uxtlLP1gwWorZ b+L3TOUANqwN+S75jNLH9QghIeUxMlGHMjH6wQIHa77fWM9V0bNm4qs1+4uCA5YtecJXAKnWwaDD DhEg3qtWW8fug1ITeS5qxzgEN87hMePyBibx3KY7Ez2mehXDWi5G2gFXb5aU67j+1EI44Xo0s0cS EPaFaG/e4zbD3bR4lGIIsqR4Aqu7CW+T5K/tQhXc8+62whRZft6p9otwUJWK39tmTN0ZxtSlQR8P kOmdBARlKSdBa27D6r0D+lUZRgTH1INO0E/lRu/f9h6cox+AYu221qUyJQ6A8ICIKyq17KR27v34 zUvhB0R+xsq8jEXhv9rvb4RVyFqN4hPFSJSjdGl7oTbRZMV1+OFv0pteQI+En71crAgO8L2QrM1B AssEmiSEPLxDNmxTRl29g7NsY3q1btLAM7c76+r4OKx+qDwKCPVkt3Yid3pgEGFU9/Xt2/D6T5Uz vH/VudUGi9AUGiVvGyCKc4W4AtXpK16kjPVMbBAZXKrXn5PtIwhlCPaQeSWqGKe3RcjHU1z4xEKH bQuxw7bLSX5aSZzFlv1+CGVP7enU12IMEVFRMMRoekHieSZK+j5wLQWxNXqpzB8CP4ySYMbOHzRP 0qIv+1cq0ei/TJREWec70q5Ezeu6EwUrjktphj4s9u2LQbFJMpSQnAhPvReTOGiZKI1vAginmog0 MIQj3ALEpA+1rP+Tk7qFvqZLGbdr5c9utIK0jwdLXXqu0gpE0meOLSL3TY74vzQLozx2211BT3P4 1Axpswm0UubQKy5MvJGXhy/GvtJ20CLzbgdr2/LDXgBFd/es+/0XYW4LMymsdgWC0YPFZMONeDNL Q38j+QNVfc+o5YyHdMkd1U37bTZYwak/ZWt7Kfp3cfJuRE5NjV+WomUzflxUZghyzErdYvLWsNaG qJAy4lamTs1iOjm0zEvnUwvVB8MTiUk26I0AUIroZkRuVZH+AuJCEH+Yh7hre4ewCOt9paompZPv kqLWjVzATxxPuuesp/jzFCPbibe2AdezoGkMmYj+vqNihmXD8llPTq++pNWtF7nBmOmyBB6pbFwW LCsqL0opTdCpsNy/O48VUAnLsniWQGqeqVetGUCKn1wVt7dBUNh4YbMvqnDFsbmlmQwN0Zs/NDCt CFidOP118aopyMF1q1sZm5cxJWuojHD30x2INMPhIfbWHPAefGMp/QRWnv02q2Djips9awFrMBWZ Rtyp0FvVUxbILEewUCk9H9+XJ0i1dILxzWzqMkLRODPfNWxsGewC/c0V9cJrMtDeo+/CKtLcPoYH PF/d1iDQVrRgW39RbhzoZby4ggyNKXKvC1QrXLVR2QDM6zg8qsbQt24xdLo80uN3s4ktK+Hz+3f1 fNGkCYXYowGMrdNJn8NaCbCsVZTEFBRdygDmUTTCG2Srf1rEzdH0lYzKRjnykxqvB9PBeOPKGDe8 XYb1HR02bMFYIu/1ykdOkGYRcKpenonerVv8nS1Jk7CWTxKep0nMgK/3caKbyX8dCyR3r4VRgGu9 /wbkVSwc4+00mtZ2rFWLKPcI0BgbbZJ50wZ3K2dsCnOyd+0EMNuBDOI2wIzWsmByGUzPaDrHfQ5d LPleH3+/+C6ZSzTvYtYO17y18ylYLqi6q5RNXW8k8WqNBxz4f832QHBEXIMbkxA5h+dI7pG3Reub pjyCIYKUgWccRwDwkvAjxHMDxaE+GWPP5qzbL6YGzoeFs9oEevWHc8hg7UVXYWmBTSa+wz97DlG2 xpyxuzy7rMOVwqyPNx3RPHVgzWnrDTAIxINTGwOY5r28uTtHRdNOAav5jeT9bEjSycJ+XK17Q3A6 yle926hX5HbFGZjLcZHc3jBGuR41e0I6R6QiP5jpiQSnKYwQ7OGNIBzi/GAFh1FpnDoDnwNRvvkJ 8Is1AhOnNPcUNEDdRkKVTaI0//8W5Vi0Y4jpTcg5T7QEyI9Sq3iqYjtso2AVIt2SX1bQBav1QS5o MEg7Kufa1p8pRIf1E2GDlnURoacbB7biaJdMDaBsMpsUmO/yRCYNTegraeJj0Y8jMe3egk2txhyL 8ewAriGm+HIXWxYrirqKCw82yUZVTx+isQDpMixmfz+BINsCiUC0RVz3p8CBvGjdqjG4HfGQP5Pj B61gGUNTHqURhYlppM5KXvg6RzPzhV+kOXxAuwt2wjFUobAezWmNFREtvbKQbMWSbvstDywjliVm Z+XGMTGbBrDJ6TqEtKiEwBGFpqEkRyL9z1Bv1+IrPZ7vH9OphAzcNc0KVUtHDLOTSSmaSDVmjlDh y/P0iuoHnpjQT93RQQ4zR6mPzRxvXs9383mBL3xcSnTFsrYHGWsJNqDuUG4/LTZGHj8+qvpA5dEM zSfujjFjPsccmr63yNtOwZl8r/3j/2DqC2RmIoBmi55/LH+6zEqCoD0TgovI10ZKlNBtzlavw2MD +8wG2GjUzuLIFmM/Hi+iPHQRumtwfjFch+HbSMnXDivIXWytdZ6P/F2Y5dnJ7t8mo8tv4bgsXzo7 SkGkZdDp869j9XlcxF4Q6Ci3X/VKpWtDkmK5kyEBygNpJkWUUrbMzFWSw7+4z4cUIoSIfyuTF5qm wPCBkYQK9pNTAKWspLuyj2b0pr9fA1kJj/Dh42E0WWezio79PG2rwDI91+QhYNEeHk3BUnSOQMR4 uzJ1Vcy8kWyriDYZSKja4EJ1LPsiAUPVKyKJWrJz4wopNhmGkpM6kXET86Ujc0+nEzhmAKU9+XFw DYhylw/NUnxZ35VjhpVCcFeNib7aMF/WnWgTjC/9jehdvffZ49jdY8H/qLHUcS0bOlHLPfhJFFDq gUjoIjaj5Ujo7s94DzBSCM+2j4Tf8iUnGzhV+JTYQ77kzdqJ0iQ+iFmYEcV1Z6ppHMBADGB9zlcG J8A2KqBwa8kRYVusVE/ERHs2bNiO6S9V81zY/J5ePQkhZQsseA4m7KvR3z6QZWVSi+JtyUetYUAy kMk9Oa2wbG7Im8ASjLaIxI7KPAcedlyATp3EIICreqav5kaZzmeQX93ocnPHE3GCW0xQGkO+ykc5 Qg+lWTVE20L+N95/eA3xtGdfd37wHl+uBfHbcBuiQrbPeJ4q6OoYcevVnxAVSh6UXJ+/aYHbQrgb TewO9dYneUczUWsYzIXc/tiZcjGdUrCGh0wIhlTW3rheL4+YfSkKfNt8kYB8/zPRM34FJIKV0gjo lzXOlUexNw9W4vpUnQVsL8NDTnq7vH0QXHrhr255kDJzhUxE+G9O7+jd/y4i4JIiv4f4VUwOyRyx 0nltIAEpq4kG7jq3dGPv+VLIf+nc2JJkjp1oUSH0m1pHiwYbLqdnVqfVEhvsiUPMqeWJerExEJe/ NDRr7nrlt5rrq/v12HyQiD8X7slaRp72YPtkJf83ZTM0eAt9Kh10XVxtEYwhouWXg3tM5sKe3fvt ovaoVaC24cxwwXMA1OmwbE0PcI7/hMf8sx+eFewSVJVP92hMtd/v6cKA2xpwFfJEkpimk2/8Sb+4 apTTdjzCl+TkFfAK02yvgCyk0/DiknO1Um1e3uRmxlZcBXhxyMbDYJWSHnRTHniFxriUgvvIwPl3 77N4Nid8ehC3J6HT2Yfvu1OLUVUZXAQd4Dq806G2uhks02tohqB/TWDtuBemHfikd/eo2cBVVciI Z0FL9+8Vl/v18iwz9gGfp3jmLpnh4HnpAPAXDRgC6cipziyNart9w1lSb7lhZ0xqPcabCqRcxtaj rsR1nvZYfRApRwbZ3Iqz+MfmkkwMp7N/4jnKUxYpdTCKRYIzqDi1Rz/AF0ki5I0LkXlzQ7CNSv60 eqFs3AEH0ucJNJtOlLO48U4VYH6IAzX6nJXlWHEukyaWSowhDx5k2E6pRFINcz21ysxrgufmO+Bp 4nHJ8SyF5gFVV9zAuSl2/K76BpjNBVVCSOhpxTnW2+OiGWbEIT4pxWZAXo9TjCdXg2rOyGYqYfZG WN1RQZ414NuP9D6XT44/ESL624yiDBMTwtyL2S2SnRsT3rI/p1o3qbCPVvptDJbKOCTKD+3BffhV UTCYLt1KVwk8qBIBm+kmLGFxMFW3AaPYQ/U0tJa7TXBjv6Mj54tS5Jps15XylEIxjZr+IgK1Qg/Q ckDIUZMfd0WTo/yzFWjdQ7R46LeY9tfg09Oc46GC5DBh+m4qspRZy+Me6RWZu+YRIEJAOQaycdqr UNAUxB+lJKk4O/lZlUQRDaNLla5s0bqWcmTGjaGiFvTInY4ULOlnNQZcPXx3/PaQt3W/7rlLyE7O 8GMfHcpeVcc5W4ZHV9PnBj5gt+YqHuhPhNSKpLNfOWAe2BmweJgl/Wi6DJWLRkgdEpRSBpvAz2D6 U9uG9w9SDhFELNqDJRrh7etQzFjDJOmXi9pilVrMqEF+EqOKp9E+o4/gbbYciWOwGDxWVG92rBJR eQ3hCM6Bj3F/iENpYo/5IRBRG7bOpdQJf81tVOl5jhf8ivNeGb6i1Nu6ySjieMXMbZjRYUnT76Xl 9MHxFujjYCOvHNx064wFWfYofGlYG1geMBsb5p7ZxjTW2U7MIpI6uqXKswPaOEXY1Y69Yn+oCmfm UoSwuFQfTYoefYbaX5Vv0zLQPUMZyqGUtOdqIIr1P+Xm09qq4n/Zo7hFzlgl5Z6fFU5ac6F1usPD v6WXTQpbrH84K2a58xbt6GuAjGmeD/VXM57coBpRgsLJn8aEnhbZsnTS1hKc8ARnhXui5QxeECMm wzIFgAk/PjPUBBH/bBm25Qr5ahpiXmdvFZ8Q7HcOPSVrUQeahhQhgBkKV1pZTxGo2584QgwIKHXd NJcX54Wv8u1On0wlRejr83yGTiNnJ5CYpzWBeDyPxwzBM6lsb2nI1DHhEbFBbWhPZUxxjyQKA8dV 8HGfX/aXTUw8/TwMslY0aVf6QFcpPRe4TkDVo98lLWGUxoQZhcOud9tTnX6PxMfk9awliIzXm/we 4Te7LwgaMVFxeCkyMYVYIvQLtn0TGF+8puDwZ4K+ErZMxMBIB03Vgvi19usUOpnn8P7ccjoWhfLU 7TFaJ2xKrPi3LdNr6/LA+SilJVyDlVSTt2oap/w0zDMpXYbNjaw0u0TIzWPehXlCBIvHFq/y2Kxq i3HPw5fn545Qhz5mzXWokMD45PfW33lPvbjJv30EFWMgPxZj56hq6F6jPIbv3uBtBIDvBSGGMW2J dzj00TI2RqIfRaqXdcBnDu/Vpz3ur/p45pxIwrys4xPqKunO7jLI0hImpZpX4c/zNmehxqeZ7B3J gJ0BduU1bCkTs+AvxGIcie/c3PgjC8VMjIUUQfIN+7fMjagW5xCjCmxQAHd58o8RIu1d+To5iOTo bg/Ce963P9OnBZMUuTFcOzSx4V+JyQ78bISOMN0mMWKkwxnaqAJZkdFb4yubLGBWHA382+MJjB6+ f7YN2dI9Dwb/4kGCpOztg2BFXhNm68I9B9E4p2SWLtv8JUB05mAiqz5iyn/Ndh5YAKGI2ME0lYNv 2M3KndPgRntNtDnZZqMsdv4dGtR+7mJ4ec2cFV79S3k2wU9JV04nelmgzycm3MLK/obRFz5tNi8c nw7xpgI6V53EzEAwOgv0hiXKn8uzo6DlJ0sGZjsmG/RJzg5RPw8HN8Zr9sXBM80hAjBKU/0Vz832 N65CoApcEhMppSxwDFSKYiLdcZyivr8uSaq9Y9dlTnJpN4wqURMY6lsNdhIztY740s7tYQmOguhg IrG+CeFTLWzbangcq4IZBNIXuqZTLae8o4Bk8JQXHeJEqfHcxPfJlsbxbDfsj4q6JcNkv9eGuKXK nu42d5HjNMMul2z7GoZLCUl0o6iVycxLnMkLXSAeUJP4tXAeKcFjsG73eI4lWChgWPuaBKHuwcZC 2nhtBfVqGUWtIOcHruAltsi7OA0c9RHZXZ0Y8ClFSpfMVb1/cZBmWCBLTRAxJK1LAnvVrbR1Po23 1hIKvaLpIHE2r2xljrBw1Wqdz3rCt7pbzjBJl0O+9CLfJhfbGZHu6QuMOHNnvm6etjI4xiOxT1Pp JQfF1NeVhOYpI+Z5nDrSwUaCJVTGQ7mTFTclDRlN4EbYGvvjfJweD3x/tUqGlMzWS0TzUfKCO9tb /L9f+Lsa7jS+Gu10eL3/76zv+FbivAQWoQvOeTSe4DLfsZTSgsmUBtPGp40T0E2aO5gHpenQz74E 1KwTWYn1OCI8yep/nlCr/67qZlQNPn57nMulhWyM2BDKu/2Dth2UkUVkkBNsl/N2mqeC7xwDHi7B rkNSXIilrtPq8JDz/fAzR2zI6hKhwe9opboos2XJqaWUuplb2SRSqLe3PuTzrOb3n+zXu1DmCNqf kjaFKxxO+zY6glr741MLTXrw61d+j7DfarrP9DX0PvraF5W177NXMETqhqdOz4AsnrXI5UGphuM+ VipNUASRpkT0FeY+VZ+R8D7rZQ+0quYwbhlNTdMnsKQzbvCJrNLHsfP2D1ymgJ6EJUAMVCNcrMg/ nGH2rW2KLxGwAkCWbotJ2d+ytKzvbCkRAcrMhJWjIfbr5kzOA3VMxV6y6/WNZUsqUnwPKEDT8vhH Jk52+mY34jgjkikcFPRPtjMKL0+kHrAUWlcBAFtNEVV4v/qEY+sbe5JBm4TRicoFoVjGkXnR04Rz glq0J3isuPZHeQCuahAnfGHXsCXJ1wdYEjNmTh7WqERzKKA1zNtczpgq0hOzaIq/Ca1FVMgFMBGt NoU4ZzQDjpsB1sceFXcXMRYKe+sf/VqXtZMF3IlrHlhCdibg+5gPhyrBTH1CA0u7fnhFLac5xFs3 JBqsnpgRu1KY0EHBCfyJP9sqRFVHFGJSBroFQeX7B66OspIwQFHLTEMq0HuAz1rzpw8Rh4VCFCkX KuFzWKxfFreGEY6x70IZBamtMHWbb+c525Yf+ICikwHnjOOr5lj2nOfP3AXuDP5PrfZwns8SEsod rMbLMEsjNJx/HJlPATEJxuuPC0TsEVUSfBAF6155/Db7ctcl15T4S8e1bEWolt323oN7MJ6PpuCM aWd5nDC2oB0fJpS3zxAzmJKiT3tNm7/6YAESHuWTHrJpHFMOHfLHs8N3R7G8Qgytg16I1OC0wN4c qcx04qn11MwZ2hgkBpX2ljeqVp/yXiqjrmHdsFAGyszsE/kRabtitUn/8aFRb3GpajfwhX7sp10R iWCywJRxIoKvme/0rvQkSzp1B+l++gEYfUH6728RB3lBxca7prEHAxZSvPVKW9+hFmWcdtZPNg0c eRntHWFN+MkJr2nEGHdCebSEq9xVQ/NyXygZOw1VBkbWhGgrx65H4woNvdTCMYS9EfUgLVVN2d7p k8JV6QqsxI8/hdE8FSKy1jk4EXGpXkBe7kawhGYq0WU992LFC67pp9vRFjlALcXazk2Cn7iHYGtk Q6zQf3U2A9KLXwj81p2wgnApl43AxL9G2xexUbKSKfEWekUr3nL4ij90+KdFa4AN5sXwaiEqTkqw /mAKsLkEWWp7Uo/mtxcjTrX4zQbtrhbAkY3LV0JtlWVAy2NeiZVGAL4IPZZ/WHKkE4xHNimTBrhJ oyxLYYhbdgdm98PwBEwfJTXW9SowwN8qQdbv3bOoljoCXjWoyvKvmfyrSJuEkpFyTpoUdWusHSc7 uYuNRa0hvMVROOBUmgkYqfamRNFFInfLT0UlyRiuGA+sJf3osA/InaspjBz43VorJ7/i5J4P9HZE 41MTATOb3HNvWfZXpBNdAjo4DAOxhSgMrZf6dg1Qx3JJnnbSvRo52QfwHe5iF6n79XqdBLyXCxTV /zFRwPEzasyKyoh0+2O1rsdVkfmPaBP1S9FJnTvL7FWBwXmdgIl3U8HVQDqF4D9XhQ/8aEWcZee1 5NtWtF5b90iYXqP+sUVUMzKDlej4+pQrGJhQFiPsVhHLBeNqLeqh7ka8O5jhuJ2yPbf4VKMxW+am DhSJaR2PjJ5x0BJW2urbywS2sSsax+RBEDpmZAEjI56HyhJpcp2qyLm5D7vyY6T4jl2Eismie6EJ M5A2dZipw7ywrFWnAWMbYqxcPdZkeWg9/BgQ5NguaUeVr7HO221H0MN3jQraIAvSRv6b6W8rWb+U od6g4JxLq/OqsPB6yHisBeC8bTUvw87djaIk7E8iroTwq3H4KNwgElBKV0pQk4nZUeIR30liJZDY 2PZHzPc33dlz4LZjCvFCeZD+iBeQddPy4Hrl0SLCBh83MBKQQKOhcGO+7TET9ozp5BTFlDoeWnM/ z5aR0DQQziPFF712UwVrHn91m9XsOlSimci2XfJ8aqR1T8jYx4sNzCyWrrrp1ShKS82XA87g7BU8 joDw508hkQaF/iOyepFtpkvqyIBysmynDCOyCZKzoHP4TopVxAsMgfeT5JI9/beN1c/1b9BqQE5o 2j9FQZKVRUEb9zj0cGGrb2QoTP3S4ZipSZ24mwHNygt9PQu9sIZHXXB1u2avt7v6qafaemi2ccyk WEpLNkzZ/cFtoIYPtQKfwHQrFXkOYw/myjQSv+Dy/RLqg6vrhOYpBCYyAPfssOh8KhudeyRL9z+w 3xXCDkW8y0rVKwHE4Bc0Dm2Q/B+c+F8pG+5vlG/mW71TD1/SxvS7cqDDFTxU0t7pt/v9viiLfAB8 R//dBFA2gCOhhBe/o/JhyQMt2DdtbinYkFJejaDBruOYSkOm3iQmGVkwcsDCuVZKco/DbktIqPLv zqA2ITH3O7oMGRvWYpykS2wV9x+4XAdPAoW8V5Pdrml6uKn1S1MWT3oFZQm6r8EtQxydM72wK1/9 mLe+Kaex+PtxwxOcy3uHBRI85mUq+13UxTDESGMYuIEKNu10p+H/3Fqhltgo+WiTFgaztgA02zev vQruppkLTMkHZCNd12kHU0hItS+hIvINnYSOXJXUwCbh/RYNpDIJ5UsVBUHiACfI7wrbXb7AS1eY lHWVAjdGvEia0P9cF9wudpl5+N9GiUPxcX3tHNysx2709Dsj/38suerdlK5DWc7hVK1h4Fkh91Pd jXQWo+8urWIu7sM/VKcDX5CgUPbCBAUUi3rV5yWKGtPugAGOfB/Wv1DPHDTjDus3SYzdjpqo3p9G dvenF0tKQvdjeC4rcuKkB4EaSOeXENvZMgi94DtA0VfAOKprQkIMMUo28EJjzpcj9AHaBWkWQYVs aFnHaZyx6qYqZCJ8UM2ZlgT//InwYVM5Lg793VKNpjsbGZjic3Mz4GLBKVhw97IaGOd6N1QhwHXb Md5weefJPBcb/a3O+kKpd6rEpuHdj5HVC+YOWeqC9RbAzGsF/CNoSeC6Yne4yC+gJNZX9BIex0c3 95XmDGbyksAWcHSp+2C83wy7q0jlypYMCXbCLn1ffW7ZJjYzrDGvXNSvbRgF+Om5sf5MKExFhzmt h8y0t6xnRLw6qJdTcXIcu3NroAZ2vNY94DGW11oSZ51jfvVArV7Fawag+XQr892OedZEARvruXtZ P8AWuP5aV5N9AkoGmC02flweKBzCYs2kJ3/L76VqCgOo3WP0fyfMdUufHWWSlQA/SxDgXRSYRyj5 XXSpMt95GcsXrEve2EPT0noErhzSu1v2xOZr71SgaaF+S5ojEuPRozp/Rt5+5GmtK9h2ZrSFTqFl O9yUQ2ztDPr8rFeD7l7daxRUz7XIny1p9iT/pKzRTmcaPp2AGi2efYrzxmDxg37vBlhdmXAk/pO6 eqveI7x5srRsAsiQlFKwQUWxS/MLoXrWjf6kIPYzP2yVHxL+mR3ZkUTaSaDFgik/6skE750c0Fsi X5/DcIuqows1as0KliNosuN1cx7lptqWpnQHgr4zd2p7aJgHG/XMiy0QrVCAEQYmFleh+zR4om3S rXtgtOyPlVjZ/vnN6o8mmJ1uff0xy+3weTs90yDQ5L1lDn4fVUoG2ur2vourfYVnbL1CwObQ7qR6 PXgUSNbpjqZQ/snbSi+EDt3UZpH7KcWyYWQReVaf58yy8j6DXAMjWZpyEcCxmdlqj7pHCZYxSg0d 5QiMFG+7eSNlOCbY/7IoVnU0sy4WudmwCwk4aqhOW7V5ai74ZPnh21W7nTXMYL2VzKFn8zNv5RLm yeDlmuwW9OV1MOG9tj+BZiydmFuOur0ieLNfW+CGktBHNT7rmRvtUU7hajXQ/PcOA1atT8QHVg1z DReEVkSyMczRgkOYrr5NxbxUzFwpT1kwcDGjeaFilloY6htXWLwrOpiQrPPPEpGvgmZVLEhJ5/Ep 7ZHIol1NJRbsno2wVoAywq6jY6m+cDHjxcesZ14amt79Q39T1GXEGFRVB+nbe1ynlnDx+ID3oWud Lb6ebMZo1WxPcUeJZr7ihCA6iJJ3cn5qg8mhHjckjjsivBevpgxWdPEaCNsjxyaq3/lo38Qdk0T4 ew8+UfcDtPHj0+dy+qJT1zFLgHOxyow+HVWHfYBcfUC3A+GcrBWjLXTylzckRKKw6v/2/mf2qnRg pz94JXrrvL43R4Zc3QAgaaZ4E5RXQX5iwrYGTWpk3LQ2X5bp9J6UHKKBY6xSs8fA3lg0bhUcSEC3 EfasZ7d9NIQPughTgv0Op8GJUbhtHVlACh7BgqIDeMPlwsL3snsCr1k4KyCYTb94P/q9OHnFgxWp JtCXc64ZHkI6sftj5rijvW4Zb6tygF3gFDnFBcbVh4l3bQPqonbLv+KmxeRS2fcS+9IjX7XMsYfk jbVJzG8/aolZPyCa0QZeeQL+qX92AOAn4GMOuafdz4egXycCeWQY1mjdsh8mx0kLS90joUGhlrNl FwjWKrGp14hPbkULRrB/bwZt1Y/uDkc1U0CmRd3wka7WQCaWn/V9SkqdlrGWcxcaOQp/Z5l0h6Ra FfyYbMw5na3DA8IQYBcaZncC5Y5WgqNwgOqFrtGxRUbjnIGsF9YiZ8pzIUdmB2HbBDspT1pBowv6 6BfH24fZFVbIwsS4+AczezLSrZKMvf45Vc9Zeml+G5+1L8XTpHjFGKIYiuPYtnGfCaOsEsivFtOm asM5MzOcSYm6fPKYaj045ZL2zWp5Z/3yQfTdddA157BbO4Ami4fYiSFIcYdI6SonqsJVLUYnxXbF 6+lrn2gc+0JzfQV/mD4Awaxg9DJeUtKkOcUCU6XJ8k7MrVsqFkE6h4755mYjsbZiNictk4nIivE9 37Wt9e/fJEpRVkvaBs3KtfoXYp4NwIgjSOHa2KhtFN/Gd/uHjDh2oMVJuDqs9LbQkoeKiR7lSlTs tcIMb+OpqxQK5ytD5XalPEoo+vWQpmPJcoOeexix511rRDi4Iw1ANfEZmypNQo8U4FyXXYJnlaEZ syqZpYz7kVrlJnewpcEkBb0JP97HUL74cvMJH5UKGFpNf6oHYXJ5/VJQisLiM7FI6WjHYQRgugdU BBt7HtLZyFW3+0bMlIrWThFXwjvaFQFsTnKsZj33wK+ZRtnzcXrgfRazKA3YdNunI8fgixFvi12W DVqAeOHVFR096koIurR799eN/SOhcMYHzlCXWyKCHzRdHxXNsWOemm0Z5TlOJ2tmugyv6T4s+WFR 8hZNcaiMq7LDyRymzROLHDmgn31y7noldjnqne/6kG/PVdhOgr2DEiRM6FbfzfE6vPtGBOqe5+KM 7X9lZVS873x0vspJ5vrg4v/VydR013XRfFS/IuewgpWIp/Su2MotPazMRm6/787j99nhGL14dSvv pVBkACYulmkiQ0ngUIl7hgBDDUUCZs/X2wgVO6X6dIv5MGuOveIRPNamyxHazun4EeG6owGHbsoo lDHxrOcbf2hr9r6YM4oFtge6A5UmjkNU334rBMnaPm7r3ADQSiLWVgzUr5ZCl/iH0mYirKU/Oeeg 77qn3/QgcVAHx9fCsz3M0uKnRJo9W6VW2t+oW6EGThk0RmZYbhpNrDC684TuJ0e39f1n8LX1xHtx 7Hht1TxbinuusGpr1mvJoU3BZYgmWFwsN7IQRFpz26EQD7eT4IFykiREh80H9gcdtHTkjr+Lmp5t hjBMbKtjIRUTQ+jyUmAZMfwhMuLt1QVbCBqnL//s5c96zxgK83BY1cY+9zU6bWNCJJq3yD110Q7K y+76yHDWBIFvdGABGL8CBPPZfpiewTxhk2ChnkwgcgtUQLTWod1DQ47vVkSG5rOQ8AD08QyeUnz5 +/fOF7liIxoGJ2ntsjrv09j+fK8ooFhL/jdGpgwpnlWJLyWNBtnCLQtrRN0DoJ50+qHkFJrgDLn0 /KdB9rKZfRJNJCAODUEemiU4dDHuwfNNsmee6mNRn+/c+MG+LFpgWkTN3y/vBqf4GnAriGBv/806 aedgBqEXqhM9WAusqlZikpHKV3IF++r4xN/XD4VWQFXHFSouwJGOjtkoQNBW0tP+9euC7amodAkP DYVtdL4cBk1NdIfa3EZLzTJm0Hlt0T1VsFS9SvCm0uxEa0vb7yMcUBxidhqpeOhbVMjUycF1boU7 d9dg36pf3orghvZKtO+RcxlfIfxHgLbHHWoOFHslgxH/aQBS5N/eMhMmgvQssTpB7j2OX70BSw4i 8wb+znXZKPCLSf4/VH9nRiOIChUIE2MddcpD9aISyqlg1Wym+gWBkv63gx5BYBxr+5bA/bBcD/1T 9HrLRFxC65O4hH+okSGUZeM1LI1eeBPdQZfU4eMuThfEUQOS7OHL3OlMdEn7CF1PHZ0KegeXXyo+ u6sZhvUusjJ9i9aQncNP8g0tvDb81Ur1qQxtq3mhwycve09fglQlMrHPI/R7RDwaHbegEmjivNbg mq//7TcfHccpigvRobyL4f4/beEOvGU40t14y4D7aoOekF5QQSGHbTzj0VWB5Apnpl5MLDCmkTnX /8bjFDGW+8t8s2kIZzLd61qslK8SfPeT4tvYdBEf6rECzyD3ax3Js839ikobeRsdCr10+l9WflNR 5twPg93Kk/uCUJlMMfZ+sP8OjzKLwoF0P2b8KLt5KDvx6UxLC53Qec2OoPHTy75/OJ9gut3LzTMd zwwdoCMkzt0Ht3s8ZRE+ULpoPAOv9sLDB2GweNluBcO+iTHmwYnC7n/4akACUFiH9h5BA45RdZD+ kwU4lBKiOKCWW1fF7wdXs0t54vNONYYQwxDMazwktKXWRiDh40lXiNmP56jW6PpNBFbBqcNdBnUR aklAiIUY2un1OwmymNpYoQCVJxzK4aySNDITYO7SLunUyZl6USg7+CH2QgLBlnsCIe8pd9bwH9kB Gf3QoxFzsDLCX7hJGscvWUaQwHD/eQY0YT3HmXs4UQO0rdIuW+UfNEQZNf+/f6GQYI1WDyYAt+vP 7BPMJHvXUl85JHfglxRKGRrOjH2hLzugPVc002SPQQVFggA8Vbi6CdbWohnytZJya/1OtNVX+Mmq IDAF0EDu7P4TEjzbCnQ2e9kugzfnOtmYBn6tEmVMQndLsGTMPY4lVW8Ir9OYbgrs+u+MlbFjdWuv qOrTVofAZ0sMd2ece6IsCMQc8yAxMVN9Wx/IKyKNfNDAQMe4WOeNNoXoPVnoYA2gARFrDrpoy6Xx fPMeWrDycxl0+cS5x8StsC7tmZkJrFejfUsVDDPFOBQLFDVfpotcCzgIBV78PHEij6wL9xYJgfD6 9LPaARWysIEsUVYqaqMAcFy5GcPvf1FCCQhVfAl8Qiv/vS3PrKhKESRF2Zicr4VwtX/C1pMACfRl ihGBxJ+iV26D0XgeJLUuklhtVsUYzZ/BvGVSQfLOubuCRQTBY6oc4U0rf1lQfioEx2vDLHaUw4H9 O+F5ARBKJV3ZaWI9RpDQLsQj/NcYZH27J0zFnpjpPbTWxfMqnQxwQR0mUPWWmkCcT5RjaGvAqPlJ B/KkxmQNLHxLCvfgMzURZG2sX8ei49wIZCcxL+0ka0l1MgWOTys/Doxm0RrBRGhy09kt9xrS+oWT eFa35BzUzrE0EL+Moguuc18xANRq7MbXvBC4aEzVX52yNTQK3Rpmht6A0hsBh1S/6S7ssbt/wrp9 j0zqqVv2fuBv/MH16FQJKf+dvCHhTpJcXgClPTV2X29pg+5bXrqbE0uBQU2IoCge2cDPq0ByB09H XJUEF2Myk4/RBqMA6ZC4eZQhbPk20XAkMee/qGCnin2eASMg+TPUAFB+HFwpWy+6ub3o4KELWKbH unhAT2tqy+J3dKkKwo3jjUJhM13anuNKBhG28s6SONNgcem8GM/4xpBn1adtkvRskMI0jSpHyNNE leidlweu1zNuHZ1fi5ZS0s5sZgzrx5QrbP1tGxWfFQpnGRJFjRsTeY/3N4fKSAxlK5nL7qznDFd/ 1+SLa2jLxVJ0aDCNiE9sHZjf9FFTj/cEFblZIdL/sNc1j77PDtmq2NmAqUXtW4KIbfdq+FZUgG2o Y8IfTd4SdnBu1+gcAzftTDWP8EaiWgHv4QD88ZV6SB4FvzNJEtbXCKUwBctRZ9yGc0mNZgPMr5op SRx9W212mvPemZF+KLjd+nb8b/z41vAsr7WenA4GxinGqSwbFbpFK9ps0i1X4Pt96IFpSkA1SRfm q+gn6bMvsKK0YHRfS6SpiuVFtoNd4b42yLrvWxMgnxTjkCYbfVgdIsbQWfyxPEMQb6V/S8BCCIFD ElDSKcwfAT6mkbNX4Z/l9VbAxVf0/WCV3H+NWBOMg/AggHSSYu8IAaeVQi1ZCK7V6H91RFn+3/4h lf7Os9snmGPybDXp2Mqi6In16aIf0eyr5VXkNOMmMx3Mpeow5X1rd3m4JaiCXsKjspND1VURGIZV Pa0dHjm/xx8jwZa3Hw4NB6j8hzOl+TU5DdsKS6wd16DO7h4TMwkFkR+6AjqbRSZAz49g4LsaTkLV jje9hipj2RFjG763878XeNisgUboqBW9OXUf6iKiYzATLKzDVpeeZ51Utf6TEf7+OnE+mJaW6+iG Su7u18OqA2hM3ounfnEe6uyTmAseqLiPaXFnhOoAvjB3IVwQrzaeTyQ2CtL97pBUQhxiinJIUUme yyv907eZptUyjqIn8UXsO/Kc4eq9n4X3DuTJUDIKaY1UfS5FkqIEujVnaH4Fb24FKXvIaBMvAVGO IcF25eiMw+WwB85ZPyX1DWouBqGhNYF2yYUifF6G1c6vwFAwbRsZZTl7l5lsaY+JBN2cVu3+8zLB 90QObNs9Qip2GFDqQzSZeFOGxwLGdnCme4cU4CcEM0IJOhqxXyZisfhp/g/hoDn+KJEydwac35rf Oy2qs6FPDOi04kIuIdXmHYPu0XVYfaEfgp5q34yqm16HdKhA/WmhAYBPDdg9bKapVPchvrCr1xVc W952d+Hm+61WntD7Lo9mLUBhfV30aYFAY1s1pG4Z2yjcwdgZMNymB7WvqUqnIfQQOjW4JIhQ9zlM Gu9XJK2ve0cMIjeeiWcpmdrMJJyZ79dIJhT/Gg4nrJxsXvJKgpLWSZuQOtXy2I1ar12NBglmq7N5 +135oM+fraAYGTHnZlZMU2dKz722+mNcEVpiqyqXPaqkACaGqego4i9xuoxo+Ka132oQIp9NAtnG aXIzWsYrNj24hDkYIO/T85gw/zOAfSRhmT8OhJ+W1hkLU1keHfnKENtfi7setLuZXvi5ItW1ROMQ 97zAM1t8YU6wWAFmXF9xGdj52R7TFQfhEjo0a87td/CCwr98+SPe2PBLSqUU7L8aVbiTx7qFbZdz xaR0EL5XDie5a65QnldLe+oR7fm7Kso98+ZpKBm28AkGsGzSNBZqDLGy3+ZTXUlAuuiYK4mNUPfE wQPV9iVPuDePZKsWU0H0Bpz40nyMcxt3XbI+xhkScrYybyh4hy/rjikexAx5wG8bDEDcRk2V8cyO wGOIYnFV57t2gvf3q8h2SGsgccnY3iS47nU1340mLZ/oqkgp6aeIBdcoI15+cMivVL5nsRgU1CKh jx8cZB/PS1jiZUeLyn0pxpPbJ7yS7kEwgAcPFg8SqYQn534NfD+wvodhfrbHJR5Dclh9gXfonmeT 7ys6i7QHGUsYRRj0j1Bun4EfbE8Y49uf+EAqx55YhVtqfuq2N8npghVMmH2aBAJ75oh68poQYXEw H4WEza8RNpEOqESoypHoGdisoz6XUBh2YI++LC6QHYsae01jxTJOhD8Ptoh9sWyjmzylByK/XNbZ 5hAYUykp9XuY24J+RxOeIUD/ws16wlaGZ+ovcyfHXLvkFPzo6/0Z2wN7EY3KmoAfjEnEGiB4fa/n X2P8M9CqDg7DepAwoZ9YkXq7McQJy8VoWeehxElGO6u4eo7gPoX5ZVxj6NIXyCgXUbk8gAZyJ8V1 V9vNQcx9ys/2zFgela0Q4T+iX/oIogccnfzMo6ZpfljCOpvGT8sQKPuUvOuJQIcPfk72CbLp3bxT GSPBoHjWkypFUTCf4GuJ9ouOWGLHf1Qp7ZEAF4hl7rztL/TiXaFRXwJuWG/jzUudxCFp6JoCflYl GYIk1eqF5mRxWIfV8bB+M+0bGsjuTdFtezBuZ0r/2KJnpc5uWdMtIjkrydt3KJvXsTYoemtJQguV 77m+Om9zQXv0HkloU8lTDs9DczvCM5zDyflKgXuH/YZD45cud+4sC0QvwN/Oupskgpgb/+lGGTzc KHrhkNsDH7vMvJHSC1V1aXUkmyXHWDjZ00jl1th902ih+BGc6fja7w/72Pw+O74Iw5sTxV+IHRAb Tt3hvRAtuK2Fi5Yoeb4Un8ZRkl1JTklbr9m/t6HhAhbjSj4vPkTofSyMI844atVUHKzO4dJ3dSWm AExCI54bvliFl6m8docw3OSyW4iFy0e/FZC5E94q2lRrBtEZV3QeCWpLbpdCAxLadmRla9uBALEq ZlNHxzMAM5A/jM0Kc5UXf/z+zzGMIdbMwiLi3hzWZx0NCQpdouoOTy7TOnO/Cbv5v+fDHUBca7bj PYEU4HhgHvcSj63jeGtFccM71aDtDmQAX2J1+OkNj4dvWXD+6WlGGX5YMc6co2dtpHMeX/10QkbE kiuNYCE7TsVWFB8fbWZEzF9mcaV/bR4pndU48FTIYW7Kr5QmBATzJy0m0D2Vd6YtekC0RE8YpLrO ItR5KdoOfp0L0sHpM/KrWW8Te4KeqfXVJ6dI0IUpKyNgvk+nHJhN72Z2RtGnbl7xj91PcOa6Rxve MaWBwxtW8O/Ky7mR1yNi21HJFBCmmR1fxP0LPfEvICvtge3TQACt9yCrMGMOs5ww3o0PNheizWLe X6BAVpgPw21WMTAyWSPmkAQZAl4oEgelsp1tG7/ydsJfujOyHUH7bgLoHFyqxYOVDZHX5KvwQ/Yr V9sajzN8dA5pP4df/ia7j1DdwpEnTEQnO1PjrQC9KSHemENwbVnslOSmsznYTfUWFFdVw8dKXAlR RRWoCsr/eJ9dhHdgEJxb2vUmO4nesUsaj6uLKJ5I8oPocg++cQFJP4q7jaMgq8XqppQSAB9D+cq8 Hog8/X48HBR+FRWaMo6wCTCpbr54+3dji0ipqFDfn7xZsEqgebdM8V6dJOcV2q4AoR6a6fpnr6d2 EpqJ+67+dFN2JLjFFr6oDsUTWcoimN+OxothSnQfr2Cz8jWJVdTv3mUnuKRRGMbJ/pjgtMS2Vhrq QlXoQ5Se6jhCNqz7nRF7blINl8UwdMuBgeBcCk/0g4ZksJgfkWSXvP1kgK/iUhn2SRvgGzixqQKq SgVxI0uYjLKatoursq90yIRR1qzy+/wg4sXftHsxP4qQ4x/8TCBlimRrem5DrONyqgA7FOd/67IP AnB0VrXoDGZ6TACAOfMFc1vieHpi+w9c9G2zbh/1ePLvszc7/u04m086fKpHvGfkfZp+cxG03mxd 7GZQoEYkGjyXwAVz4qQ4doke/y5GGHSxRKPhU+UK2iFmQOWKqRua0/h557mr2W5a3GFMFMFjeIZA EZxb3v/3sq5DSvLQFrWPZWjKUkSU0SRJlMw1J/8DR1rnZh3XHIenot+Qmz2ZyIZPOp8Mvzv9xmaE 58/8VefPWkI5sfZ395y08GDDrnXkAPzFmGhMQm0k5PufsgpN6ft0L76myGLmW8mmjDXlpWB4ALSR ErX5A35oIAl8KN6eFob+7Vmq72umTiB+0Xa5aV5ejx+bS1NWqVbaFC+xzd0vcj7fUcP/rAtdSuB/ IgcL4ZlTxC9bPjfpEJ2JDWtaEi8AGBvkwIZ1gT1pqhZZSlK5aqH07qYfZ/v3Iu2qU3iDHBK6MLfc Yu5ocIyZVUEYYCNO+rjF9C5/BY0Hf9iLFGyRJnvupjUSiUllAaOTJfwKxcY2fBjT1Ke8vbjDOjwm ZI4EFfXazl1B0zs0g1JswPgMZWX5aSprNv3Dj4FTKftffaa2BbKC1SBkRDHXBbUYKGnzYjYHhnpY P6OZa25ImO/g1BwlWRJaxcD4mRIeWjsnFOz7Ei5rPioZLsk/8f9VhW82crO6ImHjpX/CyH8yv+V7 q0YFKIT4bSG32aYoOZ8FGHDRP+RHwX5yyPGY3SL6Gh9d5raWhUrpPUOvtTi6LJ9FhJgLT4p8cQQq AJbx59jfX8RwrdJTGyjapVH2AX+5YnryMpbOowa49g3B/bGSDd8TRQpbP8pWmLCWq+1ZcnbvA896 Qebs2C2IL9ihegSf4g17wpFT0C0MPGwh4vaWWM8JLywKMH8Y5IChuIgKzUsS8x06U/kzGJbE9AkD 6FTW5wnr+L500laNfsFxKmBSDSHGSCmI/upatHHb6MOwzKm6tFoMW52OhKcDXA733hUe3EjNpVZL eZPIRqpbYPmYxjNwAScJ8I33jna5Z6c7aP1McH6nZ8r1a3wulKXOR2HlvddAQ1eBnA/stk0nZKb7 ItB/m00NM2DdcPCdt2AsSLeUYyzf5ElF4R+xvPjt0qd24ihJTGF7NyA6HVNuzbel8kGt81rg5zav sXg+OIlTFLOkYtRVD5jc2KgKoQsND6l+7thcyDYfh8Okz9e8SrzXqaC4T0QyX8xqJxcr3H6k7FgV rSDNhAFDh7go9prkjM8vh4edlDdRe68TYOp555G8Bmv8yMvsdmguF+68qOp8fafh3YJW8LUKQInO ZujGssFqRe5WbiE3CGJKNKyomEC4fR2c+oPIsck+c60+i5jT6SW8tLOZ7SyCu8Uw+nu4+8yeay/4 InA9lTmva3QfO/+02KOtCXiqlAJNEyNKGP46iDGVKzEqQHrikqksHY7uACqGuO9VF71Awp7RyybJ i41F4BJxGbqyj01er1UYu7ea147B8RY2FMuEJIYQmqui/SG1mkZcZ3Cm+avby+oOQ12di9GezgMp P5ZSVEfk9hVDTePhIczEmWej2lf4Wz3LVvsegaCoqkMF+OPMmCIroxgVYpb7msAc5yjrOZacoZTP wlD+ucvfeo/J7hKUsEmo4TcihK6p31UljlN9aVhgYgqh0oXYnJwV1Fe9BqNRmN/GJBmcz+cE53Od 8xahim3dHaxjN6HjKzCInNksAjLPc31iw+XyfO3bRp/82sZ6psLOJXKbVqZeMjrQ0Wheah6RlpdF 95ZwCKjpBi3U8X06RmzXu+utMCX/mRbxxLwKbD+Im7YvH8qgXGhKt9U0TsSAr4OTQzTXjGWX4PhK 1888QxuII4s5I+w5I+KrvbBaDTTLg7fXX31FVb8J0/2k7LDaRQXvr/f7JUV5on3W8dgu2SYSjOl8 c9vpwpffQKV26pzVvPCVMiSv0P56RAYWvzI7VfTrmHb+/NUQxDg0qCBfbEdgdasoOvkbYTca6Ujv dDM8ZZeEgQ1QoJjmBmLjZbgPathd7KyJDIUujbrgtdydzoZOgB36BExh6osTIHRll6G3L6mojGSA nJPfq+2rZvC4KSeKeq30IUaACkXVfM3Jxgopl+YMNkM/0REYHk+a9/aYJit8lfuc6jeAg49sFCTF 5XiBvU0mvVyrlb4W3BLZaXXN6TZtxF+2rmitie61XJ3O52bcSn2FqpOrcbNNKosCufjwT9W8DcPR i5vuBtdO0pFDFzNImknCxw8Si2j2AGE3QLqKSfyAqoEmSEM3MAANzU69IDwT97wuqySWqXXUifwx FPZMQKT/iokcoR0gSYlz18bvH4tii9wg/hRxIcW+fl9gSTzMYgZkzM/B5FTydGDMc8QcuVx9dSvN 4mu/lokYnt5rGwP5zpF7cjRG8agl6RHtt6NUuW4X4ostQ2/2MJHMczhklZCCiZfpMDQGCYm7n6xs 12tlK97TV0293eStnqQueua+UaiwDKjjwNrDUxVf43vG9BPCg/kqziyFYTPaQSoXJve9d/ZbhaLk S3vCM0XsYqSTHSyD2cp69rouvDtYPWqFcURpzzSvPLRV4xHS02J7QujzWNYxPN0VEPjkQud0vT6J xka+ZmLxNek8SxTxWYBmb5tJMvVtyeQruS+neluU2wTGgTIrLbRyAgQFaswqlFI+rC6DHUbrOSQv Vf0DO5CEqoyt6nhKawpdGUpCltIotY3e8GkyFiqUEdJV4FsQvG60GnWpVLm9EHkweUMNzVaOx001 84SOmZP5gfMiVc6ip6tZshZjYsxKw7juHA+zTjA1djK9MQTr456m9SpY2zXnpv3G8T0D0l/JtPsv baE5GOvQD/GxoxXLzHw5WhWZAGtHqNQAJftD0053MY3X+ObZlElAUddQjVgFro7IEmieVhCmdXk5 FWG32D5nvfVK8p7dkoi8v7ETFFjfT5mm7Nuu/HXpUJfydfCgI9Fx18y6zIFe+TCvGbU9k0h1RsLs RpUBbaMnDy/dxuuVddhTUVTwkrbzxDL87zWjjpKtdv8OUT060Vd9b9zaDkFKRoQ58hZiR1GzVyhn w/Kc2FCScQI9+nXqszPgRSaRgSxtVznyooej0d0Gk0F359OnrbwfhwWZJZcWAXX0WNwu5ORDA2pa R8NuL7hoBwnAHZJBROfJewqdqI/ZOSRFrHDMCAInaRI1PbIjsonpJESJgU+ZsSbL57nr884oD0Re UaWfDuA5nzUW+8seVAFv9IkhZMM1E9v/a/naISCBvYvqoRGD1aweSacdXpmCpA0wBRg+xzvvSiWK Idg8yms70wBZem2uXT1OYXHjhQ6eC3OJdneGXHJmg59qfwDz8solqA3Gze8ZLOlyVXvlZJUo1BKe VKAiwur1LrtdqdJhrvGds44aU7h/Tgo11lo2Xya8iHTJHIXirL+00lsG1c1FkvwL13pBOfWNH3X0 uVo56NAwayuJFdfF9UAm4PVj+jEHbO7BDWegpTQhDUOouBa69qRPG0q06G3qtUrt/PJe4KL/isZr EfpCJzRj5c1k4vpw0DEdi9apFj16hDS3VYZpObx+Ldx/s/R6PhYX37P0y+K8i7UV+/ID+r3LCTW0 Kkkx3S5z2lKzSGCvmxEdGFKHA15iodaY9rNyKikO8XPCjQsLMYRscMh2zHk1Ymp+/hjNe2Ax8ksJ FiiN1OFOjhSh1K9u3cmzIm1PHm/NVYRKC0lDtUZ7N/xyFSLwxjm2i98MfnIIsE4sNCRamb9XxlyZ JEK4fb1ix1eHUk7XX1W0xdTNzCrpXpGKazYm7homyZG0TNiOihtpODghiW/5O/M7mz/vYS/37EDD t7ktmV0QrIZNZrAkmmQnPz2Bz7NFfDwO4qzermDGunh0DLUaCLGvy9nThatzNKqwRxeyfXerwPFW NivqE7esm7CYqEmCX6kSu4vjH0xujahgW7XLg9g0rRsYhn/1zrr+k4MBtKnupBR9yMFDm9qCkoyr EYz9kthkplZT3fr1NahRLuPfIb1hgi5Z9LYTFRtFtzlVwQ6CuhumwijxvhpLRVQiUSo6iwWmh4ex RFjb4nvV2Wcc6n+/IGI/gOenSBCeFldE/miaJThN5WQ0nZbIqpNtgiI+BnBPosc8N2szqH5cV8IQ 9iMf99WDSN5QJTcYIh2Pi6PKdoUXoXhGgD63653dqSpzEAXf1CytsDkrINK97ELRBdXAutKvU3Rk CLS7XzyWK5BV+sds/3R63IYsIlx+/GjwDKADUYoetBlDqPVYFbJ0UDWV4lHlM80i3PFN4Ihvl2L+ x9GeDAqVO9JQDfBwCgtl5lt/hFiYxH9PbH+3/3gK22mTm23fuqoyotswvvKl03ut3PoBasfk2Zpn O2GHA7IyoTKIijhNPDmGrAsrNadAGd531ll7DyjrcEJ9TCascdgy5Ix+ZD2PhHUmrbgJuooSIa29 R6O23iwikgHJiCceClPPs0/NisCrE1rjzcYS//Bd64GxRMIdcm14+45d7upizVnv8YdZWB08ZFTA PGYnh9xLFDJYFODbK1xrQZ2iywLdVjF+BpYkcjqxG3v24+Bovr3CvjN99iJkpveZCYenirwRa2Ea 03NUMkmfmDa/XtESnd6y4+RXXR3MU5moAsmnoPFypzimQsvUnXUrQPPJ07T79A6wGhx4ly7FJe6H JEx2+ruTd7UxaJGcwjCbNAua5DgwQrzMDA7M0kxf5y+phXyB1BXXLFNi54SCgKcaBRfz6P4AlyTH PrhGTKz57RlnNqcqnCy9gvEqGTuXOv8S73xVXGKhFeZnO9OLX49aNpsHsw/g2yzd95Q7Nyzb4W6X mxebhO388qn55MePIrjDWf+h9Aoq9K9ggAwCh+eAfAH2YleSKDDvvYmdczaM+s/VO/AzlEaK7wQG j/HgWRYJKGPleWC0oElPFIL55EWdSl1CX7USvOTh1FNh2x5j/3WGP+8LfBrUNdSy3c4Ua7R2Z1zk 6YNeewWmyoTh4+0vLZ6cxKcmo92PyKcNVDbo5UupuTxKbxC/b3qc3ae3JCHmmhxfTJ7+s+kDX48r uVK9oK2BhQPIiziGReeSoqlRLrgMLprA6C3+3+TN6qvG0XVtIcfQdlLxsisMHGfrGgyJ7MkKNtLh 9zzH+uuNCUWnbO73xwI3Wxi2tuqYgF0OYRO5uUxp+spuCtOy6W5WBZSRtyqR+IzxS4cZCsTb2XDA 2AURqDEFP3qSb7JZQqO1nbs2QmWIbRe/Y13zisS3f5Ymxjt0hZDVIiAp+4pGcyQPPN8WHwea0XeC Cy3k/oU1qAcUHrx7M8whAr160qdNOl6HkOMRRcsWAPPCzMGKM3hP2ii0V90JLqGo5enCK/sbgsb1 l+MTC/kSrq4L25pjs4/lVZVBEXxGcaqRaVj/1K68/KvWxoZ5rbDvO1SgiVwlcwt+2rtj7Ol3uF0f XKHl3B+HTWBkKWUsNb/dh4uATcRT0WGyy8cThIviFEPpLkLFM1jyrSHdZWwb6reFnhdTEvZZS2jL +XlqPAxnec+6lP1mUnR6RVbH6OCdSZr4OO5ib/d3qRSCWMJhSpLpKwXiVPYCS3IjbLhHqa+Zr8pu a7MIdebKs6XhSzx/oSldkyCR+r3Qvf+VRBS0xE9dFuagW1fF89+/AnUVk2c0pYuDKPmwOO5/SC5q 4exVOl6TpmnLi/hQb/Qo4bKlGKjGML+lIcHLYHFYZr7m/rzHuy6Dhvz81P9DWr4bFd03puiHHh4V CBu8e37Y/+xlrUjqbdk/uQKTrxLykjINnoTkWsF+g+/+WHbYKUs0iY0u/SmYpxC7EE1BIzt7p1f2 z3kHSnpfo63ZbKtwLu4ZVR09S0ArJWb/sjtLpf5aKrVprpfY8nLxUwlGxxo6t16sM8eIOAujavt+ zcilnznAZdpd00sUTWIAiHO/MTc7PjUNVrR1u2cb8KwfvQ7/n6IuHziTMWScVhUB/IiwqqxPMf8o PYTB//j/Y+Gkza4FpkSSyz7+11hIKLvTukvnm/oXOCaA0V9C8a5sBCWrxossFlYsN5g7Iie2zA/O /hEbYaFMxevPzv9P5gsgd1mMuuEhkqQrpCR6Kfb18292snl5NN7tgsnVRPW/WXwIjXw8BCjeICPh vofOdZrnzzq8kkZAo/8HTVY2Rl0EAcQZ4zhHC1pGByv1x1IpWiwaybz20KJdYet/PzpDI4ZUWOuH Mh4CqcJuQIhwhcbPZebaEAsBzNF6aBnzFsPIiVMmJXDJJ1ixzrF9dulUMIeiwsbL/6vK3oEq98HR DYbSFGf73xnPjhditb/vArXvzTvaMKFs/4e7GxbQ4wvl+2HX/GIwJajV8KUHc+adQD6Giz1E37ap pkDYn1CbCKP3EX5U7bqVxI6mHjHShJXbBEfJ2GY6MRGjDuhQWQIdann5cyjvIwlMWOtfK7qkJb3C Gq4GdvGNbb+WR4uaGPOmz7JQffWK0njcI5bdQtf8zkYSPKbrKxfwUCdBoLUE4fJIy6xnxHHAQwcN CLlBYBLWPVXb/VfrjcKJ2ZxIrZdXzBTWF2gfDNqYlxQBC0/OJFs85OWsuAuE6IiE7D2Yz+p0LXi+ 1rzWOraVck0EmBFXDb3oRHT1biICL1ZAro3C/HS1Ct3ugaM0S4nL0i5ppPFpYfHA49sRBcZ78mFp xQ5hyfzcZDoLJdcyAHHj9RB89qJDzs8wVD1vaBafF8WBz09sp4GjENC+jAA2LHU2oTjq3LynGik9 UyzFT0s0/z36+kc8bHhycxXL4F7icJgFuddgANCTLRXnFkTWR9w2kf6WB9Ng4zuOebExywsDbC9+ jqVeNmuQNl5ZgzLYGdt4AaXsA7OQZz+NfwrJjKzP5YxU0CrrneaWdB9G+NhqhJA3Fn+XYMY+ldSc Mmac1yM6PWPOfj8ekRwOHmhNe1/xhvMApM1fhkC9EplWLK4SqiYqpXGG9rrVcBQw7EdVVPOAMYRF xUKNeFI2GBL8xqlG3mxx0qyiAwEMwtjB9X0qWeGkPWzy4LHwRvfBgWvUcMwfA+2W/YjqzHSqrfnU PAlaSbbKeT3Y9iMdSi0wSpuC0PTMl6ZRxdJaWfMaqKM0Xe+QWxaZi2tVzCRaopjzZbg3TgnbWy1C J/ErXUdpel4o010VCAZxeskQYZm8oQik519VZGcrA9bT9qxAohN6GiEKylO44TikY8TQLoMFP4EV +1clMcbpf+GxTaNAMljxaO4TccIDS31FwY/o8WDLZ4ikrzqMYJQ0+elbr/78JSbUtYM1w8BM4AJE 8+NNA/1l/KmRtDKzkMdOYAlhSRx+KO2KEb3ew6xyXNyke57k/GqCErLPKSN/oFPh/a1ZKu5c0Brg Way/UanMNa1go0jO1s9GFpD2D8BDZePnj2PdjmfdVLmBr9SUK66cB2URO0zPjn8GRQ8nZGnipYF/ a0foAhREtGbeKIth3OgOq42I90x12gbOLxfETSCl5E74ZORUQsr42TyMuwm6kARHYcSVFgJdwVBX RrCT4Q9J7QPSBrLwOMvBS/6jzHf3RVw4uxtj8T33XcNU8Uwq3SzizBh4Hk11MgGEomNp8iyz46xR DHBu3HuyQe6nVxLwonp3VyoyzWEZl2EwFH0EzVMqRqRf9gyjXWDWvsodgYX2tC2osyvXgQYpqvzV M5Prgng+CnfxLfVsJ/bmqs3ZYwKSoymJZGB2HLB3ijnrkgQwVbodOIBsyoqy0oI0MZaB/y8UteCN 70CmbQ/UfZTtr3JZ2dZM7GXiaAztQ28jA2WUWbljb/fEHOu9tSyrGFbbxKZcaVjmH1qO5rBz/gpP eMEgpAL04uN/1Ey6tLZLFZH7u78EOjTBJsDe5BLB8fPfHAWPE9pzUW999CDvHJXuyU7m8mtJKlhU cn62W3YOgqyK07S9z1RNoC04rDbuLi7TB2Yh/n1ttEMrp0VdQBOLaz1SRHcf/gZVbs8TH9kQfGVi hcvDS4/ser7WTELK5aZKispdAUKRuYeU8eT/iSDAOrfr9iwdB2ENcqtYGK+D6Sq/s1Wil3Ss69sc 4amrVEhAPQfNb/zsDRPwzKelt7L+O8ILVFJaa3244/vHGYMpS8HjV6e+/58y54kOL4SOT72UsBfM CywC56dubVI0FuLiCUh1ktBCvr8EbFRle/5G+8w1o79KOJ6gZU0j3JZIM6Mg9ahMgEEpSI8HjKPz XYQYrX+gBPg1uu6SCwO5MXZtvpMBcr75A/zCKPTuhMR+8HEXeSIdyZs+9x1Xlz87DNWvLJAbnTFU lslUHBgBsd5MIhlhkhq/Z/J66PXNjYavQqFr5s/gYt/5evdpisA1OHQmKyIYl9EPFeaCaE1sfASE zjrz2D39Obm5WT/7KEL1XlsAEyTkykS0TCnKVGLMklGVvJ7flC4O+Mf1637ApOpaOwgSzXkCq1LL oK2QRn7mukzB8aiWxw7+hFQWPiSFmSz2KJbqOTPc5/GPnJtJ0j6qw4vMEP6zVGGomNr7X8CdcAAV i09CNG41TWoeOa4igZ717jpRoCHaFq4WeCui61rPctlVV+BS/EjEhAOOB7aw/0VzHnKXqrZRv8Cv E0ZvMYbj7bAA5OxvhPjA5IGreDXlQr4OBPHtPDf+FLb2O3uwUZTEls4FTMxPnXB4MTTQB4TCVB+x HA1HJE6IfJb48FKtlWXIBJc0stkVl30sVbBVDAaylJaxboVaiwdmYjvdmygpDPT+wGe8ptCdylU5 FOxIHShoG6YrZEvVyNKMRX7XlfKSg8E0zNQoDoealM8O56WTHgK5feZjUdqVMTtH1+qBXty5vboy iJLfmfPBYubH68yw1+bnWlifNasLC4lX5/oKphMcGcgj+b0l4fD/NNc4a7VvTs3zMGkyyDvx2YAc wmQXkhxvk5eFl1MA4KR2Zj/nIAa9u0WVuD23XDFnXXVTKBA7zdtfupIJGqK7XSTMQyGgxAFTBOWE AIAdKF/Ou++GCqpt77819veIOfD3xEVmAuZMoQ3wP3LAbkUc2xYFQC2JC0ptlIia21oxdqqq9zU/ hAdfe2EpzumhPrbTTgLFc+QsEfjsVmax096N/PN/G49IDb+0qiaYO8W5GPxd6PtGPreXeV+km63x 8yT6WUWm0BpzJakYcCBxkSXc+RQPm3+5vLTwm4lqdmtYzCIMvC3R8y7z/QxSby2rloeec64OSBsA 9OSfLBGchn/PLglavh0XwO03ne6k8MBLT60boM5rRYd7axuqc0sUGj9XkfyhJHDr6tNH1a388uhq riP0qiFPHxu/jpES0OFZCKA+2oBbimVre1KVbk31emIw/0Bid+8Lmt+rCedgmlk9qSe9HqqxtASl k/ZKncTGjFrhypsdAUsm6momqE09inLYv9szRldVWOipix0wewoz9mhCJyGJKUYhWxC0lfqGL353 R1EWuqzoeufDDKKkIkTCDIyNdogCOYa6zUciZIIjUWima+XsiO+tmWg807dLGPOg+dU/j8Gpx2k5 OrgzKOiYFRWs/h4jnDOhw+hEmAuGfiPPSocWIspzR7qwsRGm2H2Gr67qn4LaAMeQu2LAcR7HqcyA NDsA/9e71AtObagjxbEha86RJvWmAxIPqOwBryLOguWTaaORvwRnxFsMqaLHBi1W8IfX/7iwP0NR pa8dQvYgDpx3Og88gC7kpp7BPnX0WVAQYtol9xuOoBFdJKWicFVeKahXn97i+/i6Plenp4jKOMWb 6vk9/9i5LZmR8WjEHVAzyw0G03JG5X8GgR6kazqwJArL5maoQbdWvQktoWfMeTyGL+33QBZmXTZw K2qGHuduoqPFBEhxAOlW7T/KyluYn3e2moaXszp/VzPgc8qvtpLnkbbgKs7Pbvw2Oz0LhPkUNS13 OI0uqFro7vSB+dzLO8eH0eL1gQfz/Xy/t8/xjbK4A5dMyAVM3VtHj7UWmTCphXKlXXZ6e9Xhlo3Z eLYBlzIs1PHz7gU+tvXf/R1B6rWgzw+vC1ZnnM1+hEydxMYaoBrzcJ2hCzFy27LxwVblSS0eG+Wy /P/17EqB8s9iwPaYb4Xkpp/cP/He3pPmMYek9gV2awnKBlDP/AUWmqsETWb2E1ZcOJDeQOb4YLpP NdMjdBj/saHZqI6Nnxtk96mAMLefuDGeiC2wrBZGNEVQhztxkXTaRexY5MLFaiXRpVbIJx4iO54m 3dDaFKTTlrA5/Rv/O6xL8uU5HzdeEGbtYXIKlFCOgPPYYh53tVMFVdQ0jKa49yS1oEm09Hnoks8z XeHXxe1bxBRsbc43qrBmpiLaJyUz0UvfmpOivclLDs1IuZFD8o7iJzxsTaUYtqLZ9mKC8yTeMZSV zr8gsTl4kST0K0SM7QTB1LyE8p4fy3eIBHlfCJQN4BD3dVqyQ6VwgjoerU1HDKOgTCWbEQWJitL9 9oakIsgH1fqmR/0FVXnhbVuLmhs0A0a4rOa887vUf8w8qqxUypqo3W4BqmlEqQNvg7zFCsb3QATs rl9cwj3qrjLA6j8yE6Tn9A/X9Vtf2Eb/TL5w23oAwb4vOpco3stK+AQyRWO7LZq0EAD+JxIwIizN 2cCwpC76thTy75AhkuRrF54W+7JTdV55BPLT4TPPzob65dNPzDOyuhxGxy7s7TI7r+MaLaSEMJom V0Ecxpxz0MDNazt90yUfuSwG4PLR4b0TbzbllA5uVP63/Egj3NsIYBa3v6S51QUdSXq44mmg3g7l pV4WPfkkuMMpS13LRTf5UW0wWFHXpmtm1soKWJmWY7Wa7hw706tHYox2kymkuqv9rULEDvBLrE/G 2sJwhCFtmnQDsEdT/q60rsSXQubBjcNpPi5xToscrTI/FAUtf62ZFjl0sMl8a7pC8XDrw8VMUekv 4OsiBXDVzgNDtyAq/dmVwDO+chl0JYXBzKlIMO6rxx8VjtqGu5GJ4CUzURPX7RHN53/yU8ohM3qi 0+6k7BgeDgpvScQqE4YfpQjUuImw73zyrhTP55ZOb81GWUxMeJ+VzcVeL947yu7aG5iHCIgSfjOK o53LTLhHFG/+Sr3Ui9br1aFqg6TapGvfHikUkLvpeWoYPk70MaMLLrC/8I0jy7Lnkwp38+zEK3RN qD2qLM+16bC2znf05vY11zuoC0R6c6wA313IFJfOVsRN0lciAv2j2EEt1224Pxjg/kJwQpc0TA+m h0kZ57r2qcjIsZSq5WSTOPtm8gL/fG53nXQkMvu10AZson6h62t1Lp7QZlq9pxMOiUvLTBCHp2Gt jbMNa2ynh9LqTMlW1lEDh4zXlN0WJsUk2LYYTFgVqrdwMOdT0Onl+N+OTdjlnc5vWdATn+krbcZQ KDKBdDioXiIcnk90Wuu65plTkSccvm/4qvgeJBdNnEi7xOE0QCftdaCz04GOvNMyA7XbrJ9mxOjp UtmQDeg2EktkpSZR5qXcKJMEo/Y2Lhx/rRd3/CHtR65VZAJ5pgDiGFY7IAS18/0cePmr5lWsPf2V sB4HWKRQkttWp70VpeFeI66pGr5f63xLWxZsMwQK2bnY2SywQWN8DfCKVQN7H3XVj/Z9FWtIwvii JuDkRbumpVMrDgwzd0W88k9agA250zjF8o60tqb7/FLH6ECpEu/Mz6776R1w0UFkkpdkPM9gnU19 5L1qb4qsgphuhWaqWuuLb2i1KjVXv4RMDV6uloa0fQSq2uaGPGXMac+7qEqYgMEoFR3v+odfYgti dBWT0a6FrVMikbxP7pfuvhFLtim/pfObho6dAqZ8K1FpLXgkaWIFLkVtLM4rVDeiMFW3FXiWI4dY /I9iCbURqHtNtRaXpMmad3T3wNLhczsc0BmffBIUPMN8O+VqXVg+Nf+xcvawJdu6MgmVUSydOvG/ 9JlVfCn+BeyIZHsnge/jyHzPgGLvhz153SYJLk3l+0WWCMysVSiP2Mh+1Cx720CsMrMizkjqM7x2 W40Sxoa18efWfo4CkfsykNWPkIB4SwVQmX97yOO3WDptxFS00Jcw/OnYtx4PKMW/Ff0I/yz2nusq hAsOOnXYhuMKXBA+IREM9ch6P+Qxhaagvc4l8PpS16WE0aMMMPEdJ7IVmCObbfEz5DVpnUgjmeer C/Ik96PQmIieES290o1DvfHHeMwlA518I3AEbAsBED0n91iBPGNT+JyWwTKEcvYYXmCP8Z8IR1tZ ZWgRnvsr8ZXKuo79cetzI9f9KOe1R2we4GiyDAQQbXI7JoesDfjfJFvtLkfsoKJIU7LzMVRHiKJn mWZPzcz69TaxyYhSl/9Dh2TT3nFD1fLaPvgumEWN+Qya4Md+TjvT2KN3C1t4sH1Ns1oV+N98O47M 3R9rO5W+MuxHcHvVFAQgqN6HCWf3ZYOe5sR//hY0uJiFWdN9QA2LNP2TNiiHhI0KNHo+SNZQ3JeD OHEorkdQSUZlw7mk0oDhLyJMvqQKuIizPPE1Js5sN1lct5YfS7HEoiTu8L/CAjVBotUctfIuff3O sl4+kYCfXcchCmuhXjOMhhm0olPFcRWCRNpapyJ1PEoTLvey29z33khUaffEH03BwbgGKriwEtBC wI9/xQEgxoN57kxx4gDm9NFz/O1y/H+VUfMiyEvqU5GGxbZaFdex+qkT1aAuAzCt/ayTqfi5/aPC hHTfbpp7pvFX0GHTKir870XGqNq+NpHdkUvfVYyeIeS7dc6jJYJsWuPFUJzG6bIDR0/F/DnETbCP hBB68m2JnrbazVj/zhAYCa2/0VHxKJ5Wdd+1e2hKrM3dmKP6LdceGQ7bKdQxGnNpgVi+kjjwCfjg lEkdb9TCuT6syuZCZvcqMdUziDtulMB5kJEQnLQ8kDk8DpzVgXY/eaUpvLjp8yPHRkZG55V/C/8D ySPvlOXsUkjZlKjBDsbEUfAcMNl2EymtpNdv+veesC8o/GjqjCRoC2o6m5X5S9r2WL3NrhD26e5q LRwz5Sona4x8b4ZGcHJ7WQ5N3ZW7LjwPTMBo9T8ByQCnEl2GH5VfklE6flpfBxiaKZGD8LlGVMWS OVoByIG2+Eg/FsA5RgMOF3O/dO/x811G2AqUK8aW/7bxuxabqLJo4a/dNTBGoRIykBHyWLYf8F7C K3rJ1MvsGOkU5fRFu/kr1SaeMyrwKCHSRFtquhYUdpkYxI6RUAqqS/UyyyRVtN6gCGcsN4rbSYA8 EeYutWuZeHvQeYfhkX75zOgtlFrHqXerGIIw9JZnWfQ+DskixPVtOvnutdUcVD0CKsr8L20QcvMy LxWZ6njbbXSIJm2H0AePWrvEh1I86NQc25bg79/MylIumOwzWJJ5mb+YnIEll1FM/C+jDI3i4hn8 2zyJIV8g2cx4TzxPjiOYM/xjp9BrHKtCRd83rEY0BbRRK/svZ0msPE0NpvNhryZ/lToJQ7Ngzbes or+i2C8DdaQi6OJSke7hkFAGXmHcCRXVX4Mc4a55iF5MbeOjDRNiuMgdGthLZ1zIJ6DXF8U5H/oZ rZOqenmUDiTFHmd9TiD0hnueFFSRilC5mbu+YQEKLE+1GudwwydobeSHUWPrfRvOynZ36i6yqAtd Ln7C3uND+cmxyMXFTbkDxyCSQIX3n+eFp3rCXXQ1agt+ksSY9TqX/auAUncQreycFpvqq1Y/wY5Z fw287hU2Bf5tjSEYDfy51aO6CBuMmW3qsrbSp17hL8naZFcBzFGrVo3PshlYFEt7VB0yO8bXa20N 7q7krOSR9P/v9L7beeOB/Kai+ribfUyYnGWXUMVN323DTDNar5g8JjXCDMAFxSbpGiIN7KDc3AaN 2UxeHULpWk0bNNiRsX8gobis/MDd8YrJl2LQ6kbquTzkTrin6mj97esHDYQPKZcQPJbAvJ7auqO+ 3W0XohXHJYNW5uz1dPNxRTjUtHAeuhp7XnZeivuc2drhcCiiRLgc+EVEcFTh0BmfSVa6qBPFVZ1V eMQGseCy6bTBNxCgdElCN1syF4bGFe71e400osS9mSmWgTWlysryOE1RzmMbDvXNRbkKM0tcx/5q qUdWSTzeCFrUgdQfrNysjqqcdM8yKSzx1b6ZnA9yWpSzth78xDxAeMCC1neCufebnYapZpTlaLmM d4JVm7xLOwJLR9QJxe9vu6AWf5ohb9yZfkXAS6jC/rS7QgJaZkRBIjJw7N8zrmhaTWz0onvyKfYH VK47daJix8PG84I41hD7uBY0xid25oexI6ETf6vvfD13+IRBszxpSw1EZmwubHgvtbIDkbpqcF3H lfzYPuROJ4wseppKUX31+E/ZvYmzLVv2WoS1utDj4nWcXPiMgVroVDADOjzQN8ovoCU2ulODT/NK H/qL7ybfOOOLcZiTSzj1m+Yw/eAKVTWVrPMDRfIi348tUe1V7RZ8tWgRtOYtw5gYcYPLW2njNt3W MzICtSIeIgHvv0FoM/YkZXu1KMlFC7Z+rvl7Rwt+kXf/vWJjbIcoFUsSDns2gW8n3JK+xCpP4AZu 1srr1cv9nmOvJ9FfFIi7htAuxGBnj/nPcDTYnAQpa/skYzm4YodBWCeiRf7C4ZjN31OBrAlpJOpg 6E7LBq/3XfcxPPBxrWQVwixu4ZM8ObIKxMEQlaA9YvvDSPZSvHXKqRdQ7l6/vJ9OM0g+Fouccc7i ek/gKc6mU8eZyzzPrJWguQHx2YD5oYzRwXy1WF6l3n/lCjYUypxP4coJ+Qw7XrY7Ge1rBoenKZ0L FgSH+BPnSJgfjX9tH1SY+9gD+5ZVI2lW+mpQhTkaMAs2AbgByX6DbnocUIT0ESyJyf/bxtrBGsc2 TyNqpUSCTmVbCBQ9Yl3m+bou2mJn3H4fMknJst048iNPYJ72Qc3fg/hB70w/s5iPF3q45lhvV1G9 SdvmDu9BI3TTZcBSzh+3iCVGK+9XrnKBtV9x+BqerwiG2/Rjp0qpnpbQ5kHCOGecDEtIdSqVxfwg bBo0qZhRzNwY7mPfIRkRl/Ve8h06N31gg0WKXVwPdGmfXraoxh0I8Ms9Tn12aAqiq7gpu7t8Iu8A +6cs7orytafsyob7iMOpHVZc4uTssXGsxBcANV7SERRgruSsyP+1pI3uSBYatB2Mjdos2GYN7Oa/ dAoG429EQDQUZto1OocOkzIb2aG4JEgdremvj88SSSiDWI7hOFsN+ag5VYU0poSSB27JY5X4hbm0 eh0GT8Adjo+dVC67HXURONAwT8ZD77sg722hPNJi/KK6D1dkdONG4dNx2EXjak0m2r+vL/gWn4oV l+n6E3WrJ3pwA3rAS2m5Xg0CjzBhVgf4uevZA0oT1migw0BZu9WxBleyZPaYCvBDqox+NCbpqwp2 tv/ou26Ts7CqRc+Qqdb7FPdsqL1SxUTz14PzgZc0rp38ohjl/oVbeSpJNjiBrOPOuWgVG75jDpGO bXfbYjgK/qURJQF+rRi89bVlh7gbMLwDcSMRgpQVDM0dm8DGLAL4RdB2aAYoaiIK7J7rJrhSm7P+ NX8FoliKlLIfZBKl1UmW2FYEOfnRQLQ3wM3dJE6DESPZWNkgGmN94/k5SuMhJzIlbgReGad5dDjo A3n0pat06Nf4a9JeAktQHzYxqyWxcBZSeZcxMV15pfUjg8DdXmMB2z5Tb+V8zhmbLpH54Z7KmGsL ztYnEO0f+6cXoSX/eamEEro7bWEA2yWDX0EP0GwIen7NnFGYWOFgkwbv7dulcIIjpkJ51vYqyheB 9tXgoxZ4L0VwG0H8FnViBJOicVoMt7dFgZMCxXOCww4JPVfCCqkh8UmgudXIOd4mc+5+R05xJIux ZAtntB/REigE+qZ16sIkkR8y4JuoD+S2erpmCPUXWX5Y3V3pdym8V6oacoWwurR26hkpw3aDKczK kcsmS5YHNEIUmeIKWVeJbR4/5ULAvujsiry4wAwLvw+yp44kIdbj1iSvYtpuAnNrmWIeI5b5ygB2 nnsCPOqvqY9Rdc+OSRTlGueZfQ6x0Cns+RKLlilU7nuTk7sj1HHhAJJdnkPFCm2R3YwkeKS9XdMP gzlkQHp69toq25hnjRn5YMakSimP7BRfLu2HtYb56gfsH5j7gz8Yd3wbdb54X33es2s0EenXf4FP g433zAccz5ojACTbQLk6dGPVULhVSujQiC+f2TpLyncB5QcIkbsK7IwwILRDQBX4Jugd+m3Nlikc vrPH801uW5JiJrbpPNaePizMxO8HH5jVeOJrLSDBflO43NTT5lNjjsZw96aAvuzGg1KRVw6Ijrg/ pkuObc0SnlK4E3529n4GGGUO1Jlf9Vq5v054vs1w67CO1uIvqhfTMAyzKjwosWhAPkK+i7LvSQ6B GevSJCA9jyYAmn+0zAH7bJTpcSVoBVOMwkKuokDjYsZbVcRhyVH2gmz7q4gGO5J8Tqs0ZqVe1GSq /90WjTjuUa/9UtB+ZaVe/CYJoj8ewU3tFR9882a8qaoiLbavp+Fqx/mJcWnphRVQtbZQYwfhOvRL 7ToFVGVv2YJAf1duurvczJEApqv4ZpZLpR1QcIFwzjcmV58EMxsU03kvYP2PAH4R/gcSaSeAZ+vn PDZv2qD7dXDVJ83dLAczfxTEdu2tHKQAvqOJKVBS5Wl3fa4ZM+AZGrhFOR0HoIiqhbhcglt0p3WT KTceXF/Upd33EZtA72q8bUgiwV6lPjV4lCvgYJVdfio34zLmLSl3FpZqVraDHCytNnRA1wyXoduJ R/zyW4mK2uBM2eXjmHRJjpXb+wcEUC9ICQCWHaI7QyhT0UENl5jV+DtNilrSmakxWl8vWO1YEbYX oGbXkJHKo2uF7+eSLL714DCsOm6Ph6fLFGSDiWLlXCcAv6ltHSb9n8Xb2fpr0LkutSC/eHm4FQMp 1EKClDg2WtqAJK9UPBzYF7+v5Tiiu8Mhdf0QuMpzK+Omkq5js1RqKfLIvche5E/MMkKQgQxjJDK5 sxFSVZqMqhys/CRp5yTLIVqyDIive8UsKITiRtr7ITij95h0n82+rLOK9AvfDlEKdd6xkIMCGhBV gYrHSUYjD2daG/J7Bgj16HyzsWe/Ra9Ncks5f/LHur4wVzOK0uU22omkcMBfD/MN0gnMMHlEB6b9 tfiRV1dju9gubNQyQR2/FGunHLlAO9gId/rVgr/cz1+xKu3eFB5sl7xE/VsKEsQifK71XgeamcYF OWhvCa6l8blI7ktYoMoadQTOI08gXgInClYDowGLJDlIiHaKO4IlJXBdu0Ak3zB1FiJGuVUQceMY nRWEibHcNE9zVRLlwyJD5cjE4bZIgj0zoFqfiWqMD+iOhpEtTvzkytceCD6aKTtgt1XVcy2OeNN4 nJTU+vLLn+JJI+gMb+bfGs8a6xhaDxCoV1bzDvebgGGSCYTm15PGeKMh433AQTu0WukF7POToB8Z gxoMeU3NRW8VZnOLWQpJzHEHBTbTXY5NJYsK7TakMVZun92pj0uE7hM5Q8D9Hu5MYQqlIcnKHN7d 7z1oHP0qccgYOTkmBn9wrsaYV0L8QXfPEaRZalAFTYRr0RJAapucYQumMegXt3zlQYTqBV176fqC t8JxnO2mME9qvhAKIRAtziRJwJufA98Oi/da8ZCgyyk+w15KK3pnTK+EGyRAlCIAT9ZOReSSsOKF +CG0OrqIrOwA1RlLFc1aiOd1M0UshxEFk2nSdZk93NZazR6nJ3mwbR7Qntc6o1RCbA7hrCK2UkFB kN2vehYfkuQV03xZYdSDXrVpNoLDOkt00MzUI+UfmzQ84jTpz6fgDD3K2q+emaLr5y2wegjeQGWm bJj9bOruvK1F/hb/Cik4Srcd/7chDhGPCycFOIJEzeU3F8tf/jrmGQdbwb9VjuISTYhTja7wrdzn vF4Nvehc7pIv7wtaakFbmcETPXp/gDSRHiGFf/8Th9GSy4fCLjqrEhdBvHWBjwoYrtjRqRMqnyR4 DnEJuAIX7JgkORpENcf7LYBnY7dMnlELCn823TDUrhaGzmoL/sFPUO/cDPSZAKiXVN8CUZnBJ3uc bX7ijVyhiJpczVhya1JFAZ9gJx8qjPFW4ZL+obaEvrmE8VA3NBNiwIs7J5f3aByGWo0HBL5wWcSv qwDtzIwnLe04iX5euGc9AJqSyUmnSt0LzVOVQ2RPtovN6WeiPC96fFylYH0tDOjZygQO2BpdkHTv N5QRkhlCLrhMJfZhpSm+p5Bt3dvzylbWkWwrtRVISqwMcnR4sGjL2JIpuft5R0aCladYVZaqmB/5 R25CbxlCntN46T1zfMSn1r2xIuQHG2rOhcU1xYaUg102FEQbXd9MDGqWlNIw5xjlwRA4ThCJyJQg b3fslhb/26u3ZwcJ8NtgcZkIREFp+xobfHvKdCHsIbunI5ILfVUdOViSFshmVpLgLhLeZH0bvXIy XhxXtbq3QQuRZLVZTlFGY/RSNA+6qvEWYgX+aG1bp3uWMEMbl1ybHb91OOJyULb0/MHvowzNqXZG vfzJtYfgACV2al1j3GIzAswB3e6Ref7tzipkoQ9v5hJ/x/AURh39G43I5RGg0s7O8xqS55MOcyHY 5W0diqOoFi2pyh2btG+g7s+yZx4buHNzXFObCx8MI4axM+5i6jWpJngkbjj8mVSV32iO4p182W0m zPCjZ/8ojKIMnv7+gveitcuHmN5qbA7H6ec+SxuOrr7QMqOBlldMWlHp+3MhCDNc55o5i3Zu2eI+ L7I1ingBiP3rHJaLRFVf69wWfOzEySTwsjwkh7/yDgIyqRcl/V9pg9vLOz5l9s5Mz/fH0hXFTnGV hvJ1WR2l+C6Xwbsl5l55fHGCqcokUOg9gDlhvA15f2k4cupwX/D3UiGHR1aWV4GOWQi1+hu5ABx+ 40m0DPBcoH6qi71tp6IiyM3yZvJsjHmxYCmZs5E4k7kYBsJ3VeADBloCIFqNNPpFUbHkwgWaWkUq 4RgUMrv0nOdJxgxGNf+HTWZQXKqW901aMq8F0R03oGwSTSlgwh/faI48mU8Wdh0prAFMu2Xq0s6q 9SW2JYBEOZleXkBM4vC7K43vrH/q9cHUBjMkZ5PgKQ4SyEynu7kU99NmVEhfKW/Nycky24FmKYnv amaPVklF9As+DwmIxMQ3iTe2TJy5zBXPMs3Ji+yRGMy8lnlfXOzdYAgRh2meu/ZXBv+MPeKZjnwL q4NIEaitoGLhmKY/cw8vVFA6jRfaaSRSVCVoBiSOVC6Bv/dawkLN2Yec2DP5antmJ/rzUi1/lQpi L6alj089RTigxnZY7hiiu8LuApInrPBtc8z5+74bglkQbrpo2IfgQC5bwWyklY/hISiXhd+MPMWY iy+SFwB62//6hQIRK9IRLGL9iZ9K9eKynq9XjhkqodSjVMhSXF6WFNfZ40A2kKRZmVkrjm2qLOsa sCALfA15cKI/dlIgk7TIWTaQh9k8/VecX/+2VgPaqRv/tvl7biuWV5wIMCTyDD4G9YE2bha+ZkB2 CB4h/+SS/6uEZky7ErCU2EdFo3WTLhRexIIcMPDu0QPKSQazZ13EJIMB41OuVAJ+jZ4ZG0ltwhTB NvwL1XaQzELp+Z83rPgXhqL3QSFd8iHkB95S/sD8QnQ+DfwIvEhvkmJzvFtS7GPJ7RPIHV0PPQme R2EQRpAR5s1qr6aO2QCBKs5Tv2uDTts7Z+GftpnAg6842YoSmftf5G15EF6+e6fjR7koLDPYKTqv wm17lUy4kKZwZ34K1BBcMkQlbzSHBWIXKF3yjrkrLnWo5PCW33fzkI2fubOlmNKouxcIXaGwxStV 4bmg/1iCPoGHLtSOXkG/6XnP65L0a09gdJV7yP5+epwOJUxprfvYUky0pdmnAEHgMK8G1K/5W0pj 3YMLj4g14S39OtB2uNUo5RDKyTT9WwCIIw/A78M8+kStQZ2jWh38f4KStA6sZ0Nf4P6v3NFGStPF wFiC6MsDTeGxcnVhyDe5tt4ZVtVGmffX2M7Z6zoVCEhn5lH60hmplVvUf7zDu9zFeMRrjyWqU9RX MwgtGdcaRz8pSW1gEKNu0QdT3AZs6PvaZisRJmBIpDFhh+q43ntrNgMVIJQX4ynz1pOe2QYNIZvA edW6RNzcqPQMsT/GlZXVFbXm+zekC/bpum783jvYgZLcwMhur2Nxb69JamJbAuBR9sVTPl+7QWMd OMHwvnUw1++GFjIlia6iEr4E3eUt9BABByL90rHK9QxO6e9nFSaTYikudfAH9nLI39WTV7aKP0QP ba9smXisDA94E8AEU0ZmRg9Byvcu1ETVRnCl06qFrTGCf674oYneHAkZ0wLlXZgwGeHFANWT8j0Y lNyHTsBJIHQMcHsxxStelrV4dHR++nqKLn5QJHipAOm2yjYzh8sDaswLYEKVjUX7L+AGSlC/qdf8 XRzSVSLUgT5CwbGDgj5jZN92DkshF7BiyaNnY0DxVfBCD6EKCRPPCCy4YvLMnAxloA8p5Pr2k5VY ij+5P+MiAG/RVIOc2d31JUZ93IkdLHvBP1vwXGnEVFgF3wadJMR4WkmyEFlsQSumLtnxhSkVNTIv ZKoykkjW0QP0lbtPNclGl2kX/boHUPSIJtXVxWWSVY22iIrgw1/yTzS6t6FxsdovAoXCfPFtqkqe Nd3WxCi0uKv0/i9BDdNMmoIPc1+G/ik1d3NWOAg8PirFrpYsaSm7gHwXZBaiQlje3C2zMY25JRBy d3Tv+ij4SjmeVmOASu9iVblSkqySBMqfZuynh0aJG96tQd2tVTk3AoatSXDt198p3DVM0E11KJrH pLhX/5zotcBs5ghKhBYxhHJ41iKyvw71EdUarYQyBHdRq1Os5eG/1tpCNa5RDnCI1sIHTcVJik5q ZDKLgi5NS98rxnoYgyGm3qAdiPy48wLlf9DcRvPzjy1iASO8M68N+XSdJF9P3+GHU4o8LC/Q7Lz7 HJ6EZyWqZleYkoIZx4OwuAXUOsG9EHTVlzlVvHZNJ/uvYSRjvmyC57hCTwdgLZOu1MfgOzARxJZG UTeSVaxpS5pXGkBzbdEpb4i954rLY60Y6qaz6b2fX6Zz4hYLymwKqLgMEzRvucb7LeEIXfXUnV8x pxpbWSy1884SnUMRziFF9AgeqaYWG/vgjZ8ic7eu0U1vktPoMydKyfsVBeeV3tsqyvAtsYTXG+fV g8eLSyZ/9J9wR3ZjQJaZHtpxPcQghGyvLVw9ydf3ZPhYPA+jMTQ8SEgU976wOpZli6UM5YPxqzsH 7Y1OHCdL1ryFRFUDg5WQatlu0nS08U0dvkkX02AgPnhJ3ZsPpOApYB+fFtJR+hV5vGGxWVaPUIwT 29yvwZiaNWXgOGal51lLeiEhEy+vyko71bopiir49Ht4pD5h7AFEn77zgJ+pMhn8JRkU8nb9y0+Q VplLZmaK+g8JQMHhiEwlJQxNWmJNFfSRnxccviswSsqxUQHtYGfM0oCOVC264uK15DzIEGwPnLKN 0iUK8ccSWtb0yCFfuODNT6bi19iNtsQgtOIM5U/rpjbRFadlDJnBLQ3DkYqGn9si9rPI/xXXPphn +jm0BvWbQMzWqwW0WaNLd0x/9jcNyAkT2WCoW1tLCCc+Urv5mfDil6BoEyoML9bEyke6MdICT0ao c4hTJZY0ACencuLH2fJi3lEbWVsXw6GRE8yYgHBLzcRpji2cmE4EKZ1qDa/PGycMTLvDPmQfAoIk f1Iftn/pNootoUqHO8/NLLhvlfNMw7175+qSgNLpwaAQEPSK2H4MYyRTVDO3ZUBHjhShTafZDb2X omDtoE45KkPMeCQLNGlIZYB7jolNIZeITotkHPC/RmJYdWM2x+W3f4XYow868gbZMZw8+b9j+zcw bRqKEKFXZbb7lr6owt4MLuM9ZhJxTqEam4S3CgfeA3kDd01tV55oWnZamXtUGsWonA4ywnaF7p+d 2+HyCfMmyCHLqHlObuPDr2hzz0ME3D6+Bg9W56WERWpEGd7o0aZc0WvQ8YFnAqcdHEQkZR2nHzMr a+KivY//ZbTTBHa6fI++3LmjJFkxcHsSSJel3ELNMxKs+MMgLGhufZSGjcJf2tZ0p0fwNkd5J+fZ mt20ibULwlNyvUZj1LfLV+wjMwzXvwn+72bRG9kl4qMk5Br9Fy00xc2MUQalN5PzE2/FyirubM5w MvAh+h4YBKOG9FVupUG/szNsc93GQRaSOkIunLB2bi51dpCsMpclBDEHkqa4vNu6zsMVqF5mWAhi MKNNjhbMoSgarbXir1nFfcJPVzYJI7APWZLo8dCgWDp4vrke0pDroCcTUmge1lXKaHUoGkEyjpvf JX5gJoyIxA2HQqn+cdX+vI4B68WVOxpK/AbZKDO4BezWd/xJaNbwJ8t+oBJVTguU0ALz5fe+OKFk /YzBArXTHjO2bQm5hvsFb0Vq65GudOK9bKcOj/TwEUv0Z/tBBNfxqrSkMoFDn1UDrygm9k28rAae REGWbFO3ZYtAqgvNB+9bb8EtwMS0XRmQzwFNqLuWZsNIgXVCaF8pnhqeT+m3Zwwvv/hDc4CngMC3 bdxyYzPXJBUo8nEYHcmEj4xT3mLeSoqB9Fkm+dzMzxmNB0pukJOL1QDF90Z1xoY1+/HD2ndT6PBd UTsX86hAcn73b3jU44LIUcmmdnpcQVWOOBIKfkLkw015N8x8I6/zplr77s7Kjoehm7Aed1fIHlUD lt8rQoZnzezdsZTi9rqud4WAitQ8NQK9hTWI83nbsSM3gZ4lm4Zy245Ag+RqfGuJaDoZBjtPIfyt ymE92HSROTRkviXPjCTzKuKVaJUST7JdbgqxWFUHcbQ7P9xwa4H8+V7fUFXQiJuYnlSulu1qfFsS Qvnq/p0O3evBROwYVB3PW319cZ+dLBy2L4/59lae4PftKQIU8aT1tkkdAp58hSJ1CcaUzGnkwNHw KMdvLnfvALKucx4LR/i8GPpE8eOzuoXNfHN/u2p7dDJpvvb3Oyj1oAv01xjPxyvTb4DyRyr98t7p tzHgXcwko5CSvuKbr70Qc3LS6V6B8x8a3jtavT2Uy+A4wO2hdOz4Prd/U1ZXwtvgKz3fa6sp4Udy fLo8ESGB1OWjLp9/0g3Ctc1eIBtCHhMV4e0va40jgXWbjnRBAO0keWo23gyqi3Iy6zdPY/E/Bfrm uYI+V9Xf76yc/MCP8jTaz4vNjGYl9iYHHFvLJ0a8s6zedhF0yM47Ae5cJ7Qffoe70Esl6tuyNpjK YuGpGXvEA5ra16O10NXQYAWmxZIZQh1ooSBC5zDw+THG/wmSAxtdyBI8TJW+qfjZx0aZeLKplHsh mcTmm2vsf4/L595oq615hhSwKaqKpsTXUc1sdhuz3opWCtquqhAR93sXSM3Dxv35bUbFFWXFQbAN A1pyiNJgu0+OjgTqvICfPcEh5FJ2elGlXiiI3UJWIxCPt+WXkT27cleGOAUUUknPyOdi3dZOv+mE 8u1ynZmSjnksA3haVPnsJibdK823uWPVzulHbrqE1LZKnHkgC/ecWfoth0JsVLOMqZlURXv7sDst SE/5tpBN0/2unfvrsuHuIru3/iiY7pkdNogAhE/9br+09GcmyU64rEp9xblRgHOzlLDI/9pPXYWq vx0f0zc/n12tnDhd3zlg6/8YjvJJIujerVV3LVBSJ/xTxws2TAziUhdlZCk22G4IcCeuEvFJNUdg Henomei39iBMHmk97QeDC68INnSlPFqgR/u1DGwvU+V7UpzmSTCfeNaOmYa0hf0dgipPNBU7IwLO FxVDDH94A6gM6/r6X3DWt0hmcqThr5XGDfUl4EirNh14xOFSZDQCQchcRvatflBVhOcCJ2kRfV0s DwZGy6MeKN8W9G13ZqpQoSR9NMd9dcalwJe81t1J/g08AULMaVq818hEAS5axMkRHXPM3J6pO1Cy UTjLMXzbMxUXDXfKj4pEkIUFo+lD3ExULryFZhh49+qbvNFLAjQQQekydjKHFNrkFvAwkJsMm4fm aAGeR6YHQhIcqynPPOfDYjh0SkDccQdRyAbDQ2OlnId2tVpjT9O8A8eox0+34c7nc4iHzyX5yJcN bt5SoWWHMDOeI9PoIjOIOVL3NdrwJx3ZnMFpDax89YcH0eV6539YjFaxd+VqatuQruKlT212Tbu4 nSzIIdrYAXoX33KfDCX3Xd73nfwPAmHYPmCtcQLgNcktMUbyJGyeVl+cO3mp/WObYD1U7KyWFpR3 6J+dhjpFLfUuYnIP0D0N2GqLuNzuR3lXikkZdcbidbUWruH7MARcQiCQ02McikqChieQsEnfrPqv 7u2kDFePmmx4MrnWN2Qg6YDFPU+RlGyHJUrrX4cY//Urzh5PomU1/p6IsZajuoeV2Q4VmbihxYX7 REb16vDx9SPV+bew7s+WR+DyqKXCb4Fj6EOwH8+OtqhL+6cv5RABbs5D2Ve41LS5um54vXrhdtEC XSIzdijIk97eu0znh6L7cEc9OC/CIR9efpTJcULxYzOdDyua/rxIjb9TbtGf+FQINExE8pmnCybL X3TJOxIoSWUAFAK2DxUhvYht16rFGtBIisfyCWtLXIguk3iBrp5wIf+gVo5l/vGp4z4lZhAQxRKw G9vVR9slNRvvrpTdIFJDdMtfr/hw7in/gyZ1WMghauoR59gfpaPfEYNVylEs80rCibHH+h1iE32J HcIHJoHNd36dRdHQPEqVLsjzrLAy8CpQDcLga/5jAQXJAtPxlXlWQV7QwLgjpxzqSQm9EC/POZRc 6dD18k6VZsTcUneYWfEUbwPuufGpDRkr1GVQkbK/CRrUojclwaIsLNiOsMeD8zXV5Hty1ijeh+bf FLalz8XcP5lgRXVto58USN5lLPPB9ZX+EqGJRlopvyB/2+xcewqX6JxazB+9IaYCZzd4kVe2xu4/ fcaQc/nCJQ8muxsVrwf9kQ9M3iQwJ5cpog+Vr7PNznpTf+sLH0W78DinqZMkKIvY05LiOBnzYE/I a0dfEHvJY9wuyguFfa9NNpkh/nJv1QHRuqjImf1ozhaD9JyAyFPgdd5fX+DK+3ovuDSjQFLPoR/5 LRiT3Esd9AvAdeaA/MqfgUg/aPJbZsYLPQ7YDruCvNoABavJmEk4lVHGgS3Vin6YkIQMmeQV3jFc tZ+BoJonSWKZUaoOzIvcnDaG+KY1F9dmgF7mjCZPCwfGMN57TIjGjxJzDtnRcF1eCDL4THLSJ0XG ttAI3Kbnf3RzIjE62XlE9kz2webMQko7cE2sE8KHj2U+VjeT8uNddSAtdFqGm4wEJa+cDiiH8F/7 weWtIZViCsVzl+OL4hONmVAmhmx7Gm2vgxekYUU0b6wCEx9243DSJYmU0EaFduIQgm4wKAloNC0m K/aAk2iuxLrWR8SrU1ECfvny1hkuCSyEqy7VGVpUjEP4Y0WOtaZDw6TTjTVOgCfMpa/NKBYWknJO fRZneF6apQ/leqLnFeAsFcmQ1tbMYVIp9j6w/KIgmnvQPzvVvMvIv8v2ijXozlYXmYcSNP6iRyep ngVZyVCehEtv4C1b9rKPjh5sBNWConfmpPy1DHdhdONqm4WEv3JZy/xPdB0TFe6Jizo1gw9SL+By Asv0anrYPS0n1Ox52wy+VtT5baZvQcNDnna6fvZ3C9oA/eQ8YuoalWXsqz0Xbjk/CY2Vn/9Bsp8o pPaWp/k7OV9YhfUy5h5MmxakCDMhGYzsGOZOvTQ4w9j9yjU5SoaRwLiEdRXs/hT5aLUZwiTDbTHH ctEB1ROTPVI9z2tacQBGqRyevo4adPsEzv3RcwtimFsx1k0XkulFJUCDtJVWMdeoH3tGzcNrSSWt ejt3bsMrAkZ7hmYSBft674Eep7tYaHFjlosCOrqGWbw6f2C7VVuZSDfSTP0uImeJOwH9kBfkqFYa V1Ctxm5ROEPeAU963gzxa5sWS1SeFrDiwgNZDJLPIt985i/8xNTJl0K8mV8/JtijKBMwwxFm+dd9 8PPxsMxfdOGkn4HNhuBgNz/IW33istPJGJAhvnPBGLfbjD7cWfdgN0virbEyQnM9BVhdVHNi+1ZK ipdamwyARj0INGfL4nG8jd1KR+7bEbpDtrRMY1/Mz+drF4M//KRk0mQfLhWsOztOduDqIA60gMvK Lb2vIF92pIofp0noUhRmLXCAuibAM5Ha2Nf3oYH2TA6nNmv1dPVxAyo/kJULv/o238td4cSwFF06 b3rh0V6356ejNFbmBYvXBvcHo8Xm4oUj+Hyu1ryMj20YoEjSwqN/W1u7O5JZ9NYpQPNXY2wvp4uG a1XxXYPdqHBDlf+wfSsSiMpR2ETgXNfv1SMDui7Y3Niym/yHyD7eR7Rd4BQz2kAVbiKnTJksDgiu gz+bpHlHmdCmXdZRKQ64hEfedJSzuH6M9CRAfnolcq0abptJkoHUis8D9dSwdQWWiVTsgH454jUS PEeAnEXo2I4rXloMWk0BtSk01YmPpDj3sLw2iXN7B+QR31CLwuausWRIzsjMWZktSZNEhE2EuqGU hhiv7Olbdu5nVMu08ZLU5axuPy0RIsFPl/pLTM/HRxbVYLQIT5bCCPi+sGbwQQPT1KZgQ6Nf5TWd uVcWqgfOJQov90cCZ8gYeBqkVCcmUBDqVWhYZpJOWU9dLGQe7UBavYbGlJRE3ueBVxtl4K8Z/+2x jutsLgGRpDFW9lM3Q/UnyI9cvvXfliI+V7bsBxT2l1iGFeC/51rI54sRpmSQ1Te2e98WKklCM0zv IO57tEoKdsHDpwvrPEsdsMpDljajDJ5O14TIuzhNWAGwWQuRI5vST9Z7RJPFzGrFvqoFlu4nvFwm Kp7bLGCsZ5JSOZV1ApQTjl5lQM2Mih4g7Kq4OFDtmsRg4MPR20wZvqiwi9bA8VGWEAFVE1rc8Ez5 vjEnRHoUpQFF/ZLFiRsZfxewHM+rhbKYKibMBbdFvLMToykhMZGQM8AbLfKvbuQjDKB4R4Nf+lof AD8bYBv/O5XJOAL+1n7ikjzrqxRiPsYvjQCNl9Gx5+XOxEp/Mf1Ac97K6lC5iSH+ob3q9uN7xqbY VsMDuwYOk7zsGfzvT6YJ1Kj4xxNIHmItHKJdb2353IptIsNLOQUvjKRVAj60msNFUfptWTvV46/c 0b7ZFbPhtrTZRJcORgmafjowkVgEdwsIqyV2VvCvhIARC3NpJ2sI69JkBR7C1GS+YMVnYeU7v+NP n+7WDMOS54AlOkjyfk4hgS3/MiCPPv/n33xecnUKehKdFw2Ewt83DQIAdXscjuOuziYd97pNDHox /sdjA6ceioe9uNOG17i1c+cKmAOvbQqEdpvuFDIWVSE4WE3BZ3Em1PzCfx0FTQzlpotIZPBxkkHy KkZK9Ub5JsjBeoaL3O8odLibImgDdFKSb9sniN6GHLEeubPHPd9dk//OiUD43l0IN4F9oVR8cTAf bmsLeEGa+pQQuBt/TWQcUBGgx0dpzRV955SXTeZ3ER/pYBtw+YeFvku/mUMCcNnP/w7cuIYdUWRb WoZsJ2TR6iO3HoXAk/MWVMPX8pDa6oIuwDd34225/S5W5C/cGJGFn1FfCCzR52U5rGQ3dfKHy/cK 7cG2dWC+BlfMW9896A1XdPrGyjn/fKU8QllFCdJ7yY40271S4CNbdWPgJFOZON0f4bRkxHe3NU7t eaL9jTf/Df4t4aX3gv3eIiT2a/EMyrtXjacoCZCc2zDJomAo5XEComU/Pp0Rs4cUfqxvK92a06Ar /E+xcCX5CiXuelqm0QCihACK7n/cE2fedfgAfpirS8hEEED0v3JNP8m3fjIWIcLCRe/qrbEnxLwL Mfc/6F6R/4DCcWzN43zhuKCGGNqDKnf+wrodoc8LK/1fSjnoZJVYptxeGalOoGdWVyyrjWhOjYLx 361YKsioFizVUKda9LAkjDi4lrgwMBFL/LYatkJ+8OIEwBqLxGojGC/SbXu7RGo+TEVMVZ7iFaU+ XpZG1w8cr1xRskVATEBugO2qVivsr6scgl8OmUXVxckfW5wTNQY86ZiKwbg8q5QnaCjV+ICrkrrc abbXFpm37AGJ8uqJ6m+HTsp7WwVoz28AXmHjkc2r0ioRBbbDFzxZqQPCyb7Uu0Zs4jvufZeKdvUw lZ9ucyVqmZrrzKnGlk85asivQ0ebfWbvHVmJf6lEBIQFeg0BHlzxtDHDEySH5D3VsNHCzrFihbgA bSINjcgVZ0gg6TXt3uKTQXavQJP6et9RaJOX63vs7C4Otk79sg3mZCG3G3prQS1Afzluc5KzEYHg MOEnWO1jpbq6fKG+wjxGaJBqEnHBRTisA56TMFZuwuHMS/M9zY7k+pjj+LMhYUx8cNiSDqOhtCg4 ycVnTjqWc3G7viFtIDxV6td/3yfZ1fiv/Jp3JEySAfq9TBNOWm1q0KTTGDeyfCNkfYp0+6Qsdsxv yq5YKqFyXR55ieJ0wtx1T+eps+uRCxAeDKKjoHJvh5QA82VPpeIKHpxY4iAzq/OMZiG3vABBFs2O 98Rl3FBPPDiKU+abe2StDZa96TGm9EAI7JgLrDeIwZFhn+x53p0KZEI7KLs1dPMwr8UZTAG+lj8J nhUzX1RAoJYV3sjDOn7bxTmt+MaZKssStU3SZ3J3wOWlWsi9KPDvbvzMEluxB+fwjFuTOfw9AbNK O8VKgHO2MXbh235kocUDjyX9vOhApLM9n+z+47FgdhjRdaeSyQr5Po5/kWEIDCqHwggDoPag2tKJ L+wJFETc0u5oaobThBSzQyUlEU5k8l/MaJ5e2vmQwPgwl6O1ZGwqvhkXGaLY7+22p2oFaZ2SFfW3 iB76ICu9UJoYbnEZd2I2j7fND7BaUYKY9EsrKMnROMUJOdTq1buIcFs7uimZ/oholyFXMktIebtw UVnOxpa2LZTiqqbazXzlp7GmletwAOMOrPMfNjjPHBHh0IxHJPnCxIKsaiytpqlXOHey3QeKkX3e u+gUY8JVNIJTmhDjYkErpu+3E/Bah5ACMYuvtMLMgq2Yw36zB3VFiM4+sYIBPL8sC0NZ0HWdD/gg 1aTCmV+c+AxMKNjqA/nuqvkXlBT0jjaUNJqXV/cKca/cMwnYoP1ws+3wrwKqV7hH0Ol0dJlkh7nA vTbecTIW6GHkPhaUNVY5FhEAk4zIQ9tf1WdrK5qV2l1YY29QgCaFupufkqYw1+sIJaWtbtcw8ydK rpOCl+Wrhp9m5KkPNJbAOMs4bvI6ckY+1DQm3G4eCn19+ljsM8j6q1Q176iEqaf4BwEZZKTvp7NY +HwqRZmkCKMVTvpBOC5T4C1iOkrrm8gQ7oD19gKKVD83dBpzsMgvr40cmVGM9FoQlkCf8SzDRvvp 6acQ9TD9R+rpr7CotfQ+UElC7UMsbSxsurLbjhGlaKrlztmDhQK/sbfOMP7iIapv/nwil3I30f9m U4TQBEtp++VHfA5d05oQo7/JQz/de2MNlK06Wo7UOTfHiehqVBXY5ZDV7cyHu/z/xfuc1WFpbAxa cz4DjoiVtGXlsehqwn3TM8sdhGE+FpKlICtznfEQOKFDyG5WqnvQmGYnj4Z/Lhj7u3rk3vxxWaiT NNW2XOBecsoK8ozqorVQjC60PaShcb7zvUBEEYdSPRkia624L9FA6CZbEVMHTPxZkyeOPo2IbTM8 QXG1Qg7rPodW/RPPPi3K9U4C0flmpsyYeU3u+dP451CvbEAgsnPA0hAl/3/SF7wcGBrUOKaXlo8s /8akA5RYXFwgE2uoV7uH16NEyOTFGUdZi21r4paTai3sW5IJKlQ8/FViM0e911ATYPWBnqS8RRVi n0dIJcrVq4OeXyV/yGsoPH3XJ8HAO0M95a1uRbo54IhQ9JuIjs/iXGD33kjadww/5+MTeMxxESbp SX2+C4P51SObgMwQMiRSuEhQ6RmCUCriL16ERuLRiu8N3NBF7iJv/0XmwElZPWL2Hdp/hzQfJuCK U6eaMH3EKfBYm+Yu0imlc4mi4negrWy2AykF8rY4Lx0QgAyEe8SZByXhzBAbSO6jfkoDK7wcxa1u PJ1/VhLVdXf4y+ML4WaPnBenzE9XLau/x25Z4AEQZMa7q9GB6m1oIyGGbn3HExuV1S1DzG1GTbPT YBTs21CMIsdBVgV+IPdK8BKZN1CGyyeKWa0Ye8HOSKZbiWi6/wLPaoVzVOC/cLqGHU2MIIC3m/j8 UyH0Orv64vqmfnns571bG9G/7NZGJZO8kd2uNlzx6Dv+XhqACdfgNvYGgBc8qaPxPCZEkrcTO1S8 jkippqX7a6qHNv4N3W6si8QKQyQhMlE0i1SNYYGTo6RA3BbxrwPOsDGcFnHSo4LmvMtEAsH0cwVB jzhZrKaDDn0soXM/b6mHY+3WzkvFXWAGvd/DJ6d3Nvccc3wf4C/P6Rf8dseKpuqWYNiqXOrSIkRF pzd01WTKR1WfwKVlLZuY9KUJi4p4rlLnYsoTA0qPkoGeRQPqETt3i6FaaQywPAAxxFZo5RgTywHu ThrTz5X/228pUPyagAYvp20ff/B8iN3beTDCfx7oWgupnlBzKik1OgvNtODNHzWR6gKpCi5Sw16f jme7sdS7g3PcPep2fL0NxBm1HwEWwQSBMmrePBUTqGKbTcPpcNiNTjfePNlNa65lzlVkS5CFXNCS nbmsJR6w85m+DShOA8dPN76sGyzmXtaAZfzcsS/AeRy4d4p7Soeejli4NdeVus+Hdch5vewKN9Vz AFiA7+CUcfx/aXxVmQgKSNV7tazTdbuKHn1e10UiEcFbfmQSNnVcO9cD3Z9huB+T9RcUdYnwHorB u0UWJ69ldHXFfNi2Zn9d+j5s3fFj+pCoI2RWq/mEyh25fkvTXFLFpxtjL0jHUA7pRB9uEkHtnPfQ c5CFpj4ahACsnzAbwDdwatM9irbDZzqKz4CUqCYg6s+wlfySPR0EZXTWJ7Wp/SKWoVBXF2tveM4K l4HqlttxZubP/rbAEmSW7vlOxR3Y3QtgjuesfWjS/1Mk1qDuXhl1i3BE2+lATV6rxFaZdJD5bwAR uHS390nZPesOc6hKp9o3wPCu/83Jk9rMVhklplKIUuKyrgQ75qyjkQ0/NopR8bO5jFZiICdhT9GL fx5zLUWzybstv6Ul9mEaGLq1DxOsNLZf26fbBEJru7TNb3bziR1O7YpLDUVq/9fYfrGWE4FwxSEG sUCp9aNx0clBxV4dPBUbmQohT69GWnZu3GhOFmEj7iwgGhWeZCX8cS99zZ7ZtIh6d4mietdMNoai +wu30M3eWWwUhcWqp3H2Rhy/FJ+/JwZ9SxRhKv/si2kTus1H71zFJnBagGtmf3bxJ/s2wO6O1DqW Ic1RIGYf/zkVic59cH8utyv76EjRU308RL2XBo7NUa32iAUL6JLhojRWsdZcXuvxpHfylRBOmn6h 5D90aYF8wStJfGcYwyRrWmLsmgjNCgoe0v0irOpB6TjyF2RV7gNVj/jH4VCkr9YDxpqTLwDdpit3 gnduBrbvyyvZ+y/KHNeoCF674Mso+0M6jKfsEenNOlp4EJp696V4yzIWG3Uxc4asJn/XwvTvaLyR X3ca49BEFUuMTcB6rOVp8kFVG0Mrfex9P2OPzxIShnNNfvhyEiWfM5DiRP55cYO5UC5+ztYw+I3l oVF7YleROMR6K4tIT/E5cvh1WXBkdMlPE3+hys0M2KA65uduqbo021/p5nJawyXcHs8TAqGLRu+8 qyE3+P3uU8RJ7AuImjAhZHinRm9f7C7/A3U/yet7tV0n5aDAB7GakS+LStC8b/+SRQl2E9+eS9Ud KT2Yv9aZpHfdYs6HKdLy5BZJzwrd1oE16qJ0RgitUcyyfaO/+jbLGhPKnIb4IrBjSryRvh6kGg8g El8DLclGzGz5SjSL5oGAcPeE4ucV47tOfoWneYQhiK9y3bHkOWbuX6guK2vLBTwOjgYxEd9CiQbU MvcD69l7/Wrhp0q1nMJqIPE/ihtTL+ocAILLPZKCcF4bCPIua0rQqYJiWOSnsVDoO+kzWzHlSdgU zxqd5PZRwApResegDLVSNsxwumgAri6TxiATI2/ldteWHNU8/X/Uq/WolncQkNNpX05Lw+WRBMvx iZ3IuaseTq3Ce7bwqitHjiHr/PZXCnS8ai5f8kk5nacxBgJ9sHXrgmVhxjVZakO/IMiH4ooVqQxX SDZDv7PWIM0ERvpWPKArq9P5x+2yM/138B+oKf4KtYfAhN6AqTHN6VKTVw/dCBSHwaUajcS+Ecf9 46nPOID9GCafEzVKTYp/aHyfpB03w64k1lWqxQnbz39MLw+Csxmc5vx8uQyB0LcvRvNuAjSgfWJ5 VtpzJfyrQWS+NIdMp+5/OGIKC4HlBREIoTs18JREiYLS3ZJ2osAvSVfHvXW27BV31sxJMJa80fI3 VlQy2w/cR3wO9X3Ic59PuI3wsUIGg7u0IVnkkmUa2srXakgdylvpV/0/cS+1aiqv0eKxBooCcHrS ZsMr8AbNuQNVnVtPz5UyJ2gsAPXq9vsdhOp8KPgFVi2d+32o+gmSb8SQB/BYLVwjjpl6tm0hOvy1 M1gGlVUaKjaqPGjkOMADaXmFODVDTPQmntKdaq1LryuXRJYhTNstqIPfT7rgUYsPwG1xgN/tCS2V 5ROaGGvmWKhT507KHO+7rZGUwY2tfW0cIcSQCRYl0TRKNmKunHgvWRgIntjQgtHFTaUFOl8FZKHf ILXdwfAETqSCNUWzFII1yn3IAvcMIYgoqoc60nV5kRpNSswV9DAaY2shRCBiip3FHPWq4FQymcwv rp9tMdy4bfnInbikptU7HJADza4HDXThdAo0WiqGFsQEam5q8frlnWGGYFS280htqFbewavvR0lL OsUMW07RMH2AwD8aYNx5hoAAUPjFHcGNUM0gD4d7ushGXUZpGpAQny3Zrj+zwpjdmWB4H5dnXEDU E/O7rIP45pJCKk5jhUW+FyDUSdNLV1bbh/Qvh8S6N7cC23XgKX7YiD1YLeG1i3i1v6SBUVqYS16z /7it/lgha+2BrnOOMVZqO7vtPU12UeP6gFDRO5sl6MC2qrhJQSeI9jtnK0mpAnVHdHF4GAXalMm7 enTVWn9foakDhgxnlrpEEUk2hlcALmzMsOVfkLpoOaWgW8Q5zkky38gRYb14t7yYQJLWZBDbRA9u B7XaPug8Cm/T5g4ECRJXvCRXMILV2vpiJEWDgp8NTHs8VxlikQvAkoV9YBu9NszXiW8UJm01luOA m8KuLufhjE1Q9OKA2z0UlRXg5apwaOAg8bA/W+6RikXiruf0plKPXujrMrngpwkNcHyiuUt5KBK1 37GpYS/0Rh+0TI3XUy3AmMiwMH7mnG3EeXEQfiO3SLg91GW35/pIKLTi3ftN/HYCwpIjw+/UiNi0 EOwvqHvqw/G5fa8Ubyi/A4AF7XbM40tME50/bB8zNg4gojohIURPjQg3dPugNs6HQ0sH+kNeq9cB WHAUL833p+drHVUJl2P1KKAxNssQ3HkAYsk60IPGCN7AMWCnZH+fbFKKVr+hYghAut47VYoBTAa+ +6EJzU6Ubhtq7Mby+jQz9nTxPkqzF4fy15KZpUlNZr2+BrcUXwDv+NcsSTW+ShHcUxQccjEF4efx lWOoMkATzE3eqpDDb8r7bsO830Urv99T2iRe5EyUoZbZqerlXvYOsI0EUywR6IJrVY7w63bASDCe qVWDr/rj2H12zdbhhNvJdrmQ9GrD4lf3VXQIYWlVnvlmyI5nJF2UxUtnzc+W9bK7Eot+NYnQPsLl mOJQTNhEAsaWAVIcj5bl1l/bFHtTFqLtsGdfYFwYgTPpGd1/IhJNkHBrCoYiOqYHOt4vxqqFArRY PPrsrszQUpphpnypo396vlO4XZgnBBgUElaNPtmRxqrjPGXCuryUlZ2udJLlDeZ1+5FbtDaDUToO 2c4y8Xo1/YLxJtQDJqNwAkNI+T4emGlPDdNVGf8RvgIUUtY9qZ5rqtZu4FWVqt+E5c/4XMK8EpxY Y7aPKYBCWcAzm5KiIEX6S6XhPXqXePAVbf/NKOF06kb2qRMnX3y405mZ90WJa2HzV4QsDK0+xu/b dBzjYfPhDj7snayA742wsEPpe31ljANdqayGCJcdzfrdDtxUhIjO2dxXOKfKxzur+xlUxin1TnwG OYGL4tzldrcyWLOkcjWvXDB00ypbd4FriKffMUnvNdehKhE1hAIpkL9A8uejb0Tcs98ZAdKCgiI3 AIsXfdRbF+NeuqLxAwh06/XoSS6FIoQSvDlUY2YIT72NxxZ+tGhJ0h64V+FJuU93DGKoEqTIl6m4 fq3KWg4tX59yen194tbIBCkGBgN5Fnf4pMJ63Q73XyrlZFZclkwQ8t4RiW+RoV8RAXGIZorYEsnX Jpm0thxOpK8h8QYHSx+8vY02hYiICVrQSf9+C+lktK6rkHa2yEDrNv64NY7ZgJMNxLqBf/t2btVh q6dtLLWn9gE7/7sxjrrX6GAKmwuPCRund/2zD2amCvhD6L5lp+bHhLoRNDLhx8hx644ppmcD7Xy1 ljYXKhR38mBv5sWnTL/MyGSg5wvD7u/37trGqFTwg4CFMe3XTa8zJmyMhfDUKZwvMZoZpPKnH0kl W0+soZ4TfSldyFiNyZDpmLTcfLg+Uj/ElRBcdrQFRzHgTO4nN66G/EU4P66yylzAIculSsxVFyh/ 2FjTJQ9ZcghJ4sIF6xAi5n7EgMInKZ31+hKvwTsSxX5UBVLdWhnfWCLQDlJNRX+bsiSSWF4b54c+ 8lhsLaGdg97q2hpvUw2v8CYhs/EOJX7OaQ1Ul39C87nYCrIdZkwluKY0Sz8asu/bHG3GgKXHNTEs fhwyzgfkmZCJQBXbWZcpkWbK+TEkuLtRT+w3ijgGzczP0Zhk83FdhiRP7CHAXSza+8NyXvAyBbEi UdAI3ykIp17/1EHfdW6mOugKVh7oWJ270wGyIWL1e3yrpmTtSz2IOU2Vo6bV6KYu67buoC+aCVw5 Ve6tTJSzpmf5IHH5C6YjtrADbwLiMJpTBQF8MoSOdgwWxepGT+PzGK89IfcSpDjGETKkJTn7tvQ4 RDs8Q06dVoGauUE3DHXt3ynlzgZHwuSPnLUTHeIDuDpmyXIc03dBKsfY5uE4w/sIVhrNRpTMog0/ FT1ddSOwHmRcU4LL+fEA8JXQuHiMkSWMpA6GifJLIpZt6XCdj52KzwlwrWLozb4k1XC6n6xS4Qf1 ineo4t3973FjAR1UYCIXXWu38hCU72Tqs53KpVwo2CJG+McMcStY7WKUmX2aXR/RzqpLGslAWgp6 2v8jeO7GqbDhWZ4AI8oHt3XeeCez27wmtQvyVyhhcBVp1WqmQyD/SDZT2DWQMRHaHMetn6sABSMk 6WGcbXpXoiPfWsY7g0QopNUxd040n75oqt7iDdGgZjW17GoHg5WW9yBXqUnHKsJG20L57PxE9OMZ 7vf6uaN5KSQLK7KCUvbyAfqM+E2SMIhGkv40qrRsF9183OQNetxFiqBW2B4zlM53XdYZd8/hBnpr gG4HrTier5BrgDl1Jdx6WcfApXpfoMnA4S7yl61zzG/ygqj30d17/Wde7avWHnLBGBYvTokAYF1Y o9ydUhWf1nKEzLSVYS6Bs7YotfTuk89+n5iXdvNkEFg+52cdp1mef5HGG6jdpbDj6hGBwCEl6MBP p8uHmnRRVeV9HHwNhgKT+s5lFVfcahjUtiBWp/xvkThejxz+8Q11y7Bndk+jqEqKp7SiBf6CiIIP 1hEedpYe8G+Es6Mrb5GB6V8eQVGVQ8Iju92Coc7NffKnKl4D88uw5JV8mw75rLLojFHAHj1h8Xg9 RxTS8sfDFEWEoYMSH7U2EQb4VkuCA7PPZ4T0lXAJKfSrphk/111V4JQfh1fZdVdWsIbuJvRHUqIM gZvNN6iCVjzwKyeGqBgJ6JG+Fp/aZSIpkT/7ODmI0JWqeiOFYmWdFzQ+GZlHiCWNURrj2OxtoLep mBhD9ozK6K34fg1lEz4Em5Fl6dUhjBH3xrdSx/esUpw2rUiK/ETfrr0yfbeO4bcZX/sX7PLuMWjW /oyR7eOdeYeaSJPSh0iS555NwL6XosDRt+mIOmgUnR8zTOwUXw0gPo0Ei7bo9VHgqkTV2xN4SfPH UGqe8cyk4XbNV9Gwk2pZXjGeOfOLqxXry5H4LWn57AYKywjT7xh/Y7sZcxQLpeZs6F1C3FkzHPLW jRMIeTH5JV9OGQnTgM266fZCmtHGVTjBUtYlXtZoTtVntCZORWoQBkYF6NBQxdEBmxsOzxgjX+6T 9mCyggnAug8DJkOlBUx/BeKdovpxrqk3kO5P5HQbzD65WOV6aGaYzQY6N1vE4lIVaz2l1YoW1h/6 RGVkS27dK6YeJMWyd/Pj69iWts63JCrFhJwnR6VBQbDDKAQ3wn0cXxyhRWuR8yiqBn+Qx7xoP4VD Wyl3nkhNuQYo+ouYWGbFRkVNts7cPuKqv02T88h9N+fq2hp4NZag5sNFuvTm+QYFMcsx+OOhkLUO 69Wz/y0oooCJlpyxtqEU6AdjzEfK5iJ6cIAy7RxjMbw8sK+k8A71rKYdbrSBAAz7ai4iNDcGEO4i J1g1uZZQVSO5Gma678LYR6YCUAfsC7Sfn28cJTzkuhX8N1TGJHIs8VnYFsn095r8i0K7JzvU78/x zAIEixDPrTvxQBnvWSS7gPZLnWkLVBHU4+mcD5nX6YP3EIM32W4B7cEEvOLDF9gmi4QWRGwdhISU 7cyniJylarQ7ZVIH0zE4h1F6tDyqEcOJLY1lDeFkuvYbBQrQm2refK4l/FjmrHxda00fHpQZ4f7t NfBPlE2zey+0HP8HswnyK4NY+Fb6jOxcoOACh8+uGEwS7iAu6/uJTJtpcLMWzEp3exUbMaBP4cjs dn0RbkvYFErxbV9gOvoMBbeN8kQFiWfAZn6GtrCndDvq0bgbOd7CIo/62pwLPA6tvHFCuWmCCokn 216scQApswHqHaXxmAnH6i+15LmTVGAnXOYjDkfidXts474TMIisB3Sqe7zmbj+UiE1uOyc9xLwv Cxrvr2RHdnTDHiRFvYi17kqGY/5K5Gp0OOxxowVaLeTRdX3sJNm5Yx388pWqLaR2U1lVb2GygGUX CA2tVHjWONDkwbgBrRdloegW1CWuJgLBDWjFfodnFDUIF8+GQsFoFiWVL+UHse5HoNo2K2znC+Pz f0z50ztaN9EKdx+zr5DX8q+W6sH/ZEk2FLEQGOa01iXqM9GiSDZglpTPcysUQJyCBKnv5Kzsv0fa jPDI+62tbtjiSOH3sw8zO40FvrbEXxfF7wt/ewG9+p5t6Rxb4uhmhwauJXUJKkHHGj1KAHs9Jlif CNaAcjcWH2TyHEqKqwlUG5CHFRaiNjkIhjHTbUMG+qG6G2cRvVw0pTCtyvCFdP1qd4V1WtVm4VSK FPT4l0W31avEvUjCd5bHx1MgjfKHpqzxjN+Fsy5pIxVXDqJ+G1YBse8vV0dtBl23o7doEVIlZZ7X KppX/jiBnbdfj/2qKbDz3KKadvVntZ98OxWqnefKAXRkmkrhr5/8bWS7iyVMJlQDJfii6nkzncpi uS6YztA7lMiM1MINA/+sqijHTt0TJzfyMkQPZRz1cPgRbMNPqO0ABnQ3BJWXYZWnMGyPxcPt/EPK wSpGfFrl+/BNJTYdhLyJZgXfDZ46Ii97CZw/2Ckhyf9wIuQbDaTjoh5l6FMgzgCQ3ojwGA3sUnKQ MrBu9YCJgcWSmPAqyQyaYQeSxh8uN977KHgoBZKm1bj3T/dhAP5y6fO/Kr9TaYbzX0Z4kdaAhTmD VZcT1++iH0DXOTFlmfz4+DqanG0PGPLVSPba4aZX5uUhncirP257oluWTMOoGrKpy2eH0VHn3ysG UIEvf++pMzlyggIDX0h0Fsl/hIbCBs5TYi89C06YWQJOgBczCAF0RESzjnPBuuyhB/W+D/mGIGwh lrP9Vw5dTaD5fsjuwEz/cEuh80feUampkES8ZZ5z58RJ4WNLAdAN6UVWr26nrC1oKYQQQjXdcusO qNeGecIogcBM3qzGE7xQfsIlVl9SpidFeaKjG2Yov5lUJ+Ksag4iwgsjCnt0+RH5AYJpLyte5+uL xB4h8rrMvatH5ns4rreWoPonvFBJj03o9zUJl+a9aTaRmwH93RZXgfVBJdsppkPG6e2UD5am9UEE xSWYlH9NbMX4ypZXSd/w2Y5XNuzULtxjz6XchJpmgWr4qiohI3zDLjNqSv8mQoXu5Z+tily5v96F 5Hv6ZTHcwT+yk3lnOg2ybc8f1+2hbJnv+bsYxZ+4Vx6nsfu7GD7yuzxwOYUruHXLv5NcVW5pQbgh dpzVXG2dY96Xe4VqNw/BVQ1VstT3V1lfJi8qemno/lgQA/pP5v8CNiHAy1vUhpCfGBoHmOkEllgq FrQVKk+ZLUGmbK+aldZBlIlM8SH9E6qwej5b/pA/ipuI2Mh27xrO/ReCLYy1aFSE06GIRwjbmjC+ Zi+mhERPTH7bkN9i45UDkw8kNzhS6UOkPzFK+983IiRojEqvIiJ1FsV3med0FM8vWm+YgrdJIqFS 5v6j72ePodRHPtZAT+lQaPnIyPikbvYd3buVPJ//tqbf+0tlXIHfX+V1koZ2XPdzDsHGtGu7I416 HVCUBVntDMzc3zg1r9FN/PnWbQRupbvuOIWyGTFq9/w5sUuI3PgcevXfDPNtxfxb1RSrE3khI48O Wwm8hnEfXtveh11KMHk3WlwF/Q+eL63Dxzig7XCbMUPjHOaCATo4XIWM7QkYDHJUBnxNwJQszYvC EEnAeCDrUe6CVy8x5ITKZ5Id9EvcW/ay44yd+5P8lzr3qEgUNvKHV585eB9xlZQPYL82YgKvy811 8Q7+UUXIVmzc68Ky1uIww+oS3qJ0W3dlbSZgOCxNWTHdgSbGhcyHLDsOeadIeOlR4tuTEy1EcDtr pYW/nVlJHyEkuBV8JIDik8NmCTQEyCteShfU3wX80WQbEFUiYvq2N1xO1Kn7R9+Q/p3GybSADlDA 9dCuDYm+DojRyDLWdUo5Q5n/bR/jkrmy8xqf6qANkLtmhJYLphuJIcsiqi9VFThdRWUqx6ebnvP2 k2OPPzhQ85sBBth7102yyLid4vBtz/wsxOA/3Keh3TCZyDIpHWdMaBDG4vh6Zp/OdHLoL04CqTb9 OZ/rfKfOVxORaS9HALc048wnxzr7rz5YzXE2g5lA/ui13keKkgmN/NOT3nKRpCZxJU3EWSgqshcO zPlX7rhDqC9txnkNcTIMOvMOUZA3hlGwkJag+vBQHMZar5nIol6lBzDOZYM6bUT1VsK1ESfia8LB VdTCkruJOJubq5OpQnNyvvr5bWdoctO6l8Pxs84H/vw9F1FUi0/gypbvi+66q+xM5BV4gOZDaMHd sw7riQhssrojHaY0aZpwdd6Mf0yaFIXHKaAj0GRb2/hcmik2IbaWXfByP5AfZFGrGy5XED1cmgIR A0+5F7WMtiFS+4rwMq/deKwOTfOkcjsryn3wHb9RUXGqcoijL4BGtP2Dr8MRyba8zO38wEB78ZGq sdDSf8H0OuRTag+Qv7x/68M0SaMibOJk4OI8aaKYmM0eJY+lnVLeCVF4YYnccD1T4BmjHK+QUU9t WYL9uVG43fqIIqjKCn3bbCrs7aW62TLCExoroMHdopHhVhV+btq7IiEvT74bYNm78H0geEe65SPI 8XiTnorkoLjQOhAMsQTzuPiEKmLeBgAg+KsXsxOxBhLhppwuGjvBr2Y47jHL8KXX5Z70IJVgDnKz Ei0cg29GmjgigE+zXD5OGr9FC3FPwYRaLd8ANokNb22TwJqmAXEi+XRN3FcXHEuYoxrF++Vha67H K57u70sWM5W+FeaFTVDHoxSgAc/EzH7FR1xttyLPPwtDIKSMVjVF+r2M5572Sd/25iZaLtub0JD1 AK45q9kciaPm10eiIfvGJew8mKlgt/sKeeWp8ojNyhpW9LDacfHs3+yzvutkE5QiX4TZGBjaeLLN PBxNxLff3655Rl2t3Pb4Kl8SOSsRqPU+hz6iQr7Nj+cciJU4JNBqhKn3gPSgkLXhdabuBZnM0NBY bRpf9liJOGUT86SaxnrYd8Ft9rIW1tIjvP+6QzplfyBsWyKo9lmLGbwTRGagdKgEJMiD35YCb52B 9RJRvxn5wOOidPZDZnhS+sHkHhEiHhcdGNAgdWM4SXcEhaJWCraor6Di `protect end_protected
gpl-3.0
nickg/nvc
test/regress/record20.vhd
1
479
entity record20 is end entity; architecture test of record20 is type rec is record x : bit_vector(7 downto 0); end record; signal s : rec; signal t : bit_vector(3 downto 0); begin p1: t <= s.x(5 downto 2); main: process is begin s <= ( x => X"ff" ); wait for 1 ns; assert t = X"f"; s.x(7 downto 4) <= X"0"; wait for 1 ns; assert t = "0011"; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/record13.vhd
1
1136
entity record13 is end entity; architecture test of record13 is type rec is record t : character; -- Three bytes padding x, y : integer; end record; type rec_array is array (positive range <>) of rec; function resolve(x : rec_array) return rec is variable r : rec := ('0', 0, 0); begin assert x'left = 1; assert x'right = x'length; for i in x'range loop report "x(" & integer'image(i) & ") = (" & integer'image(x(i).x) & ", " & integer'image(x(i).y) & ")"; r.x := r.x + x(i).x; r.y := r.y + x(i).y; end loop; return r; end function; subtype resolved_rec is resolve rec; signal sig : resolved_rec := ('0', 0, 0); begin p1: process is begin sig <= ('a', 1, 2); wait for 1 ns; sig.x <= 5; wait; end process; p2: process is begin sig <= ('b', 4, 5); wait for 1 ns; assert sig = ('0', 5, 7); wait for 1 ns; assert sig = ('0', 9, 7); wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/array15.vhd
1
738
entity array15 is end entity; architecture test of array15 is function get_bits (n : natural) return bit_vector is begin return (1 to n => '0'); end function; type bvv is array (natural range <>) of bit_vector; procedure do_test (a, b : in natural) is constant k : bvv := ( 1 => get_bits(a), 2 => get_bits(b) ); begin report to_string(k(1)'length); report to_string(k(2)'length); assert a = b report "should have failed" severity failure; end procedure; begin p1: process is begin do_test(2, 2); -- OK do_test(3, 5); -- Error wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/issue412.vhd
1
1289
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity issue412 is end issue412; architecture behavioral of issue412 is signal clk : std_logic := '0'; signal running : boolean := true; begin process (clk, running) begin if running then clk <= not clk after 5 ns; end if; end process; process -- Overloading the name is not the issue. procedure wr_data(data : signed) is begin -- A delay here seems to be necessary to cause the issue. wait until clk = '1'; -- wait for 10 ns; end; -- Calling from this function to the next seems -- to be required for the crash. procedure wr_data(data : integer) is begin wr_data(to_signed(data, 32)); end; variable data : signed(31 downto 0); begin -- Loop to 2000 works with line A below. -- for n in 1 to 2000 loop -- Loop to 3000 does not work with line A below. for n in 1 to 3000 loop -- Loop to 3000000 works fine with lines B below. -- for n in 1 to 3000000 loop wr_data(n); -- A -- data := to_signed(n, 32); -- B -- wr_data(data); -- B end loop; assert false report "Test OK" severity note; running <= false; wait; end process; end behavioral;
gpl-3.0
nickg/nvc
test/regress/issue405.vhd
1
1905
entity buf is port ( a : in bit; y : out bit ); end entity; architecture test of buf is begin y <= a after 1 ns; end architecture; ------------------------------------------------------------------------------- entity fanout_tree is generic ( h : natural; d : positive ); port ( input : in bit; output : out bit_vector (0 to d**h - 1) ); end fanout_tree; architecture recursive of fanout_tree is component buf port ( a : in bit; y : out bit ); end component; component fanout_tree generic ( h : natural; d : positive ); port ( input : in bit; output : out bit_vector(0 to d**h - 1) ); end component; signal buffered_input : bit_vector(0 to d - 1); begin degenerate_tree : if h = 0 generate output(0) <= input; end generate degenerate_tree; compound_tree : if h > 0 generate subtree_array : for i in 0 to d - 1 generate the_buffer : buf port map ( a => input, y => buffered_input(i) ); the_subtree : fanout_tree generic map ( h => h - 1, d => d ) port map ( input => buffered_input(i), output => output(i * d**(h-1) to (i+1) * d**(h-1) -1) ); end generate subtree_array; end generate compound_tree; end recursive; ------------------------------------------------------------------------------- entity issue405 is end entity; architecture test of issue405 is signal input : bit; signal output : bit_vector(0 to 4**3 - 1); begin top_i: entity work.fanout_tree generic map ( h => 3, d => 4 ) port map ( input, output ); check: process is begin wait for 5 ns; assert output = (output'range => '0'); input <= '1'; wait for 5 ns; assert output = (output'range => '1'); wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/simp/genpack1.vhd
1
809
package pack is generic ( x : string := "foo" ) ; constant s : string := x ; constant t : string := "hello" ; constant k : integer; end package ; package body pack is constant k : integer := 42; end package body; ------------------------------------------------------------------------------- package pack2 is new work.pack generic map (x => "bar") ; ------------------------------------------------------------------------------- entity genpack1 is end entity; use work.pack2.all; architecture test of genpack1 is function get_length (s : string) return integer is begin return s'length; end function; begin p1: process is begin assert k = 42; assert s = "bar"; assert t = "hello"; wait; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_skid_buf.vhd
12
16812
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_skid_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity axi_dma_skid_buf is generic ( C_WDATA_WIDTH : INTEGER range 8 to 256 := 32 -- Width of the Stream Data bus (in bits) ); port ( -- System Ports ACLK : In std_logic ; -- ARST : In std_logic ; -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop : In std_logic ; -- -- Slave Side (Stream Data Input) -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_Data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- S_Last : In std_logic ; -- -- -- Master Side (Stream Data Output -- M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_Data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- M_Last : Out std_logic -- ); end entity axi_dma_skid_buf; architecture implementation of axi_dma_skid_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_stop_request : std_logic := '0'; signal sig_stopped : std_logic := '0'; signal sig_sready_stop : std_logic := '0'; signal sig_sready_stop_reg : std_logic := '0'; signal sig_s_last_xfered : std_logic := '0'; signal sig_m_last_xfered : std_logic := '0'; signal sig_mvalid_stop_reg : std_logic := '0'; signal sig_mvalid_stop : std_logic := '0'; signal sig_slast_with_stop : std_logic := '0'; signal sig_sstrb_stop_mask : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sstrb_with_stop : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_Last <= sig_last_reg_out; M_Data <= sig_data_reg_out; -- Special shutdown logic version od Slast. -- A halt request forces a tlast through the skig buffer sig_slast_with_stop <= s_last or sig_stop_request; sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid input register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_Data; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') Else sig_sstrb_with_stop; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else sig_slast_with_stop; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_sready_stop = '1') then -- Special stop condition sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA sig_mvalid_stop = '1') then -- Special stop condition sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Data signals -- ------------------------------------------------------------- SKID_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_data_skid_reg <= (others => '0'); sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_Data; sig_strb_skid_reg <= sig_sstrb_with_stop; sig_last_skid_reg <= sig_slast_with_stop; else null; -- hold current state end if; end if; end process SKID_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_REG -- -- Process Description: -- This process implements the output registers for the -- Skid Buffer Data signals -- ------------------------------------------------------------- OUTPUT_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_mvalid_stop_reg = '1') then --sig_data_reg_out <= (others => '0'); -- CR585409 sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then --sig_data_reg_out <= sig_data_skid_mux_out; -- CR585409 sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_REG; -- CR585409 - To lower reset fanout and improve FPGA fmax timing -- resets have been removed from AXI Stream data buses DATA_OUTPUT_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process DATA_OUTPUT_REG; -------- Special Stop Logic -------------------------------------- sig_s_last_xfered <= sig_s_ready_dup and s_valid and sig_slast_with_stop; sig_sready_stop <= (sig_s_last_xfered and sig_stop_request) or sig_sready_stop_reg; sig_m_last_xfered <= sig_m_valid_dup and m_ready and sig_last_reg_out; sig_mvalid_stop <= (sig_m_last_xfered and sig_stop_request) or sig_mvalid_stop_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STOP_REQ_FLOP -- -- Process Description: -- This process implements the Stop request flop. It is a -- sample and hold register that can only be cleared by reset. -- ------------------------------------------------------------- IMP_STOP_REQ_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_stop_request <= '0'; sig_sstrb_stop_mask <= (others => '0'); elsif (skid_stop = '1') then sig_stop_request <= '1'; sig_sstrb_stop_mask <= (others => '1'); else null; -- hold current state end if; end if; end process IMP_STOP_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_SREADY_FLOP -- -- Process Description: -- This process implements the flag to clear the s_ready -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_SREADY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_sready_stop_reg <= '0'; elsif (sig_s_last_xfered = '1' and sig_stop_request = '1') then sig_sready_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_SREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CLR_MREADY_FLOP -- -- Process Description: -- This process implements the flag to clear the m_ready -- flop at a stop condition. -- ------------------------------------------------------------- IMP_CLR_MVALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_mvalid_stop_reg <= '0'; elsif (sig_m_last_xfered = '1' and sig_stop_request = '1') then sig_mvalid_stop_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_CLR_MVALID_FLOP; end implementation;
gpl-3.0
nickg/nvc
test/parse/visibility3.vhd
1
537
package pack1 is type t is (foo, bar, baz); alias a is t; end package; ------------------------------------------------------------------------------- use work.pack1.all; package pack2 is constant k : t := foo; -- OK procedure test1; end package; package body pack2 is function height (height : integer) return integer is begin return height * 2; end function; procedure test1 is begin assert height ( height => 1 ) = 2; -- OK end procedure; end package body;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_sts_mngr.vhd
3
11936
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sts_mngr.vhd -- Description: This entity mangages 'halt' and 'idle' status for the MM2S -- channel -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sts_mngr is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Any one of the 4 clock inputs is not -- synchronous to the other ); port ( -- system signals m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- dma control and sg engine status signals -- mm2s_run_stop : in std_logic ; -- -- mm2s_ftch_idle : in std_logic ; -- mm2s_updt_idle : in std_logic ; -- mm2s_cmnd_idle : in std_logic ; -- mm2s_sts_idle : in std_logic ; -- -- -- stop and halt control/status -- mm2s_stop : in std_logic ; -- mm2s_halt_cmplt : in std_logic ; -- -- -- system state and control -- mm2s_all_idle : out std_logic ; -- mm2s_halted_clr : out std_logic ; -- mm2s_halted_set : out std_logic ; -- mm2s_idle_set : out std_logic ; -- mm2s_idle_clr : out std_logic -- ); end axi_dma_mm2s_sts_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sts_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal all_is_idle : std_logic := '0'; signal all_is_idle_d1 : std_logic := '0'; signal all_is_idle_re : std_logic := '0'; signal all_is_idle_fe : std_logic := '0'; signal mm2s_datamover_idle : std_logic := '0'; signal mm2s_halt_cmpt_d1_cdc_tig : std_logic := '0'; signal mm2s_halt_cmpt_cdc_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF mm2s_halt_cmpt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF mm2s_halt_cmpt_cdc_d2 : SIGNAL IS "true"; signal mm2s_halt_cmpt_d2 : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Everything is idle when everything is idle all_is_idle <= mm2s_ftch_idle and mm2s_updt_idle and mm2s_cmnd_idle and mm2s_sts_idle; -- Pass out for soft reset use mm2s_all_idle <= all_is_idle; ------------------------------------------------------------------------------- -- For data mover halting look at halt complete to determine when halt -- is done and datamover has completly halted. If datamover not being -- halted then can ignore flag thus simply flag as idle. ------------------------------------------------------------------------------- GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Double register to secondary clock domain. This is sufficient -- because halt_cmplt will remain asserted until detected in -- reset module in secondary clock domain. AWVLD_CDC_TO : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_halt_cmplt, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => mm2s_halt_cmpt_cdc_d2, scndry_vect_out => open ); -- REG_TO_SECONDARY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- if(m_axi_sg_aresetn = '0')then -- -- mm2s_halt_cmpt_d1_cdc_tig <= '0'; -- -- mm2s_halt_cmpt_d2 <= '0'; -- -- else -- mm2s_halt_cmpt_d1_cdc_tig <= mm2s_halt_cmplt; -- mm2s_halt_cmpt_cdc_d2 <= mm2s_halt_cmpt_d1_cdc_tig; -- -- end if; -- end if; -- end process REG_TO_SECONDARY; mm2s_halt_cmpt_d2 <= mm2s_halt_cmpt_cdc_d2; end generate GEN_FOR_ASYNC; GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- No clock crossing required therefore simple pass through mm2s_halt_cmpt_d2 <= mm2s_halt_cmplt; end generate GEN_FOR_SYNC; mm2s_datamover_idle <= '1' when (mm2s_stop = '1' and mm2s_halt_cmpt_d2 = '1') or (mm2s_stop = '0') else '0'; ------------------------------------------------------------------------------- -- Set halt bit if run/stop cleared and all processes are idle ------------------------------------------------------------------------------- HALT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_halted_set <= '0'; -- DMACR.Run/Stop is cleared, all processes are idle, datamover halt cmplted elsif(mm2s_run_stop = '0' and all_is_idle = '1' and mm2s_datamover_idle = '1')then mm2s_halted_set <= '1'; else mm2s_halted_set <= '0'; end if; end if; end process HALT_PROCESS; ------------------------------------------------------------------------------- -- Clear halt bit if run/stop is set and SG engine begins to fetch descriptors ------------------------------------------------------------------------------- NOT_HALTED_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_halted_clr <= '0'; elsif(mm2s_run_stop = '1')then mm2s_halted_clr <= '1'; else mm2s_halted_clr <= '0'; end if; end if; end process NOT_HALTED_PROCESS; ------------------------------------------------------------------------------- -- Register ALL is Idle to create rising and falling edges on idle flag ------------------------------------------------------------------------------- IDLE_REG_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then all_is_idle_d1 <= '0'; else all_is_idle_d1 <= all_is_idle; end if; end if; end process IDLE_REG_PROCESS; all_is_idle_re <= all_is_idle and not all_is_idle_d1; all_is_idle_fe <= not all_is_idle and all_is_idle_d1; -- Set or Clear IDLE bit in DMASR mm2s_idle_set <= all_is_idle_re and mm2s_run_stop; mm2s_idle_clr <= all_is_idle_fe; end implementation;
gpl-3.0
nickg/nvc
test/eopt/arrayref1.vhd
1
746
entity arrayref is end entity; architecture test of arrayref is function resolved (x : bit_vector) return bit; subtype r_bit is resolved bit; type r_bit_vector is array (natural range <>) of r_bit; type bv2d is array (integer range <>) of r_bit_vector(1 downto 0); signal x : r_bit_vector(2 downto 0); -- 0..2 signal y : r_bit_vector(1 downto 0); -- 3..4 signal i : integer; -- 5..5 signal p : bv2d(0 to 1); -- 6..9 signal q : bv2d(0 to 2); -- 10..15 signal r : bv2d(0 to 2); -- 16..21 begin x(0) <= '1'; x(1) <= '0'; y(i) <= '1'; p(0)(i) <= '1'; p(1) <= "00"; q(i) <= "10"; r(2)(i) <= '1'; end architecture;
gpl-3.0
nickg/nvc
test/parse/issue222.vhd
5
1108
entity sub_ent is end entity; architecture a of sub_ent is begin end architecture a; entity test is end entity; architecture test1 of test is begin entity work.sub_ent; -- unlabeled entity instantiation end architecture; architecture test2 of test is begin fg1: for ii in 0 to 0 generate begin end generate; block -- unlabeled block begin end block; end architecture; architecture test3 of test is component comp is port (a: boolean); end component; signal s_ok: boolean; begin comp port map (a => s_ok); -- unlabeled component instantiation end architecture; architecture test5 of test is begin if true generate -- unlabeled if-generate begin end generate; end architecture; architecture test6 of test is component comp is port (a: boolean); end component; signal s_ok: boolean; begin -- include labeled testcases to make sure they are ok e1: entity work.sub_ent; b1: block begin end block; c1: comp port map (a => s_ok); ig1: if true generate begin end generate; for ii in 0 to 0 generate -- unlabeled for-generate begin end generate; end architecture;
gpl-3.0
nickg/nvc
test/lower/issue125.vhd
5
586
entity access_bug is end entity; architecture test of access_bug is type integer_access is access integer; type integer_access_access is access integer_access; type integer_access_array is array (natural range <>) of integer_access; type integer_access_array_access is access integer_access_array; procedure bug_procedure is variable arr : integer_access_array_access := null; variable ia : integer_access_access := null; begin ia := new integer_access; -- <-- or here arr := new integer_access_array(0 to 10); -- <--bug here end; begin end architecture;
gpl-3.0
nickg/nvc
test/elab/issue435.vhd
1
1216
entity SAMPLE is generic ( QUEUE_SIZE : integer := 0 ); end entity; architecture RTL of SAMPLE is begin QUEUE_SIZE_VALID: if (QUEUE_SIZE >= 0) generate end generate; end RTL; entity issue435 is generic ( PORT_DATA_BITS : integer := 32; POOL_DATA_BITS : integer := 32; ALIGNMENT_BITS : integer := 8; QUEUE_SIZE : integer := 1 ); end entity; architecture RTL of issue435 is type SETTING_TYPE is record Q_Q_SIZE : integer; end record; function SET_SETTING return SETTING_TYPE is variable setting : SETTING_TYPE; constant POOL_WORDS : integer := POOL_DATA_BITS / ALIGNMENT_BITS; constant PORT_WORDS : integer := PORT_DATA_BITS / ALIGNMENT_BITS; begin if (PORT_DATA_BITS /= ALIGNMENT_BITS) or (POOL_DATA_BITS /= ALIGNMENT_BITS) then setting.Q_Q_SIZE := POOL_WORDS*(QUEUE_SIZE+1)+PORT_WORDS-1; else setting.Q_Q_SIZE := -1; end if; return setting; end function; constant SET : SETTING_TYPE := SET_SETTING; begin Q: entity WORK.SAMPLE generic map (QUEUE_SIZE => SET.Q_Q_SIZE); end RTL;
gpl-3.0
nickg/nvc
test/sem/supersede.vhd
1
364
entity portlisttest is port ( signal a: in bit; signal b: out bit ); end entity; entity portlisttest is end entity; architecture foo of portlisttest is signal a: bit; signal b: bit; begin DUT: entity work.portlisttest --(fum) port map ( a => a, b => b ); end architecture;
gpl-3.0
nickg/nvc
lib/ieee.08/numeric_std_unsigned-body.vhdl
2
18190
-- ----------------------------------------------------------------- -- -- Copyright 2019 IEEE P1076 WG Authors -- -- See the LICENSE file distributed with this work for copyright and -- licensing information and the AUTHORS file. -- -- This file to you under the Apache License, Version 2.0 (the "License"). -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or -- implied. See the License for the specific language governing -- permissions and limitations under the License. -- -- Title : Standard VHDL Synthesis Packages -- : (NUMERIC_STD_UNSIGNED package body) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Values of type STD_ULOGIC_VECTOR -- : are interpreted as unsigned numbers in vector form. -- : The leftmost bit is treated as the most significant bit. -- : This package contains overloaded arithmetic operators on -- : the STD_ULOGIC_VECTOR type. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array -- : is returned (exceptions, if any, are noted individually). -- -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- library ieee; use ieee.numeric_std.all; package body NUMERIC_STD_UNSIGNED is -- Id: A.3 function "+" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) + UNSIGNED(R)); end function "+"; -- Id: A.3R function "+"(L : STD_ULOGIC_VECTOR; R : STD_ULOGIC) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.3L function "+"(L : STD_ULOGIC; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L + UNSIGNED(R)); end function "+"; -- Id: A.5 function "+" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.6 function "+" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L + UNSIGNED(R)); end function "+"; --============================================================================ -- Id: A.9 function "-" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) - UNSIGNED(R)); end function "-"; -- Id: A.9R function "-"(L : STD_ULOGIC_VECTOR; R : STD_ULOGIC) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.9L function "-"(L : STD_ULOGIC; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L - UNSIGNED(R)); end function "-"; -- Id: A.11 function "-" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.12 function "-" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L - UNSIGNED(R)); end function "-"; --============================================================================ -- Id: A.15 function "*" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) * UNSIGNED(R)); end function "*"; -- Id: A.17 function "*" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) * R); end function "*"; -- Id: A.18 function "*" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L * UNSIGNED(R)); end function "*"; --============================================================================ -- Id: A.21 function "/" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) / UNSIGNED(R)); end function "/"; -- Id: A.23 function "/" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) / R); end function "/"; -- Id: A.24 function "/" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L / UNSIGNED(R)); end function "/"; --============================================================================ -- Id: A.27 function "rem" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) rem UNSIGNED(R)); end function "rem"; -- Id: A.29 function "rem" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) rem R); end function "rem"; -- Id: A.30 function "rem" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L rem UNSIGNED(R)); end function "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) mod UNSIGNED(R)); end function "mod"; -- Id: A.35 function "mod" (L : STD_ULOGIC_VECTOR; R : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(L) mod R); end function "mod"; -- Id: A.36 function "mod" (L : NATURAL; R : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (L mod UNSIGNED(R)); end function "mod"; --============================================================================ -- Id: A.39 function find_leftmost (ARG: STD_ULOGIC_VECTOR; Y: STD_ULOGIC) return INTEGER is begin return find_leftmost(UNSIGNED(ARG), Y); end function find_leftmost; -- Id: A.41 function find_rightmost (ARG: STD_ULOGIC_VECTOR; Y: STD_ULOGIC) return INTEGER is begin return find_rightmost(UNSIGNED(ARG), Y); end function find_rightmost; --============================================================================ -- Id: C.1 function ">" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) > UNSIGNED(R); end function ">"; -- Id: C.3 function ">" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L > UNSIGNED(R); end function ">"; -- Id: C.5 function ">" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) > R; end function ">"; --============================================================================ -- Id: C.7 function "<" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) < UNSIGNED(R); end function "<"; -- Id: C.9 function "<" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L < UNSIGNED(R); end function "<"; -- Id: C.11 function "<" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) < R; end function "<"; --============================================================================ -- Id: C.13 function "<=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) <= UNSIGNED(R); end function "<="; -- Id: C.15 function "<=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L <= UNSIGNED(R); end function "<="; -- Id: C.17 function "<=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) <= R; end function "<="; --============================================================================ -- Id: C.19 function ">=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) >= UNSIGNED(R); end function ">="; -- Id: C.21 function ">=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L >= UNSIGNED(R); end function ">="; -- Id: C.23 function ">=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) >= R; end function ">="; --============================================================================ -- Id: C.25 function "=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) = UNSIGNED(R); end function "="; -- Id: C.27 function "=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L = UNSIGNED(R); end function "="; -- Id: C.29 function "=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) = R; end function "="; --============================================================================ -- Id: C.31 function "/=" (L, R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return UNSIGNED(L) /= UNSIGNED(R); end function "/="; -- Id: C.33 function "/=" (L : NATURAL; R : STD_ULOGIC_VECTOR) return BOOLEAN is begin return L /= UNSIGNED(R); end function "/="; -- Id: C.35 function "/=" (L : STD_ULOGIC_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) /= R; end function "/="; --============================================================================ -- Id: C.37 function MINIMUM (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MINIMUM(UNSIGNED(L), UNSIGNED(R))); end function MINIMUM; -- Id: C.39 function MINIMUM (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MINIMUM(L, UNSIGNED(R))); end function MINIMUM; -- Id: C.41 function MINIMUM (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MINIMUM(UNSIGNED(L), R)); end function MINIMUM; --============================================================================ -- Id: C.43 function MAXIMUM (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MAXIMUM(UNSIGNED(L), UNSIGNED(R))); end function MAXIMUM; -- Id: C.45 function MAXIMUM (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MAXIMUM(L, UNSIGNED(R))); end function MAXIMUM; -- Id: C.47 function MAXIMUM (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (MAXIMUM(UNSIGNED(L), R)); end function MAXIMUM; --============================================================================ -- Id: C.49 function "?>" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?> UNSIGNED(R); end function "?>"; -- Id: C.51 function "?>" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?> UNSIGNED(R); end function "?>"; -- Id: C.53 function "?>" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?> R; end function "?>"; --============================================================================ -- Id: C.55 function "?<" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?< UNSIGNED(R); end function "?<"; -- Id: C.57 function "?<" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?< UNSIGNED(R); end function "?<"; -- Id: C.59 function "?<" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?< R; end function "?<"; --============================================================================ -- Id: C.61 function "?<=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?<= UNSIGNED(R); end function "?<="; -- Id: C.63 function "?<=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?<= UNSIGNED(R); end function "?<="; -- Id: C.65 function "?<=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?<= R; end function "?<="; --============================================================================ -- Id: C.67 function "?>=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?>= UNSIGNED(R); end function "?>="; -- Id: C.69 function "?>=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?>= UNSIGNED(R); end function "?>="; -- Id: C.71 function "?>=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?>= R; end function "?>="; --============================================================================ -- Id: C.73 function "?=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?= UNSIGNED(R); end function "?="; -- Id: C.75 function "?=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?= UNSIGNED(R); end function "?="; -- Id: C.77 function "?=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?= R; end function "?="; --============================================================================ -- Id: C.79 function "?/=" (L, R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return UNSIGNED(L) ?/= UNSIGNED(R); end function "?/="; -- Id: C.81 function "?/=" (L: NATURAL; R: STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return L ?/= UNSIGNED(R); end function "?/="; -- Id: C.83 function "?/=" (L: STD_ULOGIC_VECTOR; R: NATURAL) return STD_ULOGIC is begin return UNSIGNED(L) ?/= R; end function "?/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL) return STD_ULOGIC_VECTOR is begin return std_logic_vector (SHIFT_LEFT(unsigned(ARG), COUNT)); end function SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL) return STD_ULOGIC_VECTOR is begin return std_logic_vector (SHIFT_RIGHT(unsigned(ARG), COUNT)); end function SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL) return STD_ULOGIC_VECTOR is begin return std_logic_vector (ROTATE_LEFT(unsigned(ARG), COUNT)); end function ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG : STD_ULOGIC_VECTOR; COUNT : NATURAL) return STD_ULOGIC_VECTOR is begin return std_logic_vector (ROTATE_RIGHT(unsigned(ARG), COUNT)); end function ROTATE_RIGHT; --============================================================================ -- Id: S.17 function "sla" (ARG: STD_ULOGIC_VECTOR; COUNT: INTEGER) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(ARG) sla COUNT); end function "sla"; -- Id: S.19 function "sra" (ARG: STD_ULOGIC_VECTOR; COUNT: INTEGER) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (UNSIGNED(ARG) sra COUNT); end function "sra"; --============================================================================ -- Id: R.2 function RESIZE (ARG : STD_ULOGIC_VECTOR; NEW_SIZE : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR ( RESIZE (ARG => UNSIGNED(ARG), NEW_SIZE => NEW_SIZE)); end function RESIZE; function RESIZE (ARG, SIZE_RES : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR ( RESIZE (ARG => UNSIGNED(ARG), NEW_SIZE => SIZE_RES'length)); end function RESIZE; --============================================================================ -- Id: D.1 function TO_INTEGER (ARG : STD_ULOGIC_VECTOR) return NATURAL is begin return TO_INTEGER(UNSIGNED(ARG)); end function TO_INTEGER; -- Id: D.3 function To_StdLogicVector (ARG, SIZE : NATURAL) return STD_LOGIC_VECTOR is begin return STD_LOGIC_VECTOR (TO_UNSIGNED(ARG => ARG, SIZE => SIZE)); end function To_StdLogicVector; -- Id: D.5 function To_StdULogicVector (ARG, SIZE : NATURAL) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (TO_UNSIGNED(ARG => ARG, SIZE => SIZE)); end function To_StdULogicVector; function To_StdLogicVector (ARG : NATURAL; SIZE_RES : STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return STD_LOGIC_VECTOR (TO_UNSIGNED (ARG => ARG, SIZE => SIZE_RES'length)); end function To_StdLogicVector; function To_StdULogicVector (ARG : NATURAL; SIZE_RES : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR is begin return STD_ULOGIC_VECTOR (TO_UNSIGNED (ARG => ARG, SIZE => SIZE_RES'length)); end function To_StdULogicVector; end package body NUMERIC_STD_UNSIGNED;
gpl-3.0
makestuff/comm-fpga
epp/vhdl/tb_unit/comm_fpga_epp_tb.vhdl
1
6313
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; entity comm_fpga_epp_tb is end entity; architecture behavioural of comm_fpga_epp_tb is -- Clocks signal sysClk : std_logic; -- main system clock signal dispClk : std_logic; -- display version of sysClk, which leads it by 4ns -- External interface --------------------------------------------------------------------------- signal eppClk : std_logic; signal eppData : std_logic_vector(7 downto 0); signal eppAddrStb : std_logic; signal eppDataStb : std_logic; signal eppWrite : std_logic; signal eppWait : std_logic; -- Channel read/write interface ----------------------------------------------------------------- signal chanAddr : std_logic_vector(6 downto 0); -- comm_fpga_epp selects one of 128 channels to access -- Host >> FPGA pipe: signal h2fData : std_logic_vector(7 downto 0); -- data to be read from the selected channel signal h2fValid : std_logic; -- comm_fpga_epp drives h2fValid='1' when it wants to write to the selected channel signal h2fReady : std_logic; -- this must be driven high if the selected channel has room for data to be written to it -- Host << FPGA pipe: signal f2hData : std_logic_vector(7 downto 0); -- data to be written to the selected channel signal f2hValid : std_logic; -- this must be asserted if the selected channel has data available for reading signal f2hReady : std_logic; -- comm_fpga_epp drives f2hReady='1' when it wants to read from the selected channel begin -- Instantiate comm_fpga_epp for testing uut: entity work.comm_fpga_epp port map( clk_in => sysClk, reset_in => '0', -- EPP interface -------------------------------------------------------------------------- eppData_io => eppData, eppAddrStb_in => eppAddrStb, eppDataStb_in => eppDataStb, eppWrite_in => eppWrite, eppWait_out => eppWait, -- Channel read/write interface ----------------------------------------------------------- chanAddr_out => chanAddr, -- which channel to connect the pipes to f2hData_in => f2hData, -- \ f2hValid_in => f2hValid, -- Host >> FPGA pipe f2hReady_out => f2hReady, -- / h2fData_out => h2fData, -- \ h2fValid_out => h2fValid, -- Host << FPGA pipe h2fReady_in => h2fReady -- / ); -- Drive the clocks. In simulation, sysClk lags 4ns behind dispClk, to give a visual hold time for -- signals in GTKWave. process begin sysClk <= '0'; dispClk <= '1'; wait for 10 ns; dispClk <= '0'; wait for 10 ns; loop dispClk <= '1'; wait for 4 ns; sysClk <= '1'; wait for 6 ns; dispClk <= '0'; wait for 4 ns; sysClk <= '0'; wait for 6 ns; end loop; end process; -- Drive the EPP side process begin eppData <= (others => 'Z'); eppAddrStb <= '1'; eppDataStb <= '1'; eppWrite <= '1'; wait for 10 ns; eppWrite <= '0'; -- bring it out of RESET wait for 45 ns; -- Do address write eppData <= x"55"; wait for 5 ns; eppAddrStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppAddrStb <= '1'; wait for 5 ns; eppData <= (others => 'Z'); wait until eppWait = '0'; wait for 5 ns; -- Do data write 1 eppData <= x"12"; wait for 5 ns; eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait for 5 ns; eppData <= (others => 'Z'); wait until eppWait = '0'; wait for 5 ns; -- Do data write 2 eppData <= x"34"; wait for 5 ns; eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait for 5 ns; eppData <= (others => 'Z'); wait until eppWait = '0'; wait for 5 ns; -- Do data write 3 eppData <= x"56"; wait for 5 ns; eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait for 5 ns; eppData <= (others => 'Z'); wait until eppWait = '0'; wait for 5 ns; -- Do data write 4 eppData <= x"78"; wait for 5 ns; eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait for 5 ns; eppData <= (others => 'Z'); wait until eppWait = '0'; wait for 5 ns; eppWrite <= '1'; -- reading -- Do data read 1 eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait until eppWait = '0'; wait for 5 ns; -- Do data read 2 eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait until eppWait = '0'; wait for 5 ns; -- Do data read 3 eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait until eppWait = '0'; wait for 5 ns; -- Do data read 4 eppDataStb <= '0'; wait until eppWait = '1'; wait for 5 ns; eppDataStb <= '1'; wait until eppWait = '0'; eppWrite <= '0'; -- writing again wait; end process; -- Drive the internal side process begin f2hValid <= '0'; h2fReady <= '1'; f2hData <= (others => 'Z'); wait until h2fValid = '1'; wait until h2fValid = '0'; h2fReady <= '0'; wait for 120 ns; h2fReady <= '1'; wait for 400 ns; f2hValid <= '1'; f2hData <= x"87"; wait until f2hReady = '1'; f2hData <= x"65"; wait until f2hReady = '0'; wait until f2hReady = '1'; f2hData <= x"43"; wait until f2hReady = '0'; wait until f2hReady = '1'; f2hData <= x"21"; wait until f2hReady = '0'; wait until f2hReady = '1'; f2hData <= (others => 'Z'); f2hValid <= '0'; wait; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd
9
24644
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iX1WH6lCwGPTfoT4q/xNrK1Aj2reaQarfseiUAS/ifZXhEwoB6oE2D6RZAFaF0LKNSam6Ru10gWw 5pLuKoROIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bARjz+rQAsd4I8CGYLjXNRkBYuWKzzuB9PMbJDCJ04njXAjtXL/+6vyr+nxazh3VyCYSnkr5TJI7 Ve5ZLr6quqhg31JXTykN7hQnYHCd9kyM7r0OxtPDQ1LBVhMXDkYfsb9It5sObCsIyuqLphQn9OPb TnXAYHH1Blz3OHUzqJg= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uhPlqy3xo1NihYW65X7CDC709m7cEaomoqflzTMuS/8WBbp6sRi4syCpke34NLfAuO5W2qaz0R6K WEYPH+EiH235nzNLMA8J24xu5dT9joybYah3TPZ0DYhTF75c6itxyHJIMV1xl+556A7yxyTqF8zi s7XwcSTxQDJDiuMSoCU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Eb4QcmBeu+Cl8swJPx7VDsvjSfEWrnkHhWG4rWRwsc73fhQAQLplR4cWpWgTSreaLZ4C48JupiM9 B2hgDC4DJky8pusJSDf2FgZJphdDMwHLGDGbvr4ojVfULIp5wq91+a0GQnWhNlTKEmY5lBkY/P4n 7kf1Z9mEwremI8vQ2FD1+UlD5xKMI9lLLJVag6NZ1YkXBsVJcDVAo6BFDwhoEk09WbjqU65vcpB7 TL8fY7vF4lLCxbhnxZdd/P8p5EcddQnV5zhwTDPiVunMZ3hX4XFz2AQeYuapAnELVOwngFw/ukl/ 7rL9ZrqYTxZjst53Sx3KBWP8KZxhzQUaTCr+vQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CVMWmA316JheGpWyNHL/MR7hELV6yopB7vREjYADDQToPcmx+apIakfQlTn+lUhbK8TYawVFWrMW 8PVl9nMkWkYU6XADi6k34a09IpJNL/zeeeR9RCXkOOAFO6i0pT+HWPb/mM+mAEusd4sZJFgErDAW 5nJsfDAJaDxrOASWGYFYEhQkMoNA7UNtmA6oAGI4jfWX94mAA3Zr5lTvq25xDQ9oyKTdcZCIpiiC Olb288Irph+QJBryAyW6n8zFiZg9eG8BRH7elDSTqTNXP1pu2v2r6L/uBeSc84gGz+5wZijOYm5p fh3s11Kcsikk8/7ECM//T89z/v2tqdQKtlsxmA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mTHmFURTiwMZxNH+DQsg+aX9Oa+gLa+goHbf9Wxe/MlyJHwoCuP3Q5v/Q77VpR/j1dlxGRKVGWYa yxG6QgffcjhepHu/PcrwyyCoKhWjCNM5zi+Ot4+wTUhVBVdEJiP6WaXp4cnaIemA+otZGCMu2sX3 qnsAP9E78Xd/i7bWf42AAREfamnrdHKEIM0h7CWavLUaIZNnqa4lk91Uu7RPd225C5Psd9JD95vP eu4FnUKLoOlr5PEsChnJwV4j2zhf9hh3PLsVUF/pW0oC6mECK5kHdByNct7cUUWMPn5vvIYimb3+ 5AT2S53HD36AKdsgk5tHDf9csmg0fD3RLMSzNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16112) `protect data_block /szp2oV7ktTa9Pb86zLCM0ZDlKzMFirSNU21tttYguylq2VXx/5JCDpUYzpABaSAe40JztxsckAC RzVWAjri3IKixOxDqXWXhTty87LEJvU+XH2oL73j7S6TgEb+zibk3z1qus+ESRaW4cTF16GdqZ5M +PjNgj0ecvqiQvEs6g25GmshopXYTEenhsEyqotTc4jn1CTqs06OuxzKFAlGlNGjWiSwL2vH37Ci MisgSjtJZExYW8GrUL3tDU6Pj51vGKhe7O9gtO9LsUFsdRHb7vb25Qdo6gAdMPuyEVYgTbkIOyBI ZGby9e65qifZqk6ph+4BRNz0XZ6RliHyqdYWN93PCFXxtBtrsoYus1wngBUH1VSWw7IwwI5PUo++ ed1eQYBhSwKgFk4BG93wSXCJ7P/l3XaLR/6h21A8UJEXs37TqSF5u1DinHdfEzjBuYP5OeKhX+Ry QTF3yWOTVSZ+cPr/O59ZmiCfB4TpXJe/1fNIWhN98w9QyEpWGJ3vdbDxmN3mPEzLvLvupzvWE/s6 h66P29/yhg4Qt4x4alVOvab16+PPY/RGdZprYl38/rRFYUUG5A/ANSjRWtK8zuDXt695gpdfAoru kek89s+lgE9XyHCODquJNJUL04GKrWIO1Og8R/XmLu9/OPIFuve421GhVz3S9thD8/9d1bGhvG3M njc2ZeTNiJy0urb2KWaQBCE/8UzbSBIR3U+I21k4lBrQ1R40S9Di7KIayU2guqkF79RNtehMQ7Hl Ib+UDJU3jnZQ8Vid2tTrItJn2pXnkE40J4xCgC5DkYsXFqVPkUismm+YZt/1VnmvGb3jPYj7LIG0 9b3UrfCE28IbDpIGUd2ydR87OjcGqEMhwOgEk1IvQJwpJBEDG520kwuXZfwzbvlqCCrI9SFHh5DI HB0OxQAc0xqmhvnDyNyV/05CQ+oQfhQMHEK+NcF28LRghTeggp+SZfKSMvNFGp4ok5AEt4DXEnJM oz2m55T+KC0vkEalElzXwjOB83GNlmNbKZYxUV4+RNEZ9mefUpU1DWrsTsLFbQcVtYykDjSZUVr2 GJyCk95StRxA1uCVTCjwO4HobxY/PRB/QqQKrNdJQug3rN1QVm+XDSi7M0bPBNLlJACSyjHls/XO OFi8GEbS4pqibvDAOKP2Z5ZG4qEjvZ9CYj5/c2iQD22a4lDGzEf0adF2dJN5UBR1ljFRduemwzmN zy59UbYG4bUoEVnpN2Ffo6JgNAS6bWtExdChQGLaxTnly+U9eYnx2/DofCHsmOXxRh548IBwGEPa u/erIvTC1IjSrNHTwLtIP1sq0xMDGzdak2sTiE+fOYv3tqgo/FyIeZicPajxiKbKX/M+iOEFofeO tkG5MRNvPsl3v6Xnx65CpSqqL/oKU7nLA5j5vqdH5ZwXN2DyT7WeU4Du78QmZ9c/XIh/xA4rcwxG 2hXWuCTrJdZNI+B0UFAeEzVG9v2Xyn4jcah/YKFUangVGUxxewwRlNhFRIB41ockG4k7Qiz739Ox 6Fvh1FPEfiIj66r2ArVyBBAZMxZZSc10ct4S1lqtMMOU9zYJ9+QMQ4VDSjP3dBwW0mkoqxCs6Ggt sBa8qSsoZqpOgNWeiFPnFNTAzwv9otTWePrEdWEjHO9ktaT8f0gjyRHvnImGPS7MfO4cPwDazIG8 4NTGkZPs+EhK+Mwi3xAbfnZ0k7A1Zo8JOQ6vmV7cVLUjTcwi1R/NJAi6vIKZpWgfPWvUPl6aqPoQ aSMBguXgN49bzYtJ2pxBvl0eKNz3gyYGOVLYweS7u61idjIYOnYAtPfhAVjYk7QjU95z7nha7neO g2jOQKvge7mKh4IGKwvqeF2NYJkaEIFDDQz5NsMlzOGblqWvtPDoW7Eh9uksJscbrZZM1uPM5By3 ceCVeM6U+N8bctRJjJdclIjnlGub5cXWaVGO/DfXKpMHuKXDiE4AB7+0Gw896OhBH4bKRsWngHVE HiyaaXDDTLXPEHkuh/eJ3iHyQf2LYeBkDY1GEJbEajEQsGN5B+VEBgDvyE3KpNmPOjolfgsUuLDi RGWBVai2YE9aZhFLcYxmLLiNfQRXnjt9cObkw9eKRa5asJH6m63CH9cdid28AZoxYSIyYZw1U+Es TYHTlJDnaD/EiTMzEZQZiQe+n11lqkXNLNPRb6kHIHa+v8tkno3Uoj9Mva6y4V8D6JenECZ2HPVL mNNhggt1tu9+xJanwZ2IECx8A4WsUjk2bRGnkZjiTpUeq2m1fm3kqqNp4RKd6TWpGaO//VluFG1X 6wVvkYCTITKov3XexHs5upMe9UV974URH/OBCPxdM1x7LtlaveiihfGOgZw4dK2yiC8g/muiQYuh WC4IhwRS9a1kmCqPPEHJGvkNjEOmBP0ZqKxg5dp+1lXyoq50bwx/Ypl7PH+dRc0SDAMLpLxOaDR3 5F3gFdbsT92jKumrhm4b1AJ1ewR5bzeewo0wPxEPOnn8MmbugxiZONq5hm9Ts2oAYOsLK4EQiSbC j5XHA/guCn6P6oG3aNtWpubTYC6WI/osIJGD3Xy+V+by+q2nkpwXqX+y4WUpWcMnEQKsAXK3MVPL LcFHA6q5BeuoSg5XjGejEPas67oUDvMTm6/e1U3Nc8qbvkYRgAKo1jyJ3HUQjCTL0uK+y87/QGiw wL7zl9qdPQaB3k+fKe2Z7uAgZxpswnXBbSt4RajQivqUHyFzsncDun/YMzdzA+NHx2R99uTY339X Za9PzXuE7oCTCPi9CwZezjRhaPhuRvjw4KSRQ8QUbXxLipPKO8WfuK6egFqMq0sO3zxeYMeB/6Uw 8prKTEz8warBEeCZPo8FlQbj3YuLocqza/A4g7V/Slf2UsYuslESYtOd/2mC29AEpPL1xSZiK2lG y06pI+nwafl3Wc2W//X1YKvq/tZltWvp13ilU37eM3lyuxCjVBfGXIBrpru1orWckIUS1QSQ00D6 JpDqoSbHTozHo1jIEMcICJXssho589i0E0hiHBXhNBnJeB7hOqrounnM0riMRW3jiWCBNsfVroay zIwjfMEoZNTT6o0fVJKtgarLXq4dkj8CBwSl/F70Uymb2mb21B+xvK0aV062L+meWFbANbCiFptW oPHphxyM57mK6Es42pvuWNjgRztJNrYcSO78bS1zkrmUlaKx+eUwhBwCSaF8HDAGu9bVpcmXBMvK DgblZjOqmbCkY6bZiVxyWZeOhmdzN9XnyUwMtw4lRBStKl80dI7XNX+cKL1Q/qt7DEwz84AthLgr YoodyOweKDMN/fIz55YJRK6rRkAmYlenWbK2P0Vq/khvTi4iNVMizocuD7uC7bpH801AlDl3QChq uDm4NFBvtFK/+U4aW8/EJlEbyVlE2gp1Ems5R9na50iOWcFnQBLrJregPeItEnlRuoTAyrgbphJm 0Ltk8nQaagmwv3QQmBWVLoG7dc26AVnuyfYanx/qdPee0hCoPeNusFugDi4LqcJvPX15Wq6mCUKW X57O0dHUn7o+tfSFD1w5EJK8WSULubKfXEKiqAI9YHpWzFZEY46yg5clejS8AUafCu1GGWTao8PL Jnx4dGCQynS/tVUzu2LFBsPKVJGNsBDCe2zfRb5huqVPl0vjfoFwTaufIXXYydWCQXWJKxfRZ/BG lExHNP686rqYEqqZRVeX9iQv14FZ7EL65qjiWB2DssRc2ZGXhi3/PWLJG2OXnAD2OVo8fMyOZ4mF 3SDthEdw2feu9Gc8EPRq9bdXoOpS7WnCKFM6cTpDyqA0+bZPpiPVFV40vDbHPvcI9i2oarfhGoKX gU53X5lK3ZIn+u0/bXieOyBKcd3lN1C3kVFiyZ4Y4yC/vj2hqYesuOAqzB5jla37cmNWNMnOpO/v RxtbbHu9GMYdo06O2ZsX0kk+dc6qCsyO4d5V8518ma2q46x0iDHNE51ZFRfXK5bHQuvyA0KzMHvi Li+s4wafadAyVXDr7JN9O79cm0d425IaMbXOvieGV/KNRP7ShLmRoqWTu8XNm6DyB7WbTvMrmTxF tKZsl2I+g3NdRFd3mdKThbsLv0/XYsay1inrLzeWMd+D5S28FZW4Zq8Rf4LU3eeIhrNXv6tFezti CuM43UM2Xbk1RNTlM5rqkJ2IAyMR+bRMovsBAGQmKxBIeLyd9RrjHvhPPZgEugtpjCQiU1mhe9qd NuCTH+wn4EAJ8/noRb6PeBr5hY/RzUGz9pdgcxEQuX4GA5eGM5IHlDQDOVojR9GaEwDTeZo7QOyZ a3xGvn/+jovfymHd/ze59DIa6azpMsoZpksuz7PCkArf21E3J7/5ZSX5NbqQGVq5d9JoqqXexIN6 2afJjqJdyjOp6Xe9BzVXh+C3okdPQQnvQ5maO1FgyM3UbELoPTCRI86SnnF57gE+xuvdF5/L6ujj 6lQv+tIIfkPTBNcSXlr9rPWaXyrnfEqdEPpfKuOEzQpTqYl1IqqOR1A7NZw4CIjN/xrnBZGfIt8Y ZAtSxzvPTDOeMLTqMSDfi2+jdwM7RfYnI2UuUv5Q3L5RVVdZf+V2G2oFdcTr1mzZFL9nBPgbmwhS ZggdirJ77djw3seACxbKyl5otJHRBIfaBVR4EX4VMdLz0wgyp2bjCiVYu2/Lkb0iNPL3ITycd+Tf 0gx6wjDh4Z4RF3Bq8+3HOjzR4N+cHh9p+SLIlqObK+5ze2sCJ0KZE+wrT6Rn2LoUXlHKwJryTkic aHHaAR923q3+8tIOKzFN9+2B9aPE1+rFSeGX9Z1eL8tuz/Serbbh1PVlBvE6Ut8aBW4yIpaaK9nd /lBdlQOpx75z6TIi9x8WdlrqM8/wrUwYPbDdWZtYlT47SeICx1mSRXrqmLu4T+rc0uHu6B7nxUY8 lxQxV/p8VY8O5vj4COVZKYPw77JVCEWMqW4+SzGIUhQursZ3z3jMkXUOTi1OouYakAtelmJ3bHyy B5GRR2s3WblA5lZSkZ2OWfBZsWAyCUgVL5FZGQX7U/F/0KNFVfrXE4Tl/v5V3bD/TeZS66swrYyz sOY6ZG7ry1ENW6uRu8Q+SwTEJjtVFnqGj2/KcSZJVPw1Il7XrpfWYblHQuuMlp8IhMpnO+8ZTC9c JylgwVXMbAsHtrH5Wf7TAEgC+aLAcjCP5XiX3Yam3ZW8ZohVp3m53zX/dKqPeAA5BKvAJ3OfuLnp E8Cn5vWJ8q+XDI/VxuUH6HNDtqIgSs5fT0Rvj1XL61agptowDp0Dy8/tfWW0bpib5+Jd3YhUrsbb iMUvQNAH4n8u8ReowfGgYzl9Xkc4MHmKC8py1N3lYyoJom+ykDPnhE/PudeYOJn8bVRRczf8/b1t eVI5KA4zgtF0ZMREUTxIBCBF/pyQEKvw6luXZMMM3tjCSNNU4aaYwmWQkMrDZJ99nnyRg5jOwhX7 X3t9STWb+f7GDY3d7OUMpKFq2I38ogY6s/BMIsNEu82GsqA7KzmE4vw+GB3N8Kkw/BvVXWOesxiX 89Wyr8+07C9XYLDZ9Xbnnjjr9hvYuwvCimqFN6h2je42V6d5MsWawS+1z+iw15+8bZIO0He6aUkB vv0QLbKB09y0MRrSHCd97QqKRaBhikuQnz7n2e3GCaNnJMxhx9EWsovk8gwX7NR38nuTtMxWUVIg KKvdO6+Hmhf4I2EfOdjUd+sI8IW5q/wK7Ao2+mRUWg+3n2mfe0xmQWsrLqAF/+hT3GdwBlp1l0Fu 1k1NBrx4qJhHpI6a2444s7F91oRDJH0lYsoOVvVeUGyUrUK7QYuxWZuYftB0rEPpykTdBkBtubLJ tBL26H6uE2URkDzJWNHJdKPeU87vBBRJk3oCmq7OkcRhxYrgz76BZU++93kHihupvYYmJ/pnZapJ v6DpZQG7HRI+IxgXYt5uY5xwUCUyL7H8div/sAvFEBB3e3QCZvmJsn2NdIawqPdIalFb7TRWvc4e 896DMjenAm7eifHSqk/lteerFVacIeDUhMPTm8j2BonOLDXWRNhlovTRrNGXzBx3XYCPCsk1ax5a iiWZ73lyr9aCzTGO/YULm7xPe+zx51dPoTHx/uy2kOODMxNsZYcs7hlejDWDkEpppvYjTIb6j8Zu MVjivbjD4v3vOPaBOpfwiqNnrbe3AlvtdiBW8hAaHbZJmj3nM2/AiGJzGQu9Lg7TpbL6Vof+zr8l Vc9EuKKXwkOB1NPOjcI1gxXCkju30BHzdXa30IrILG+FPueKGJ7Hlspay7AnVaEysT220Xq20xXY UwLRl0sIK1PzF2XM3ESuBhBHoni6Y+DFnx9hwZ/Qvtvd/6PmiptSelhDBDngXLgBgGAipDkVMHqX w5goo8EbSplLAK//27ycv1LbPtZy1xNQLh/K5bhxS5AZKvI1YlLNhHt4zmD48Gvh/m4ZE3wiEIMR 4gdjitfb0f8DTLWJz7KN0FPPzx3e3o8odbjcBoAJNOLZs7/rKmb6cfrzVYrwKegPnK96FW5YFCYn iDP93oDNHad3jwKnfBUWBcddY6jw0T+thDPjfpDW7mUYHBBF+oxmIGexdGresB6VjQO/GWNOmGXG fE9W1Vuo+M+3JPqCJLrf8x11g1vJTc6b4A7WZdIK6CvIe2W7VdpVSxsGtcditX+e01a2SWcmpBwV 8d8bJnO+4LHzL8qbLIXU5UqI7lKa71BYZVzDKsijUdxjenNjUMyebXlk8y1prHFcFGdtWOJ2zO4W 8KMI0WjR65E8mPCybmfE25e/tUhkugvywwAA8DRRsakbXldkXW9HLNxSgGxD6i0t+YwM52eeRmCe djjKTVVmI8LIBgqyWbDL1xxgwk75krePswKCgc5ueSRuOUnhTf3ejqOoYSF7royV4bnC7wnsJGua lLl/+luo9jXSOqdzNTGE4h+RwUohhuttaZ2hpZrsD+TYnUpZTTXhN+7JmdgG/0Db7jtLO9ZgvnCf lzouw+9KnVX++sJrY+0vTS8/oku0XT3IgxECHwH7yIgqyv9am4yL+NPEzASfyKSw+pwNnF6Fl1D6 tSoQaAYrhU8n3tMJPc5wiCVLVGaHESeYxtlkghUCLYn63GR7GOtBWvTl7DCeY5bT8Gy7DtqUgk/R irN7rIfLqn0PoaSEzWZ2oGm/wm271WKF0w8DcdkVfZctByiGr8PQtWaF87Xsv/mGQheJKfVvGWZt tkxTUHKY6DMNE6vfjmlLyw85xLQlYOt7q49wKtCgZOvRiLie8TfWlx01H9cmaEkP2bj3NdjNVWpy r0lRpWFiUcf42TyOZUPg9iFCN8UK06RR7Zl1T/cyRxCS11Yxm8fdFCx1rAjlkgVg5ugAhwhJYJW2 tyDlPF9LJOBbfSimDTazYEIzE2+pfaLGFJn2qj+BcsnabhO1qLprBxM5S2JOJg7+YUS4BLxELQ/Z GIo9Exa3Fzvd/EVH3JcS8YXFupzLhPJZfWUi4dUJ5jRNWgW9acTXp8+vRYzcv6qchM994H/Wn6XF +zLNoa5xToHgeO+4LXn7TG2Qcj1MLYJCNhHoBho5/C+Cy5+O6YVBuGkdTfd7pCt8rTMJfvCnsvoT RC0EAFhRm+Kd6WSr7SzyC8emf0LUbyU5PesltDLhoNyavCDYtou5ZYNmq9pKY0wsniWP9FQ5Zs6z UCBok9pRMsa4rT1Ulb+SqrRCYCFK8fTmjWittAexUzLSiO2xEnyecjc0kA5eZ+JGhGOc8BkVDWBb 1+/592nLGOByE5sqUIrddU47dWoOi4S8Wz9yPiq0/zePzR7swNePwr8uIlSF0DrpfeJBf6FOVY9+ fD5xj6tvqdlNN7eloc1HQvnATB1CkIVRJ6bb7n/nx/UtfjfmVUBNN+n8Y8PN4VYDJpHla9Gw/OI1 rChCGdTYt9a4LjEHhX2bWK1idQXPrZWOAwdjUgYY4cUffDHH9l0wJehFULD9Bvc6g0QktL6xcd9Z QLwJXzwSC5Mfr5sIo4D+KUtnYeV6U0F+9C3HMG+EzJHCQ4lMZegdSz2Sxo3UswdBmIkiCMz29hCi 5PXHpkoL+Rwgj9+zkl0TeogDf9yi6QKJBC/qUU5QMHTGk5tg3s5wkqXNI22ImL2G75i5AQMk9ZRN g4eYU8oLOmMvaMutyZy2/NqjFMqfbCsjR6DUXWW9Ajy1QXe0RZR0TYU+W3JR6zt+P+79rPoG0XJs dJZqiyX8pxMhEf1Vpb2zMZIl1LL9DBqfUpD3sSBPAuz727bpEDoZ/+n0+Phy5mxzGN1FpYYCuh0Y 2YW6PIA5LNSkPKOXUsbAMRdfwfoojcBxXUKshhvQrQRlAU+IYvEmDB0nJQ4TL/g6ZfqK7aqbSAdl DihRouSTm2Q7LJcCReZjt7QpCWipgf62J4x9i6s4039YrvNESVpgjXX5BRzgx/hsCll6yTpL0Pyd 4LZbVb1vImUo317jDeaJBM45+Vw7sXZba75nCH6Ed5a065jxHnnEpEX+Pwht+459cXT8j422LGpQ DgrQBFu3DJ+WZlzI2jhcP/BNPTh+nn6tN9L1GuluqNnL+1jLIZYo1KbIhY3mxoI3HCzC7D+6+T+t C04Y53W8n+VrAEvnx4g+h8BxfkySOWI8l/3/LneL/Wb5uA6H65LRWq3vRXIQStu2HItS8jTA8NPf 4QPRESh/YfC/+ummGJlX/IVVIkzhDJXXMZE2PvkruMmJnLT/zJkExrrtiT3bncVLqGIKGJe/Ylll M2T8046PEm9At+7cVtBiv3ii17nV1RcIEVn/vcv0WZMSLT3/KoX5nO/IKArB3Tgbaq8nnc19cvNR QNFYDSSYhQdG5jimKMUlvhPjLM07ErmfvL/Bp7YxyUARS1FEf0yXJE77WlNpQhRPezgNWfybjWSl BtV200i1eDs7p0RWeD1io0zxHeIXkkX4Cpumhg17PN8YP+7ooz+OYcrlE2hU8Vb57hi6ywpinWI6 yOqXEFbG7QDA5AkDIBRV4Ff5vBFlNx9eEpot0zYL82iUIBMgQ9PsqIBtEq8XpIu00ZZ0B9NbBscA oq4Flzc5km3QcQYCiUgQGNK9gEBu/XGaXDXQgbVicoTYVvAGKwey6XoFDJop76skqhfQn8sUJxlN E4a0en/WD+F5lzsUESpCpkw2fAlw0Q0yfEyefI2vWA0ciohz6kPDbPIoh2WhPPqbymEYQo8WsiTW FSRGh/zYhTJ79z8TAMpXw2OIFxCgqoTE/vqJPNGp4aMpXPICistnmpK/a3UIAxB7KHYYNnelH6dS plcXKN8yKkgO3AVhm9XhBwJ/3jLETZLNXKSUkAp6sxQ4996gOVfN9QXtSyAKJheKAU402EpxeEYu 2S6GSi8tVL9OGaq8cQmV6jTTkM7YBoj50mO5NDdj+e5icJx+WiTlTGxiq/tT3AW6Qf4A9FIdGPiP 3thWc43ykNgGhfMLLYVEex7R3y9h2zpnwZlyfT0q5jYNyZli8kMxzx/SObUXNowu8YNtjyUI8GF9 Y26zTC7gI4zsinkCf6T1rMrZv3dmu705KyVuiEtaBJDBKdnJ8iz6ed6SNynb3sJoLLZhuj1VsNTu +15M6Mzv5C7uDX4c78YoWhO71DaQShKpnsMb5XsEoCS7MbSsaxqZ4pxoK2WdY4lL735RJ3oYN7Z8 71LlWrLp2oBRep2hlxfgr8XdJ0nFOwiN1M0JE9zF94Nlj4wcy6Vdlzje+5CQDFdvtn1hpdwHF8O3 wyI0DBz34P13EPc2Td61iWVO4BWkuO7Nc9Yeovac/maVoJc1unQgFKrc5XVUKqfcDvZoxhHrD2TA LLONH+k5wwcpHxjtyAs5dr3wYPnXcQvcpQUYR+2QBXCz+LqylS0YP4yqxj62L3ilML7lQNZQ0TwH RNr+WfoM3N9nJc7eibb2NhFgahMxiVJsd3hkwrkI9RBjPBl6z1V9kUdVgtoLqpr3SM9X3b0M38k1 HjLFkJb3WcU2PZjOTtIXPv6uHKq4yYT8/zYY3rtbzy9f9JobLaZm1P1HsKPgpjFCJSw7ay3o6j3B i1bjku2MprbWL4n4nIZXhrz6M1AlwPzAcU0Rg8CMmGkJ9+/Un3XK4tibJXAuVnW89S0yfX1wHnnx aLM9huRvj329+15qWUft4dhhv07lEK54h653XDHGnXfmVUMpHx0FQ+LEeHwPt0Ja+rXkCUwpVU6B pk79+Ik85Y3BUanzA8eFoxRApL16Q6zJqcHXjPI5iIqQqwYXvYD5uwG7rndyULCjFAYb9FDVmCxv b9fLM04+SU4H5ZG/Pn/ZeR5dQoyD4zFGeXViCuCU3wvmZ9QHBHqF3MtIMtD9VuHssQ2od7DjGMw6 ocyB7L4ZlkyHM/jxjpnh2J5m0rD6ldB8UHLenXjIBoGsejZAEi1LK7aF4XvFf6nA0XXv/BUxcTcb dE3wmeAYKHeCJQEJmX/ZhJYv/r5a5DxCsXL0VRg2q+N9lw3AMbVUviG3zTaqZ+vOkzdQYLwb2h9r nQnyAbKsMg2fGPYGR9ErQeKpbiitTiEVVRGyRApSOp6ru2q+pbnIGSbOzcFSOGfkBBgPbsP8QLVU tjylu/skf2f/cQ9rh+3pji7VLPdyIdFGOA9m1A1J4XhmHWAp0mO97XLr+KA9P5X3doDKpuw0GLwQ WiJhfUdr8qDHVjWRchN5NwU8W+S6CoX36vTOlZJi5ee82DqnA9bgZxmVscSzBYB4nNAxuJbEnSEq UBlOKMyYg6PlY0JqHtwjS/RXHVwEywSFHETxwrSyvYVibjWd8PjZGsW/fvJIQOWkBF5l7srYypAU pm0+NwAwfPTKNgfBx4gVfd+tKodTBRv5W/Qr5oahpiO6mkNcH20Z/++PXL1sQ9aQ8wHoTuFurLmY AtYTQX/Id8oZIXx6Q2CdfQgkr3+LR7Vig9K+dGdCt+60Uh5eelgyT2CW/unTCTDu3WM9KTiUoAh/ kni4dl3qy3YcoWurNzBADXwNKz/4dsXedx5ufqnO8KKn2hEXbSx7jjpWrrdkzi9SgLzDiDJA30D4 6TkuX2dbcwEWnA08cHVn3wAf+goEwlhDeJnvC5LneywH7MZaNkLjU2EwD0dRk34xOAfkN4FWxNZ8 NbTVpBtEydPOQFZgof/0lcC0eGOuWIMp/khktc3KOy9Ny69jCpuo/7ys7k614tfYiXtn2CniB6Qh wZ04fMBdgPIHfZIsjr2uw5x+OblLwNwzX8Hoan40MYrpuRpOlpHkyB8LSqmz6lx+zXaXTXsye3iq e35G4HJCuzvw/JwSeC1hFOMRQ+NZJCaJiD9XNMLLBqQma7BJIp0phi6Pj2ArwK/bTlBxC92Rjn6U sirhVeuN8qCKlW5at8TXrgOofrZf5Xczyw5DUH6YeZF1bUEIckYg8iHQvd06EG5QiaGDQWZb0jsw NF++q/433itKwO7AQ5r5csp2eW2Ru3hmOqtOmvNZJ7ENsH7Uwf00wnr0zThm844OGtLZI9ck+cAl 9swk0YEMatbOLQWocncHG5s2b6yxRj6JvnR0pF/d0j0AwDlJd9L67G4NX7zi2+wMLl3cvweb4Nqk n7nfe7YztP3Vt6w/hRsax++KL50w2AO/E+Fi6EwzEja9uB709cYtb5kvWj2zpFQu0Bu+BFhsIupw MPnyjMk3k9kxlrBOjG/Jg9y5ejvlUGDzE2yQG91umixZVNJ1MCpZVjxIy8s3aCU11n0Mo7arGvf1 6KdfDKs525AZzklvVzUp9MQ3JRwxRRbVxJzVmwVnFh4IA+8EJP+P7vZI03DOSWZGqqFxwMNUILb/ EMEI4ookaviP56utqK72IbrupSZ0tWQLiwDqp3ncg1fdNA0WzOi329H3mQPi3YoXdR3lbhIWq0wt vwCjVR3qvC05fzsEnDr2uKWLiWDAvDBczm/k/ori5PQkveo8JxBXO8IHtILY9pJ/GEvBbifKRTYi 3LLtoEvnItkLR4iNpFNV+Q4gO9mRM4DmTeLwl7F93vg9aQpnZ7SpIziQCAJc57WCOCEoPk6jKeaS ikG8PRW7z22BdXBRUF+CG2Aij8rFhb6vMXuezuyUwb3oMWfFIYxkWrNkZPRqXUwQqkdN2ITwO+ow DQ4vA3nHRio+sFXVePQWYB1LwrxP6DoPLSlIn0ziypzCJtQAUrpi9UWxebwzL6iCfhLZ6rJ5tFKI SCdKkve55zNCT8DTAlnWIJuQJW8ctqkkMIU/AGGflNCcM/3v9oJoZTydTYTIijRJyaho+SDTmj7b s9MQz7A5441XsXym0mIxy3/EV6h18COc1z76SD62U45nqxlY1DGG0mMMMpXeWT4Q1iOrdmzW94q/ F4JrUtvX0ZxYw+2tQDlXKXF86o+Buo2dBFyAhHe8hJuu/RwXS7wK8vbTy4tTnuk6CUAW9jgBUb/K Q5Suo2K2chtJI3ONnJg5WgilhZUAhu/nZfgJpzU8nyLbFYFuYEzAUeQRDhan5Savmo5auU/uDnux PlCpoI3721v8+4OX09JDOt2A1BO3gkuKlFA9noa2RCM3cgwP1x4pPtpg1QZzDaAdjI+6lAYgl/c1 NTwUKZkqMCL6WpzHFpGWwbNRVgZZbAJ/3TgVI1y9QPAv2tVPZFvORay6ZTyH0rgmkRfTyPmRZkjm OW1EuY7RO5F2l1GNidIu1cHIE5tHwmMeB1uDWaeyimheF8EcQva7LANFrAt5wPZ6/Yceov6vvofN r0cTK+aoqwy6dEM92/UJX/MSggfIP+vcMEQOYUSHftg/uLrvIcvXKdMPu/l233udU3Tr3RBHD712 DjpVYqidQuEQuqJ2fCoOw7yrTG8ogM6k7+m0WSYD9o8jVhz5oojsKK3hkeOrvn8Lwom67dMDJunM ZSWhbMzONjjFroIUZq2ZElvD9GbK1A7SkHw1qdSvd3hguU+BLgxz4I5dQ6AAoFGW56TzX5buuGBt eD1NbmMvZcQvmFVdW8F5T68197PTBcJNkELKcPg/zFFtGjWuS5vDaNVHidZNQ5REetMGt1g3+Pmm K/5RaAi5FJKniQ9ZJAPDFuGl0PD/UfVXg8dIo1FMv4pWV4PwFa7ACnPVBRiy+awOptedGWhW+kw1 wSPj1LlJdKMkNJkSHEgCHdE3LYWHfBGIxMa4zPxnodSp/2nqY9ZjIkVy9IW9m4z0L/Es4XOv4Idb khe9WprJjCH70kbtF0pMSD452Swp6DJNL1/Tyy1yUaXk1CKXGhXfsTZkNk7mCss2k5sOvKOUsOnn huU0ryGqQWe7INXRlN8x8Xj0eunoX/RvucTzgYMPfdSlje6o8e1TqcTPJipZEFMNm32VIkiLIirP 8v624pEe5pcSUgVIrVCXh1rSyAy7gEdLr/fXOrYxdJ0V3Vm1cQAKILmX2FzLLuYX7ySG21edSYqc T7mRMpU0wdF5Ews437g2GSarpTlF0d/arASsH2rA7ySkrVjUl/d3//acGJ6MGsIZXnF1ntip9iHl 3torznE+nwrjduEfp7WcqWqBL1Y1Ng32ZY7tw7eRWn+gCioNzqmmicqJZ12hGTzxB7uy2B8JnKcN F9CZJeB4t0Qk9kSvC4olTjHB0KR9vNhXC3OHzSvR3CuKrX+bSd4i0IhSG20QRhbnx4VjUn02wJ87 88U0m+F/69MeIrJXIHsoU1nZqAiD4flB7y7caZf5AR+qISQDqcfbe6DpsE9HlszeTNQbA61mkxw8 SQHvavsknyGb6vO9VCulMw6EInSEpG2k2rWTew6GYSaFaV8EgKOqHBgcoGTxx3HUKw//Hyq6bsOP kMbup8RdwbzEW/wL1QcyGMaCBHhdMzh8xK2YBskgw34xUtt1RA425yjdi9/guJI3IWgnqSfrlU1D 2rriMvUFY0O/ekePua2VbP3IJD0j0XyHyT1tZZxEiirvHISwaAJAgnnfCIYiEaEmpaKzIl+NmtsE NSV/2KAIXRG2XsPFS/DrFmsYbBIxoSYQh/OBzLeYFbjcXyhr1b7ReleAE8kj2xYued1l5L8Wr2FV eQ9Fx+ga+zL4KsmglAYz2f5i27suNYVazTHDPwiSR8WJ9CEYbbnlhZ9SQn4Jt5MWqwtbYILNFZxl cBsKQocgVixBkhseLdv4iWOb4aNA7KchEdOKpkzuPQW1b8luBR7Tai6qbrkMkhipfFJxNXidpGwr i4AfcBV/KHoaIfclP8JF9xFJ7xEqWRtEhyFx0RxWl2FUqN3FtnGkBqmQu+cQL2XvRUlRQXotcWVA Dm242pr4ZBSGIX70oyDd3NB0hIfL8VWaJoHnZz0pfItO/kKlcXH1og3LiqEiRsOu3kYLmFKOn2N2 clOmSctz4Mzf4eTWAcvS+wiwHADvFt9oKoNUxXPLVB10R425b9mGxWeJ8Bqwz1k4E53xEB7wQsAd rhhi5e+kkn5Mg6FBzOC/Oj2g9BN9zLOJBrAPvd6pcJeBKQSRzd86fzR/v/Vke/PoHj1QPFrtORhc ojSZArM1lYPmRE30bO+lTsNf/hOkVNxuoEWCQTfLeQ0pLibNQoAMME1ahO85zLQeMcOH+UAZAp9M uhrd+bkThXHZJsKkhHwPykDR26yb+LNIq9eKrDFQOP3mKcIZ56jbsVfSeAq5/S4bkSCfjNSAWCna wZBEsf2vFf4dPWChGRhRbTggUh7KDd9frGBYdwNDeXAA06OEEnanimPrk+qrzFUdElt0qmmVE7xV A7KXz8NQNy8jl1RvBwvsu1W3ZayICQZsEeDB7Su+peLlJmUeTonuQgox3ruoHzNjX0JUpV4A0EnO SwClEX7hvytFsJS5LUY3JDtpUONG9f4OOBEmuchguHAXnM37coFRbo6tB/N+KBWlPFOARApnqc8y H/Nt0AcFG8E0yzPr+ETU8bQ5/jUM/slqlFx18ZQke5DNYg/QOdUYkP5vojGZ73Dr7U/+wAUqknPl RM2ktRq8eeOCK+N74oa9QVdVL0kboHSOjp+OYO5qQi9S1v0GJXxsos3BSL9xr5gf80/1vrcntdl1 GxD2CvfbNFD5A50Lj6GjNdFvW8gmOPRtkPUSooLJE+zNqpcICw0TsSGTsxDf8TpZa/5T+hJX5XtY 2m6Hj5swl2JKnvdQwCgNi2SQ1MR4NljVMPKWNP1fYxK7uYeyehLnokM7g0zjO+lozPIeWAc95Bie pCWdmaImDxtA28BBBY/NC2BzSs4a9nU/OOQaSJWbLvSO8FapvOtgEHcza0iUeYNPVuAv55kjkGI7 HR+JBF/a6IGwee5FXusHjy7NuV3gKvaDdlqBNrQiD5vuc+/UJrUzs1UWwob/stqs3oXLmVfMCLYW pxcXTeTzp0JBeakn7z83L3bBmLAztlqnaHmFXTcunJGhKk5k1IupvnjwaZJ7UaLLA5S5xxHsoz0f HHDQmEpElNTVqmdCLyGBhqro4G/mcWbEB18Tsw7CUVDohXd7MaSUO1IFpLVD62vnCjGGZEZdbbKa /sZZJNZjLCEuChy0Y+rDbCrfXROuPLD5OyfIACkvda0PztU1+HAcRAAz8IPGoOJf1Nf1rC4igm8U EJ4AW2v7o2pSs4HKbzU0A+eeNoI9rLpBSVrPrxxDVZPrh/Rfzz7UeRGVFY5EOrSTqfxzc1in9MQE aG5N4jwxFiUbMCcdb6rw+PTBZty5tgi3KJ7eHGu+7mMB0zjzvke4S7PYUylGyf5ZCir9Sdm0rvfW E38LQIhX0io3uP2kyecac1EoxQUsMP8QGPQUMc9WV9Btj2Q7ug6hJ6Dtcb4gUez4n11VUaXXP/de ilrXlBAt0wN6TQNBvz55w/fb7UQ5BGAnG9twBPisUqmDbq6WONqGLL2Lfsa6PMA/jUhx/cHsZ5LY zz36pfE98ond2Y0GKMefeAWRMTF6P75ewQfdplEZeZuYyQyviLLLr11zTXAsBysbq6QYZICh5ptR 6R14WhdQNjMiC7IFWckEBX6nSAtMBgRvZj3wemtE+kWXbrlx4GsG9gMxpIyYaK6Ro6PtFt8w/xWc rATi9fyurCjWDE0NxcTbhnrMjSISa/JPX0DvKMyf47Y3NwFhaPwqv9srjyT+yMgU+8XeSFGsWYmV KqiiYjTC5LF2nNokzE2VdafFdebYFrx/nvnzROI94Q+OFV0eUiFSgmqU/KoEiZ86pBxEx5frJGgT fv2b3BC0arIrXpjW9zmo5P+PP/ZdJuNeM+n94jkRAmNWMVM6/IyqddjqHDsSS/z1SxdbdEUH+jx8 mJvQetgBGZae33KuElx4LdmIUwYx5Jv1xE5tm3+6DLn6ZZgRei9u2NUjY6wje9CnDNVSutupRpET uWP6L6iWK6NV1hQKn6YMtss06YOM6mPsp0mTSd3c1yAZKmVXaoI45oiisH1PfYDgKxmDLMFGvU1f wCrbLoYa0OcQGuC/bcD7LWQLwVffjlsiogVWmUxQQiVj4MTpTwYV4TEXoI1eIMVafx1W8mvQzP7i 3T8UzHHfgErTQ7b7osBy2bETAS6WQQcVYV/YFD5UvnELn2FqCX49Gl0VA7NtnlBbQFptSAHw77m3 6Sx7VCe+5lIb6mlpTc/QHMWOn8SZcYG7EqpWlbWnYKWhvONLNPi2nICu8cNZEYxAI5eWK6kw0jAI HXkTrpvQdrfz2Hcle52QZsEc5NsoOlYpvlb1EvO4+i0qKgkmYfJgGYYVmHZ07BBb/S0C8y0roZ5f m562QKqjaBH7bisoKnY6fJxWa/QD6LWAPdCZRZDPD6S3kU1qRve2e2LdUixxH/yMmEr22KpV60FD 3C6wUrOBTNerxr2ISqh3T6pgM9KMoPe+0jrhgd7MfkijrmD1ZjQAUv1aXuCgyPDGADss/zXJl6x5 yU0U24hHnjtZ074glLnD6E4jpa8sgZYLvgvl214OsWAsEyTeJmKyHFTwI5dwlbiDxl1rN1yWdvl2 awCA8XvLjS2IH7UQ6r1LUs0YbcxLATKFUQG3MY5HwurOq/fVVcpfGs6uS7BaLPZWLbYIiISFDfJB 1Ekk5v5Gu0AGzBw1wfj+hWK1Pljgw+yLn/COzguLhnzoOrUOJzuOZQn8X7cYrZM01NLkMElb8Tpp ZyZDv+ymoAf+UggjCH7MBj/DUEmBGhFEIM3MXurnmqAm6LGlCKhjILdypKa79/b+XbrFK349g26d M8YRF+rjrYO+FW58sMzG5bcL2FsGTm65Z9KPkrqG8AHBCikrZXMuFC9x6pzvC+zAkrtPhC5v69ON uv11oQnuDMmCiDrJsmAD3hdj2DoKlOQoDYip12+ubQyP4Q5ZuZoAmmJXesEtR9Gb5K7yJ22Cr2Q9 TfISlZVIacAdfeow+CgFTPqzZXZXn3dwDXQA5LC5U/VHitqD2lisfXLROf3uoGxp0FIfvbya870D UGKn62Eb+LME8BDMZruXCJJ8iTkn2tC9RTraJeAOUu1AdOlzM/BDjrtufELoMzPfTo7JIoKK1gCZ TLJiLcujr9vAXwsE7WR48yKfJ21naUZKl7cj224sRftofjnAy50jiIxPrLsC38kggQeg+F3e+aGp noDWxtk0ttQcSuTVH3dSHjpfFGM98nxthbrIoR07KDE+RX3U9oKPSN5E7anHb0j5VMLpHfve9HE9 quJvLZ+4aieFXEuiuv+kCtk5yfxK2eMtitrNxY7UWRM0zh6l7qlbm/FlQguXrkZFo93c6wanNHjy ZGNKvCInE42DPqs/z9njLsE+E95SY8hPNxJ2hwyZqfQivcgoCiaS79opUdryuSQYCGtig7nz8xdB nO65VCiZSSFfu/cpII5FypZqCiM9JU+96ZbXQbznwkQjUJudtgQTz4ZBiC6nPr2C04vAlOzH5+1a QvjD6pi6U6XBSJiSAQzhMR6seTghuxV0236Xtt/TpQ1IccxSG2r5uKAkEAFesWN5D0t62eoO8l4P aqCbFjmBDt17q6bVqGx+NuThJ0duPVapegezSHw1ZvEkkVju2hD7h9lEDJ6MxQoudi4V1mBMq57f LcsfZ9kQnLnuaIMXcTyghRDat0HYVdbcOjl6YVw/tTXv4YY+LGR/O2hyvpbXRFMbQEjXxzPgoixt SDY+CUF/9E/UtZ/17oxzZ1pLIaAkGUdlyLToQ5qDoJ7hiMeYsryzFIgAYkuIcaBEFlvXWnGD7XPp uZOrxO2eQxnC80sByPqUuz1a5+G8R9LAVL5frpfk6n2c94zLwvxNjzxwIaW8qhh/K5qQN1mz03EM o6RNpuzA87cbVnY83jE7iK5CLhYnzArgL7+EGA3Oeah2NfsFhKLKibsNT2mp5QSvjJtlswex/dKL 4B58+B+nsC5x66N8TE8lD/kpKH4drGWlR2ETETDk/D4wbP4ETXBAgib4KRbnW8HAQ5uYzxouWYsT nM5fNJjzO25/eGdMPk0UD93dv5k9wp5UjdUKzYKXg+YgG+HzX/cKjD/o/WjdjS8dn1Ch26ftZm1f ve2epM9Vd2tnRp4AZ7OpjgtUUeBtGBRqksj2UK9kCgQBXcwODJuzXs32w7tojgEAOSffEU9G6S2D bLDgTVVPiMx/puxbbm1jSq19LRM7NRdEvInsrPoqLFerad7Kdny3iSW0IHkKsuLEON1pZ29HD+ja YNFTSw8ylvSuEucbN84lsbZ2Q5WAkaYAdKmUe7uo3tv+WmsTPtg9b/5JneB4vTSwaTt3jh5Y6OaQ sPWorWifZI6CZ+kMq9ObLsyoApTiM+ZFZCEet3I6bU3fxSLJdDZtsHN6pvWVLUy5n3TSdMMErC76 hPRqay1P01jVCmGPVncH9RxVmssrWCoWhl73zGhvOfNSh55FANvwj7TvM8yHVAqv/faQVOjwEllp 0zv5puGWdDlYR1LEEjpE91S8OsdsxE7S/vUXgRGfmofEYQ10VOv4d+qnB9cVCEn+jDGFuO6us4vD auua846ymn7OvpXMGBMAx8IKeGi725eTRBMzKvsuw0EWoll5bcW2toay0AEAHX5TCaQMFb5Bf7Ri HUxX4A4AGmjq1O2qJoAWNnGE0XkFxrF+9bg2B/f9iMDrUlX70gF5ztzu45NHXAc1M1C4BIsbwB08 sJhRRmdA/vNFpPrBcjpD88+StTiYdvp+zmGNRHRi+gdjEvwNbRv4Je0Ziu9YTzjjtlnTD83rNSQP lHDAl16X6LL4JXeKPVL7/krvXjUEDzJyX9tAZA2DNWvDCcdiuqUCH/GEu8W/TbUSoXx5JxpVHOCy ArhN7qki9R34wR3M5jKKZKbewbtJT9hWn2u9/Bbl/74KxeMHkms922Q1+hglhjcgCqCDMj1/gkLT Z1FUHcnfqy6cn9VYOAJXOdS4fxZDJKqjLng4w6pRKJ2IcqSzEzuNh0et06PiS7LySqUdgq70ugRt 7Whk1mAb/uZt8vjV9DiSx+9M3e8nv9zWcyYcnySUqeZPpD9geTTBCbVqyea4NOOnaSUJJzwjuf1m ooER5qh8t02Kcs9dbL+dYODuwCRHbUEbotVQo30frj7dQpZTj9lLFVVddlQAbwEF5sYfnC9fgLs1 Cjq/KeViQgnA3gLCmHr5zAm8Ybo7KzrJpNv/Tb/9cLvXWnvR4moJ/Q4+yoHu3NFQ+R99MNotOkfC EeU2Q/AJhEr+xxXL8ULA+kYCFHOQIHXBmPPNObGSiATaFbtu50b2Bl1MeYY7BrtP3iNssTDBXpi4 ljMjn4rof0VmzzNqF1CN39W05xZ/qa0SsoSeQWdkAqy9Z7/1O9un7QTkmZmLG8r4T5GPlFhlj8OJ aCimU5w1ISwbCJS5I54JMKemr/DDT9zFpqpSGo3U62Uf1sPLDUnQrtfQud+sOYHmEzK2RfmvYtK/ ulBzlidEVG57FrOVf8KWJABGqnjq+SJPya5fY2Oh412b4LuenkicR22O9rPZqj8L3km+sy5lVowR Hs8srqwcaCrCL1My2XLWUQa6n3ESAV43vd6PDIlUeW7KgPhDXGDNcPy8Dv72j7uAB34JJUC639fS 0tgZMYQ6lk2xfRTK5zm2dqgbc7c+wSjGBifAaYV8LiwFt1m37KRb9Rfc7AxGvRr4mjWUvqwhoReh CT6ax1Jz51qjkwWuJDpAZZk1t7A5gyj4geeVUXNLH7L/j/1GCbXwJJOzBLFoBCpD8XGsmRKtEOkg W31KjCVKVnWqxrar/iuRvmst1bX7KyKCdy4xaeRLoQHVK52oJKviNGX/eW4guEnBlQaJuDkjB+nI cIEyi0WMBNQXmfqq5BfP5pFcUv7kIlX7fs5YlLFjxUJCAJg4cL60AJPnVRC1noQ2QYuu+mcYg8pZ N9aUS8BhISMrUNrq+EP+/Ve7k2yAZ1Ba429v38ABLLJVKLhyauKHMts2PoD27bECQgeGfDH2DQFd Xhd5kWhZV60fcJeMDYuABlLPNxBtieOgDwx865f+mTABThaLWdfETBtWmdjOlmI/M25RFFhhO1K1 W8n1nUYRP5gWKxqTLa9/0sPNWWEDWvNC2VvvGyq3m1jRMSeKKCF4Pj78Z6zniMt4tV+/gENiaexg pn1CogFpdAPAfDolKZ4p+5DXQ76ePd7y7+lev1u9vAMMTUc37KAqewd/KOCMuOALl6rZxt+9FHAP YTvdLsEC64AgMSDguq/1Ff647VCSOky2d5Y2Q02CN/GmFaDtuix3zD/kn/190eepaGVqPz54TkXY uUSKv7/HIClxcDZqC1+tjCP520NETT+DkrJ237pwKNzxnEDVXMrWn08amMvbhOyons9SMvgImlrQ OLWRrNTf3TyKcDP3ldfJjPiseXpA97G4mGpmqv9K4sWCZJvoxS+NvIKuD4mQFhDVf2kwfSWYPzuD aXwKXydmlQ6WAyUIPA83mNq5XE9V+qtrSb0K2CuP12MmMcFPIGbNKW2RqKt4DyC8Oiof+NsAoBaS RaymBnDabzloJaEGDnYu2Q/PYjmL870cakLzu7fIT5/mqgwuYjesxYtfolBnyk5kxQwEt/vvSlje 5dOFSKrWo/i1U2M8OccTvl7CEIx2dnL/zXMGDP8m6Y5rKucmHDl+ljFmBS/fIT1A2Lzy4NjwTYyh 2zEZzKlRela1REsA1j3kkZlYVG9uHueCEtt4GNZfb5GKpbXBd0rEqJlKypVwhJTo5pwOm3ngAsXf m5/N6XOndHYqhy4LAgkcspdiUIND1EMvsZF2nAY4XXwfsSdV7RcIBocDG08S+J5T9adao4uiCT3z fh/dPkmvBTGJWs6ntx891/pAQTycizF/gqoRKd8/TFDk3qvG9wi2p9pAmJSy4itMQWMBZapfACt4 uUqSaghupcIJMslyUM/utsSnqJczruLDCUR83uXFFkJUDB1K1MCvtFU8jwB4+RQ4+3u226QFYOVL j7EUtQNKdP8h9eJBwUBxt7CDOjuLM/Ir3gL9FtvZ09tczHVlRk3b9WE9QTLO0Ublx6k10PGatbr0 06mgVvd5UzRK83fgMoaU3H1lAnqc48MVZwqgZ7v3UXGcgDAR7hJS9rRlmnZgve06xIKNHWpnb/Wi bJIHUGG/tRunH0nZ1tMhfraOrQSdH0oN0Gzsd6FE8SEFrHVB4tTCum9Tc+JESafrY1+jX5Ub/vEM KDD6/SJZuop8t7I3b0+V0t59Eedu6jhYhcDgsdcxlplgFeqR47Q= `protect end_protected
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_rdmux.vhd
13
69082
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_sg_rdmux; architecture implementation of axi_sg_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_rdmux.vhd
13
69082
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_sg_rdmux; architecture implementation of axi_sg_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_cntrl_strm.vhd
7
25041
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cntrl_strm.vhd -- Description: This entity is MM2S control stream logic -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; library lib_fifo_v1_0_4; library lib_cdc_v1_0_2; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg_cntrl_strm is generic( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Control Stream Data Width C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( -- Secondary clock / reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Primary clock / reset -- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- -- MM2S Error -- mm2s_stop : in std_logic ; -- -- -- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) -- cntrlstrm_fifo_wren : in std_logic ; -- cntrlstrm_fifo_din : in std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); -- cntrlstrm_fifo_full : out std_logic ; -- -- -- -- Memory Map to Stream Control Stream Interface -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);-- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic ; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_cntrl_strm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_cntrl_strm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Number of words deep fifo needs to be -- Only 5 app fields, but set to 8 so depth is a power of 2 constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH); -- Width of fifo rd and wr counts - only used for proper fifo operation constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- FIFO signals signal cntrl_fifo_rden : std_logic := '0'; signal cntrl_fifo_empty : std_logic := '0'; signal cntrl_fifo_dout, follower_reg_mm2s : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0'); signal cntrl_fifo_dvalid: std_logic := '0'; signal cntrl_tdata : std_logic_vector (C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal cntrl_tkeep : std_logic_vector ((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal follower_full_mm2s, follower_empty_mm2s : std_logic := '0'; signal cntrl_tvalid : std_logic := '0'; signal cntrl_tready : std_logic := '0'; signal cntrl_tlast : std_logic := '0'; signal sinit : std_logic := '0'; signal m_valid : std_logic := '0'; signal m_ready : std_logic := '0'; signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_last : std_logic := '0'; signal skid_rst : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- All bytes always valid cntrl_tkeep <= (others => '1'); -- Primary Clock is synchronous to Secondary Clock therfore -- instantiate a sync fifo. GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate signal mm2s_stop_d1 : std_logic := '0'; signal mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; begin -- reset on hard reset or mm2s stop sinit <= not m_axi_sg_aresetn or mm2s_stop; -- Generate Synchronous FIFO I_CNTRL_FIFO : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => USE_LOGIC_FIFOS, C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_WRITE_DEPTH => CNTRL_FIFO_DEPTH , C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1, C_READ_DEPTH => CNTRL_FIFO_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, --req for proper fifo operation C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 1,-- 1 = first word fall through C_PRELOAD_LATENCY => 0 -- 0 = first word fall through -- C_USE_EMBEDDED_REG => 1 -- 0 ; ) port map ( Clk => m_axi_sg_aclk , Sinit => sinit , Din => cntrlstrm_fifo_din , Wr_en => cntrlstrm_fifo_wren , Rd_en => cntrl_fifo_rden , Dout => cntrl_fifo_dout , Full => cntrlstrm_fifo_full , Empty => cntrl_fifo_empty , Almost_full => open , Data_count => open , Rd_ack => open , Rd_err => open , Wr_ack => open , Wr_err => open ); -- I_UPDT_DATA_FIFO : entity proc_common_srl_fifo_v5_0.srl_fifo_f -- generic map ( -- C_DWIDTH => 33 , -- C_DEPTH => 24 , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- Clk => m_axi_sg_aclk , -- Reset => sinit , -- FIFO_Write => cntrlstrm_fifo_wren , -- Data_In => cntrlstrm_fifo_din , -- FIFO_Read => cntrl_fifo_rden , -- Data_Out => cntrl_fifo_dout , -- FIFO_Empty => cntrl_fifo_empty , -- FIFO_Full => cntrlstrm_fifo_full, -- Addr => open -- ); cntrl_fifo_rden <= follower_empty_mm2s and (not cntrl_fifo_empty); VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (cntrl_tready = '1' and follower_full_mm2s = '1'))then -- follower_reg_mm2s <= (others => '0'); follower_full_mm2s <= '0'; follower_empty_mm2s <= '1'; else if (cntrl_fifo_rden = '1') then -- follower_reg_mm2s <= sts_queue_dout; follower_full_mm2s <= '1'; follower_empty_mm2s <= '0'; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE; VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then follower_reg_mm2s <= (others => '0'); else if (cntrl_fifo_rden = '1') then follower_reg_mm2s <= cntrl_fifo_dout; end if; end if; end if; end process VALID_REG_MM2S_ACTIVE1; ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready -- cntrl_fifo_rden <= not cntrl_fifo_empty -- and cntrl_tready; -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= follower_full_mm2s --not cntrl_fifo_empty or (xfer_in_progress and mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= (cntrl_tvalid and follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) or (xfer_in_progress and mm2s_stop_re); cntrl_tdata <= follower_reg_mm2s(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- Register stop to create re pulse for cleaning shutting down -- stream out during soft reset. REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_d1 <= '0'; else mm2s_stop_d1 <= mm2s_stop; end if; end if; end process REG_STOP; mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast and tvalid need to be asserted during soft -- reset else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not m_axi_sg_aresetn; --------------------------------------------------------------------------- -- Buffer AXI Signals --------------------------------------------------------------------------- -- CNTRL_SKID_BUF_I : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map( -- C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH -- ) -- port map( -- -- System Ports -- ACLK => m_axi_sg_aclk , -- ARST => skid_rst , -- skid_stop => mm2s_stop_re , -- -- Slave Side (Stream Data Input) -- S_VALID => cntrl_tvalid , -- S_READY => cntrl_tready , -- S_Data => cntrl_tdata , -- S_STRB => cntrl_tkeep , -- S_Last => cntrl_tlast , -- -- Master Side (Stream Data Output -- M_VALID => m_axis_mm2s_cntrl_tvalid , -- M_READY => m_axis_mm2s_cntrl_tready , -- M_Data => m_axis_mm2s_cntrl_tdata , -- M_STRB => m_axis_mm2s_cntrl_tkeep , -- M_Last => m_axis_mm2s_cntrl_tlast -- ); m_axis_mm2s_cntrl_tvalid <= cntrl_tvalid; cntrl_tready <= m_axis_mm2s_cntrl_tready; m_axis_mm2s_cntrl_tdata <= cntrl_tdata; m_axis_mm2s_cntrl_tkeep <= cntrl_tkeep; m_axis_mm2s_cntrl_tlast <= cntrl_tlast; end generate GEN_SYNC_FIFO; -- Primary Clock is asynchronous to Secondary Clock therfore -- instantiate an async fifo. GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate ATTRIBUTE async_reg : STRING; signal mm2s_stop_reg : std_logic := '0'; -- CR605883 signal p_mm2s_stop_d1_cdc_tig : std_logic := '0'; signal p_mm2s_stop_d2 : std_logic := '0'; signal p_mm2s_stop_d3 : std_logic := '0'; signal p_mm2s_stop_re : std_logic := '0'; signal xfer_in_progress : std_logic := '0'; -- ATTRIBUTE async_reg OF p_mm2s_stop_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF p_mm2s_stop_d2 : SIGNAL IS "true"; begin -- reset on hard reset, soft reset, or mm2s error sinit <= not p_reset_n or p_mm2s_stop_d2; -- Generate Asynchronous FIFO I_CNTRL_STRM_FIFO : entity axi_sg_v4_1_2.axi_sg_afifo_autord generic map( C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 , -- Temp work around for issue in async fifo model C_DEPTH => CNTRL_FIFO_DEPTH-1 , C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH , -- C_DEPTH => 31 , -- C_CNT_WIDTH => 5 , C_USE_BLKMEM => USE_LOGIC_FIFOS , C_FAMILY => C_FAMILY ) port map( -- Inputs AFIFO_Ainit => sinit , AFIFO_Wr_clk => m_axi_sg_aclk , AFIFO_Wr_en => cntrlstrm_fifo_wren , AFIFO_Din => cntrlstrm_fifo_din , AFIFO_Rd_clk => axi_prmry_aclk , AFIFO_Rd_en => cntrl_fifo_rden , AFIFO_Clr_Rd_Data_Valid => '0' , -- Outputs AFIFO_DValid => cntrl_fifo_dvalid , AFIFO_Dout => cntrl_fifo_dout , AFIFO_Full => cntrlstrm_fifo_full , AFIFO_Empty => cntrl_fifo_empty , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); ----------------------------------------------------------------------- -- Control Stream OUT Side ----------------------------------------------------------------------- -- Read if fifo is not empty and target is ready cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data and cntrl_tready; -- target ready -- Drive valid if fifo is not empty or in the middle -- of transfer and stop issued. cntrl_tvalid <= cntrl_fifo_dvalid or (xfer_in_progress and p_mm2s_stop_re); -- Pass data out to control channel with MSB driving tlast cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH); -- cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH)) -- or (xfer_in_progress and p_mm2s_stop_re); cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); -- CR605883 -- Register stop to provide pure FF output for synchronizer REG_STOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_stop_reg <= '0'; else mm2s_stop_reg <= mm2s_stop; end if; end if; end process REG_STOP; -- Double/triple register mm2s error into primary clock domain -- Triple register to give two versions with min double reg for use -- in rising edge detection. IMP_SYNC_FLOP : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => 2 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => mm2s_stop_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_mm2s_stop_d2, scndry_vect_out => open ); REG_ERR2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then -- p_mm2s_stop_d1_cdc_tig <= '0'; -- p_mm2s_stop_d2 <= '0'; p_mm2s_stop_d3 <= '0'; else --p_mm2s_stop_d1_cdc_tig <= mm2s_stop; -- p_mm2s_stop_d1_cdc_tig <= mm2s_stop_reg; -- p_mm2s_stop_d2 <= p_mm2s_stop_d1_cdc_tig; p_mm2s_stop_d3 <= p_mm2s_stop_d2; end if; end if; end process REG_ERR2PRMRY; -- Rising edge pulse for use in shutting down stream output p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3; ------------------------------------------------------------- -- Flag transfer in progress. If xfer in progress then -- a fake tlast needs to be asserted during soft reset. -- else no need of tlast. ------------------------------------------------------------- TRANSFER_IN_PROGRESS : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then xfer_in_progress <= '0'; elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then xfer_in_progress <= '1'; end if; end if; end process TRANSFER_IN_PROGRESS; skid_rst <= not p_reset_n; CNTRL_SKID_BUF_I : entity axi_sg_v4_1_2.axi_sg_skid_buf generic map( C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ) port map( -- System Ports ACLK => axi_prmry_aclk , ARST => skid_rst , skid_stop => p_mm2s_stop_re , -- Slave Side (Stream Data Input) S_VALID => cntrl_tvalid , S_READY => cntrl_tready , S_Data => cntrl_tdata , S_STRB => cntrl_tkeep , S_Last => cntrl_tlast , -- Master Side (Stream Data Output M_VALID => m_axis_mm2s_cntrl_tvalid , M_READY => m_axis_mm2s_cntrl_tready , M_Data => m_axis_mm2s_cntrl_tdata , M_STRB => m_axis_mm2s_cntrl_tkeep , M_Last => m_axis_mm2s_cntrl_tlast ); end generate GEN_ASYNC_FIFO; end implementation;
gpl-3.0
nickg/nvc
test/regress/issue148.vhd
5
1046
package A_NG is type A_NG_TYPE is record debug : integer; end record; procedure PROC_B(B_ARG:inout A_NG_TYPE; B_VAL:out integer); end A_NG; package body A_NG is procedure PROC_A(A_ARG:inout A_NG_TYPE) is begin null; end procedure; procedure PROC_B(B_ARG:inout A_NG_TYPE; B_VAL:out integer) is variable b_var : integer; procedure PROC_C(C_ARG:in integer;C_VAL:out integer) is begin PROC_A(B_ARG); C_VAL := B_ARG.debug + C_ARG; end procedure; begin b_var := 1; PROC_C(b_var,B_VAL); end procedure; end A_NG; ------------------------------------------------------------------------------- entity issue148 is end entity; use work.a_ng.all; architecture test of issue148 is begin process is variable a_ng : a_ng_type; variable tmp : integer; begin a_ng.debug := 2; proc_b(a_ng, tmp); assert tmp = 3; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/elab/issue374.vhd
2
1805
-- test_ng.vhd entity SUB_NG is generic ( DATA_BITS : integer := 32 ); port ( I_DATA : in bit_vector(DATA_BITS-1 downto 0); O_DATA : out bit_vector(DATA_BITS-1 downto 0) ); end SUB_NG; architecture MODEL of SUB_NG is begin T2M: block type INFO_RANGE_TYPE is record DATA_LO : integer; DATA_HI : integer; BITS : integer; end record; function SET_INFO_RANGE return INFO_RANGE_TYPE is variable param : INFO_RANGE_TYPE; variable index : integer; begin index := 0; param.DATA_LO := index; param.DATA_HI := index + DATA_BITS - 1; index := index + DATA_BITS; param.BITS := index; return param; end function; constant INFO_RANGE : INFO_RANGE_TYPE := SET_INFO_RANGE; signal i_info : bit_vector(INFO_RANGE.BITS-1 downto 0); signal o_info : bit_vector(INFO_RANGE.BITS-1 downto 0); begin i_info(INFO_RANGE.DATA_HI downto INFO_RANGE.DATA_LO) <= I_DATA; o_info <= i_info; O_DATA <= o_info(INFO_RANGE.DATA_HI downto INFO_RANGE.DATA_LO); end block; end MODEL; entity issue374 is end issue374; architecture MODEL of issue374 is signal data_0 : bit_vector(12 downto 0); signal data_1 : bit_vector(13 downto 0); begin U0: entity WORK.SUB_NG generic map ( DATA_BITS => data_0'length ) port map ( I_DATA => data_0 ); U1: entity WORK.SUB_NG generic map ( DATA_BITS => data_1'length ) port map ( I_DATA => data_1 ); end MODEL;
gpl-3.0
nickg/nvc
test/regress/access2.vhd
5
774
entity access2 is end entity; architecture test of access2 is type int_vec is array (integer range <>) of integer; type int_vec_ptr is access int_vec; subtype int_vec10 is int_vec(1 to 10); type int_vec10_ptr is access int_vec10; subtype one_to_3 is integer range 1 to 3; begin process is variable p : int_vec_ptr; variable q : int_vec10_ptr; variable r : int_vec_ptr; begin p := new int_vec(1 to 5); p(1) := 2; assert p(1) = 2; deallocate(p); assert p = null; q := new int_vec10; q(3) := 5; assert q(3) = 5; deallocate(q); r := new int_vec(one_to_3'range); deallocate(r); wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/simp/protfold2.vhd
1
1791
package protfold2_pack is type id_alloc_t is protected impure function next_id return integer; end protected; type rec_t is record id : integer; end record; impure function get_next_rec return rec_t; end package; package body protfold2_pack is type int_ptr_t is access integer; type ptr_array_t is array (natural range <>) of int_ptr_t; type id_alloc_t is protected body variable counter : integer := 0; variable ptrs : ptr_array_t(1 to 5); impure function next_id return integer is begin counter := counter + 1; return counter; end function; end protected body; shared variable id_alloc : id_alloc_t; impure function get_next_rec return rec_t is begin return (id => id_alloc.next_id); end function; end package body; ------------------------------------------------------------------------------- use work.protfold2_pack.all; entity protfold2_sub is generic ( r1, r2 : rec_t ); end entity; architecture test of protfold2_sub is begin g1: if r1.id = 1 generate begin p1: process is begin assert r1.id = 1; wait; end process; end generate; g2: if r2.id = 2 generate begin p1: process is begin assert r2.id = 2; wait; end process; end generate; end architecture; ------------------------------------------------------------------------------- use work.protfold2_pack.all; entity protfold2 is end entity; architecture test of protfold2 is constant cr1 : rec_t := get_next_rec; constant cr2 : rec_t := get_next_rec; begin u: entity work.protfold2_sub generic map ( cr1, cr2 ); end architecture;
gpl-3.0
nickg/nvc
test/regress/ieee6.vhd
1
1078
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity sub is port ( result : out std_ulogic_vector(3 downto 0); in1 : in std_ulogic_vector(3 downto 0) ); end entity; architecture test of sub is signal in2 : std_ulogic_vector(2 downto 0); begin assert in1(1 downto 0) = "00"; in2 <= "001"; result <= std_ulogic_vector(unsigned(in1) + unsigned(in2)); end architecture; ------------------------------------------------------------------------------- entity ieee6 is end entity; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture test of ieee6 is signal result : std_ulogic_vector(3 downto 0); signal in1 : std_ulogic_vector(1 downto 0); begin uut: entity work.sub port map ( result => result, in1(3 downto 2) => std_ulogic_vector(in1), in1(1 downto 0) => "00" ); stim: process is begin in1 <= "01"; wait for 1 ns; assert result = X"5"; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/wave5.vhd
1
563
entity wave5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of wave5 is type pair is record a, b : integer; end record; type rec is record x : integer; y : std_logic_vector(1 to 3); z : pair; end record; signal r : rec; begin main: process is begin wait for 1 ns; r.y <= "101"; wait for 1 ns; r.z.b <= 5; r.z.a <= 6; wait for 1 ns; r.x <= 2; r.z.a <= 1; wait; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_cmdsts_if.vhd
3
15457
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_cmdsts_if.vhd -- Description: This entity is the descriptor fetch command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_mm2s_cmdsts_if is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; C_ENABLE_QUEUE : integer range 0 to 1 := 1; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Command write interface from mm2s sm -- mm2s_cmnd_wr : in std_logic ; -- mm2s_cmnd_data : in std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : out std_logic ; -- mm2s_sts_received_clr : in std_logic ; -- mm2s_sts_received : out std_logic ; -- mm2s_tailpntr_enble : in std_logic ; -- mm2s_desc_cmplt : in std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_mm2s_cmd_tvalid : out std_logic ; -- s_axis_mm2s_cmd_tready : in std_logic ; -- s_axis_mm2s_cmd_tdata : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_mm2s_sts_tvalid : in std_logic ; -- m_axis_mm2s_sts_tready : out std_logic ; -- m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- mm2s_err : in std_logic ; -- mm2s_done : out std_logic ; -- mm2s_error : out std_logic ; -- mm2s_interr : out std_logic ; -- mm2s_slverr : out std_logic ; -- mm2s_decerr : out std_logic ; -- mm2s_tag : out std_logic_vector(3 downto 0) -- ); end axi_dma_mm2s_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal sts_tready : std_logic := '0'; signal sts_received_i : std_logic := '0'; signal stale_desc : std_logic := '0'; signal log_status : std_logic := '0'; signal mm2s_slverr_i : std_logic := '0'; signal mm2s_decerr_i : std_logic := '0'; signal mm2s_interr_i : std_logic := '0'; signal mm2s_error_or : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_slverr <= mm2s_slverr_i; mm2s_decerr <= mm2s_decerr_i; mm2s_interr <= mm2s_interr_i; -- Stale descriptor if complete bit already set and in tail pointer mode. stale_desc <= '1' when mm2s_desc_cmplt = '1' and mm2s_tailpntr_enble = '1' else '0'; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor fetch command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_NO_HOLD_DATA : if C_ENABLE_QUEUE = 1 generate begin GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_mm2s_cmd_tvalid <= '0'; -- s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; -- New command write and not flagged as stale descriptor elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then s_axis_mm2s_cmd_tvalid <= '1'; -- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; mm2s_cmnd_pending <= '1'; -- Clear flags when command excepted by datamover elsif(s_axis_mm2s_cmd_tready = '1')then s_axis_mm2s_cmd_tvalid <= '0'; -- s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; end generate GEN_NO_HOLD_DATA; GEN_HOLD_DATA : if C_ENABLE_QUEUE = 0 generate begin GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; -- New command write and not flagged as stale descriptor elsif(mm2s_cmnd_wr = '1' and stale_desc = '0')then s_axis_mm2s_cmd_tvalid <= '1'; s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; mm2s_cmnd_pending <= '1'; -- Clear flags when command excepted by datamover elsif(s_axis_mm2s_cmd_tready = '1')then s_axis_mm2s_cmd_tvalid <= '0'; s_axis_mm2s_cmd_tdata <= (others => '0'); mm2s_cmnd_pending <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; -- s_axis_mm2s_cmd_tdata <= mm2s_cmnd_data; end generate GEN_HOLD_DATA; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sts_tready <= '0'; -- De-assert tready on acceptance of status to prevent -- over writing current status elsif(sts_tready = '1' and m_axis_mm2s_sts_tvalid = '1')then sts_tready <= '0'; -- If not status received assert ready to datamover elsif(sts_received_i = '0') then sts_tready <= '1'; end if; end if; end process REG_STS_READY; -- Pass to DataMover m_axis_mm2s_sts_tready <= sts_tready; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- log_status <= '1' when m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0' else '0'; DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_done <= '0'; mm2s_slverr_i <= '0'; mm2s_decerr_i <= '0'; mm2s_interr_i <= '0'; mm2s_tag <= (others => '0'); -- Status valid, therefore capture status elsif(log_status = '1')then mm2s_done <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_CMDDONE_BIT); mm2s_slverr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_SLVERR_BIT); mm2s_decerr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_DECERR_BIT); mm2s_interr_i <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_INTERR_BIT); mm2s_tag <= m_axis_mm2s_sts_tdata(DATAMOVER_STS_TAGMSB_BIT downto DATAMOVER_STS_TAGLSB_BIT); -- Only assert when valid else mm2s_done <= '0'; mm2s_slverr_i <= '0'; mm2s_decerr_i <= '0'; mm2s_interr_i <= '0'; mm2s_tag <= (others => '0'); end if; end if; end process DATAMOVER_STS; -- Flag when status is received. Used to hold status until sg if -- can use status. This only has meaning when SG Engine Queues are turned -- on STS_RCVD_FLAG : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- Clear flag on reset or sg_if status clear if(m_axi_sg_aresetn = '0' or mm2s_sts_received_clr = '1')then sts_received_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_mm2s_sts_tvalid = '1' and sts_received_i = '0')then sts_received_i <= '1'; end if; end if; end process STS_RCVD_FLAG; mm2s_sts_received <= sts_received_i; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- mm2s_error_or <= mm2s_slverr_i or mm2s_decerr_i or mm2s_interr_i; -- Log errors into a global error output MM2S_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_error <= '0'; -- If Datamover issues error on the transfer or if a stale descriptor is -- detected when in tailpointer mode then issue an error elsif((mm2s_error_or = '1') or (stale_desc = '1' and mm2s_cmnd_wr='1'))then mm2s_error <= '1'; end if; end if; end process MM2S_ERROR_PROCESS; end implementation;
gpl-3.0
nickg/nvc
test/simp/issue574.vhd
1
403
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package test is type t_memory_map_array is array (natural range 0 to 10) of natural; constant C_VER : unsigned(4 downto 0) := "00001"; constant C_MEMORY_MAP_ITEM_DEFAULT : natural := 0; constant C_MEMORY_MAP_DEFAULT : t_memory_map_array := ( to_integer(C_VER) => 1, others => C_MEMORY_MAP_ITEM_DEFAULT); end package;
gpl-3.0
nickg/nvc
test/elab/open2.vhd
5
942
entity sub2 is generic ( WIDTH : integer ); port ( x : in bit_vector(WIDTH - 1 downto 0); y : out bit_vector(WIDTH - 1 downto 0) ); end entity; architecture test of sub2 is begin gen: for i in 0 to WIDTH - 1 generate y(i) <= not x(i); end generate; end architecture; ------------------------------------------------------------------------------- entity sub1 is port ( x : in bit; y : out bit ); end entity; architecture test of sub1 is begin sub2_i: entity work.sub2 generic map ( WIDTH => 1 ) port map ( x(0) => x, y(0) => y ); end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a, b : bit; begin sub1_i: entity work.sub1 port map ( x => a ); end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/convolution_2D/solution1/impl/vhdl/doImgProc_CRTL_BUS_s_axi.vhd
4
12615
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity doImgProc_CRTL_BUS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 5; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC; operation :out STD_LOGIC_VECTOR(31 downto 0) ); end entity doImgProc_CRTL_BUS_s_axi; -- ------------------------Address Info------------------- -- 0x00 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x04 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x08 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x0c : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x10 : Data signal of operation -- bit 31~0 - operation[31:0] (Read/Write) -- 0x14 : reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of doImgProc_CRTL_BUS_s_axi is type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states signal wstate, wnext, rstate, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#00#; constant ADDR_GIE : INTEGER := 16#04#; constant ADDR_IER : INTEGER := 16#08#; constant ADDR_ISR : INTEGER := 16#0c#; constant ADDR_OPERATION_DATA_0 : INTEGER := 16#10#; constant ADDR_OPERATION_CTRL : INTEGER := 16#14#; constant ADDR_BITS : INTEGER := 5; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC; signal int_ap_start : STD_LOGIC; signal int_auto_restart : STD_LOGIC; signal int_gie : STD_LOGIC; signal int_ier : UNSIGNED(1 downto 0); signal int_isr : UNSIGNED(1 downto 0); signal int_operation : UNSIGNED(31 downto 0); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when ADDR_OPERATION_DATA_0 => rdata_data <= RESIZE(int_operation(31 downto 0), 32); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; operation <= STD_LOGIC_VECTOR(int_operation); process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_OPERATION_DATA_0) then int_operation(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_operation(31 downto 0)); end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
gpl-3.0
nickg/nvc
test/regress/agg10.vhd
1
454
entity agg10 is end entity; architecture test of agg10 is signal s : bit_vector(15 downto 0); signal t : bit_vector(11 downto 0); begin s <= (15 downto 12 => '0', 11 downto 0 => t); process is begin assert s = X"0000"; t <= X"fff"; wait for 1 ns; assert s = X"0fff"; t(0) <= '0'; wait for 1 ns; assert s = X"0ffe"; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/perf/numeric_std.vhd
1
566
package numeric_std_perf is procedure test_to_unsigned; end package; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package body numeric_std_perf is procedure test_to_unsigned is constant WIDTH : integer := 8; constant ITERS : integer := 1; variable s : unsigned(WIDTH - 1 downto 0); begin for i in 1 to ITERS loop for j in 0 to integer'(2 ** WIDTH - 1) loop s := to_unsigned(j, WIDTH); end loop; end loop; end procedure; end package body;
gpl-3.0
nickg/nvc
test/simp/guard.vhd
1
316
entity guard is end entity; architecture test of guard is signal value : natural := 0; signal output : natural; begin b1: block (value < 10) is begin p1: output <= guarded value * 2; p2: with output select value <= guarded output + 1 when others; end block; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd
3
89008
------------------------------------------------------------------------------- -- axi_datamover_s2mm_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_10; use axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the width of the alignment control inputs -- Should be log2(C_DWIDTH) ); port ( -- Clock and Reset Input ---------------------------------------------- -- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------------------------------------- -- Alignment Control (Independent from Stream Input timing) ---------- -- dre_align_ready : Out std_logic; -- dre_align_valid : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Flush Control (Aligned to input Stream timing) -------------------- -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Stream Input Channel ---------------------------------------------- -- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Stream Output Channel --------------------------------------------- -- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_s2mm_dre; architecture implementation of axi_datamover_s2mm_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; Signal sig_cntl_accept : std_logic := '0'; Signal sig_dre_halted : std_logic := '0'; begin --(architecture implementation) -- Misc port assignments dre_align_ready <= sig_dre_halted or sig_flush_db2_complete ; dre_in_tready <= sig_input_accept ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; -- Internal logic sig_cntl_accept <= dre_align_valid and (sig_dre_halted or sig_flush_db2_complete); sig_pipeline_halt <= sig_dre_halted or (sig_dre_tvalid_i and not(dre_out_tready)); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt); sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and not(sig_pipeline_halt) and not(sig_input_flush_stall); sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; ------------------------------------------------------------------------------------ -- DRE Halted logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_HALTED_FLOP -- -- Process Description: -- Implements a flop for the Halted state flag. All DRE -- operation is halted until a new alignment control is -- loaded. The DRE automatically goes into halted state -- at reset and at completion of a flush operation. -- ------------------------------------------------------------- IMP_DRE_HALTED_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or (sig_flush_db2_complete = '1' and dre_align_valid = '0'))then sig_dre_halted <= '1'; -- default to halted state elsif (sig_cntl_accept = '1') then sig_dre_halted <= '0'; else null; -- hold current state end if; end if; end process IMP_DRE_HALTED_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Input Register for the flush command -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate Signal s_case_i_64 : Integer range 0 to 7 := 0; signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate Signal s_case_i_32 : Integer range 0 to 3 := 0; signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate Signal s_case_i_16 : Integer range 0 to 1 := 0; signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic := '0'; Signal sig_shift_case_reg : std_logic := '0'; Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_s2mm_dre.vhd
3
89008
------------------------------------------------------------------------------- -- axi_datamover_s2mm_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_s2mm_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_10; use axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_s2mm_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the width of the alignment control inputs -- Should be log2(C_DWIDTH) ); port ( -- Clock and Reset Input ---------------------------------------------- -- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------------------------------------- -- Alignment Control (Independent from Stream Input timing) ---------- -- dre_align_ready : Out std_logic; -- dre_align_valid : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Flush Control (Aligned to input Stream timing) -------------------- -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Stream Input Channel ---------------------------------------------- -- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Stream Output Channel --------------------------------------------- -- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_s2mm_dre; architecture implementation of axi_datamover_s2mm_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; Signal sig_cntl_accept : std_logic := '0'; Signal sig_dre_halted : std_logic := '0'; begin --(architecture implementation) -- Misc port assignments dre_align_ready <= sig_dre_halted or sig_flush_db2_complete ; dre_in_tready <= sig_input_accept ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; -- Internal logic sig_cntl_accept <= dre_align_valid and (sig_dre_halted or sig_flush_db2_complete); sig_pipeline_halt <= sig_dre_halted or (sig_dre_tvalid_i and not(dre_out_tready)); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt); sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and not(sig_pipeline_halt) and not(sig_input_flush_stall); sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; ------------------------------------------------------------------------------------ -- DRE Halted logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DRE_HALTED_FLOP -- -- Process Description: -- Implements a flop for the Halted state flag. All DRE -- operation is halted until a new alignment control is -- loaded. The DRE automatically goes into halted state -- at reset and at completion of a flush operation. -- ------------------------------------------------------------- IMP_DRE_HALTED_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or (sig_flush_db2_complete = '1' and dre_align_valid = '0'))then sig_dre_halted <= '1'; -- default to halted state elsif (sig_cntl_accept = '1') then sig_dre_halted <= '0'; else null; -- hold current state end if; end if; end process IMP_DRE_HALTED_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Input Register for the flush command -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate Signal s_case_i_64 : Integer range 0 to 7 := 0; signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate Signal s_case_i_32 : Integer range 0 to 3 := 0; signal sig_cntl_state_32 : std_logic_vector(3 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(3 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate Signal s_case_i_16 : Integer range 0 to 1 := 0; signal sig_cntl_state_16 : std_logic_vector(1 downto 0) := (others => '0'); Signal sig_shift_case_i : std_logic := '0'; Signal sig_shift_case_reg : std_logic := '0'; Signal sig_final_mux_sel : std_logic_vector(1 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (sig_cntl_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_10.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
nickg/nvc
test/lower/assign1.vhd
1
459
entity assign1 is end entity; architecture test of assign1 is begin p1: process is variable x : integer := 64; variable y : integer := -4; begin wait for 4 ns; assert x = 64; assert y = -4; x := y * 2; assert x = -8; x := 5; y := 7; assert x = 5; assert y = 7; wait for 1 ns; assert x + y = 12; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/elab/issue232.vhd
2
415
entity subent is port ( a : in string(1 to 2) := "AB"; b : out string(1 to 2) ); end entity subent; architecture test of subent is begin b <= a; end architecture test; entity test is end entity test; architecture test of test is signal b : string(1 to 2); begin e1: entity work.subent port map ( a => open, b => b ); end architecture test;
gpl-3.0
nickg/nvc
test/regress/issue539.vhd
1
1253
package my_package is type slv_1_t is array (natural range <>) of bit_vector; function addslvreg_f(arg0 : slv_1_t; arg1 : bit_vector) return slv_1_t; end package my_package; package body my_package is function addslvreg_f(arg0 : slv_1_t; arg1 : bit_vector) return slv_1_t is variable cb_v : bit_vector(0 downto 0); variable arg_v,retval : arg0'subtype; constant W : integer := arg0(0)'length-1; begin return retval; end function addslvreg_f; end package body; ------------------------------------------------------------------------------- entity issue539 is end entity; use work.my_package.all; architecture test of issue539 is function get_elt_left (x : slv_1_t) return integer is begin return x(x'left)'left; end function; function get_elt_left_2 (x : slv_1_t) return integer is begin return x'element'left; end function; begin p1: process is variable v1 : slv_1_t(0 to 3)(5 to 6); variable v2 : slv_1_t(0 to 3)(6 to 5); begin assert v1(1)'left = 5; assert v2(5)'left = 6; assert get_elt_left(v1) = 5; assert get_elt_left(v2) = 6; assert get_elt_left_2(v1) = 5; assert get_elt_left_2(v2) = 6; assert addslvreg_f(v1, "101") = v1; wait; end process; end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_afifo_autord.vhd
7
15525
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0_4; use lib_fifo_v1_0_4.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_sg_afifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 16; C_CNT_WIDTH : Integer := 5; C_USE_BLKMEM : Integer := 0 ; C_USE_AUTORD : Integer := 1; C_FAMILY : String := "virtex7" ); port ( -- Inputs AFIFO_Ainit : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- -- -- Outputs -- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ); end entity axi_sg_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_sg_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr : integer range 0 to C_DEPTH+1 := 0; signal rd_count_int_corr_minus1 : integer range 0 to C_DEPTH+1 := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; Signal first_write : std_logic := '0'; Signal first_read : std_logic := '0'; Signal first_read1 : std_logic := '0'; -- Component declarations ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; GEN_EMPTY : if (C_USE_AUTORD = 1) generate begin AFIFO_Empty <= corrected_empty; end generate GEN_EMPTY; GEN_EMPTY1 : if (C_USE_AUTORD = 0) generate begin AFIFO_Empty <= sig_afifo_empty; end generate GEN_EMPTY1; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_4.async_fifo_fg generic map ( -- C_ALLOW_2N_DEPTH => 1, C_ALLOW_2N_DEPTH => 0, C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0 -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, -- Not used by axi_dma Wr_ack => open, -- Not used by axi_dma Wr_err => open -- Not used by axi_dma ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- I_ACK_HOLD_FF : FDRE -- port map( -- Q => hold_ff_q, -- C => AFIFO_Rd_clk, -- CE => '1', -- D => sig_rddata_valid, -- R => ored_ack_ff_reset -- ); -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. GEN_AUTORD1 : if C_USE_AUTORD = 1 generate autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; end generate GEN_AUTORD1; GEN_AUTORD2 : if C_USE_AUTORD = 0 generate process (AFIFO_Wr_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_write <= '0'; elsif (AFIFO_Wr_clk'event and AFIFO_Wr_clk = '1') then if (AFIFO_Wr_en = '1') then first_write <= '1'; end if; end if; end process; process (AFIFO_Rd_clk, AFIFO_Ainit) begin if (AFIFO_Ainit = '0') then first_read <= '0'; first_read1 <= '0'; elsif (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (sig_afifo_empty = '0') then first_read <= first_write; first_read1 <= first_read; end if; end if; end process; autoread <= first_read xor first_read1; end generate GEN_AUTORD2; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty, sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
gpl-3.0
nickg/nvc
test/regress/wait15.vhd
1
598
entity wait15 is end entity; architecture test of wait15 is type wait_spec_t is record delay : delay_length; end record; type wait_array_t is array (natural range <>) of wait_spec_t; constant wait_list : wait_array_t := ( (delay => 1 ns), (delay => 2 ns), (delay => 5 us ) ); begin process is begin for w in wait_list'range loop wait for wait_list(w).delay; end loop; assert now = 5003 ns; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/lower/issue164.vhd
5
264
package issue164 is end package; package body issue164 is procedure same_name(variable var : out integer) is begin end; impure function same_name return integer is variable var : integer; begin return var; end function; end package body;
gpl-3.0
nickg/nvc
test/regress/null1.vhd
1
1168
entity null1 is end entity; architecture test of null1 is type int_array is array (integer range <>) of integer; function get_null return int_array is variable b : int_array(7 to -999999) := (others => 0); begin return b; end function; function get_left(x : int_array) return integer is begin return x'left; end function; function get_right(x : int_array) return integer is begin return x'right; end function; begin process is variable a : int_array(0 to -1) := (others => 0); variable b : int_array(7 to -999999) := (others => 0); variable c : int_array(0 downto 1) := (others => 0); begin report integer'image(a'length); assert a'length = 0; report integer'image(b'length); assert b'length = 0; report integer'image(c'length); assert c'length = 0; a := get_null; assert get_left(b) = 7; -- This is probably wrong according to the LRM but we currently -- normalise the indexes of null arrays assert get_right(b) = 6; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/eopt/nonconst1.vhd
1
397
entity nonconst1 is end entity; architecture test of nonconst1 is type int_vec is array (natural range <>) of integer; signal s, t : int_vec(8 downto 0); begin process is variable k : integer; begin k := 9; wait for 1 ns; s(k downto 1) <= (others => 1); t(8 downto k) <= (others => 1); wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/vests25.vhd
1
2299
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc99.vhd,v 1.2 2001-10-26 16:30:02 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x00p29n02i00099ent_a IS END c04s03b02x00p29n02i00099ent_a; ARCHITECTURE c04s03b02x00p29n02i00099arch_a OF c04s03b02x00p29n02i00099ent_a IS PROCEDURE p1 ( prm_in : IN INTEGER ) IS ATTRIBUTE attr1 : INTEGER; ATTRIBUTE attr1 OF prm_in : constant IS 300; BEGIN ASSERT prm_in'attr1 = 300 REPORT "ERROR: Bad value for prm_in'attr1" SEVERITY FAILURE; assert NOT(prm_in'attr1 = 300) report "***PASSED TEST: c04s03b02x00p29n02i00099" severity NOTE; assert (prm_in'attr1 = 300) report "***FAILED TEST: c04s03b02x00p29n02i00099 - Attribute reading in subprogram fail." severity ERROR; END; BEGIN PROCESS BEGIN -- p1 ( 0 ); -- wait; END PROCESS; END c04s03b02x00p29n02i00099arch_a; ENTITY vests25 IS END vests25; ARCHITECTURE c04s03b02x00p29n02i00099arch OF vests25 IS COMPONENT c04s03b02x00p29n02i00099ent_a END COMPONENT; FOR cmp1 : c04s03b02x00p29n02i00099ent_a USE ENTITY work.c04s03b02x00p29n02i00099ent_a(c04s03b02x00p29n02i00099arch_a); SIGNAL s : INTEGER; BEGIN cmp1 : c04s03b02x00p29n02i00099ent_a; END c04s03b02x00p29n02i00099arch;
gpl-3.0
mistryalok/FPGA
Xilinx/ISE/Basics/encoder8x3/encoder8x3.vhd
1
1302
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:54:47 04/04/2013 -- Design Name: -- Module Name: encoder8x3 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity encoder8x3 is Port ( i : in std_logic_VECTOR (7 downto 0); o : out std_logic_VECTOR (2 downto 0)); end encoder8x3; architecture Behavioral of encoder8x3 is begin process(i) begin case i is when "00000001" => o <= "000"; when "00000010" => o <= "001"; when "00000100" => o <= "010"; when "00001000" => o <= "011"; when "00010000" => o <= "100"; when "00100000" => o <= "101"; when "01000000" => o <= "110"; when "10000000" => o <= "111"; end case; end process; end Behavioral;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/utt.fr/dohiststretch_v1_0/hdl/ip/doHistStretch_ap_fdiv_14_no_dsp_32.vhd
3
12808
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_2; USE floating_point_v7_1_2.floating_point_v7_1_2; ENTITY doHistStretch_ap_fdiv_14_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END doHistStretch_ap_fdiv_14_no_dsp_32; ARCHITECTURE doHistStretch_ap_fdiv_14_no_dsp_32_arch OF doHistStretch_ap_fdiv_14_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_2 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_2,Vivado 2016.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF doHistStretch_ap_fdiv_14_no_dsp_32_arch : ARCHITECTURE IS "doHistStretch_ap_fdiv_14_no_dsp_32,floating_point_v7_1_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF doHistStretch_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "doHistStretch_ap_fdiv_14_no_dsp_32,floating_point_v7_1_2,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS" & "=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=14,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0," & "C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_2 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 14, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END doHistStretch_ap_fdiv_14_no_dsp_32_arch;
gpl-3.0
nickg/nvc
test/regress/issue169.vhd
5
332
entity issue169 is end entity; architecture a of issue169 is begin main : process procedure proc(x : natural) is begin if x > 0 then wait for 1 ns; proc(x - 1); end if; end procedure; begin proc(5); assert now = 5 ns; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/simp/issue155.vhd
3
419
package issue155 is type W_TYPE is record A : integer; B : integer; C : integer; end record; constant W : W_TYPE := (A => 8, B => 4, C => 2); signal A : bit_vector(W.A-1 downto 0); signal B : bit_vector(W.B-1 downto 0); signal C : bit_vector(W.C-1 downto 0); constant V : W_TYPE := (1, 2, 3); signal D : bit_vector(V.C-1 downto V.A); end package;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/hdl/vhdl/sng_port_arb.vhd
7
17789
------------------------------------------------------------------------------- -- sng_port_arb.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: sng_port_arb.vhd -- -- Description: This file is the top level arbiter for full AXI4 mode -- when configured in a single port mode to BRAM. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 4/11/2011 v1.03a -- ~~~~~~ -- Add input signal, AW2Arb_BVALID_Cnt, from wr_chnl. For configurations -- when WREADY is to be a registered output. With a seperate FIFO for BID, -- ensure arbitration does not get more than 8 ahead of BID responses. A -- value of 8 is the max of the BVALID counter. -- ^^^^^^ -- -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------ entity sng_port_arb is generic ( C_S_AXI_ADDR_WIDTH : integer := 32 -- Width of AXI address bus (in bits) ); port ( -- *** AXI Clock and Reset *** S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; -- *** AXI Write Address Channel Signals (AW) *** AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_AWVALID : in std_logic; AXI_AWREADY : out std_logic := '0'; -- *** AXI Read Address Channel Signals (AR) *** AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); AXI_ARVALID : in std_logic; AXI_ARREADY : out std_logic := '0'; -- *** Write Channel Interface Signals *** Arb2AW_Active : out std_logic := '0'; AW2Arb_Busy : in std_logic; AW2Arb_Active_Clr : in std_logic; AW2Arb_BVALID_Cnt : in std_logic_vector (2 downto 0); -- *** Read Channel Interface Signals *** Arb2AR_Active : out std_logic := '0'; AR2Arb_Active_Clr : in std_logic ); end entity sng_port_arb; ------------------------------------------------------------------------------- architecture implementation of sng_port_arb is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_RESET_ACTIVE : std_logic := '0'; constant ARB_WR : std_logic := '0'; constant ARB_RD : std_logic := '1'; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Write & Read Address Channel Signals ------------------------------------------------------------------------------- -- State machine type declarations type ARB_SM_TYPE is ( IDLE, RD_DATA, WR_DATA ); signal arb_sm_cs, arb_sm_ns : ARB_SM_TYPE; signal axi_awready_cmb : std_logic := '0'; signal axi_awready_int : std_logic := '0'; signal axi_arready_cmb : std_logic := '0'; signal axi_arready_int : std_logic := '0'; signal last_arb_won_cmb : std_logic := '0'; signal last_arb_won : std_logic := '0'; signal aw_active_cmb : std_logic := '0'; signal aw_active : std_logic := '0'; signal ar_active_cmb : std_logic := '0'; signal ar_active : std_logic := '0'; ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- *** AXI Output Signals *** --------------------------------------------------------------------------- -- AXI Write Address Channel Output Signals AXI_AWREADY <= axi_awready_int; -- AXI Read Address Channel Output Signals AXI_ARREADY <= axi_arready_int; --------------------------------------------------------------------------- -- *** AXI Write Address Channel Interface *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** AXI Read Address Channel Interface *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- *** Internal Arbitration Interface *** --------------------------------------------------------------------------- Arb2AW_Active <= aw_active; Arb2AR_Active <= ar_active; --------------------------------------------------------------------------- -- Main Arb State Machine -- -- Description: Main arbitration logic when AXI BRAM controller -- configured in a single port BRAM mode. -- Module is instantiated when C_SINGLE_PORT_BRAM = 1. -- -- Outputs: last_arb_won Registered -- aw_active Registered -- ar_active Registered -- axi_awready_int Registered -- axi_arready_int Registered -- -- -- ARB_SM_CMB_PROCESS: Combinational process to determine next state. -- ARB_SM_REG_PROCESS: Registered process of the state machine. -- --------------------------------------------------------------------------- ARB_SM_CMB_PROCESS: process ( AXI_AWVALID, AXI_ARVALID, AW2Arb_BVALID_Cnt, AW2Arb_Busy, AW2Arb_Active_Clr, AR2Arb_Active_Clr, last_arb_won, aw_active, ar_active, arb_sm_cs ) begin -- assign default values for state machine outputs arb_sm_ns <= arb_sm_cs; axi_awready_cmb <= '0'; axi_arready_cmb <= '0'; last_arb_won_cmb <= last_arb_won; aw_active_cmb <= aw_active; ar_active_cmb <= ar_active; case arb_sm_cs is ---------------------------- IDLE State --------------------------- when IDLE => -- Check for valid read operation -- Reads take priority over AW traffic (if both asserted) -- 4/11 -- if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or -- ((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then -- 4/11 -- Add BVALID counter to AW arbitration. -- Since this is arbitration to read, no need for BVALID counter. if ((AXI_ARVALID = '1') and (AXI_AWVALID = '1') and (last_arb_won = ARB_WR)) or -- and --(AW2Arb_BVALID_Cnt /= "111")) or ((AXI_ARVALID = '1') and (AXI_AWVALID = '0')) then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; -- Write operations are lower priority than reads -- when an AXI master asserted both operations simultaneously. -- 4/11 elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then elsif (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and (AW2Arb_BVALID_Cnt /= "111") then -- Write wins arbitration arb_sm_ns <= WR_DATA; axi_awready_cmb <= '1'; last_arb_won_cmb <= ARB_WR; aw_active_cmb <= '1'; end if; ------------------------- WR_DATA State ------------------------- when WR_DATA => -- Wait for write operation to complete if (AW2Arb_Active_Clr = '1') then aw_active_cmb <= '0'; -- Check early for pending read (to save clock cycle -- in transitioning back to IDLE) if (AXI_ARVALID = '1') then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; -- Note: if timing paths occur b/w wr_chnl data SM -- and here, remove this clause to check for early -- arbitration on a read operation. else arb_sm_ns <= IDLE; end if; end if; ---------------------------- RD_DATA State --------------------------- when RD_DATA => -- Wait for read operation to complete if (AR2Arb_Active_Clr = '1') then ar_active_cmb <= '0'; -- Check early for pending write operation (to save clock cycle -- in transitioning back to IDLE) -- 4/11 if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') then if (AXI_AWVALID = '1') and (AW2Arb_Busy = '0') and (AW2Arb_BVALID_Cnt /= "111") then -- Write wins arbitration arb_sm_ns <= WR_DATA; axi_awready_cmb <= '1'; last_arb_won_cmb <= ARB_WR; aw_active_cmb <= '1'; -- Note: if timing paths occur b/w rd_chnl data SM -- and here, remove this clause to check for early -- arbitration on a write operation. -- Check early for a pending back-to-back read operation elsif (AXI_AWVALID = '0') and (AXI_ARVALID = '1') then -- Read wins arbitration arb_sm_ns <= RD_DATA; axi_arready_cmb <= '1'; last_arb_won_cmb <= ARB_RD; ar_active_cmb <= '1'; else arb_sm_ns <= IDLE; end if; end if; --coverage off ------------------------------ Default ---------------------------- when others => arb_sm_ns <= IDLE; --coverage on end case; end process ARB_SM_CMB_PROCESS; --------------------------------------------------------------------------- ARB_SM_REG_PROCESS: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then if (S_AXI_AResetn = C_RESET_ACTIVE) then arb_sm_cs <= IDLE; last_arb_won <= ARB_WR; aw_active <= '0'; ar_active <= '0'; axi_awready_int <='0'; axi_arready_int <='0'; else arb_sm_cs <= arb_sm_ns; last_arb_won <= last_arb_won_cmb; aw_active <= aw_active_cmb; ar_active <= ar_active_cmb; axi_awready_int <= axi_awready_cmb; axi_arready_int <= axi_arready_cmb; end if; end if; end process ARB_SM_REG_PROCESS; --------------------------------------------------------------------------- end architecture implementation;
gpl-3.0
nickg/nvc
test/regress/wait4.vhd
5
649
entity wait4 is end entity; architecture test of wait4 is signal x, y, z : bit; begin proc_a: process is begin wait for 1 ns; y <= '1'; wait for 1 ns; z <= '1'; wait for 1 ns; assert x = '1'; wait; end process; proc_b: process is begin wait on x, y; assert y = '1'; assert now = 1 ns; assert y'event report "not y'event"; assert not x'event report "x'event"; wait on z; assert not x'event; assert z'event; assert z = '1'; x <= '1'; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/jit/packsignal.vhd
1
354
package packsignal is type int_vec is array (natural range <>) of integer; function resolved (x : int_vec) return integer; subtype rint is resolved integer; signal s : rint; end package; package body packsignal is function resolved (x : int_vec) return integer is begin return 0; end function; end package body;
gpl-3.0
nickg/nvc
test/regress/elab14.vhd
5
1086
entity sub is port ( i : in bit_vector(7 downto 0); o : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab14 is end entity; architecture test of elab14 is signal a : bit_vector(1 downto 0); signal b : bit_vector(5 downto 0); signal c : bit_vector(5 downto 2); signal d : bit_vector(3 downto 0); begin sub_i: entity work.sub port map ( i(1 downto 0) => a, i(7 downto 2) => b, o(3 downto 0) => c, o(7 downto 4) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "1100"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0100"; assert d = "1000"; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/regress/elab10.vhd
1
711
entity sub is port ( a : in bit_vector ); end entity; architecture test of sub is begin process (a) begin report a'path_name & " range is " & integer'image(a'left) & " to " & integer'image(a'right) ; end process; end architecture; ------------------------------------------------------------------------------- entity elab10 is end entity; architecture test of elab10 is signal x : bit_vector(1 to 5); signal y : bit_vector(6 to 10); begin sub1_i: entity work.sub port map ( x ); sub2_i: entity work.sub port map ( y ); process is begin y <= not y after 1 ns; wait; end process; end architecture;
gpl-3.0
nickg/nvc
test/lower/vital2.vhd
1
1167
package vital_timing is end package; PACKAGE BODY VITAL_Timing IS -- Types for fields of VitalTimingDataType TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME; TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT; TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN; TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT; TYPE VitalLogicArrayPT IS ACCESS bit_vector; TYPE VitalTimingDataType IS RECORD NotFirstFlag : BOOLEAN; RefTime : TIME; HoldEn : BOOLEAN; TestLast : bit; TestTime : TIME; SetupEn : BOOLEAN; TestLastA : VitalLogicArrayPT; TestTimeA : VitalTimeArrayPT; HoldEnA : VitalBoolArrayPT; SetupEnA : VitalBoolArrayPT; END RECORD; PROCEDURE VitalSetupHoldCheck ( VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN bit_vector; CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE --IR252 3/23/98 ) IS BEGIN TimingData.HoldEnA.all := (TestSignal'RANGE => EnableHoldOnRef); --IR252 3/23/98 END VitalSetupHoldCheck; END VITAL_Timing;
gpl-3.0
nickg/nvc
test/elab/jcore1.vhd
3
463
entity sub is port ( x : in bit; y : out bit ); end entity; architecture test of sub is begin y <= x after 1 ns; end architecture; ------------------------------------------------------------------------------- entity jcore1 is end entity; architecture test of jcore1 is type rec is record a, b : bit; end record; signal s : rec; begin sub_i: entity work.sub port map ( s.a, s.b ); end architecture;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/syn/vhdl/doHistStretch_fdiv_32ns_32ns_32_16.vhd
5
3100
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2016.1 -- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity doHistStretch_fdiv_32ns_32ns_32_16 is generic ( ID : integer := 2; NUM_STAGE : integer := 16; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of doHistStretch_fdiv_32ns_32ns_32_16 is --------------------- Component --------------------- component doHistStretch_ap_fdiv_14_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- doHistStretch_ap_fdiv_14_no_dsp_32_u : component doHistStretch_ap_fdiv_14_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0