repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
hoglet67/AtomBusMon
|
src/T6502/T65_MCode.vhd
|
4
|
45786
|
-- ****
-- T65(b) core. In an effort to merge and maintain bug fixes ....
--
-- See list of changes in T65 top file (T65.vhd)...
--
-- ****
-- 65xx compatible microprocessor core
--
-- FPGAARCADE SVN: $Id: T65_MCode.vhd 1234 2015-02-28 20:14:50Z wolfgang.scherr $
--
-- Copyright (c) 2002...2015
-- Daniel Wallner (jesus <at> opencores <dot> org)
-- Mike Johnson (mikej <at> fpgaarcade <dot> com)
-- Wolfgang Scherr (WoS <at> pin4 <dot> at>
-- Morten Leikvoll ()
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author(s), but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- Limitations :
-- See in T65 top file (T65.vhd)...
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.T65_Pack.all;
entity T65_MCode is
port(
Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65816
IR : in std_logic_vector(7 downto 0);
MCycle : in T_Lcycle;
P : in std_logic_vector(7 downto 0);
LCycle : out T_Lcycle;
ALU_Op : out T_ALU_Op;
Set_BusA_To : out T_Set_BusA_To; -- DI,A,X,Y,S,P,DA,DAO,DAX,AAX
Set_Addr_To : out T_Set_Addr_To; -- PC Adder,S,AD,BA
Write_Data : out T_Write_Data; -- DL,A,X,Y,S,P,PCL,PCH,AX,AXB,XB,YB
Jump : out std_logic_vector(1 downto 0); -- PC,++,DIDL,Rel
BAAdd : out std_logic_vector(1 downto 0); -- None,DB Inc,BA Add,BA Adj
BreakAtNA : out std_logic;
ADAdd : out std_logic;
AddY : out std_logic;
PCAdd : out std_logic;
Inc_S : out std_logic;
Dec_S : out std_logic;
LDA : out std_logic;
LDP : out std_logic;
LDX : out std_logic;
LDY : out std_logic;
LDS : out std_logic;
LDDI : out std_logic;
LDALU : out std_logic;
LDAD : out std_logic;
LDBAL : out std_logic;
LDBAH : out std_logic;
SaveP : out std_logic;
Write : out std_logic
);
end T65_MCode;
architecture rtl of T65_MCode is
signal Branch : std_logic;
signal ALUmore:std_logic;
begin
with IR(7 downto 5) select
Branch <= not P(Flag_N) when "000",
P(Flag_N) when "001",
not P(Flag_V) when "010",
P(Flag_V) when "011",
not P(Flag_C) when "100",
P(Flag_C) when "101",
not P(Flag_Z) when "110",
P(Flag_Z) when others;
process (IR, MCycle, P, Branch, Mode)
begin
lCycle <= Cycle_1;
Set_BusA_To <= Set_BusA_To_ABC;
Set_Addr_To <= Set_Addr_To_PBR;
Write_Data <= Write_Data_DL;
Jump <= (others => '0');
BAAdd <= "00";
BreakAtNA <= '0';
ADAdd <= '0';
PCAdd <= '0';
Inc_S <= '0';
Dec_S <= '0';
LDA <= '0';
LDP <= '0';
LDX <= '0';
LDY <= '0';
LDS <= '0';
LDDI <= '0';
LDALU <= '0';
LDAD <= '0';
LDBAL <= '0';
LDBAH <= '0';
SaveP <= '0';
Write <= '0';
AddY <= '0';
ALUmore <= '0';
case IR(7 downto 5) is
when "100" => -- covers $8x,$9x
case IR(1 downto 0) is
when "00" => -- IR: $80,$84,$88,$8C,$90,$94,$98,$9C
Set_BusA_To <= Set_BusA_To_Y;
if IR(4 downto 2)="111" then -- SYA ($9C)
Write_Data <= Write_Data_YB;
else
Write_Data <= Write_Data_Y;
end if;
when "10" => -- IR: $82,$86,$8A,$8E,$92,$96,$9A,$9E
Set_BusA_To <= Set_BusA_To_X;
if IR(4 downto 2)="111" then -- SXA ($9E)
Write_Data <= Write_Data_XB;
else
Write_Data <= Write_Data_X;
end if;
when "11" => -- IR: $83,$87,$8B,$8F,$93,$97,$9B,$9F
if IR(4 downto 2)="110" then -- SHS ($9B)
Set_BusA_To <= Set_BusA_To_AAX;
LDS <= '1';
else
Set_BusA_To <= Set_BusA_To_ABC;
end if;
if IR(4 downto 2)="111" or IR(4 downto 2)="110" or IR(4 downto 2)="100" then -- SHA ($9F, $93), SHS ($9B)
Write_Data <= Write_Data_AXB;
else
Write_Data <= Write_Data_AX;
end if;
when others => -- IR: $81,$85,$89,$8D,$91,$95,$99,$9D
Write_Data <= Write_Data_ABC;
end case;
when "101" => -- covers $Ax,$Bx
Set_BusA_To <= Set_BusA_To_DI;
case IR(1 downto 0) is
when "00" => -- IR: $A0,$A4,$A8,$AC,$B0,$B4,$B8,$BC
if IR(4) /= '1' or IR(2) /= '0' then--only for $A0,$A4,$A8,$AC or $B4,$BC
LDY <= '1';
end if;
when "01" => -- IR: $A1,$A5,$A9,$AD,$B1,$B5,$B9,$BD
LDA <= '1';
when "10" => -- IR: $A2,$A6,$AA,$AE,$B2,$B6,$BA,$BE
LDX <= '1';
when others => -- IR: $A3,$A7,$AB,$AF,$B3,$B7,$BB,$BF (undoc)
LDX <= '1';
LDA <= '1';
if IR(4 downto 2)="110" then -- LAS (BB)
Set_BusA_To <= Set_BusA_To_S;
LDS <= '1';
end if;
end case;
when "110" => -- covers $Cx,$Dx
case IR(1 downto 0) is
when "00" => -- IR: $C0,$C4,$C8,$CC,$D0,$D4,$D8,$DC
if IR(4) = '0' then--only for $Cx
LDY <= '1';
end if;
Set_BusA_To <= Set_BusA_To_Y;
when others => -- IR: $C1,$C5,$C9,$CD,$D1,$D5,$D9,$DD, $C2,$C6,$CA,$CE,$D2,$D6,$DA,$DE, $C3,$C7,$CB,$CF,$D3,$D7,$DB,$DF
Set_BusA_To <= Set_BusA_To_ABC;
end case;
when "111" => -- covers $Ex,$Fx
case IR(1 downto 0) is
when "00" => -- IR: $E0,$E4,$E8,$EC,$F0,$F4,$F8,$FC
if IR(4) = '0' then -- only $Ex
LDX <= '1';
end if;
Set_BusA_To <= Set_BusA_To_X;
when others => -- IR: $E1,$E5,$E9,$ED,$F1,$F5,$F9,$FD, $E2,$E6,$EA,$EE,$F2,$F6,$FA,$FE, $E3,$E7,$EB,$EF,$F3,$F7,$FB,$FF
Set_BusA_To <= Set_BusA_To_ABC;
end case;
when others =>
end case;
if IR(7 downto 6) /= "10" and IR(1) = '1' and (mode="00" or IR(0)='0') then--covers $0x-$7x, $Cx-$Fx x=2,3,6,7,A,B,E,F, for 6502 undocs
if IR=x"eb" then
Set_BusA_To <= Set_BusA_To_ABC; -- alternate SBC ($EB)
else
Set_BusA_To <= Set_BusA_To_DI;
end if;
end if;
case IR(4 downto 0) is
-- IR: $00,$20,$40,$60,$80,$A0,$C0,$E0
-- $08,$28,$48,$68,$88,$A8,$C8,$E8
-- $0A,$2A,$4A,$6A,$8A,$AA,$CA,$EA
-- $18,$38,$58,$78,$98,$B8,$D8,$F8
-- $1A,$3A,$5A,$7A,$9A,$BA,$DA,$FA
when "00000" | "01000" | "01010" | "11000" | "11010" =>
-- Implied
case IR is
when x"00" =>
-- BRK ($00)
lCycle <= Cycle_6;
case MCycle is
when Cycle_1 =>
Set_Addr_To <= Set_Addr_To_SP;
Write_Data <= Write_Data_PCH;
Write <= '1';
when Cycle_2 =>
Dec_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
Write_Data <= Write_Data_PCL;
Write <= '1';
when Cycle_3 =>
Dec_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
Write_Data <= Write_Data_P;
Write <= '1';
when Cycle_4 =>
Dec_S <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_5 =>
LDDI <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_6 =>
Jump <= "10";
when others =>
end case;
when x"20" => -- JSR ($20)
lCycle <= Cycle_5;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDDI <= '1';
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_2 =>
Set_Addr_To <= Set_Addr_To_SP;
Write_Data <= Write_Data_PCH;
Write <= '1';
when Cycle_3 =>
Dec_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
Write_Data <= Write_Data_PCL;
Write <= '1';
when Cycle_4 =>
Dec_S <= '1';
when Cycle_5 =>
Jump <= "10";
when others =>
end case;
when x"40" => -- RTI ($40)
lCycle <= Cycle_5;
case MCycle is
when Cycle_1 =>
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_2 =>
Inc_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_3 =>
Inc_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
Set_BusA_To <= Set_BusA_To_DI;
when Cycle_4 =>
LDP <= '1';
Inc_S <= '1';
LDDI <= '1';
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_5 =>
Jump <= "10";
when others =>
end case;
when x"60" => -- RTS ($60)
lCycle <= Cycle_5;
case MCycle is
when Cycle_1 =>
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_2 =>
Inc_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_3 =>
Inc_S <= '1';
LDDI <= '1';
Set_Addr_To <= Set_Addr_To_SP;
when Cycle_4 =>
Jump <= "10";
when Cycle_5 =>
Jump <= "01";
when others =>
end case;
when x"08" | x"48" | x"5a" | x"da" => -- PHP, PHA, PHY*, PHX* ($08,$48,$5A,$DA)
lCycle <= Cycle_2;
if Mode = "00" and IR(1) = '1' then--2 cycle nop
lCycle <= Cycle_1;
end if;
case MCycle is
when Cycle_1 =>
if mode/="00" or IR(1)='0' then --wrong on 6502
Write <= '1';
case IR(7 downto 4) is
when "0000" =>
Write_Data <= Write_Data_P;
when "0100" =>
Write_Data <= Write_Data_ABC;
when "0101" =>
if Mode /= "00" then
Write_Data <= Write_Data_Y;
else
Write <= '0';
end if;
when "1101" =>
if Mode /= "00" then
Write_Data <= Write_Data_X;
else
Write <= '0';
end if;
when others =>
end case;
Set_Addr_To <= Set_Addr_To_SP;
end if;
when Cycle_2 =>
Dec_S <= '1';
when others =>
end case;
when x"28" | x"68" | x"7a" | x"fa" => -- PLP, PLA, PLY*, PLX* ($28,$68,$7A,$FA)
lCycle <= Cycle_3;
if Mode = "00" and IR(1) = '1' then--2 cycle nop
lCycle <= Cycle_1;
end if;
case IR(7 downto 4) is
when "0010" =>--plp
LDP <= '1';
when "0110" =>--pla
LDA <= '1';
when "0111" =>--ply not for 6502
if Mode /= "00" then
LDY <= '1';
end if;
when "1111" =>--plx not for 6502
if Mode /= "00" then
LDX <= '1';
end if;
when others =>
end case;
case MCycle is
when Cycle_sync =>
if Mode /= "00" or IR(1) = '0' then--wrong on 6502
SaveP <= '1';
end if;
when Cycle_1 =>
if Mode /= "00" or IR(1) = '0' then--wrong on 6502
Set_Addr_To <= Set_Addr_To_SP;
LDP <= '0';
end if;
when Cycle_2 =>
Inc_S <= '1';
Set_Addr_To <= Set_Addr_To_SP;
LDP <= '0';
when Cycle_3 =>
Set_BusA_To <= Set_BusA_To_DI;
when others =>
end case;
when x"a0" | x"c0" | x"e0" => -- LDY, CPY, CPX ($A0,$C0,$E0)
-- Immediate
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
when others =>
end case;
when x"88" => -- DEY ($88)
LDY <= '1';
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Set_BusA_To <= Set_BusA_To_Y;
when others =>
end case;
when x"ca" => -- DEX ($CA)
LDX <= '1';
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Set_BusA_To <= Set_BusA_To_X;
when others =>
end case;
when x"1a" | x"3a" => -- INC*, DEC* ($1A,$3A)
if Mode /= "00" then
LDA <= '1'; -- A
else
lCycle <= Cycle_1;--undoc 2 cycle nop
end if;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Set_BusA_To <= Set_BusA_To_S;
when others =>
end case;
when x"0a" | x"2a" | x"4a" | x"6a" => -- ASL, ROL, LSR, ROR ($0A,$2A,$4A,$6A)
LDA <= '1'; -- A
Set_BusA_To <= Set_BusA_To_ABC;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
when others =>
end case;
when x"8a" | x"98" => -- TYA, TXA ($8A,$98)
LDA <= '1';
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
when others =>
end case;
when x"aa" | x"a8" => -- TAX, TAY ($AA,$A8)
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Set_BusA_To <= Set_BusA_To_ABC;
when others =>
end case;
when x"9a" => -- TXS ($9A)
LDS <= '1'; -- will be set only in Cycle_sync
when x"ba" => -- TSX ($BA)
LDX <= '1';
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Set_BusA_To <= Set_BusA_To_S;
when others =>
end case;
when x"80" => -- undoc: NOP imm2 ($80)
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
when others =>
end case;
when others => -- others ($0A,$EA, $18,$38,$58,$78,$B8,$C8,$D8,$E8,$F8)
case MCycle is
when Cycle_sync =>
when others =>
end case;
end case;
-- IR: $01,$21,$41,$61,$81,$A1,$C1,$E1
-- $03,$23,$43,$63,$83,$A3,$C3,$E3
when "00001" | "00011" =>
-- Zero Page Indexed Indirect (d,x)
lCycle <= Cycle_5;
if IR(7 downto 6) /= "10" then -- ($01,$21,$41,$61,$C1,$E1,$03,$23,$43,$63,$C3,$E3)
LDA <= '1';
if Mode="00" and IR(1)='1' then
lCycle <= Cycle_7;
end if;
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
ADAdd <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_3 =>
BAAdd <= "01";
LDBAL <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_4 =>
LDBAH <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_5=>
if Mode="00" and IR(1)='1' and IR(7 downto 6)/="10" then
Set_Addr_To <= Set_Addr_To_BA;
Write <= '1';
LDDI<='1';
end if;
when Cycle_6=>
Write <= '1';
LDALU<='1';
SaveP<='1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_7 =>
ALUmore <= '1';
Set_BusA_To <= Set_BusA_To_ABC;
when others =>
end case;
-- IR: $09,$29,$49,$69,$89,$A9,$C9,$E9
when "01001" =>
-- Immediate
if IR(7 downto 5)/="100" then -- all except undoc. NOP imm2 (not $89)
LDA <= '1';
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
when others =>
end case;
-- IR: $0B,$2B,$4B,$6B,$8B,$AB,$CB,$EB
when "01011" =>
if Mode="00" then
-- Immediate undoc for 6500
case IR(7 downto 5) is
when "010"|"011"|"000"|"001" =>--ALR,ARR
Set_BusA_To<=Set_BusA_To_DA;
LDA <= '1';
when "100" =>--XAA
Set_BusA_To<=Set_BusA_To_DAX;
LDA <= '1';
when "110" =>--SAX (SBX)
Set_BusA_To<=Set_BusA_To_AAX;
LDX <= '1';
when "101" =>--OAL
Set_BusA_To<=Set_BusA_To_DAO;
LDA <= '1';
when others=>
LDA <= '1';
end case;
case MCycle is
when Cycle_1 =>
Jump <= "01";
when others =>
end case;
end if;
-- IR: $02,$22,$42,$62,$82,$A2,$C2,$E2
-- $12,$32,$52,$72,$92,$B2,$D2,$F2
when "00010" | "10010" =>
-- Immediate, SKB, KIL
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
if IR = "10100010" then
-- LDX ($A2)
Jump <= "01";
LDX <= '1'; -- Moved, Lorenz test showed X changing on SKB (NOPx)
elsif IR(7 downto 4)="1000" or IR(7 downto 4)="1100" or IR(7 downto 4)="1110" then
-- undoc: NOP imm2
Jump <= "01";
else
-- KIL !!!
end if;
when others =>
end case;
-- IR: $04,$24,$44,$64,$84,$A4,$C4,$E4
when "00100" =>
-- Zero Page
lCycle <= Cycle_2;
case MCycle is
when Cycle_sync =>
if IR(7 downto 5) = "001" then--24=BIT zpg
SaveP <= '1';
end if;
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
if IR(7 downto 5) = "100" then--84=sty zpg (the only write in this group)
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
when others =>
end case;
-- IR: $05,$25,$45,$65,$85,$A5,$C5,$E5
-- $06,$26,$46,$66,$86,$A6,$C6,$E6
-- $07,$27,$47,$67,$87,$A7,$C7,$E7
when "00101" | "00110" | "00111" =>
-- Zero Page
if IR(7 downto 6) /= "10" and IR(1) = '1' and (mode="00" or IR(0)='0') then--covers 0x-7x,cx-fx x=2,3,6,7,a,b,e,f, for 6502 undocs
-- Read-Modify-Write
lCycle <= Cycle_4;
if Mode="00" and IR(0)='1' then
LDA<='1';
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
LDDI <= '1';
if Mode="00" then--The old 6500 writes back what is just read, before changing. The 65c does another read
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_3 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_4 =>
if Mode="00" and IR(0)='1' then
Set_BusA_To<=Set_BusA_To_ABC;
ALUmore <= '1'; -- For undoc DCP/DCM support
LDDI <= '1'; -- requires DIN to reflect DOUT!
end if;
when others =>
end case;
else
lCycle <= Cycle_2;
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
when others =>
end case;
end if;
-- IR: $0C,$2C,$4C,$6C,$8C,$AC,$CC,$EC
when "01100" =>
-- Absolute
if IR(7 downto 6) = "01" and IR(4 downto 0) = "01100" then -- JMP ($4C,$6C)
if IR(5) = '0' then
lCycle <= Cycle_2;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDDI <= '1';
when Cycle_2 =>
Jump <= "10";
when others =>
end case;
else
lCycle <= Cycle_4;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDDI <= '1';
LDBAL <= '1';
when Cycle_2 =>
LDBAH <= '1';
if Mode /= "00" then
Jump <= "10";
end if;
if Mode = "00" then
Set_Addr_To <= Set_Addr_To_BA;
end if;
when Cycle_3 =>
LDDI <= '1';
if Mode = "00" then
Set_Addr_To <= Set_Addr_To_BA;
BAAdd <= "01"; -- DB Inc
else
Jump <= "01";
end if;
when Cycle_4 =>
Jump <= "10";
when others =>
end case;
end if;
else
lCycle <= Cycle_3;
case MCycle is
when Cycle_sync =>
if IR(7 downto 5) = "001" then--2c-BIT
SaveP <= '1';
end if;
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
LDBAH <= '1';
if IR(7 downto 5) = "100" then--80, sty, the only write in this group
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
when others =>
end case;
end if;
-- IR: $0D,$2D,$4D,$6D,$8D,$AD,$CD,$ED
-- $0E,$2E,$4E,$6E,$8E,$AE,$CE,$EE
-- $0F,$2F,$4F,$6F,$8F,$AF,$CF,$EF
when "01101" | "01110" | "01111" =>
-- Absolute
if IR(7 downto 6) /= "10" and IR(1) = '1' and (mode="00" or IR(0)='0') then -- ($0E,$2E,$4E,$6E,$CE,$EE, $0F,$2F,$4F,$6F,$CF,$EF)
-- Read-Modify-Write
lCycle <= Cycle_5;
if Mode="00" and IR(0) = '1' then
LDA <= '1';
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
LDBAH <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
LDDI <= '1';
if Mode="00" then--The old 6500 writes back what is just read, before changing. The 65c does another read
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_4 =>
Write <= '1';
LDALU <= '1';
SaveP <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_5 =>
if Mode="00" and IR(0)='1' then
ALUmore <= '1'; -- For undoc DCP/DCM support
Set_BusA_To<=Set_BusA_To_ABC;
end if;
when others =>
end case;
else
lCycle <= Cycle_3;
if IR(7 downto 6) /= "10" then -- all but $8D, $8E, $8F, $AD, $AE, $AF ($AD does set LDA in an earlier case statement)
LDA <= '1';
end if;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
LDBAH <= '1';
if IR(7 downto 5) = "100" then--8d
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
when others =>
end case;
end if;
-- IR: $10,$30,$50,$70,$90,$B0,$D0,$F0
when "10000" =>
-- Relative
-- This circuit dictates when the last
-- microcycle occurs for the branch depending on
-- whether or not the branch is taken and if a page
-- is crossed...
if (Branch = '1') then
lCycle <= Cycle_3; -- We're done @ T3 if branching...upper
-- level logic will stop at T2 if no page cross
-- (See the Break signal)
else
lCycle <= Cycle_1;
end if;
-- This decodes the current microcycle and takes the
-- proper course of action...
case MCycle is
-- On the T1 microcycle, increment the program counter
-- and instruct the upper level logic to fetch the offset
-- from the Din bus and store it in the data latches. This
-- will be the last microcycle if the branch isn't taken.
when Cycle_1 =>
Jump <= "01"; -- Increments the PC by one (PC will now be PC+2)
-- from microcycle T0.
LDDI <= '1'; -- Tells logic in top level (T65.vhd) to route
-- the Din bus to the memory data latch (DL)
-- so that the branch offset is fetched.
-- In microcycle T2, tell the logic in the top level to
-- add the offset. If the most significant byte of the
-- program counter (i.e. the current "page") does not need
-- updating, we are done here...the Break signal at the
-- T65.vhd level takes care of that...
when Cycle_2 =>
Jump <= "11"; -- Tell the PC Jump logic to use relative mode.
PCAdd <= '1'; -- This tells the PC adder to update itself with
-- the current offset recently fetched from
-- memory.
-- The following is microcycle T3 :
-- The program counter should be completely updated
-- on this cycle after the page cross is detected.
-- We don't need to do anything here...
when Cycle_3 =>
when others => null; -- Do nothing.
end case;
-- IR: $11,$31,$51,$71,$91,$B1,$D1,$F1
-- $13,$33,$53,$73,$93,$B3,$D3,$F3
when "10001" | "10011" =>
lCycle <= Cycle_5;
if IR(7 downto 6) /= "10" then -- ($11,$31,$51,$71,$D1,$F1,$13,$33,$53,$73,$D3,$F3)
LDA <= '1';
if Mode="00" and IR(1)='1' then
lCycle <= Cycle_7;
end if;
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
LDBAL <= '1';
BAAdd <= "01"; -- DB Inc
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_3 =>
Set_BusA_To <= Set_BusA_To_Y;
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_4 =>
BAAdd <= "11"; -- BA Adj
if IR(7 downto 5) = "100" then
Write <= '1';
elsif IR(1)='0' or IR=x"B3" then -- Dont do this on $x3, except undoc LAXiy $B3 (says real CPU and Lorenz tests)
BreakAtNA <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_5 =>
if Mode="00" and IR(1)='1' and IR(7 downto 6)/="10" then
Set_Addr_To <= Set_Addr_To_BA;
LDDI<='1';
Write <= '1';
end if;
when Cycle_6 =>
LDALU<='1';
SaveP<='1';
Write <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_7 =>
ALUmore <= '1';
Set_BusA_To<=Set_BusA_To_ABC;
when others =>
end case;
-- IR: $14,$34,$54,$74,$94,$B4,$D4,$F4
-- $15,$35,$55,$75,$95,$B5,$D5,$F5
-- $16,$36,$56,$76,$96,$B6,$D6,$F6
-- $17,$37,$57,$77,$97,$B7,$D7,$F7
when "10100" | "10101" | "10110" | "10111" =>
-- Zero Page, X
if IR(7 downto 6) /= "10" and IR(1) = '1' and (Mode="00" or IR(0)='0') then -- ($16,$36,$56,$76,$D6,$F6, $17,$37,$57,$77,$D7,$F7)
-- Read-Modify-Write
if Mode="00" and IR(0)='1' then
LDA<='1';
end if;
lCycle <= Cycle_5;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
ADAdd <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_3 =>
LDDI <= '1';
if Mode="00" then -- The old 6500 writes back what is just read, before changing. The 65c does another read
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_4 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
if Mode="00" and IR(0)='1' then
LDDI<='1';
end if;
when Cycle_5 =>
if Mode="00" and IR(0)='1' then
ALUmore <= '1'; -- For undoc DCP/DCM support
Set_BusA_To<=Set_BusA_To_ABC;
end if;
when others =>
end case;
else
lCycle <= Cycle_3;
if IR(7 downto 6) /= "10" and IR(0)='1' then -- dont LDA on undoc skip
LDA <= '1';
end if;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_2 =>
ADAdd <= '1';
-- Added this check for Y reg. use, added undocs
if (IR(3 downto 1) = "011") then -- ($16,$36,$56,$76,$96,$B6,$D6,$F6,$17,$37,$57,$77,$97,$B7,$D7,$F7)
AddY <= '1';
end if;
if IR(7 downto 5) = "100" then -- ($14,$34,$15,$35,$16,$36,$17,$37) the only write instruction
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_ZPG;
when Cycle_3 => null;
when others =>
end case;
end if;
-- IR: $19,$39,$59,$79,$99,$B9,$D9,$F9
-- $1B,$3B,$5B,$7B,$9B,$BB,$DB,$FB
when "11001" | "11011" =>
-- Absolute Y
lCycle <= Cycle_4;
if IR(7 downto 6) /= "10" then
LDA <= '1';
if Mode="00" and IR(1)='1' then
lCycle <= Cycle_6;
end if;
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
Set_BusA_To <= Set_BusA_To_Y;
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
BAAdd <= "11"; -- BA adj
if IR(7 downto 5) = "100" then--99/9b
Write <= '1';
elsif IR(1)='0' or IR=x"BB" then -- Dont do this on $xB, except undoc $BB (says real CPU and Lorenz tests)
BreakAtNA <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_4 => -- just for undoc
if Mode="00" and IR(1)='1' and IR(7 downto 6)/="10" then
Set_Addr_To <= Set_Addr_To_BA;
LDDI<='1';
Write <= '1';
end if;
when Cycle_5 =>
Write <= '1';
LDALU<='1';
Set_Addr_To <= Set_Addr_To_BA;
SaveP<='1';
when Cycle_6 =>
ALUmore <= '1';
Set_BusA_To <= Set_BusA_To_ABC;
when others =>
end case;
-- IR: $1C,$3C,$5C,$7C,$9C,$BC,$DC,$FC
-- $1D,$3D,$5D,$7D,$9D,$BD,$DD,$FD
-- $1E,$3E,$5E,$7E,$9E,$BE,$DE,$FE
-- $1F,$3F,$5F,$7F,$9F,$BF,$DF,$FF
when "11100" | "11101" | "11110" | "11111" =>
-- Absolute X
if IR(7 downto 6) /= "10" and IR(1) = '1' and (Mode="00" or IR(0)='0') then -- ($1E,$3E,$5E,$7E,$DE,$FE, $1F,$3F,$5F,$7F,$DF,$FF)
-- Read-Modify-Write
lCycle <= Cycle_6;
if Mode="00" and IR(0)='1' then
LDA <= '1';
end if;
case MCycle is
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
Set_BusA_To <= Set_BusA_To_X;
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
BAAdd <= "11"; -- BA adj
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_4 =>
LDDI <= '1';
if Mode="00" then--The old 6500 writes back what is just read, before changing. The 65c does another read
Write <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_5 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_6 =>
if Mode="00" and IR(0)='1' then
ALUmore <= '1';
Set_BusA_To <= Set_BusA_To_ABC;
end if;
when others =>
end case;
else -- ($1C,$3C,$5C,$7C,$9C,$BC,$DC,$FC, $1D,$3D,$5D,$7D,$9D,$BD,$DD,$FD, $9E,$BE,$9F,$BF)
lCycle <= Cycle_4;--Or 3 if not page crossing
if IR(7 downto 6) /= "10" then
if Mode/="00" or IR(4)='0' or IR(1 downto 0)/="00" then
LDA <= '1';
end if;
end if;
case MCycle is
when Cycle_sync =>
when Cycle_1 =>
Jump <= "01";
LDBAL <= '1';
when Cycle_2 =>
Jump <= "01";
-- special case $BE which uses Y reg as index!!
if(IR(7 downto 6)="10" and IR(4 downto 1)="1111") then
Set_BusA_To <= Set_BusA_To_Y;
else
Set_BusA_To <= Set_BusA_To_X;
end if;
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_3 =>
BAAdd <= "11"; -- BA adj
if IR(7 downto 5) = "100" then -- ($9E,$9F)
Write <= '1';
else
BreakAtNA <= '1';
end if;
Set_Addr_To <= Set_Addr_To_BA;
when Cycle_4 =>
when others =>
end case;
end if;
when others =>
end case;
end process;
process (IR, MCycle, Mode,ALUmore)
begin
-- ORA, AND, EOR, ADC, NOP, LD, CMP, SBC
-- ASL, ROL, LSR, ROR, BIT, LD, DEC, INC
case IR(1 downto 0) is
when "00" =>
case IR(4 downto 2) is
-- IR: $00,$20,$40,$60,$80,$A0,$C0,$E0
-- $04,$24,$44,$64,$84,$A4,$C4,$E4
-- $0C,$2C,$4C,$6C,$8C,$AC,$CC,$EC
when "000" | "001" | "011" =>
case IR(7 downto 5) is
when "110" | "111" => -- CP ($C0,$C4,$CC,$E0,$E4,$EC)
ALU_Op <= ALU_OP_CMP;
when "101" => -- LD ($A0,$A4,$AC)
ALU_Op <= ALU_OP_EQ2;
when "001" => -- BIT ($20,$24,$2C - $20 is ignored, as its a jmp)
ALU_Op <= ALU_OP_BIT;
when others => -- other, NOP/ST ($x0,$x4,$xC)
ALU_Op <= ALU_OP_EQ1;
end case;
-- IR: $08,$28,$48,$68,$88,$A8,$C8,$E8
when "010" =>
case IR(7 downto 5) is
when "111" | "110" => -- IN ($C8,$E8)
ALU_Op <= ALU_OP_INC;
when "100" => -- DEY ($88)
ALU_Op <= ALU_OP_DEC;
when others => -- LD
ALU_Op <= ALU_OP_EQ2;
end case;
-- IR: $18,$38,$58,$78,$98,$B8,$D8,$F8
when "110" =>
case IR(7 downto 5) is
when "100" => -- TYA ($98)
ALU_Op <= ALU_OP_EQ2;
when others =>
ALU_Op <= ALU_OP_EQ1;
end case;
-- IR: $10,$30,$50,$70,$90,$B0,$D0,$F0
-- $14,$34,$54,$74,$94,$B4,$D4,$F4
-- $1C,$3C,$5C,$7C,$9C,$BC,$DC,$FC
when others =>
case IR(7 downto 5) is
when "101" => -- LD ($B0,$B4,$BC)
ALU_Op <= ALU_OP_EQ2;
when others =>
ALU_Op <= ALU_OP_EQ1;
end case;
end case;
when "01" => -- OR
case(to_integer(unsigned(IR(7 downto 5)))) is
when 0=> -- IR: $01,$05,$09,$0D,$11,$15,$19,$1D
ALU_Op<=ALU_OP_OR;
when 1=> -- IR: $21,$25,$29,$2D,$31,$35,$39,$3D
ALU_Op<=ALU_OP_AND;
when 2=> -- IR: $41,$45,$49,$4D,$51,$55,$59,$5D
ALU_Op<=ALU_OP_EOR;
when 3=> -- IR: $61,$65,$69,$6D,$71,$75,$79,$7D
ALU_Op<=ALU_OP_ADC;
when 4=>-- IR: $81,$85,$89,$8D,$91,$95,$99,$9D
ALU_Op<=ALU_OP_EQ1; -- STA
when 5=> -- IR: $A1,$A5,$A9,$AD,$B1,$B5,$B9,$BD
ALU_Op<=ALU_OP_EQ2; -- LDA
when 6=> -- IR: $C1,$C5,$C9,$CD,$D1,$D5,$D9,$DD
ALU_Op<=ALU_OP_CMP;
when others=> -- IR: $E1,$E5,$E9,$ED,$F1,$F5,$F9,$FD
ALU_Op<=ALU_OP_SBC;
end case;
when "10" =>
case(to_integer(unsigned(IR(7 downto 5)))) is
when 0=> -- IR: $02,$06,$0A,$0E,$12,$16,$1A,$1E
ALU_Op<=ALU_OP_ASL;
if IR(4 downto 2) = "110" and Mode/="00" then -- 00011010,$1A -> INC acc, not on 6502
ALU_Op <= ALU_OP_INC;
end if;
when 1=> -- IR: $22,$26,$2A,$2E,$32,$36,$3A,$3E
ALU_Op<=ALU_OP_ROL;
if IR(4 downto 2) = "110" and Mode/="00" then -- 00111010,$3A -> DEC acc, not on 6502
ALU_Op <= ALU_OP_DEC;
end if;
when 2=> -- IR: $42,$46,$4A,$4E,$52,$56,$5A,$5E
ALU_Op<=ALU_OP_LSR;
when 3=> -- IR: $62,$66,$6A,$6E,$72,$76,$7A,$7E
ALU_Op<=ALU_OP_ROR;
when 4=> -- IR: $82,$86,$8A,$8E,$92,$96,$9A,$9E
ALU_Op<=ALU_OP_BIT;
if IR(4 downto 2) = "010" then -- 10001010, $8A -> TXA
ALU_Op <= ALU_OP_EQ2;
else -- 100xxx10, $82,$86,$8E,$92,$96,$9A,$9E
ALU_Op <= ALU_OP_EQ1;
end if;
when 5=> -- IR: $A2,$A6,$AA,$AE,$B2,$B6,$BA,$BE
ALU_Op<=ALU_OP_EQ2; -- LDX
when 6=> -- IR: $C2,$C6,$CA,$CE,$D2,$D6,$DA,$DE
ALU_Op<=ALU_OP_DEC;
when others=> -- IR: $E2,$E6,$EA,$EE,$F2,$F6,$FA,$FE
ALU_Op<=ALU_OP_INC;
end case;
when others => -- "11" undoc double alu ops
case(to_integer(unsigned(IR(7 downto 5)))) is
-- IR: $A3,$A7,$AB,$AF,$B3,$B7,$BB,$BF
when 5 =>
if IR=x"bb" then--LAS
ALU_Op <= ALU_OP_AND;
else
ALU_Op <= ALU_OP_EQ2;
end if;
-- IR: $03,$07,$0B,$0F,$13,$17,$1B,$1F
-- $23,$27,$2B,$2F,$33,$37,$3B,$3F
-- $43,$47,$4B,$4F,$53,$57,$5B,$5F
-- $63,$67,$6B,$6F,$73,$77,$7B,$7F
-- $83,$87,$8B,$8F,$93,$97,$9B,$9F
-- $C3,$C7,$CB,$CF,$D3,$D7,$DB,$DF
-- $E3,$E7,$EB,$EF,$F3,$F7,$FB,$FF
when others =>
if IR=x"6b" then -- ARR
ALU_Op<=ALU_OP_ARR;
elsif IR=x"8b" then -- ARR
ALU_Op<=ALU_OP_XAA; -- we can't use the bit operation as we don't set all flags...
elsif IR=x"0b" or IR=x"2b" then -- ANC
ALU_Op<=ALU_OP_ANC;
elsif IR=x"eb" then -- alternate SBC
ALU_Op<=ALU_OP_SBC;
elsif ALUmore='1' then
case(to_integer(unsigned(IR(7 downto 5)))) is
when 0=>
ALU_Op<=ALU_OP_OR;
when 1=>
ALU_Op<=ALU_OP_AND;
when 2=>
ALU_Op<=ALU_OP_EOR;
when 3=>
ALU_Op<=ALU_OP_ADC;
when 4=>
ALU_Op<=ALU_OP_EQ1; -- STA
when 5=>
ALU_Op<=ALU_OP_EQ2; -- LDA
when 6=>
ALU_Op<=ALU_OP_CMP;
when others=>
ALU_Op<=ALU_OP_SBC;
end case;
else
case(to_integer(unsigned(IR(7 downto 5)))) is
when 0=>
ALU_Op<=ALU_OP_ASL;
when 1=>
ALU_Op<=ALU_OP_ROL;
when 2=>
ALU_Op<=ALU_OP_LSR;
when 3=>
ALU_Op<=ALU_OP_ROR;
when 4=>
ALU_Op<=ALU_OP_BIT;
when 5=>
ALU_Op<=ALU_OP_EQ2; -- LDX
when 6=>
ALU_Op<=ALU_OP_DEC;
if IR(4 downto 2)="010" then -- $6B
ALU_Op<=ALU_OP_SAX; -- special SAX (SBX) case
end if;
when others=>
ALU_Op<=ALU_OP_INC;
end case;
end if;
end case;
end case;
end process;
end;
|
gpl-3.0
|
fbelavenuto/msx1fpga
|
src/audio/vm2413/slotcounter.vhd
|
2
|
2460
|
--
-- SlotCounter.vhd
--
-- Copyright (c) 2006 Mitsutaka Okazaki ([email protected])
-- All rights reserved.
--
-- Redistribution and use of this source code or any derivative works, are
-- permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
-- 3. Redistributions may not be sold, nor may they be used in a commercial
-- product or activity without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
-- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
--
-- modified by t.hara
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity SlotCounter is
generic (
delay : integer
);
port (
clk : in std_logic;
reset : in std_logic;
clkena : in std_logic;
slot : out std_logic_vector( 4 downto 0 );
stage : out std_logic_vector( 1 downto 0 )
);
end entity;
architecture rtl of SlotCounter is
signal ff_count : std_logic_vector( 6 downto 0 );
begin
process (reset, clk)
begin
if reset = '1' then
ff_count <= "1000111" - delay;
elsif rising_edge(clk) then
if clkena ='1' then
if ff_count = "1000111" then -- 71
ff_count <= (others => '0');
else
ff_count <= ff_count + 1;
end if;
end if;
end if;
end process;
stage <= ff_count(1 downto 0); -- 0`3 ÅzÂ
slot <= ff_count(6 downto 2); -- 0`17 ÅzÂ
end architecture;
|
gpl-3.0
|
hoglet67/AtomBusMon
|
src/AlanD/R65Cx2.vhd
|
1
|
70463
|
-- -----------------------------------------------------------------------
--
-- This is a table driven 65Cx2 core by A.Daly
-- This is a derivative of the excellent FPGA64 core see below
--
-- -----------------------------------------------------------------------
-- Copyright 2005-2008 by Peter Wendrich ([email protected])
-- http://www.syntiac.com/fpga64.html
-- -----------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
entity R65C02 is
port (
reset : in std_logic;
clk : in std_logic;
enable : in std_logic;
nmi_n : in std_logic;
irq_n : in std_logic;
di : in unsigned(7 downto 0);
do : out unsigned(7 downto 0);
addr : out unsigned(15 downto 0);
nwe : out std_logic;
sync : out std_logic;
sync_irq : out std_logic;
-- 6502 registers (MSB) PC, SP, P, Y, X, A (LSB)
Regs : out std_logic_vector(63 downto 0)
);
end R65C02;
-- Store Zp (3) => fetch, cycle2, cycleEnd
-- Store Zp,x (4) => fetch, cycle2, preWrite, cycleEnd
-- Read Zp,x (4) => fetch, cycle2, cycleRead, cycleRead2
-- Rmw Zp,x (6) => fetch, cycle2, cycleRead, cycleRead2, cycleRmw, cycleEnd
-- Store Abs (4) => fetch, cycle2, cycle3, cycleEnd
-- Store Abs,x (5) => fetch, cycle2, cycle3, preWrite, cycleEnd
-- Rts (6) => fetch, cycle2, cycle3, cycleRead, cycleJump, cycleIncrEnd
-- Rti (6) => fetch, cycle2, stack1, stack2, stack3, cycleJump
-- Jsr (6) => fetch, cycle2, .. cycle5, cycle6, cycleJump
-- Jmp abs (3) => fetch, cycle2, cycleJump
-- Jmp (ind) (6) => fetch, cycle2, cycle3, cycleRead, cycleRead2, cycleJump
-- Jmp (ind,x) (6) => fetch, cycle2, cycle3, cycleRead, cycleRead2, cycleJump
-- Brk (7) => fetch, cycle2, stack2, stack3, stack4, cycleRead2, cycleJump
-- -----------------------------------------------------------------------
architecture Behavioral of R65C02 is
-- Statemachine
type cpuCycles is (
opcodeFetch, -- New opcode is read and registers updated
cycle2,
cycle3,
cyclePreIndirect,
cycleIndirect,
cycleBranchTaken,
cycleBranchPage,
cyclePreRead, -- Cycle before read while doing zeropage indexed addressing.
cycleRead, -- Read cycle
cycleRead2, -- Second read cycle after page-boundary crossing.
cycleRmw, -- Calculate ALU output for read-modify-write instr.
cyclePreWrite, -- Cycle before write when doing indexed addressing.
cycleWrite, -- Write cycle for zeropage or absolute addressing.
cycleStack1,
cycleStack2,
cycleStack3,
cycleStack4,
cycleJump, -- Last cycle of Jsr, Jmp. Next fetch address is target addr.
cycleEnd
);
signal theCpuCycle : cpuCycles;
signal nextCpuCycle : cpuCycles;
signal updateRegisters : boolean;
signal processIrq : std_logic;
signal nmiReg : std_logic;
signal nmiEdge : std_logic;
signal irqReg : std_logic; -- Delay IRQ input with one clock cycle.
signal soReg : std_logic; -- SO pin edge detection
-- Opcode decoding
constant opcUpdateA : integer := 0;
constant opcUpdateX : integer := 1;
constant opcUpdateY : integer := 2;
constant opcUpdateS : integer := 3;
constant opcUpdateN : integer := 4;
constant opcUpdateV : integer := 5;
constant opcUpdateD : integer := 6;
constant opcUpdateI : integer := 7;
constant opcUpdateZ : integer := 8;
constant opcUpdateC : integer := 9;
constant opcSecondByte : integer := 10;
constant opcAbsolute : integer := 11;
constant opcZeroPage : integer := 12;
constant opcIndirect : integer := 13;
constant opcStackAddr : integer := 14; -- Push/Pop address
constant opcStackData : integer := 15; -- Push/Pop status/data
constant opcJump : integer := 16;
constant opcBranch : integer := 17;
constant indexX : integer := 18;
constant indexY : integer := 19;
constant opcStackUp : integer := 20;
constant opcWrite : integer := 21;
constant opcRmw : integer := 22;
constant opcIncrAfter : integer := 23; -- Insert extra cycle to increment PC (RTS)
constant opcRti : integer := 24;
constant opcIRQ : integer := 25;
constant opcInA : integer := 26;
constant opcInBrk : integer := 27;
constant opcInX : integer := 28;
constant opcInY : integer := 29;
constant opcInS : integer := 30;
constant opcInT : integer := 31;
constant opcInH : integer := 32;
constant opcInClear : integer := 33;
constant aluMode1From : integer := 34;
--
constant aluMode1To : integer := 37;
constant aluMode2From : integer := 38;
--
constant aluMode2To : integer := 40;
constant opcInCmp : integer := 41;
constant opcInCpx : integer := 42;
constant opcInCpy : integer := 43;
subtype addrDef is unsigned(0 to 15);
--
-- is Interrupt -----------------+
-- instruction is RTI ----------------+|
-- PC++ on last cycle (RTS) ---------------+||
-- RMW --------------+|||
-- Write -------------+||||
-- Pop/Stack up -------------+|||||
-- Branch ---------+ ||||||
-- Jump ----------+| ||||||
-- Push or Pop data -------+|| ||||||
-- Push or Pop addr ------+||| ||||||
-- Indirect -----+|||| ||||||
-- ZeroPage ----+||||| ||||||
-- Absolute ---+|||||| ||||||
-- PC++ on cycle2 --+||||||| ||||||
-- |AZI||JBXY|WM|||
constant immediate : addrDef := "1000000000000000";
constant implied : addrDef := "0000000000000000";
-- Zero page
constant readZp : addrDef := "1010000000000000";
constant writeZp : addrDef := "1010000000010000";
constant rmwZp : addrDef := "1010000000001000";
-- Zero page indexed
constant readZpX : addrDef := "1010000010000000";
constant writeZpX : addrDef := "1010000010010000";
constant rmwZpX : addrDef := "1010000010001000";
constant readZpY : addrDef := "1010000001000000";
constant writeZpY : addrDef := "1010000001010000";
constant rmwZpY : addrDef := "1010000001001000";
-- Zero page indirect
constant readIndX : addrDef := "1001000010000000";
constant writeIndX : addrDef := "1001000010010000";
constant rmwIndX : addrDef := "1001000010001000";
constant readIndY : addrDef := "1001000001000000";
constant writeIndY : addrDef := "1001000001010000";
constant rmwIndY : addrDef := "1001000001001000";
constant rmwInd : addrDef := "1001000000001000";
constant readInd : addrDef := "1001000000000000";
constant writeInd : addrDef := "1001000000010000";
-- |AZI||JBXY|WM||
-- Absolute
constant readAbs : addrDef := "1100000000000000";
constant writeAbs : addrDef := "1100000000010000";
constant rmwAbs : addrDef := "1100000000001000";
constant readAbsX : addrDef := "1100000010000000";
constant writeAbsX : addrDef := "1100000010010000";
constant rmwAbsX : addrDef := "1100000010001000";
constant readAbsY : addrDef := "1100000001000000";
constant writeAbsY : addrDef := "1100000001010000";
constant rmwAbsY : addrDef := "1100000001001000";
-- PHA PHP
constant push : addrDef := "0000010000000000";
-- PLA PLP
constant pop : addrDef := "0000010000100000";
-- Jumps
constant jsr : addrDef := "1000101000000000";
constant jumpAbs : addrDef := "1000001000000000";
constant jumpInd : addrDef := "1100001000000000";
constant jumpIndX : addrDef := "1100001010000000";
constant relative : addrDef := "1000000100000000";
-- Specials
constant rts : addrDef := "0000101000100100";
constant rti : addrDef := "0000111000100010";
constant brk : addrDef := "1000111000000001";
constant xxxxxxxx : addrDef := "----------0---00";
-- A = accu
-- X = index X
-- Y = index Y
-- S = Stack pointer
-- H = indexH
--
-- AEXYSTHc
constant aluInA : unsigned(0 to 7) := "10000000";
constant aluInBrk : unsigned(0 to 7) := "01000000";
constant aluInX : unsigned(0 to 7) := "00100000";
constant aluInY : unsigned(0 to 7) := "00010000";
constant aluInS : unsigned(0 to 7) := "00001000";
constant aluInT : unsigned(0 to 7) := "00000100";
constant aluInClr : unsigned(0 to 7) := "00000001";
constant aluInSet : unsigned(0 to 7) := "00000000";
constant aluInXXX : unsigned(0 to 7) := "--------";
-- Most of the aluModes are just like the opcodes.
-- aluModeInp -> input is output. calculate N and Z
-- aluModeCmp -> Compare for CMP, CPX, CPY
-- aluModeFlg -> input to flags needed for PLP, RTI and CLC, SEC, CLV
-- aluModeInc -> for INC but also INX, INY
-- aluModeDec -> for DEC but also DEX, DEY
subtype aluMode1 is unsigned(0 to 3);
subtype aluMode2 is unsigned(0 to 2);
subtype aluMode is unsigned(0 to 9);
-- Logic/Shift ALU
constant aluModeInp : aluMode1 := "0000";
constant aluModeP : aluMode1 := "0001";
constant aluModeInc : aluMode1 := "0010";
constant aluModeDec : aluMode1 := "0011";
constant aluModeFlg : aluMode1 := "0100";
constant aluModeBit : aluMode1 := "0101";
-- 0110
-- 0111
constant aluModeLsr : aluMode1 := "1000";
constant aluModeRor : aluMode1 := "1001";
constant aluModeAsl : aluMode1 := "1010";
constant aluModeRol : aluMode1 := "1011";
constant aluModeTSB : aluMode1 := "1100";
constant aluModeTRB : aluMode1 := "1101";
-- 1110
-- 1111;
-- Arithmetic ALU
constant aluModePss : aluMode2 := "000";
constant aluModeCmp : aluMode2 := "001";
constant aluModeAdc : aluMode2 := "010";
constant aluModeSbc : aluMode2 := "011";
constant aluModeAnd : aluMode2 := "100";
constant aluModeOra : aluMode2 := "101";
constant aluModeEor : aluMode2 := "110";
constant aluModeNoF : aluMode2 := "111";
constant aluInp : aluMode := aluModeInp & aluModePss & "---";
constant aluP : aluMode := aluModeP & aluModePss & "---";
constant aluInc : aluMode := aluModeInc & aluModePss & "---";
constant aluDec : aluMode := aluModeDec & aluModePss & "---";
constant aluFlg : aluMode := aluModeFlg & aluModePss & "---";
constant aluBit : aluMode := aluModeBit & aluModeAnd & "---";
constant aluRor : aluMode := aluModeRor & aluModePss & "---";
constant aluLsr : aluMode := aluModeLsr & aluModePss & "---";
constant aluRol : aluMode := aluModeRol & aluModePss & "---";
constant aluAsl : aluMode := aluModeAsl & aluModePss & "---";
constant aluTSB : aluMode := aluModeTSB & aluModePss & "---";
constant aluTRB : aluMode := aluModeTRB & aluModePss & "---";
constant aluCmp : aluMode := aluModeInp & aluModeCmp & "100";
constant aluCpx : aluMode := aluModeInp & aluModeCmp & "010";
constant aluCpy : aluMode := aluModeInp & aluModeCmp & "001";
constant aluAdc : aluMode := aluModeInp & aluModeAdc & "---";
constant aluSbc : aluMode := aluModeInp & aluModeSbc & "---";
constant aluAnd : aluMode := aluModeInp & aluModeAnd & "---";
constant aluOra : aluMode := aluModeInp & aluModeOra & "---";
constant aluEor : aluMode := aluModeInp & aluModeEor & "---";
constant aluXXX : aluMode := (others => '-');
-- Stack operations. Push/Pop/None
constant stackInc : unsigned(0 to 0) := "0";
constant stackDec : unsigned(0 to 0) := "1";
constant stackXXX : unsigned(0 to 0) := "-";
subtype decodedBitsDef is unsigned(0 to 43);
type opcodeInfoTableDef is array(0 to 255) of decodedBitsDef;
constant opcodeInfoTable : opcodeInfoTableDef := (
-- +------- Update register A
-- |+------ Update register X
-- ||+----- Update register Y
-- |||+---- Update register S
-- |||| +-- Update Flags
-- |||| |
-- |||| _|__
-- |||| / \
-- AXYS NVDIZC addressing aluInput aluMode
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "001100" & brk & aluInBrk & aluP, -- 00 BRK
"1000" & "100010" & readIndX & aluInT & aluOra, -- 01 ORA (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 02 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 03 NOP ------- 65C02
"0000" & "000010" & rmwZp & aluInT & aluTSB, -- 04 TSB zp ----------- 65C02
"1000" & "100010" & readZp & aluInT & aluOra, -- 05 ORA zp
"0000" & "100011" & rmwZp & aluInT & aluAsl, -- 06 ASL zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 07 NOP ------- 65C02
"0000" & "000000" & push & aluInXXX & aluP, -- 08 PHP
"1000" & "100010" & immediate & aluInT & aluOra, -- 09 ORA imm
"1000" & "100011" & implied & aluInA & aluAsl, -- 0A ASL accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 0B NOP ------- 65C02
"0000" & "000010" & rmwAbs & aluInT & aluTSB, -- 0C TSB abs ---------- 65C02
"1000" & "100010" & readAbs & aluInT & aluOra, -- 0D ORA abs
"0000" & "100011" & rmwAbs & aluInT & aluAsl, -- 0E ASL abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 0F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 10 BPL
"1000" & "100010" & readIndY & aluInT & aluOra, -- 11 ORA (zp),y
"1000" & "100010" & readInd & aluInT & aluOra, -- 12 ORA (zp) --------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 13 NOP ------- 65C02
"0000" & "000010" & rmwZp & aluInT & aluTRB, -- 14 TRB zp ~---------- 65C02
"1000" & "100010" & readZpX & aluInT & aluOra, -- 15 ORA zp,x
"0000" & "100011" & rmwZpX & aluInT & aluAsl, -- 16 ASL zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 17 NOP ------- 65C02
"0000" & "000001" & implied & aluInClr & aluFlg, -- 18 CLC
"1000" & "100010" & readAbsY & aluInT & aluOra, -- 19 ORA abs,y
"1000" & "100010" & implied & aluInA & aluInc, -- 1A INC accu --------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 1B NOP ------- 65C02
"0000" & "000010" & rmwAbs & aluInT & aluTRB, -- 1C TRB abs ~----- --- 65C02
"1000" & "100010" & readAbsX & aluInT & aluOra, -- 1D ORA abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluAsl, -- 1E ASL abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 1F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & jsr & aluInXXX & aluXXX, -- 20 JSR
"1000" & "100010" & readIndX & aluInT & aluAnd, -- 21 AND (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 22 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 23 NOP ------- 65C02
"0000" & "110010" & readZp & aluInT & aluBit, -- 24 BIT zp
"1000" & "100010" & readZp & aluInT & aluAnd, -- 25 AND zp
"0000" & "100011" & rmwZp & aluInT & aluRol, -- 26 ROL zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 27 NOP ------- 65C02
"0000" & "111111" & pop & aluInT & aluFlg, -- 28 PLP
"1000" & "100010" & immediate & aluInT & aluAnd, -- 29 AND imm
"1000" & "100011" & implied & aluInA & aluRol, -- 2A ROL accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 2B NOP ------- 65C02
"0000" & "110010" & readAbs & aluInT & aluBit, -- 2C BIT abs
"1000" & "100010" & readAbs & aluInT & aluAnd, -- 2D AND abs
"0000" & "100011" & rmwAbs & aluInT & aluRol, -- 2E ROL abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 2F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 30 BMI
"1000" & "100010" & readIndY & aluInT & aluAnd, -- 31 AND (zp),y
"1000" & "100010" & readInd & aluInT & aluAnd, -- 32 AND (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 33 NOP ------- 65C02
"0000" & "110010" & readZpX & aluInT & aluBit, -- 34 BIT zp,x -------- 65C02
"1000" & "100010" & readZpX & aluInT & aluAnd, -- 35 AND zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRol, -- 36 ROL zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 37 NOP ------- 65C02
"0000" & "000001" & implied & aluInSet & aluFlg, -- 38 SEC
"1000" & "100010" & readAbsY & aluInT & aluAnd, -- 39 AND abs,y
"1000" & "100010" & implied & aluInA & aluDec, -- 3A DEC accu -------- 65C12
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 3B NOP ------- 65C02
"0000" & "110010" & readAbsX & aluInT & aluBit, -- 3C BIT abs,x ------- 65C02
"1000" & "100010" & readAbsX & aluInT & aluAnd, -- 3D AND abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRol, -- 3E ROL abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 3F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "111111" & rti & aluInT & aluFlg, -- 40 RTI
"1000" & "100010" & readIndX & aluInT & aluEor, -- 41 EOR (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 42 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 43 NOP ------- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 44 NOP ------- 65C02
"1000" & "100010" & readZp & aluInT & aluEor, -- 45 EOR zp
"0000" & "100011" & rmwZp & aluInT & aluLsr, -- 46 LSR zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 47 NOP ------- 65C02
"0000" & "000000" & push & aluInA & aluInp, -- 48 PHA
"1000" & "100010" & immediate & aluInT & aluEor, -- 49 EOR imm
"1000" & "100011" & implied & aluInA & aluLsr, -- 4A LSR accu -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 4B NOP ------- 65C02
"0000" & "000000" & jumpAbs & aluInXXX & aluXXX, -- 4C JMP abs
"1000" & "100010" & readAbs & aluInT & aluEor, -- 4D EOR abs
"0000" & "100011" & rmwAbs & aluInT & aluLsr, -- 4E LSR abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 4F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 50 BVC
"1000" & "100010" & readIndY & aluInT & aluEor, -- 51 EOR (zp),y
"1000" & "100010" & readInd & aluInT & aluEor, -- 52 EOR (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 53 NOP ------- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 54 NOP ------- 65C02
"1000" & "100010" & readZpX & aluInT & aluEor, -- 55 EOR zp,x
"0000" & "100011" & rmwZpX & aluInT & aluLsr, -- 56 LSR zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 57 NOP ------- 65C02
"0000" & "000100" & implied & aluInClr & aluXXX, -- 58 CLI
"1000" & "100010" & readAbsY & aluInT & aluEor, -- 59 EOR abs,y
"0000" & "000000" & push & aluInY & aluInp, -- 5A PHY ------------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 5B NOP ------- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- 5C NOP ------- 65C02
"1000" & "100010" & readAbsX & aluInT & aluEor, -- 5D EOR abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluLsr, -- 5E LSR abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 5F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & rts & aluInXXX & aluXXX, -- 60 RTS
"1000" & "110011" & readIndX & aluInT & aluAdc, -- 61 ADC (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 62 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 63 NOP ------- 65C02
"0000" & "000000" & writeZp & aluInClr & aluInp, -- 64 STZ zp ---------- 65C02
"1000" & "110011" & readZp & aluInT & aluAdc, -- 65 ADC zp
"0000" & "100011" & rmwZp & aluInT & aluRor, -- 66 ROR zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 67 NOP ------- 65C02
"1000" & "100010" & pop & aluInT & aluInp, -- 68 PLA
"1000" & "110011" & immediate & aluInT & aluAdc, -- 69 ADC imm
"1000" & "100011" & implied & aluInA & aluRor, -- 6A ROR accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 6B NOP ------ 65C02
"0000" & "000000" & jumpInd & aluInXXX & aluXXX, -- 6C JMP indirect
"1000" & "110011" & readAbs & aluInT & aluAdc, -- 6D ADC abs
"0000" & "100011" & rmwAbs & aluInT & aluRor, -- 6E ROR abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 6F NOP ------ 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 70 BVS
"1000" & "110011" & readIndY & aluInT & aluAdc, -- 71 ADC (zp),y
"1000" & "110011" & readInd & aluInT & aluAdc, -- 72 ADC (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 73 NOP ------ 65C02
"0000" & "000000" & writeZpX & aluInClr & aluInp, -- 74 STZ zp,x -------- 65C02
"1000" & "110011" & readZpX & aluInT & aluAdc, -- 75 ADC zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRor, -- 76 ROR zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 77 NOP ----- 65C02
"0000" & "000100" & implied & aluInSet & aluXXX, -- 78 SEI
"1000" & "110011" & readAbsY & aluInT & aluAdc, -- 79 ADC abs,y
"0010" & "100010" & pop & aluInT & aluInp, -- 7A PLY ------------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 7B NOP ----- 65C02
"0000" & "000000" & jumpIndX & aluInXXX & aluXXX, -- 7C JMP indirect,x -- 65C02
--"0000" & "000000" & jumpInd & aluInXXX & aluXXX, -- 6C JMP indirect
"1000" & "110011" & readAbsX & aluInT & aluAdc, -- 7D ADC abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRor, -- 7E ROR abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 7F NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 80 BRA ----------- 65C02
"0000" & "000000" & writeIndX & aluInA & aluInp, -- 81 STA (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 82 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 83 NOP ----- 65C02
"0000" & "000000" & writeZp & aluInY & aluInp, -- 84 STY zp
"0000" & "000000" & writeZp & aluInA & aluInp, -- 85 STA zp
"0000" & "000000" & writeZp & aluInX & aluInp, -- 86 STX zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 87 NOP ----- 65C02
"0010" & "100010" & implied & aluInY & aluDec, -- 88 DEY
"0000" & "000010" & immediate & aluInT & aluBit, -- 89 BIT imm ------- 65C02
"1000" & "100010" & implied & aluInX & aluInp, -- 8A TXA
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 8B NOP ----- 65C02
"0000" & "000000" & writeAbs & aluInY & aluInp, -- 8C STY abs ------- 65C02
"0000" & "000000" & writeAbs & aluInA & aluInp, -- 8D STA abs
"0000" & "000000" & writeAbs & aluInX & aluInp, -- 8E STX abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 8F NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 90 BCC
"0000" & "000000" & writeIndY & aluInA & aluInp, -- 91 STA (zp),y
"0000" & "000000" & writeInd & aluInA & aluInp, -- 92 STA (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 93 NOP ----- 65C02
"0000" & "000000" & writeZpX & aluInY & aluInp, -- 94 STY zp,x
"0000" & "000000" & writeZpX & aluInA & aluInp, -- 95 STA zp,x
"0000" & "000000" & writeZpY & aluInX & aluInp, -- 96 STX zp,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 97 NOP ----- 65C02
"1000" & "100010" & implied & aluInY & aluInp, -- 98 TYA
"0000" & "000000" & writeAbsY & aluInA & aluInp, -- 99 STA abs,y
"0001" & "000000" & implied & aluInX & aluInp, -- 9A TXS
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 9B NOP ----- 65C02
"0000" & "000000" & writeAbs & aluInClr & aluInp, -- 9C STZ Abs ------- 65C02
"0000" & "000000" & writeAbsX & aluInA & aluInp, -- 9D STA abs,x
"0000" & "000000" & writeAbsX & aluInClr & aluInp, -- 9C STZ Abs,x ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 9F NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0010" & "100010" & immediate & aluInT & aluInp, -- A0 LDY imm
"1000" & "100010" & readIndX & aluInT & aluInp, -- A1 LDA (zp,x)
"0100" & "100010" & immediate & aluInT & aluInp, -- A2 LDX imm
"0000" & "000000" & implied & aluInXXX & aluXXX, -- A3 NOP ----- 65C02
"0010" & "100010" & readZp & aluInT & aluInp, -- A4 LDY zp
"1000" & "100010" & readZp & aluInT & aluInp, -- A5 LDA zp
"0100" & "100010" & readZp & aluInT & aluInp, -- A6 LDX zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- A7 NOP ----- 65C02
"0010" & "100010" & implied & aluInA & aluInp, -- A8 TAY
"1000" & "100010" & immediate & aluInT & aluInp, -- A9 LDA imm
"0100" & "100010" & implied & aluInA & aluInp, -- AA TAX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- AB NOP ----- 65C02
"0010" & "100010" & readAbs & aluInT & aluInp, -- AC LDY abs
"1000" & "100010" & readAbs & aluInT & aluInp, -- AD LDA abs
"0100" & "100010" & readAbs & aluInT & aluInp, -- AE LDX abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- AF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- B0 BCS
"1000" & "100010" & readIndY & aluInT & aluInp, -- B1 LDA (zp),y
"1000" & "100010" & readInd & aluInT & aluInp, -- B2 LDA (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- B3 NOP ----- 65C02
"0010" & "100010" & readZpX & aluInT & aluInp, -- B4 LDY zp,x
"1000" & "100010" & readZpX & aluInT & aluInp, -- B5 LDA zp,x
"0100" & "100010" & readZpY & aluInT & aluInp, -- B6 LDX zp,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- B7 NOP ----- 65C02
"0000" & "010000" & implied & aluInClr & aluFlg, -- B8 CLV
"1000" & "100010" & readAbsY & aluInT & aluInp, -- B9 LDA abs,y
"0100" & "100010" & implied & aluInS & aluInp, -- BA TSX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- BB NOP ----- 65C02
"0010" & "100010" & readAbsX & aluInT & aluInp, -- BC LDY abs,x
"1000" & "100010" & readAbsX & aluInT & aluInp, -- BD LDA abs,x
"0100" & "100010" & readAbsY & aluInT & aluInp, -- BE LDX abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- BF NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpy, -- C0 CPY imm
"0000" & "100011" & readIndX & aluInT & aluCmp, -- C1 CMP (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- C2 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- C3 NOP ----- 65C02
"0000" & "100011" & readZp & aluInT & aluCpy, -- C4 CPY zp
"0000" & "100011" & readZp & aluInT & aluCmp, -- C5 CMP zp
"0000" & "100010" & rmwZp & aluInT & aluDec, -- C6 DEC zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- C7 NOP ----- 65C02
"0010" & "100010" & implied & aluInY & aluInc, -- C8 INY
"0000" & "100011" & immediate & aluInT & aluCmp, -- C9 CMP imm
"0100" & "100010" & implied & aluInX & aluDec, -- CA DEX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- CB NOP ----- 65C02
"0000" & "100011" & readAbs & aluInT & aluCpy, -- CC CPY abs
"0000" & "100011" & readAbs & aluInT & aluCmp, -- CD CMP abs
"0000" & "100010" & rmwAbs & aluInT & aluDec, -- CE DEC abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- CF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- D0 BNE
"0000" & "100011" & readIndY & aluInT & aluCmp, -- D1 CMP (zp),y
"0000" & "100011" & readInd & aluInT & aluCmp, -- D2 CMP (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- D3 NOP ----- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- D4 NOP ----- 65C02
"0000" & "100011" & readZpX & aluInT & aluCmp, -- D5 CMP zp,x
"0000" & "100010" & rmwZpX & aluInT & aluDec, -- D6 DEC zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- D7 NOP ----- 65C02
"0000" & "001000" & implied & aluInClr & aluXXX, -- D8 CLD
"0000" & "100011" & readAbsY & aluInT & aluCmp, -- D9 CMP abs,y
"0000" & "000000" & push & aluInX & aluInp, -- DA PHX ----------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- DB NOP ----- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- DC NOP ----- 65C02
"0000" & "100011" & readAbsX & aluInT & aluCmp, -- DD CMP abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluDec, -- DE DEC abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- DF NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpx, -- E0 CPX imm
"1000" & "110011" & readIndX & aluInT & aluSbc, -- E1 SBC (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- E2 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- E3 NOP ----- 65C02
"0000" & "100011" & readZp & aluInT & aluCpx, -- E4 CPX zp
"1000" & "110011" & readZp & aluInT & aluSbc, -- E5 SBC zp
"0000" & "100010" & rmwZp & aluInT & aluInc, -- E6 INC zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- E7 NOP ----- 65C02
"0100" & "100010" & implied & aluInX & aluInc, -- E8 INX
"1000" & "110011" & immediate & aluInT & aluSbc, -- E9 SBC imm
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EA NOP
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EB NOP ----- 65C02
"0000" & "100011" & readAbs & aluInT & aluCpx, -- EC CPX abs
"1000" & "110011" & readAbs & aluInT & aluSbc, -- ED SBC abs
"0000" & "100010" & rmwAbs & aluInT & aluInc, -- EE INC abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- F0 BEQ
"1000" & "110011" & readIndY & aluInT & aluSbc, -- F1 SBC (zp),y
"1000" & "110011" & readInd & aluInT & aluSbc, -- F2 SBC (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- F3 NOP ----- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- F4 NOP ----- 65C02
"1000" & "110011" & readZpX & aluInT & aluSbc, -- F5 SBC zp,x
"0000" & "100010" & rmwZpX & aluInT & aluInc, -- F6 INC zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- F7 NOP ---- 65C02
"0000" & "001000" & implied & aluInSet & aluXXX, -- F8 SED
"1000" & "110011" & readAbsY & aluInT & aluSbc, -- F9 SBC abs,y
"0100" & "100010" & pop & aluInT & aluInp, -- FA PLX ----------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- FB NOP ----- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- FC NOP ----- 65C02
"1000" & "110011" & readAbsX & aluInT & aluSbc, -- FD SBC abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluInc, -- FE INC abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX -- FF NOP ----- 65C02
);
signal opcInfo : decodedBitsDef;
signal nextOpcInfo : decodedBitsDef; -- Next opcode (decoded)
signal theOpcode : unsigned(7 downto 0);
signal nextOpcode : unsigned(7 downto 0);
-- Program counter
signal PC : unsigned(15 downto 0); -- Program counter
-- Address generation
type nextAddrDef is (
nextAddrHold,
nextAddrIncr,
nextAddrIncrL, -- Increment low bits only (zeropage accesses)
nextAddrIncrH, -- Increment high bits only (page-boundary)
nextAddrDecrH, -- Decrement high bits (branch backwards)
nextAddrPc,
nextAddrIrq,
nextAddrReset,
nextAddrAbs,
nextAddrAbsIndexed,
nextAddrZeroPage,
nextAddrZPIndexed,
nextAddrStack,
nextAddrRelative
);
signal nextAddr : nextAddrDef;
signal myAddr : unsigned(15 downto 0);
signal myAddrIncr : unsigned(15 downto 0);
signal myAddrIncrH : unsigned(7 downto 0);
signal myAddrDecrH : unsigned(7 downto 0);
signal theWe : std_logic;
signal irqActive : std_logic;
-- Output register
signal doReg : unsigned(7 downto 0);
-- Buffer register
signal T : unsigned(7 downto 0);
-- General registers
signal A : unsigned(7 downto 0); -- Accumulator
signal X : unsigned(7 downto 0); -- Index X
signal Y : unsigned(7 downto 0); -- Index Y
signal S : unsigned(7 downto 0); -- stack pointer
-- Status register
signal C : std_logic; -- Carry
signal Z : std_logic; -- Zero flag
signal I : std_logic; -- Interrupt flag
signal D : std_logic; -- Decimal mode
signal B : std_logic; -- Break software interrupt
signal R : std_logic; -- always 1
signal V : std_logic; -- Overflow
signal N : std_logic; -- Negative
-- ALU
-- ALU input
signal aluInput : unsigned(7 downto 0);
signal aluCmpInput : unsigned(7 downto 0);
-- ALU output
signal aluRegisterOut : unsigned(7 downto 0);
signal aluRmwOut : unsigned(7 downto 0);
signal aluC : std_logic;
signal aluZ : std_logic;
signal aluV : std_logic;
signal aluN : std_logic;
-- Indexing
signal indexOut : unsigned(8 downto 0);
begin
processAluInput: process(clk, opcInfo, A, X, Y, T, S)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInA) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInX) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInY) = '1' then
temp := temp and Y;
end if;
if opcInfo(opcInS) = '1' then
temp := temp and S;
end if;
if opcInfo(opcInT) = '1' then
temp := temp and T;
end if;
if opcInfo(opcInBrk) = '1' then
temp := temp and "11100111"; -- also DMB clear D (bit 3)
end if;
if opcInfo(opcInClear) = '1' then
temp := (others => '0');
end if;
aluInput <= temp;
end process;
processCmpInput: process(clk, opcInfo, A, X, Y)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInCmp) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInCpx) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInCpy) = '1' then
temp := temp and Y;
end if;
aluCmpInput <= temp;
end process;
-- ALU consists of two parts
-- Read-Modify-Write or index instructions: INC/DEC/ASL/LSR/ROR/ROL
-- Accumulator instructions: ADC, SBC, EOR, AND, EOR, ORA
-- Some instructions are both RMW and accumulator so for most
-- instructions the rmw results are routed through accu alu too.
-- The B flag
------------
--No actual "B" flag exists inside the 6502's processor status register. The B
--flag only exists in the status flag byte pushed to the stack. Naturally,
--when the flags are restored (via PLP or RTI), the B bit is discarded.
--
--Depending on the means, the B status flag will be pushed to the stack as
--either 0 or 1.
--
--software instructions BRK & PHP will push the B flag as being 1.
--hardware interrupts IRQ & NMI will push the B flag as being 0.
processAlu: process(clk, opcInfo, aluInput, aluCmpInput, A, T, irqActive, N, V, D, I, Z, C, R)
variable lowBits : unsigned(5 downto 0);
variable nineBits : unsigned(8 downto 0);
variable rmwBits : unsigned(8 downto 0);
variable tsxBits : unsigned(8 downto 0);
variable varC : std_logic;
variable varZ : std_logic;
variable varV : std_logic;
variable varN : std_logic;
begin
lowBits := (others => '-');
nineBits := (others => '-');
rmwBits := (others => '-');
tsxBits := (others => '-');
R <= '1';
B <= '0';
-- Shift unit
case opcInfo(aluMode1From to aluMode1To) is
when aluModeInp => rmwBits := C & aluInput;
when aluModeP => rmwBits := C & N & V & R & (not irqActive) & D & I & Z & C; -- irqActive
when aluModeInc => rmwBits := C & (aluInput + 1);
when aluModeDec => rmwBits := C & (aluInput - 1);
when aluModeAsl => rmwBits := aluInput & "0";
when aluModeTSB => rmwBits := "0" & (aluInput(7 downto 0) or A); -- added by alan for 65c02
tsxBits := "0" & (aluInput(7 downto 0) and A);
when aluModeTRB => rmwBits := "0" & (aluInput(7 downto 0) and (not A)); -- added by alan for 65c02
tsxBits := "0" & (aluInput(7 downto 0) and A);
when aluModeFlg => rmwBits := aluInput(0) & aluInput;
when aluModeLsr => rmwBits := aluInput(0) & "0" & aluInput(7 downto 1);
when aluModeRol => rmwBits := aluInput & C;
when aluModeRoR => rmwBits := aluInput(0) & C & aluInput(7 downto 1);
when others => rmwBits := C & aluInput;
end case;
-- ALU
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc => lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & rmwBits(3 downto 0) & "1");
ninebits := ("0" & A) + ("0" & rmwBits(7 downto 0)) + (B"00000000" & rmwBits(8));
when aluModeSbc => lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & (not rmwBits(3 downto 0)) & "1");
ninebits := ("0" & A) + ("0" & (not rmwBits(7 downto 0))) + (B"00000000" & rmwBits(8));
when aluModeCmp => ninebits := ("0" & aluCmpInput) + ("0" & (not rmwBits(7 downto 0))) + "000000001";
when aluModeAnd => ninebits := rmwBits(8) & (A and rmwBits(7 downto 0));
when aluModeEor => ninebits := rmwBits(8) & (A xor rmwBits(7 downto 0));
when aluModeOra => ninebits := rmwBits(8) & (A or rmwBits(7 downto 0));
when aluModeNoF => ninebits := "000110000";
when others => ninebits := rmwBits;
end case;
varV := aluInput(6); -- Default for BIT / PLP / RTI
if (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varZ := rmwBits(1);
elsif (opcInfo(aluMode1From to aluMode1To) = aluModeTSB) or (opcInfo(aluMode1From to aluMode1To) = aluModeTRB) then
if tsxBits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
elsif ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
if (opcInfo(aluMode1From to aluMode1To) = aluModeBit) or (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varN := rmwBits(7);
else
varN := nineBits(7);
end if;
varC := ninebits(8);
case opcInfo(aluMode2From to aluMode2To) is
-- Flags Affected: n v — — — — z c
-- n Set if most significant bit of result is set; else cleared.
-- v Set if signed overflow; cleared if valid signed result.
-- z Set if result is zero; else cleared.
-- c Set if unsigned overflow; cleared if valid unsigned result
when aluModeAdc =>
-- decimal mode low bits correction, is done after setting Z flag.
if D = '1' then
if lowBits(5 downto 1) > 9 then
ninebits(3 downto 0) := ninebits(3 downto 0) + 6;
if lowBits(5) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) + 1;
end if;
end if;
end if;
when others =>
null;
end case;
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
-- decimal mode high bits correction, is done after setting Z and N flags
varV := (A(7) xor ninebits(7)) and (rmwBits(7) xor ninebits(7));
if D = '1' then
if ninebits(8 downto 4) > 9 then
ninebits(8 downto 4) := ninebits(8 downto 4) + 6;
varC := '1';
end if;
end if;
when aluModeSbc =>
varV := (A(7) xor ninebits(7)) and ((not rmwBits(7)) xor ninebits(7));
if D = '1' then
-- Check for borrow (lower 4 bits)
if lowBits(5) = '0' then
ninebits(7 downto 0) := ninebits(7 downto 0) - 6;
end if;
-- Check for borrow (upper 4 bits)
if ninebits(8) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) - 6;
end if;
end if;
when others =>
null;
end case;
-- fix n and z flag for 65c02 adc sbc instructions in decimal mode
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
if D = '1' then
if ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
varN := ninebits(7);
end if;
when aluModeSbc =>
if D = '1' then
if ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
varN := ninebits(7);
end if;
when others =>
null;
end case;
-- DMB Remove Pipelining
-- if rising_edge(clk) then
aluRmwOut <= rmwBits(7 downto 0);
aluRegisterOut <= ninebits(7 downto 0);
aluC <= varC;
aluZ <= varZ;
aluV <= varV;
aluN <= varN;
-- end if;
end process;
calcInterrupt: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = cycleStack4 or reset = '0' then
nmiReg <= '1';
end if;
if nextCpuCycle /= cycleBranchTaken and nextCpuCycle /= opcodeFetch then
irqReg <= irq_n;
nmiEdge <= nmi_n;
if (nmiEdge = '1') and (nmi_n = '0') then
nmiReg <= '0';
end if;
end if;
-- The 'or opcInfo(opcSetI)' prevents NMI immediately after BRK or IRQ.
-- Presumably this is done in the real 6502/6510 to prevent a double IRQ.
processIrq <= not ((nmiReg and (irqReg or I)) or opcInfo(opcIRQ));
end if;
end if;
end process;
--pipeirq: process(clk)
-- begin
-- if rising_edge(clk) then
-- if enable = '1' then
-- if (reset = '0') or (theCpuCycle = opcodeFetch) then
-- -- The 'or opcInfo(opcSetI)' prevents NMI immediately after BRK or IRQ.
-- -- Presumably this is done in the real 6502/6510 to prevent a double IRQ.
-- processIrq <= not ((nmiReg and (irqReg or I)) or opcInfo(opcIRQ));
-- end if;
-- end if;
-- end if;
-- end process;
calcNextOpcode: process(clk, di, reset, processIrq)
variable myNextOpcode : unsigned(7 downto 0);
begin
-- Next opcode is read from input unless a reset or IRQ is pending.
myNextOpcode := di;
if reset = '0' then
myNextOpcode := X"4C";
elsif processIrq = '1' then
myNextOpcode := X"00";
end if;
nextOpcode <= myNextOpcode;
end process;
nextOpcInfo <= opcodeInfoTable(to_integer(nextOpcode));
-- Read bits and flags from opcodeInfoTable and store in opcInfo.
-- This info is used to control the execution of the opcode.
calcOpcInfo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if (reset = '0') or (theCpuCycle = opcodeFetch) then
opcInfo <= nextOpcInfo;
end if;
end if;
end if;
end process;
calcTheOpcode: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = opcodeFetch then
irqActive <= '0';
if processIrq = '1' then
irqActive <= '1';
end if;
-- Fetch opcode
theOpcode <= nextOpcode;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- State machine
-- -----------------------------------------------------------------------
process(enable, theCpuCycle, opcInfo)
begin
updateRegisters <= false;
if enable = '1' then
if opcInfo(opcRti) = '1' then
if theCpuCycle = cycleRead then
updateRegisters <= true;
end if;
elsif theCpuCycle = opcodeFetch then
updateRegisters <= true;
end if;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theCpuCycle <= nextCpuCycle;
end if;
if reset = '0' then
theCpuCycle <= cycle2;
end if;
end if;
end process;
-- Determine the next cpu cycle. After the last cycle we always
-- go to opcodeFetch to get the next opcode.
calcNextCpuCycle: process(theCpuCycle, opcInfo, theOpcode, nextOpcode, indexOut, T, N, V, C, Z)
begin
nextCpuCycle <= opcodeFetch;
case theCpuCycle is
when opcodeFetch =>
-- DMB: Implement single cycle NOPs (columns 3,7,B,F) by
-- looking ahead at opcode (bypassing the normal decoding)
if nextOpcode(1 downto 0) = "11" then
nextCpuCycle <= opcodeFetch;
else
nextCpuCycle <= cycle2;
end if;
when cycle2 =>
if opcInfo(opcBranch) = '1' then
if (N = theOpcode(5) and theOpcode(7 downto 6) = "00")
or (V = theOpcode(5) and theOpcode(7 downto 6) = "01")
or (C = theOpcode(5) and theOpcode(7 downto 6) = "10")
or (Z = theOpcode(5) and theOpcode(7 downto 6) = "11")
or (theOpcode(7 downto 0) = x"80") then -- Branch condition is true
nextCpuCycle <= cycleBranchTaken;
end if;
elsif (opcInfo(opcStackUp) = '1') then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackAddr) = '1' and opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleStack2;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleWrite;
elsif opcInfo(opcAbsolute) = '1' then
nextCpuCycle <= cycle3;
elsif opcInfo(opcIndirect) = '1' then
if opcInfo(indexX) = '1' then
nextCpuCycle <= cyclePreIndirect;
else
nextCpuCycle <= cycleIndirect;
end if;
elsif opcInfo(opcZeroPage) = '1' then
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
else
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreRead;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
elsif opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycle3 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
end if;
if (opcInfo(opcIndirect) = '1') and (opcInfo(indexX) = '1') then
if opcInfo(opcWrite) = '1' then
nextCpuCycle <= cycleWrite;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
when cyclePreIndirect =>
nextCpuCycle <= cycleIndirect;
when cycleIndirect =>
nextCpuCycle <= cycle3;
when cycleBranchTaken =>
if indexOut(8) /= T(7) then
nextCpuCycle <= cycleBranchPage;
end if;
when cyclePreRead =>
if opcInfo(opcZeroPage) = '1' then
nextCpuCycle <= cycleRead2;
end if;
when cycleRead =>
if opcInfo(opcJump) = '1' or indexOut(8) = '1' then
nextCpuCycle <= cycleRead2;
elsif opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
if opcInfo(indexX) = '1' or opcInfo(indexY) = '1' then
nextCpuCycle <= cycleRead2;
end if;
end if;
when cycleRead2 =>
if opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
elsif opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
end if;
when cycleRmw =>
nextCpuCycle <= cycleWrite;
when cyclePreWrite =>
nextCpuCycle <= cycleWrite;
when cycleStack1 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack2;
end if;
when cycleStack2 =>
nextCpuCycle <= cycleStack3;
if opcInfo(opcRti) = '1' then
nextCpuCycle <= cycleRead;
end if;
if opcInfo(opcStackData) = '0' and opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycleStack3 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcStackData) = '0' or opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack4;
end if;
when cycleStack4 =>
nextCpuCycle <= cycleRead2;
when cycleJump =>
if opcInfo(opcIncrAfter) = '1' then
nextCpuCycle <= cycleEnd;
end if;
when others =>
null;
end case;
end process;
-- -----------------------------------------------------------------------
-- T register
-- -----------------------------------------------------------------------
calcT: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when cycle2 =>
T <= di;
when cycleStack1 | cycleStack2 =>
if opcInfo(opcStackUp) = '1' then
if theOpcode = x"28" or theOpcode = x"40" then -- plp or rti pulling the flags off the stack
T <= (di or "00110000"); -- Read from stack
else
T <= di;
end if;
end if;
when cycleIndirect | cycleRead | cycleRead2 =>
T <= di;
when others =>
null;
end case;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- A register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateA) = '1' then
A <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- X register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateX) = '1' then
X <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Y register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateY) = '1' then
Y <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- C flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateC) = '1' then
C <= aluC;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Z flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateZ) = '1' then
Z <= aluZ;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- I flag interupt flag
-- -----------------------------------------------------------------------
process(clk, reset)
begin
if reset = '0' then
I <= '1';
elsif rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateI) = '1' then
I <= aluInput(2);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- D flag
-- -----------------------------------------------------------------------
process(clk, reset)
begin
if reset = '0' then
D <= '0';
elsif rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateD) = '1' then
D <= aluInput(3);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- V flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateV) = '1' then
V <= aluV;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- N flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateN) = '1' then
N <= aluN;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Stack pointer
-- -----------------------------------------------------------------------
process(clk)
variable sIncDec : unsigned(7 downto 0);
variable updateFlag : boolean;
begin
if rising_edge(clk) then
if opcInfo(opcStackUp) = '1' then
sIncDec := S + 1;
else
sIncDec := S - 1;
end if;
if enable = '1' then
updateFlag := false;
case nextCpuCycle is
when cycleStack1 =>
if (opcInfo(opcStackUp) = '1') or (opcInfo(opcStackData) = '1') then
updateFlag := true;
end if;
when cycleStack2 =>
updateFlag := true;
when cycleStack3 =>
updateFlag := true;
when cycleStack4 =>
updateFlag := true;
when cycleRead =>
if opcInfo(opcRti) = '1' then
updateFlag := true;
end if;
when cycleWrite =>
if opcInfo(opcStackData) = '1' then
updateFlag := true;
end if;
when others =>
null;
end case;
if updateFlag then
S <= sIncDec;
end if;
end if;
if updateRegisters then
if opcInfo(opcUpdateS) = '1' then
S <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Data out
-- -----------------------------------------------------------------------
calcDo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
doReg <= aluRmwOut;
case nextCpuCycle is
when cycleStack2 =>
if opcInfo(opcIRQ) = '1' and irqActive = '0' then
doReg <= myAddrIncr(15 downto 8);
else
doReg <= PC(15 downto 8);
end if;
when cycleStack3 =>
doReg <= PC(7 downto 0);
when cycleRmw =>
doReg <= di; -- Read-modify-write write old value first.
when others =>
null;
end case;
end if;
end if;
end process;
do <= doReg;
-- -----------------------------------------------------------------------
-- Write enable
-- -----------------------------------------------------------------------
calcWe: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theWe <= '1';
case nextCpuCycle is
when cycleStack1 =>
if opcInfo(opcStackUp) = '0' and ((opcInfo(opcStackAddr) = '0') or (opcInfo(opcStackData) = '1')) then
theWe <= '0';
end if;
when cycleStack2 | cycleStack3 | cycleStack4 =>
if opcInfo(opcStackUp) = '0' then
theWe <= '0';
end if;
when cycleRmw =>
theWe <= '0';
when cycleWrite =>
theWe <= '0';
when others =>
null;
end case;
end if;
end if;
--nwe <= theWe;
end process;
nwe <= theWe;
-- -----------------------------------------------------------------------
-- Program counter
-- -----------------------------------------------------------------------
calcPC: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when opcodeFetch =>
PC <= myAddr;
when cycle2 =>
if irqActive = '0' then
if opcInfo(opcSecondByte) = '1' then
PC <= myAddrIncr;
else
PC <= myAddr;
end if;
end if;
when cycle3 =>
if opcInfo(opcAbsolute) = '1' then
PC <= myAddrIncr;
end if;
when others =>
null;
end case;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Address generation
-- -----------------------------------------------------------------------
calcNextAddr: process(theCpuCycle, opcInfo, indexOut, T, reset)
begin
nextAddr <= nextAddrIncr;
case theCpuCycle is
when cycle2 =>
if opcInfo(opcStackAddr) = '1' or opcInfo(opcStackData) = '1' then
nextAddr <= nextAddrStack;
elsif opcInfo(opcAbsolute) = '1' then
nextAddr <= nextAddrIncr;
elsif opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcIndirect) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcSecondByte) = '1' then
nextAddr <= nextAddrIncr;
else
nextAddr <= nextAddrHold;
end if;
when cycle3 =>
if (opcInfo(opcIndirect) = '1') and (opcInfo(indexX) = '1') then
nextAddr <= nextAddrAbs;
else
nextAddr <= nextAddrAbsIndexed;
end if;
when cyclePreIndirect =>
nextAddr <= nextAddrZPIndexed;
when cycleIndirect =>
nextAddr <= nextAddrIncrL;
when cycleBranchTaken =>
nextAddr <= nextAddrRelative;
when cycleBranchPage =>
if T(7) = '0' then
nextAddr <= nextAddrIncrH;
else
nextAddr <= nextAddrDecrH;
end if;
when cyclePreRead =>
nextAddr <= nextAddrZPIndexed;
when cycleRead =>
nextAddr <= nextAddrPc;
if indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
elsif opcInfo(opcRmw) = '1' or opcInfo(opcJump) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRead2 =>
nextAddr <= nextAddrPc;
if opcInfo(opcJump) = '1' then
nextAddr <= nextAddrIncr;
elsif opcInfo(opcRmw) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRmw =>
nextAddr <= nextAddrHold;
when cyclePreWrite =>
nextAddr <= nextAddrHold;
if opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZPIndexed;
elsif indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
end if;
when cycleWrite =>
nextAddr <= nextAddrPc;
when cycleStack1 =>
nextAddr <= nextAddrStack;
when cycleStack2 =>
nextAddr <= nextAddrStack;
when cycleStack3 =>
nextAddr <= nextAddrStack;
if opcInfo(opcStackData) = '0' then
nextAddr <= nextAddrPc;
end if;
when cycleStack4 =>
nextAddr <= nextAddrIrq;
when cycleJump =>
nextAddr <= nextAddrAbs;
when others =>
null;
end case;
if reset = '0' then
nextAddr <= nextAddrReset;
end if;
end process;
indexAlu: process(opcInfo, myAddr, T, X, Y)
begin
if opcInfo(indexX) = '1' then
indexOut <= (B"0" & T) + (B"0" & X);
elsif opcInfo(indexY) = '1' then
indexOut <= (B"0" & T) + (B"0" & Y);
elsif opcInfo(opcBranch) = '1' then
indexOut <= (B"0" & T) + (B"0" & myAddr(7 downto 0));
else
indexOut <= B"0" & T;
end if;
end process;
calcAddr: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case nextAddr is
when nextAddrIncr =>
myAddr <= myAddrIncr;
when nextAddrIncrL =>
myAddr(7 downto 0) <= myAddrIncr(7 downto 0);
when nextAddrIncrH =>
myAddr(15 downto 8) <= myAddrIncrH;
when nextAddrDecrH =>
myAddr(15 downto 8) <= myAddrDecrH;
when nextAddrPc =>
myAddr <= PC;
when nextAddrIrq =>
myAddr <= X"FFFE";
if nmiReg = '0' then
myAddr <= X"FFFA";
end if;
when nextAddrReset =>
myAddr <= X"FFFC";
when nextAddrAbs =>
myAddr <= di & T;
when nextAddrAbsIndexed =>
myAddr <= di & indexOut(7 downto 0);
when nextAddrZeroPage =>
myAddr <= "00000000" & di;
when nextAddrZPIndexed =>
myAddr <= "00000000" & indexOut(7 downto 0);
when nextAddrStack =>
myAddr <= "00000001" & S;
when nextAddrRelative =>
myAddr(7 downto 0) <= indexOut(7 downto 0);
when others =>
null;
end case;
end if;
end if;
end process;
myAddrIncr <= myAddr + 1;
myAddrIncrH <= myAddr(15 downto 8) + 1;
myAddrDecrH <= myAddr(15 downto 8) - 1;
addr <= myAddr;
-- DMB This looked plain broken and inferred a latch
--
-- calcsync: process(clk)
-- begin
-- if enable = '1' then
-- case theCpuCycle is
-- when opcodeFetch =>
-- sync <= '1';
-- when others =>
-- sync <= '0';
-- end case;
-- end if;
-- end process;
sync <= '1' when theCpuCycle = opcodeFetch else '0';
sync_irq <= irqActive;
Regs <= std_logic_vector(myAddr) &
"00000001" & std_logic_vector(S)&
N & V & R & B & D & I & Z & C &
std_logic_vector(Y) &
std_logic_vector(X) &
std_logic_vector(A);
end architecture;
|
gpl-3.0
|
fbelavenuto/msx1fpga
|
src/syn-multicore2/multicore2_top.vhd
|
1
|
23635
|
-------------------------------------------------------------------------------
--
-- MSX1 FPGA project
--
-- Copyright (c) 2016, Fabio Belavenuto ([email protected])
--
-- TOP created by Victor Trucco (c) 2018
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.msx_pack.all;
entity multicore2_top is
port (
-- Clocks
clock_50_i : in std_logic;
-- Buttons
btn_n_i : in std_logic_vector(4 downto 1);
-- SRAM (AS7C34096)
sram_addr_o : out std_logic_vector(18 downto 0) := (others => '0');
sram_data_io : inout std_logic_vector( 7 downto 0) := (others => 'Z');
sram_we_n_o : out std_logic := '1';
sram_oe_n_o : out std_logic := '1';
-- SDRAM (H57V256 = 16Mx16 = 32MB)
sdram_clk_o : out std_logic := '0';
sdram_cke_o : out std_logic := '0';
sdram_ad_o : out std_logic_vector(12 downto 0) := (others => '0');
sdram_da_io : inout std_logic_vector(15 downto 0) := (others => 'Z');
sdram_ba_o : out std_logic_vector( 1 downto 0) := (others => '0');
sdram_dqm_o : out std_logic_vector( 1 downto 0) := (others => '1');
sdram_ras_o : out std_logic := '1';
sdram_cas_o : out std_logic := '1';
sdram_cs_o : out std_logic := '1';
sdram_we_o : out std_logic := '1';
-- PS2
ps2_clk_io : inout std_logic := 'Z';
ps2_data_io : inout std_logic := 'Z';
ps2_mouse_clk_io : inout std_logic := 'Z';
ps2_mouse_data_io : inout std_logic := 'Z';
-- SD Card
sd_cs_n_o : out std_logic := '1';
sd_sclk_o : out std_logic := '0';
sd_mosi_o : out std_logic := '0';
sd_miso_i : in std_logic;
-- Joysticks
joy1_up_i : in std_logic;
joy1_down_i : in std_logic;
joy1_left_i : in std_logic;
joy1_right_i : in std_logic;
joy1_p6_i : in std_logic;
joy1_p9_i : in std_logic;
joy2_up_i : in std_logic;
joy2_down_i : in std_logic;
joy2_left_i : in std_logic;
joy2_right_i : in std_logic;
joy2_p6_i : in std_logic;
joy2_p9_i : in std_logic;
joyX_p7_o : out std_logic := '1';
-- Audio
dac_l_o : out std_logic := '0';
dac_r_o : out std_logic := '0';
ear_i : in std_logic;
mic_o : out std_logic := '0';
-- VGA
vga_r_o : out std_logic_vector(4 downto 0) := (others => '0');
vga_g_o : out std_logic_vector(4 downto 0) := (others => '0');
vga_b_o : out std_logic_vector(4 downto 0) := (others => '0');
vga_hsync_n_o : out std_logic := '1';
vga_vsync_n_o : out std_logic := '1';
-- HDMI
tmds_o : out std_logic_vector(7 downto 0) := (others => '0');
--STM32
stm_rx_o : out std_logic := 'Z'; -- stm RX pin, so, is OUT on the slave
stm_tx_i : in std_logic := 'Z'; -- stm TX pin, so, is IN on the slave
stm_rst_o : out std_logic := '0'; -- '0' to hold the microcontroller reset line, to free the SD card
stm_a15_io : inout std_logic;
stm_b8_io : inout std_logic := 'Z';
stm_b9_io : inout std_logic := 'Z';
stm_b12_io : inout std_logic := 'Z';
stm_b13_io : inout std_logic := 'Z';
stm_b14_io : inout std_logic := 'Z';
stm_b15_io : inout std_logic := 'Z'
);
end entity;
architecture behavior of multicore2_top is
-- Buttons
signal btn_por_n_s : std_logic;
signal btn_reset_n_s : std_logic;
signal btn_scan_s : std_logic;
-- Resets
signal pll_locked_s : std_logic;
signal por_s : std_logic;
signal reset_s : std_logic;
signal soft_reset_k_s : std_logic;
signal soft_reset_s_s : std_logic;
signal soft_por_s : std_logic;
signal soft_rst_cnt_s : unsigned(7 downto 0) := X"FF";
-- Clocks
signal clock_sdram_s : std_logic;
signal clock_master_s : std_logic;
signal clock_vdp_s : std_logic;
signal clock_cpu_s : std_logic;
signal clock_psg_en_s : std_logic;
signal clock_3m_s : std_logic;
signal turbo_on_s : std_logic;
signal clock_vga_s : std_logic;
signal clock_dvi_s : std_logic;
signal clock_vga2x_s : std_logic;
-- RAM
signal ram_addr_s : std_logic_vector(22 downto 0); -- 8MB
signal ram_data_from_s : std_logic_vector( 7 downto 0);
signal ram_data_to_s : std_logic_vector( 7 downto 0);
signal ram_ce_s : std_logic;
signal ram_oe_s : std_logic;
signal ram_we_s : std_logic;
-- VRAM memory
signal vram_addr_s : std_logic_vector(13 downto 0); -- 16K
signal vram_do_s : std_logic_vector( 7 downto 0);
signal vram_di_s : std_logic_vector( 7 downto 0);
-- signal vram_ce_s : std_logic;
-- signal vram_oe_s : std_logic;
signal vram_we_s : std_logic;
-- Audio
signal audio_scc_s : signed(14 downto 0);
signal audio_psg_s : unsigned( 7 downto 0);
signal beep_s : std_logic;
signal audio_l_s : unsigned(15 downto 0);
signal audio_r_s : unsigned(15 downto 0);
signal audio_l_amp_s : unsigned(15 downto 0);
signal audio_r_amp_s : unsigned(15 downto 0);
signal volumes_s : volumes_t;
-- Video
signal rgb_col_s : std_logic_vector( 3 downto 0);
-- signal rgb_hsync_n_s : std_logic;
-- signal rgb_vsync_n_s : std_logic;
signal cnt_hor_s : std_logic_vector( 8 downto 0);
signal cnt_ver_s : std_logic_vector( 7 downto 0);
signal vga_hsync_n_s : std_logic;
signal vga_vsync_n_s : std_logic;
signal vga_blank_s : std_logic;
signal vga_col_s : std_logic_vector( 3 downto 0);
signal vga_r_s : std_logic_vector( 3 downto 0);
signal vga_g_s : std_logic_vector( 3 downto 0);
signal vga_b_s : std_logic_vector( 3 downto 0);
signal scanlines_en_s : std_logic;
signal odd_line_s : std_logic;
signal sound_hdmi_l_s : std_logic_vector(15 downto 0);
signal sound_hdmi_r_s : std_logic_vector(15 downto 0);
signal tdms_r_s : std_logic_vector( 9 downto 0);
signal tdms_g_s : std_logic_vector( 9 downto 0);
signal tdms_b_s : std_logic_vector( 9 downto 0);
signal tdms_p_s : std_logic_vector( 3 downto 0);
signal tdms_n_s : std_logic_vector( 3 downto 0);
-- Keyboard
signal rows_s : std_logic_vector( 3 downto 0);
signal cols_s : std_logic_vector( 7 downto 0);
signal caps_en_s : std_logic;
signal extra_keys_s : std_logic_vector( 3 downto 0);
signal keyb_valid_s : std_logic;
signal keyb_data_s : std_logic_vector( 7 downto 0);
signal keymap_addr_s : std_logic_vector( 8 downto 0);
signal keymap_data_s : std_logic_vector( 7 downto 0);
signal keymap_we_s : std_logic;
-- Joystick
signal joy1_out_s : std_logic;
signal joy2_out_s : std_logic;
-- Bus
signal bus_addr_s : std_logic_vector(15 downto 0);
signal bus_data_from_s : std_logic_vector( 7 downto 0) := (others => '1');
signal bus_data_to_s : std_logic_vector( 7 downto 0);
signal bus_rd_n_s : std_logic;
signal bus_wr_n_s : std_logic;
signal bus_m1_n_s : std_logic;
signal bus_iorq_n_s : std_logic;
signal bus_mreq_n_s : std_logic;
signal bus_sltsl1_n_s : std_logic;
signal bus_sltsl2_n_s : std_logic;
signal bus_int_n_s : std_logic;
signal bus_wait_n_s : std_logic;
-- JT51
signal jt51_cs_n_s : std_logic;
signal jt51_data_from_s : std_logic_vector( 7 downto 0) := (others => '1');
signal jt51_hd_s : std_logic := '0';
signal jt51_left_s : signed(15 downto 0) := (others => '0');
signal jt51_right_s : signed(15 downto 0) := (others => '0');
-- OPLL
signal opll_cs_n_s : std_logic := '1';
signal opll_mo_s : signed(12 downto 0) := (others => '0');
signal opll_ro_s : signed(12 downto 0) := (others => '0');
begin
-- PLL1
pll: entity work.pll1
port map (
inclk0 => clock_50_i,
c0 => clock_master_s, -- 21.477 MHz [21.484]
c1 => clock_sdram_s, -- 85.908 MHz (4x master) [85.937]
c2 => sdram_clk_o, -- 85.908 MHz -90°
locked => pll_locked_s
);
-- PLL2
pll2: entity work.pll2
port map (
inclk0 => clock_50_i,
c0 => clock_vga_s, -- 25.200
c1 => clock_dvi_s -- 126.000
);
-- Clocks
clks: entity work.clocks
port map (
clock_i => clock_master_s,
por_i => not pll_locked_s,
turbo_on_i => turbo_on_s,
clock_vdp_o => clock_vdp_s,
clock_5m_en_o => open,
clock_cpu_o => clock_cpu_s,
clock_psg_en_o => clock_psg_en_s,
clock_3m_o => clock_3m_s
);
-- The MSX1
the_msx: entity work.msx
generic map (
hw_id_g => 8,
hw_txt_g => "Multicore2 Board",
hw_version_g => actual_version,
video_opt_g => 3, -- No dblscan and external palette (Color in rgb_r_o)
ramsize_g => 8192,
hw_hashwds_g => '0'
)
port map (
-- Clocks
clock_i => clock_master_s,
clock_vdp_i => clock_vdp_s,
clock_cpu_i => clock_cpu_s,
clock_psg_en_i => clock_psg_en_s,
-- Turbo
turbo_on_k_i => extra_keys_s(3), -- F11
turbo_on_o => turbo_on_s,
-- Resets
reset_i => reset_s,
por_i => por_s,
softreset_o => soft_reset_s_s,
-- Options
opt_nextor_i => '1',
opt_mr_type_i => "00",
opt_vga_on_i => '1',
-- RAM
ram_addr_o => ram_addr_s,
ram_data_i => ram_data_from_s,
ram_data_o => ram_data_to_s,
ram_ce_o => ram_ce_s,
ram_we_o => ram_we_s,
ram_oe_o => ram_oe_s,
-- ROM
rom_addr_o => open,
rom_data_i => ram_data_from_s,
rom_ce_o => open,
rom_oe_o => open,
-- External bus
bus_addr_o => bus_addr_s,
bus_data_i => bus_data_from_s,
bus_data_o => bus_data_to_s,
bus_rd_n_o => bus_rd_n_s,
bus_wr_n_o => bus_wr_n_s,
bus_m1_n_o => bus_m1_n_s,
bus_iorq_n_o => bus_iorq_n_s,
bus_mreq_n_o => bus_mreq_n_s,
bus_sltsl1_n_o => bus_sltsl1_n_s,
bus_sltsl2_n_o => bus_sltsl2_n_s,
bus_wait_n_i => bus_wait_n_s,
bus_nmi_n_i => '1',
bus_int_n_i => bus_int_n_s,
-- VDP RAM
vram_addr_o => vram_addr_s,
vram_data_i => vram_do_s,
vram_data_o => vram_di_s,
vram_ce_o => open,--vram_ce_s,
vram_oe_o => open,--vram_oe_s,
vram_we_o => vram_we_s,
-- Keyboard
rows_o => rows_s,
cols_i => cols_s,
caps_en_o => caps_en_s,
keyb_valid_i => keyb_valid_s,
keyb_data_i => keyb_data_s,
keymap_addr_o => keymap_addr_s,
keymap_data_o => keymap_data_s,
keymap_we_o => keymap_we_s,
-- Audio
audio_scc_o => audio_scc_s,
audio_psg_o => audio_psg_s,
beep_o => beep_s,
volumes_o => volumes_s,
-- K7
k7_motor_o => open,
k7_audio_o => mic_o,
k7_audio_i => ear_i,
-- Joystick
joy1_up_i => joy1_up_i,
joy1_down_i => joy1_down_i,
joy1_left_i => joy1_left_i,
joy1_right_i => joy1_right_i,
joy1_btn1_i => joy1_p6_i,
joy1_btn1_o => open,
joy1_btn2_i => joy1_p9_i,
joy1_btn2_o => open,
joy1_out_o => joy1_out_s,
joy2_up_i => joy2_up_i,
joy2_down_i => joy2_down_i,
joy2_left_i => joy2_left_i,
joy2_right_i => joy2_right_i,
joy2_btn1_i => joy2_p6_i,
joy2_btn1_o => open,
joy2_btn2_i => joy2_p9_i,
joy2_btn2_o => open,
joy2_out_o => joy2_out_s,
-- Video
cnt_hor_o => cnt_hor_s,
cnt_ver_o => cnt_ver_s,
rgb_r_o => rgb_col_s,
rgb_g_o => open,
rgb_b_o => open,
hsync_n_o => open,
vsync_n_o => open,
ntsc_pal_o => open,
vga_on_k_i => '0',
scanline_on_k_i=> '0',
vga_en_o => open,
-- SPI/SD
spi_cs_n_o => sd_cs_n_o,
spi_sclk_o => sd_sclk_o,
spi_mosi_o => sd_mosi_o,
spi_miso_i => sd_miso_i,
sd_pres_n_i => '0',
sd_wp_i => '0',
-- DEBUG
D_wait_o => open,
D_slots_o => open,
D_ipl_en_o => open
);
joyX_p7_o <= not joy1_out_s; -- for Sega Genesis joypad
--joy2_p7_o <= not joy2_out_s; -- for Sega Genesis joypad
-- RAM
ram: entity work.ssdram256Mb
generic map (
freq_g => 85
)
port map (
clock_i => clock_sdram_s,
reset_i => reset_s,
refresh_i => '1',
-- Static RAM bus
addr_i => "00" & ram_addr_s,
data_i => ram_data_to_s,
data_o => ram_data_from_s,
cs_i => ram_ce_s,
oe_i => ram_oe_s,
we_i => ram_we_s,
-- SD-RAM ports
mem_cke_o => sdram_cke_o,
mem_cs_n_o => sdram_cs_o,
mem_ras_n_o => sdram_ras_o,
mem_cas_n_o => sdram_cas_o,
mem_we_n_o => sdram_we_o,
mem_udq_o => sdram_dqm_o(1),
mem_ldq_o => sdram_dqm_o(0),
mem_ba_o => sdram_ba_o,
mem_addr_o => sdram_ad_o,
mem_data_io => sdram_da_io
);
-- VRAM
vram: entity work.spram
generic map (
addr_width_g => 14,
data_width_g => 8
)
port map (
clk_i => clock_master_s,
we_i => vram_we_s,
addr_i => vram_addr_s,
data_i => vram_di_s,
data_o => vram_do_s
);
-- Keyboard PS/2
keyb: entity work.keyboard
port map (
clock_i => clock_3m_s,
reset_i => reset_s,
-- MSX
rows_coded_i => rows_s,
cols_o => cols_s,
keymap_addr_i => keymap_addr_s,
keymap_data_i => keymap_data_s,
keymap_we_i => keymap_we_s,
-- LEDs
led_caps_i => caps_en_s,
-- PS/2 interface
ps2_clk_io => ps2_clk_io,
ps2_data_io => ps2_data_io,
-- Direct Access
keyb_valid_o => keyb_valid_s,
keyb_data_o => keyb_data_s,
--
reset_o => soft_reset_k_s,
por_o => soft_por_s,
reload_core_o => open,
extra_keys_o => extra_keys_s
);
-- Audio
mixer: entity work.mixeru
port map (
clock_i => clock_master_s,
reset_i => reset_s,
volumes_i => volumes_s,
beep_i => beep_s,
ear_i => ear_i,
audio_scc_i => audio_scc_s,
audio_psg_i => audio_psg_s,
jt51_left_i => jt51_left_s,
jt51_right_i => jt51_right_s,
opll_mo_i => opll_mo_s,
opll_ro_i => opll_ro_s,
audio_mix_l_o => audio_l_s,
audio_mix_r_o => audio_r_s
);
audio_l_amp_s <= audio_l_s(15) & audio_l_s(13 downto 0) & "0";
audio_r_amp_s <= audio_r_s(15) & audio_r_s(13 downto 0) & "0";
-- Left Channel
audiol : entity work.dac
generic map (
nbits_g => 16
)
port map (
reset_i => reset_s,
clock_i => clock_3m_s,
dac_i => audio_l_amp_s,
dac_o => dac_l_o
);
-- Right Channel
audior : entity work.dac
generic map (
nbits_g => 16
)
port map (
reset_i => reset_s,
clock_i => clock_3m_s,
dac_i => audio_r_amp_s,
dac_o => dac_r_o
);
-- Glue logic
-- Resets
btn_por_n_s <= btn_n_i(2) or btn_n_i(4);
btn_reset_n_s <= btn_n_i(3) or btn_n_i(4);
por_s <= '1' when pll_locked_s = '0' or soft_por_s = '1' or btn_por_n_s = '0' else '0';
reset_s <= '1' when soft_rst_cnt_s = X"01" or btn_reset_n_s = '0' else '0';
process(reset_s, clock_master_s)
begin
if reset_s = '1' then
soft_rst_cnt_s <= X"00";
elsif rising_edge(clock_master_s) then
if (soft_reset_k_s = '1' or soft_reset_s_s = '1' or por_s = '1') and soft_rst_cnt_s = X"00" then
soft_rst_cnt_s <= X"FF";
elsif soft_rst_cnt_s /= X"00" then
soft_rst_cnt_s <= soft_rst_cnt_s - 1;
end if;
end if;
end process;
---------------------------------
-- scanlines
btnscl: entity work.debounce
generic map (
counter_size_g => 16
)
port map (
clk_i => clock_master_s,
button_i => btn_n_i(1) or btn_n_i(2),
result_o => btn_scan_s
);
process (por_s, btn_scan_s)
begin
if por_s = '1' then
scanlines_en_s <= '0';
elsif falling_edge(btn_scan_s) then
scanlines_en_s <= not scanlines_en_s;
end if;
end process;
-- VGA framebuffer
vga: entity work.vga
port map (
I_CLK => clock_master_s,
I_CLK_VGA => clock_vga_s,
I_COLOR => rgb_col_s,
I_HCNT => cnt_hor_s,
I_VCNT => cnt_ver_s,
O_HSYNC => vga_hsync_n_s,
O_VSYNC => vga_vsync_n_s,
O_COLOR => vga_col_s,
O_HCNT => open,
O_VCNT => open,
O_H => open,
O_BLANK => vga_blank_s
);
-- Scanlines
process(vga_hsync_n_s,vga_vsync_n_s)
begin
if vga_vsync_n_s = '0' then
odd_line_s <= '0';
elsif rising_edge(vga_hsync_n_s) then
odd_line_s <= not odd_line_s;
end if;
end process;
-- Index => RGB
process (clock_vga_s)
variable vga_col_v : integer range 0 to 15;
variable vga_rgb_v : std_logic_vector(15 downto 0);
variable vga_r_v : std_logic_vector( 3 downto 0);
variable vga_g_v : std_logic_vector( 3 downto 0);
variable vga_b_v : std_logic_vector( 3 downto 0);
type ram_t is array (natural range 0 to 15) of std_logic_vector(15 downto 0);
constant rgb_c : ram_t := (
-- RB0G
0 => X"0000",
1 => X"0000",
2 => X"240C",
3 => X"570D",
4 => X"5E05",
5 => X"7F07",
6 => X"D405",
7 => X"4F0E",
8 => X"F505",
9 => X"F707",
10 => X"D50C",
11 => X"E80C",
12 => X"230B",
13 => X"CB09",
14 => X"CC0C",
15 => X"FF0F"
);
begin
if rising_edge(clock_vga_s) then
vga_col_v := to_integer(unsigned(vga_col_s));
vga_rgb_v := rgb_c(vga_col_v);
if scanlines_en_s = '1' then
--
if vga_rgb_v(15 downto 12) > 1 and odd_line_s = '1' then
vga_r_s <= vga_rgb_v(15 downto 12) - 2;
else
vga_r_s <= vga_rgb_v(15 downto 12);
end if;
--
if vga_rgb_v(11 downto 8) > 1 and odd_line_s = '1' then
vga_b_s <= vga_rgb_v(11 downto 8) - 2;
else
vga_b_s <= vga_rgb_v(11 downto 8);
end if;
--
if vga_rgb_v(3 downto 0) > 1 and odd_line_s = '1' then
vga_g_s <= vga_rgb_v(3 downto 0) - 2;
else
vga_g_s <= vga_rgb_v(3 downto 0);
end if;
else
vga_r_s <= vga_rgb_v(15 downto 12);
vga_b_s <= vga_rgb_v(11 downto 8);
vga_g_s <= vga_rgb_v( 3 downto 0);
end if;
end if;
end process;
sound_hdmi_l_s <= '0' & std_logic_vector(audio_l_amp_s(15 downto 1));
sound_hdmi_r_s <= '0' & std_logic_vector(audio_r_amp_s(15 downto 1));
-- HDMI
hdmi: entity work.hdmi
generic map (
FREQ => 25200000, -- pixel clock frequency
FS => 48000, -- audio sample rate - should be 32000, 41000 or 48000 = 48KHz
CTS => 25200, -- CTS = Freq(pixclk) * N / (128 * Fs)
N => 6144 -- N = 128 * Fs /1000, 128 * Fs /1500 <= N <= 128 * Fs /300 (Check HDMI spec 7.2 for details)
)
port map (
I_CLK_PIXEL => clock_vga_s,
I_R => vga_r_s & vga_r_s,
I_G => vga_g_s & vga_g_s,
I_B => vga_b_s & vga_b_s,
I_BLANK => vga_blank_s,
I_HSYNC => vga_hsync_n_s,
I_VSYNC => vga_vsync_n_s,
-- PCM audio
I_AUDIO_ENABLE => '1',
I_AUDIO_PCM_L => sound_hdmi_l_s,
I_AUDIO_PCM_R => sound_hdmi_r_s,
-- TMDS parallel pixel synchronous outputs (serialize LSB first)
O_RED => tdms_r_s,
O_GREEN => tdms_g_s,
O_BLUE => tdms_b_s
);
hdmio: entity work.hdmi_out_altera
port map (
clock_pixel_i => clock_vga_s,
clock_tdms_i => clock_dvi_s,
red_i => tdms_r_s,
green_i => tdms_g_s,
blue_i => tdms_b_s,
tmds_out_p => tdms_p_s,
tmds_out_n => tdms_n_s
);
tmds_o(7) <= tdms_p_s(2); -- 2+
tmds_o(6) <= tdms_n_s(2); -- 2-
tmds_o(5) <= tdms_p_s(1); -- 1+
tmds_o(4) <= tdms_n_s(1); -- 1-
tmds_o(3) <= tdms_p_s(0); -- 0+
tmds_o(2) <= tdms_n_s(0); -- 0-
tmds_o(1) <= tdms_p_s(3); -- CLK+
tmds_o(0) <= tdms_n_s(3); -- CLK-
vga_r_o <= vga_r_s & '0';
vga_g_o <= vga_g_s & '0';
vga_b_o <= vga_b_s & '0';
vga_hsync_n_o <= vga_hsync_n_s;
vga_vsync_n_o <= vga_vsync_n_s;
-- Peripheral BUS control
bus_data_from_s <= jt51_data_from_s when jt51_hd_s = '1' else
-- midi_data_from_s when midi_hd_s = '1' else
(others => '1');
bus_wait_n_s <= '1';--midi_wait_n_s;
bus_int_n_s <= '1';--midi_int_n_s;
-- JT51
jt51_cs_n_s <= '0' when bus_addr_s(7 downto 1) = "0010000" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '1'; -- 0x20 - 0x21
jt51: entity work.jt51_wrapper
port map (
clock_i => clock_3m_s,
reset_i => reset_s,
addr_i => bus_addr_s(0),
cs_n_i => jt51_cs_n_s,
wr_n_i => bus_wr_n_s,
rd_n_i => bus_rd_n_s,
data_i => bus_data_to_s,
data_o => jt51_data_from_s,
has_data_o => jt51_hd_s,
ct1_o => open,
ct2_o => open,
irq_n_o => open,
p1_o => open,
-- Low resolution output (same as real chip)
sample_o => open,
left_o => open,
right_o => open,
-- Full resolution output
xleft_o => jt51_left_s,
xright_o => jt51_right_s,
-- unsigned outputs for sigma delta converters, full resolution
dacleft_o => open,
dacright_o => open
);
-- OPLL
opll_cs_n_s <= '0' when bus_addr_s(7 downto 1) = "0111110" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '1'; -- 0x7C - 0x7D
opll1 : entity work.opll
port map (
clock_i => clock_master_s,
clock_en_i => clock_psg_en_s,
reset_i => reset_s,
data_i => bus_data_to_s,
addr_i => bus_addr_s(0),
cs_n => opll_cs_n_s,
we_n => bus_wr_n_s,
melody_o => opll_mo_s,
rythm_o => opll_ro_s
);
-- MIDI
-- midi_cs_n_s <= '0' when bus_addr_s(7 downto 1) = "0111111" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '1'; -- 0x7E - 0x7F
-- MIDI interface
-- midi: entity work.midiIntf
-- port map (
-- clock_i => clock_8m_s,
-- reset_i => reset_s,
-- addr_i => bus_addr_s(0),
-- cs_n_i => midi_cs_n_s,
-- wr_n_i => bus_wr_n_s,
-- rd_n_i => bus_rd_n_s,
-- data_i => bus_data_to_s,
-- data_o => midi_data_from_s,
-- has_data_o => midi_hd_s,
-- -- Outs
-- int_n_o => midi_int_n_s,
-- wait_n_o => midi_wait_n_s,
-- tx_o => uart_tx_o
-- );
-- DEBUG
-- leds_n_o(0) <= not turbo_on_s;
-- leds_n_o(1) <= not caps_en_s;
-- leds_n_o(2) <= not soft_reset_k_s;
-- leds_n_o(3) <= not soft_por_s;
end architecture;
|
gpl-3.0
|
sittner/lcnc-mdsio
|
vhdl/source/mdsio/dac_mod.vhd
|
1
|
6734
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.Vcomponents.all;
entity DAC_MOD is
generic (
-- IO-REQ: 3 DWORD
WB_CONF_OFFSET: std_logic_vector(15 downto 2) := "00000000000000";
WB_CONF_DATA: std_logic_vector(15 downto 0) := "0000000000000011";
WB_ADDR_OFFSET: std_logic_vector(15 downto 2) := "00000000000000"
);
port (
OUT_EN: in std_logic;
SCLK_EDGE: in std_logic;
SCLK_STATE: in std_logic;
WB_CLK: in std_logic;
WB_RST: in std_logic;
WB_ADDR: in std_logic_vector(15 downto 2);
WB_DATA_OUT: out std_logic_vector(31 downto 0);
WB_DATA_IN: in std_logic_vector(31 downto 0);
WB_STB_RD: in std_logic;
WB_STB_WR: in std_logic;
SV : inout std_logic_vector(10 downto 3)
);
end;
architecture rtl of DAC_MOD is
constant CMD_WriteA: std_logic_vector(7 downto 0) := "00000000";
constant CMD_WriteB_LoadAB: std_logic_vector(7 downto 0) := "00110100";
signal wb_data_mux : std_logic_vector(31 downto 0);
signal shift_cnt: std_logic_vector(4 downto 0);
signal bitcnt_sync: std_logic;
signal bitcnt_top: std_logic;
signal dac1_data: std_logic_vector(15 downto 0);
signal dac2_data: std_logic_vector(15 downto 0);
signal dac2_reg: std_logic_vector(15 downto 0);
signal dac3_data: std_logic_vector(15 downto 0);
signal dac4_data: std_logic_vector(15 downto 0);
signal dac4_reg: std_logic_vector(15 downto 0);
signal dac5_data: std_logic_vector(15 downto 0);
signal dac6_data: std_logic_vector(15 downto 0);
signal dac6_reg: std_logic_vector(15 downto 0);
signal ssync: std_logic;
signal sclk: std_logic;
signal select_ab: std_logic;
signal dac12_shift: std_logic_vector(23 downto 0);
signal dac34_shift: std_logic_vector(23 downto 0);
signal dac56_shift: std_logic_vector(23 downto 0);
begin
----------------------------------------------------------
--- bus logic
----------------------------------------------------------
P_WB_RD : process(WB_ADDR)
begin
case WB_ADDR is
when WB_CONF_OFFSET =>
wb_data_mux(15 downto 0) <= WB_CONF_DATA;
wb_data_mux(31 downto 16) <= WB_ADDR_OFFSET & "00";
when WB_ADDR_OFFSET =>
wb_data_mux(15 downto 0) <= dac1_data;
wb_data_mux(31 downto 16) <= dac2_data;
when WB_ADDR_OFFSET + 1 =>
wb_data_mux(15 downto 0) <= dac3_data;
wb_data_mux(31 downto 16) <= dac4_data;
when WB_ADDR_OFFSET + 2 =>
wb_data_mux(15 downto 0) <= dac5_data;
wb_data_mux(31 downto 16) <= dac6_data;
when others =>
wb_data_mux <= (others => '0');
end case;
end process;
P_WB_RD_REG : process(WB_RST, WB_CLK)
begin
if WB_RST = '1' then
WB_DATA_OUT <= (others => '0');
elsif rising_edge(WB_CLK) then
if WB_STB_RD = '1' then
WB_DATA_OUT <= wb_data_mux;
end if;
end if;
end process;
P_PE_REG_WR : process(WB_RST, WB_CLK)
begin
if WB_RST = '1' then
dac1_data <= (others => '0');
dac2_data <= (others => '0');
dac3_data <= (others => '0');
dac4_data <= (others => '0');
dac5_data <= (others => '0');
dac6_data <= (others => '0');
elsif rising_edge(WB_CLK) then
if WB_STB_WR = '1' then
case WB_ADDR is
when WB_ADDR_OFFSET =>
dac1_data <= WB_DATA_IN(15 downto 0);
dac2_data <= WB_DATA_IN(31 downto 16);
when WB_ADDR_OFFSET + 1 =>
dac3_data <= WB_DATA_IN(15 downto 0);
dac4_data <= WB_DATA_IN(31 downto 16);
when WB_ADDR_OFFSET + 2 =>
dac5_data <= WB_DATA_IN(15 downto 0);
dac6_data <= WB_DATA_IN(31 downto 16);
when others =>
end case;
end if;
end if;
end process;
----------------------------------------------------------
--- serial clock
----------------------------------------------------------
p_sclk: process(WB_CLK, WB_RST)
begin
if (WB_RST = '1') then
ssync <= '0';
sclk <= '0';
elsif rising_edge(WB_CLK) then
if SCLK_EDGE = '1' then
ssync <= '0';
sclk <= '0';
if SCLK_STATE = '0' then
if bitcnt_sync = '1' then
ssync <= '1';
else
sclk <= '1';
end if;
end if;
end if;
end if;
end process;
----------------------------------------------------------
--- shift counter
----------------------------------------------------------
p_bitcnt_cnt: process(WB_CLK, WB_RST)
begin
if (WB_RST = '1') then
shift_cnt <= (others => '0');
elsif rising_edge(WB_CLK) then
if SCLK_EDGE = '1' and SCLK_STATE = '1' then
if bitcnt_top = '1' then
shift_cnt <= (others => '0');
else
shift_cnt <= shift_cnt + 1;
end if;
end if;
end if;
end process;
bitcnt_sync <= '1' when shift_cnt = 23 else '0';
bitcnt_top <= '1' when shift_cnt = 24 else '0';
----------------------------------------------------------
--- output shift
----------------------------------------------------------
p_so_out_shift: process(WB_CLK, WB_RST)
begin
if (WB_RST = '1') then
dac12_shift <= (others => '0');
dac34_shift <= (others => '0');
dac56_shift <= (others => '0');
dac2_reg <= (others => '0');
dac4_reg <= (others => '0');
dac6_reg <= (others => '0');
select_ab <= '0';
elsif rising_edge(WB_CLK) then
if SCLK_EDGE = '1' and SCLK_STATE = '0' then
if bitcnt_top = '1' then
if select_ab = '0' then
dac12_shift <= CMD_WriteA & dac1_data;
dac34_shift <= CMD_WriteA & dac3_data;
dac56_shift <= CMD_WriteA & dac5_data;
dac2_reg <= dac2_data;
dac4_reg <= dac4_data;
dac6_reg <= dac6_data;
else
dac12_shift <= CMD_WriteB_LoadAB & dac2_reg;
dac34_shift <= CMD_WriteB_LoadAB & dac4_reg;
dac56_shift <= CMD_WriteB_LoadAB & dac6_reg;
end if;
select_ab <= not select_ab;
else
dac12_shift <= dac12_shift(22 downto 0) & "1";
dac34_shift <= dac34_shift(22 downto 0) & "1";
dac56_shift <= dac56_shift(22 downto 0) & "1";
end if;
end if;
end if;
end process;
----------------------------------------------------------
--- output mapping
----------------------------------------------------------
SV(3) <= '0';
SV(4) <= '0';
SV(5) <= not ssync;
SV(6) <= not sclk;
SV(7) <= not dac56_shift(23);
SV(8) <= not dac34_shift(23);
SV(9) <= not dac12_shift(23);
SV(10) <= OUT_EN;
end;
|
gpl-3.0
|
freecores/usb_fpga_1_2
|
examples/usb-fpga-1.11/1.11c/memtest/fpga/memtest.vhd
|
16
|
23751
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
PA3 : in std_logic;
-- errors ...
PC : out std_logic_vector(7 downto 0);
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component dcm0
port (
-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic;
CLK_VALID : out std_logic
);
end component;
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 5000;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 2
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(17 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(17 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(17 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(17 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(17 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(17 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(17 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(17 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
inst_dcm0 : dcm0 port map(
-- Clock in ports
CLK_IN1 => FXCLK,
-- Clock out ports
CLK_OUT1 => MEM_CLK,
CLK_OUT2 => CLK,
-- Status and control signals
RESET => RESET_IN,
LOCKED => DCM0_LOCKED,
CLK_VALID => DCM0_CLK_VALID
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
PC(0) <= WR_UNDERRUN(0) or WR_UNDERRUN(1) or WR_UNDERRUN(2);
PC(1) <= WR_ERROR(0) or WR_ERROR(1) or WR_ERROR(2);
PC(2) <= RD_OVERFLOW(0) or RD_OVERFLOW(1) or RD_OVERFLOW(2);
PC(3) <= RD_ERROR(0) or RD_ERROR(1) or RD_ERROR(2);
PC(4) <= C3_CALIB_DONE;
PC(5) <= C3_RST0;
PC(6) <= RESET0;
PC(7) <= RESET;
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,18);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(25 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PA3 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,18);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(25 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/sim_lib/hardcopyiv_pcie_hip_components.vhd
|
1
|
71800
|
-- Copyright (C) Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
USE IEEE.vital_timing.ALL;
USE IEEE.vital_primitives.ALL;
package HARDCOPYIV_PCIE_HIP_COMPONENTS is
-- VITAL constants BEGIN
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 0 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- VITAL constants END
-- GENERIC utility functions BEGIN
function str2bin (s : string) return std_logic_vector;
function str2int (s : string) return integer;
function int2bin (arg : integer; size : integer) return std_logic_vector;
function tx_top_ctrl_in_width(
double_data_mode : string;
ser_double_data_mode : string
) return integer;
function rx_top_a1k1_out_width(des_double_data_mode : string) return integer;
function rx_top_ctrl_out_width(
double_data_mode : string;
des_double_data_mode : string
) return integer;
-- GENERIC utility functions BEGIN
function bin2int (s : std_logic_vector) return integer;
function bin2int (s : std_logic) return integer;
function int2bit (arg : boolean) return std_logic;
function int2bin (arg : boolean; size : integer) return std_logic_vector;
function int2bit (arg : integer) return std_logic;
function mux_select (sel : boolean; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector;
function mux_select (sel : bit; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector;
function mux_select (sel : boolean; data1 : std_logic; data2 : std_logic) return std_logic;
function mux_select (sel : bit; data1 : std_logic; data2 : std_logic) return std_logic;
function reduction_or (val : std_logic_vector) return std_logic;
function reduction_nor (val : std_logic_vector) return std_logic;
function reduction_xor (val : std_logic_vector) return std_logic;
function reduction_and (val : std_logic_vector) return std_logic;
function reduction_nand (val : std_logic_vector) return std_logic;
function hssiSelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
function alpha_tolower (given_string : string) return string;
-- GENERIC utility functions END
--
-- hardcopyiv_pciehip_pciexp_dcfiforam
--
COMPONENT hardcopyiv_pciehip_pciexp_dcfiforam
GENERIC (
addr_width : INTEGER := 4;
data_width : INTEGER := 32
);
PORT (
data : IN STD_LOGIC_VECTOR((data_width - 1) DOWNTO 0);
wren : IN STD_LOGIC;
wraddress : IN STD_LOGIC_VECTOR((addr_width - 1) DOWNTO 0);
rdaddress : IN STD_LOGIC_VECTOR((addr_width - 1) DOWNTO 0);
wrclock : IN STD_LOGIC;
rdclock : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0)
);
END COMPONENT;
--
-- hardcopyiv_hssi_pcie_hip
--
COMPONENT hardcopyiv_hssi_pcie_hip
GENERIC (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
TimingChecksOn : Boolean := True;
tipd_bistenrcv0 : VitalDelayType01 := DefpropDelay01;
tipd_bistenrcv1 : VitalDelayType01 := DefpropDelay01;
tipd_bistenrpl : VitalDelayType01 := DefpropDelay01;
tipd_bistscanen : VitalDelayType01 := DefpropDelay01;
tipd_bistscanin : VitalDelayType01 := DefpropDelay01;
tipd_bisttesten : VitalDelayType01 := DefpropDelay01;
tipd_coreclkin : VitalDelayType01 := DefpropDelay01;
tipd_corecrst : VitalDelayType01 := DefpropDelay01;
tipd_corepor : VitalDelayType01 := DefpropDelay01;
tipd_corerst : VitalDelayType01 := DefpropDelay01;
tipd_coresrst : VitalDelayType01 := DefpropDelay01;
tipd_cplerr : VitalDelayArrayType01(7 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_cplpending : VitalDelayType01 := DefpropDelay01;
tipd_dbgpipex1rx : VitalDelayArrayType01(15 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlaspmcr0 : VitalDelayType01 := DefpropDelay01;
tipd_dlcomclkreg : VitalDelayType01 := DefpropDelay01;
tipd_dlctrllink2 : VitalDelayArrayType01(13 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dldataupfc : VitalDelayArrayType01(12 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlhdrupfc : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlinhdllp : VitalDelayType01 := DefpropDelay01;
tipd_dlmaxploaddcr : VitalDelayArrayType01(3 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlreqphycfg : VitalDelayArrayType01(4 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlreqphypm : VitalDelayArrayType01(4 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlrequpfc : VitalDelayType01 := DefpropDelay01;
tipd_dlreqwake : VitalDelayType01 := DefpropDelay01;
tipd_dlrxecrcchk : VitalDelayType01 := DefpropDelay01;
tipd_dlsndupfc : VitalDelayType01 := DefpropDelay01;
tipd_dltxcfgextsy : VitalDelayType01 := DefpropDelay01;
tipd_dltxreqpm : VitalDelayType01 := DefpropDelay01;
tipd_dltxtyppm : VitalDelayArrayType01(3 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dltypupfc : VitalDelayArrayType01(2 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlvcctrl : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlvcidmap : VitalDelayArrayType01(24 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dlvcidupfc : VitalDelayArrayType01(3 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_dpclk : VitalDelayType01 := DefpropDelay01;
tipd_dpriodisable : VitalDelayType01 := DefpropDelay01;
tipd_dprioin : VitalDelayType01 := DefpropDelay01;
tipd_dprioload : VitalDelayType01 := DefpropDelay01;
tipd_extrain : VitalDelayArrayType01(12 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_lmiaddr : VitalDelayArrayType01(12 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_lmidin : VitalDelayArrayType01(32 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_lmirden : VitalDelayType01 := DefpropDelay01;
tipd_lmiwren : VitalDelayType01 := DefpropDelay01;
tipd_mode : VitalDelayArrayType01(2 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_mramhiptestenable : VitalDelayType01 := DefpropDelay01;
tipd_mramregscanen : VitalDelayType01 := DefpropDelay01;
tipd_mramregscanin : VitalDelayType01 := DefpropDelay01;
tipd_pclkcentral : VitalDelayType01 := DefpropDelay01;
tipd_pclkch0 : VitalDelayType01 := DefpropDelay01;
tipd_phyrst : VitalDelayType01 := DefpropDelay01;
tipd_physrst : VitalDelayType01 := DefpropDelay01;
tipd_phystatus : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_pldclk : VitalDelayType01 := DefpropDelay01;
tipd_pldrst : VitalDelayType01 := DefpropDelay01;
tipd_pldsrst : VitalDelayType01 := DefpropDelay01;
tipd_pllfixedclk : VitalDelayType01 := DefpropDelay01;
tipd_rxdata : VitalDelayArrayType01(64 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxdatak : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxelecidle : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxmaskvc0 : VitalDelayType01 := DefpropDelay01;
tipd_rxmaskvc1 : VitalDelayType01 := DefpropDelay01;
tipd_rxreadyvc0 : VitalDelayType01 := DefpropDelay01;
tipd_rxreadyvc1 : VitalDelayType01 := DefpropDelay01;
tipd_rxstatus : VitalDelayArrayType01(24 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_rxvalid : VitalDelayArrayType01(8 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_scanen : VitalDelayType01 := DefpropDelay01;
tipd_scanmoden : VitalDelayType01 := DefpropDelay01;
tipd_swdnin : VitalDelayArrayType01(3 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_swupin : VitalDelayArrayType01(7 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_testin : VitalDelayArrayType01(40 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlaermsinum : VitalDelayArrayType01(5 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlappintasts : VitalDelayType01 := DefpropDelay01;
tipd_tlappmsinum : VitalDelayArrayType01(5 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlappmsireq : VitalDelayType01 := DefpropDelay01;
tipd_tlappmsitc : VitalDelayArrayType01(3 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlhpgctrler : VitalDelayArrayType01(5 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlpexmsinum : VitalDelayArrayType01(5 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlpmauxpwr : VitalDelayType01 := DefpropDelay01;
tipd_tlpmdata : VitalDelayArrayType01(10 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_tlpmetocr : VitalDelayType01 := DefpropDelay01;
tipd_tlpmevent : VitalDelayType01 := DefpropDelay01;
tipd_tlslotclkcfg : VitalDelayType01 := DefpropDelay01;
tipd_txdatavc00 : VitalDelayArrayType01(64 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txdatavc01 : VitalDelayArrayType01(64 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txdatavc10 : VitalDelayArrayType01(64 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txdatavc11 : VitalDelayArrayType01(64 - 1 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_txeopvc00 : VitalDelayType01 := DefpropDelay01;
tipd_txeopvc01 : VitalDelayType01 := DefpropDelay01;
tipd_txeopvc10 : VitalDelayType01 := DefpropDelay01;
tipd_txeopvc11 : VitalDelayType01 := DefpropDelay01;
tipd_txerrvc0 : VitalDelayType01 := DefpropDelay01;
tipd_txerrvc1 : VitalDelayType01 := DefpropDelay01;
tipd_txsopvc00 : VitalDelayType01 := DefpropDelay01;
tipd_txsopvc01 : VitalDelayType01 := DefpropDelay01;
tipd_txsopvc10 : VitalDelayType01 := DefpropDelay01;
tipd_txsopvc11 : VitalDelayType01 := DefpropDelay01;
tipd_txvalidvc0 : VitalDelayType01 := DefpropDelay01;
tipd_txvalidvc1 : VitalDelayType01 := DefpropDelay01;
tpd_pldclk_clrrxpath_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlackphypm_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlackrequpfc_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlacksndupfc_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlcurrentdeemp_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlcurrentspeed_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dldllreq_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlerrdll_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlerrphy_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dllinkautobdwstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dllinkbdwmngstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlltssm_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlrpbufemp_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlrstentercompbit_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlrsttxmarginfield_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlrxtyppm_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlrxvalpm_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dltxackpm_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlup_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlupexit_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_dlvcstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_ev128ns_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_ev1us_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_extraclkout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_hotrstexit_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_intstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_l2exit_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_laneact_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_linkup_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_lmiack_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_lmidout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_resetstatus_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbardecvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbardecvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbevc00_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbevc01_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbevc10_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxbevc11_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxdatavc00_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxdatavc01_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxdatavc10_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxdatavc11_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxeopvc00_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxeopvc01_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxeopvc10_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxeopvc11_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxerrvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxerrvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxfifoemptyvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxfifoemptyvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxfifofullvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxfifofullvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxsopvc00_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxsopvc01_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxsopvc10_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxsopvc11_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxvalidvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_rxvalidvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_r2cerr0ext_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_serrout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_successspeednegoint_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_swdnwake_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_swuphotrst_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_tlappintaack_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_tlappmsiack_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_tlpmetosr_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txcredvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txcredvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifoemptyvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifoemptyvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifofullvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifofullvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifordpvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifordpvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifowrpvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txfifowrpvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txreadyvc0_posedge : VitalDelayType01 := DefPropDelay01;
tpd_pldclk_txreadyvc1_posedge : VitalDelayType01 := DefPropDelay01;
tpd_dpclk_dataenablen_posedge : VitalDelayType01 := DefPropDelay01;
tpd_dpclk_dprioout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_dpclk_dpriostate_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_corecrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_coresrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_cplerr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_cplpending_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlctrllink2_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dldataupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlhdrupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlinhdllp_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlmaxploaddcr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlreqphycfg_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlreqphypm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlrequpfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlreqwake_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlrxecrcchk_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlsndupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dltxcfgextsy_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dltxreqpm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dltxtyppm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dltypupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlvcctrl_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlvcidmap_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dlvcidupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_lmiaddr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_lmidin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_lmirden_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_lmiwren_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_physrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_pldsrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_rxmaskvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_rxmaskvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_rxreadyvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_rxreadyvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_swdnin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_swupin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlaermsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlappintasts_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlappmsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlappmsireq_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlappmsitc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlhpgctrler_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlpexmsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlpmauxpwr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlpmdata_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlpmetocr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_tlpmevent_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txdatavc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txdatavc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txdatavc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txdatavc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txeopvc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txeopvc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txeopvc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txeopvc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txerrvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txerrvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txsopvc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txsopvc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txsopvc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txsopvc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txvalidvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_txvalidvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_corecrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_coresrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_cplerr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_cplpending_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlctrllink2_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dldataupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlhdrupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlinhdllp_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlmaxploaddcr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlreqphycfg_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlreqphypm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlrequpfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlreqwake_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlrxecrcchk_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlsndupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dltxcfgextsy_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dltxreqpm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dltxtyppm_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dltypupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlvcctrl_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlvcidmap_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dlvcidupfc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_lmiaddr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_lmidin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_lmirden_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_lmiwren_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_physrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_pldsrst_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_rxmaskvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_rxmaskvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_rxreadyvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_rxreadyvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_swdnin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_swupin_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlaermsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlappintasts_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlappmsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlappmsireq_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlappmsitc_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlhpgctrler_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlpexmsinum_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlpmauxpwr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlpmdata_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlpmetocr_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_tlpmevent_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txdatavc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txdatavc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txdatavc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txdatavc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txeopvc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txeopvc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txeopvc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txeopvc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txerrvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txerrvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txsopvc00_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txsopvc01_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txsopvc10_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txsopvc11_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txvalidvc0_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_txvalidvc1_pldclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dpriodisable_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_dprioin_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dpriodisable_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_dprioin_dpclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
lpm_type : STRING := "hardcopyiv_hssi_pcie_hip";
advanced_errors : STRING := "false";
allow_rx_valid_empty : STRING := "false"; -- july3,2008
bar0_64bit_mem_space : STRING := "true";
bar0_io_space : STRING := "false";
bar0_prefetchable : STRING := "true";
bar0_size_mask : INTEGER := 32;
bar1_64bit_mem_space : STRING := "false";
bar1_io_space : STRING := "false";
bar1_prefetchable : STRING := "false";
bar1_size_mask : INTEGER := 4;
bar2_64bit_mem_space : STRING := "false";
bar2_io_space : STRING := "false";
bar2_prefetchable : STRING := "false";
bar2_size_mask : INTEGER := 4;
bar3_64bit_mem_space : STRING := "false";
bar3_io_space : STRING := "false";
bar3_prefetchable : STRING := "false";
bar3_size_mask : INTEGER := 4;
bar4_64bit_mem_space : STRING := "false";
bar4_io_space : STRING := "false";
bar4_prefetchable : STRING := "false";
bar4_size_mask : INTEGER := 4;
bar5_64bit_mem_space : STRING := "false";
bar5_io_space : STRING := "false";
bar5_prefetchable : STRING := "false";
bar5_size_mask : INTEGER := 4;
bar_io_window_size : STRING := "NONE";
bar_prefetchable : INTEGER := 0;
base_address : INTEGER := 0;
bridge_port_ssid_support : STRING := "false";
bridge_port_vga_enable : STRING := "false";
bypass_cdc : STRING := "false";
bypass_tl : STRING := "false";
class_code : INTEGER := 16711680;
completion_timeout : STRING := "ABCD";
core_clk_divider : INTEGER := 1;
core_clk_source : STRING := "PLL_FIXED_CLK";
credit_buffer_allocation_aux : STRING := "BALANCED";
deemphasis_enable : STRING := "false";
device_address : INTEGER := 0;
device_id : INTEGER := 1;
device_number : INTEGER := 0;
diffclock_nfts_count : INTEGER := 128;
disable_async_l2_logic : STRING := "false"; -- july2,2008
disable_cdc_clk_ppm : STRING := "true";
disable_device_number_mismatch : STRING := "false";
disable_link_x2_support : STRING := "false";
disable_snoop_packet : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
dll_active_report_support : STRING := "false";
ei_delay_powerdown_count : INTEGER := 10;
eie_before_nfts_count : INTEGER := 4;
enable_adapter_half_rate_mode : STRING := "false";
enable_ch0_pclk_out : STRING := "false";
enable_completion_timeout_disable : STRING := "true";
enable_coreclk_out_half_rate : STRING := "false";
enable_d1pm_support : STRING := "false";
enable_d2pm_support : STRING := "false";
enable_ecrc_check : STRING := "false";
enable_ecrc_gen : STRING := "false";
enable_function_msi_support : STRING := "true";
enable_function_msix_support : STRING := "false";
enable_gen2_core : STRING := "true";
enable_hip_x1_loopback : STRING := "false";
enable_l1_aspm : STRING := "false";
enable_msi_64bit_addressing : STRING := "true";
enable_msi_masking : STRING := "false";
enable_rcv0buf_a_we : STRING := "true";
enable_rcv0buf_b_re : STRING := "true";
enable_rcv0buf_output_regs : STRING := "false";
enable_rcv1buf_a_we : STRING := "true";
enable_rcv1buf_b_re : STRING := "true";
enable_rcv1buf_output_regs : STRING := "false";
enable_retrybuf_a_we : STRING := "true";
enable_retrybuf_b_re : STRING := "true";
enable_retrybuf_ecc : STRING := "false"; -- ww12
enable_retrybuf_output_regs : STRING := "false";
enable_retrybuf_x8_clk_stealing : INTEGER := 0; -- ww12
enable_rx0buf_ecc : STRING := "false"; -- ww12
enable_rx0buf_x8_clk_stealing : INTEGER := 0; -- ww12
enable_rx1buf_ecc : STRING := "false"; -- ww12
enable_rx1buf_x8_clk_stealing : INTEGER := 0; -- ww12
enable_rx_buffer_checking : STRING := "false";
enable_rx_ei_l0s_exit_refined : STRING := "false";
enable_rx_reordering : STRING := "true";
enable_slot_register : STRING := "false";
endpoint_l0_latency : INTEGER := 0;
endpoint_l1_latency : INTEGER := 0;
expansion_base_address_register : INTEGER := 0;
extend_tag_field : STRING := "false";
fc_init_timer : INTEGER := 1024;
flow_control_timeout_count : INTEGER := 200;
flow_control_update_count : INTEGER := 30;
gen2_diffclock_nfts_count : INTEGER := 255;
gen2_lane_rate_mode : STRING := "false";
gen2_sameclock_nfts_count : INTEGER := 255;
hot_plug_support : STD_LOGIC_VECTOR(6 DOWNTO 0) := "0000000";
iei_logic : STRING := "IEI_IIIS";
indicator : INTEGER := 7;
l01_entry_latency : INTEGER := 31;
l0_exit_latency_diffclock : INTEGER := 6;
l0_exit_latency_sameclock : INTEGER := 6;
l1_exit_latency_diffclock : INTEGER := 0;
l1_exit_latency_sameclock : INTEGER := 0;
lane_mask : STD_LOGIC_VECTOR(7 DOWNTO 0) := "11110000";
low_priority_vc : INTEGER := 0;
max_link_width : INTEGER := 4;
max_payload_size : INTEGER := 2;
maximum_current : INTEGER := 0;
migrated_from_prev_family : STRING := "false";
millisecond_cycle_count : INTEGER := 0;
mram_bist_settings : STRING := "";
msi_function_count : INTEGER := 2;
msix_pba_bir : INTEGER := 0;
msix_pba_offset : INTEGER := 0;
msix_table_bir : INTEGER := 0;
msix_table_offset : INTEGER := 0;
msix_table_size : INTEGER := 0;
no_command_completed : STRING := "true";
no_soft_reset : STRING := "false";
pcie_mode : STRING := "SHARED_MODE";
pme_state_enable : STD_LOGIC_VECTOR(4 DOWNTO 0) := "00000";
port_link_number : INTEGER := 1;
port_address : INTEGER := 0;
register_pipe_signals : STRING := "false";
retry_buffer_last_active_address : INTEGER := 2047;
retry_buffer_memory_settings : INTEGER := 0;
revision_id : INTEGER := 1;
rx0_adap_fifo_full_value : INTEGER := 9;
rx1_adap_fifo_full_value : INTEGER := 9;
rx_cdc_full_value : INTEGER := 12;
rx_idl_os_count : INTEGER := 0;
rx_ptr0_nonposted_dpram_max : INTEGER := 0;
rx_ptr0_nonposted_dpram_min : INTEGER := 0;
rx_ptr0_posted_dpram_max : INTEGER := 0;
rx_ptr0_posted_dpram_min : INTEGER := 0;
rx_ptr1_nonposted_dpram_max : INTEGER := 0;
rx_ptr1_nonposted_dpram_min : INTEGER := 0;
rx_ptr1_posted_dpram_max : INTEGER := 0;
rx_ptr1_posted_dpram_min : INTEGER := 0;
sameclock_nfts_count : INTEGER := 128;
single_rx_detect : INTEGER := 0;
skp_os_schedule_count : INTEGER := 0;
slot_number : INTEGER := 0;
slot_power_limit : INTEGER := 0;
slot_power_scale : INTEGER := 0;
ssid : INTEGER := 0;
ssvid : INTEGER := 0;
subsystem_device_id : INTEGER := 1;
subsystem_vendor_id : INTEGER := 4466;
surprise_down_error_support : STRING := "false";
tx0_adap_fifo_full_value : INTEGER := 11;
tx1_adap_fifo_full_value : INTEGER := 11;
tx_cdc_full_value : INTEGER := 12;
tx_cdc_stop_dummy_full_value : INTEGER := 11;
use_crc_forwarding : STRING := "false";
vc0_clk_enable : STRING := "true";
vc0_rx_buffer_memory_settings : INTEGER := 0;
vc0_rx_flow_ctrl_compl_data : INTEGER := 448;
vc0_rx_flow_ctrl_compl_header : INTEGER := 112;
vc0_rx_flow_ctrl_nonposted_data : INTEGER := 0;
vc0_rx_flow_ctrl_nonposted_header : INTEGER := 54;
vc0_rx_flow_ctrl_posted_data : INTEGER := 360;
vc0_rx_flow_ctrl_posted_header : INTEGER := 50;
vc1_clk_enable : STRING := "false";
vc1_rx_buffer_memory_settings : INTEGER := 0;
vc1_rx_flow_ctrl_compl_data : INTEGER := 448;
vc1_rx_flow_ctrl_compl_header : INTEGER := 112;
vc1_rx_flow_ctrl_nonposted_data : INTEGER := 0;
vc1_rx_flow_ctrl_nonposted_header : INTEGER := 54;
vc1_rx_flow_ctrl_posted_data : INTEGER := 360;
vc1_rx_flow_ctrl_posted_header : INTEGER := 50;
vc_arbitration : INTEGER := 1;
vc_enable : STD_LOGIC_VECTOR(6 DOWNTO 0) := "0000000";
vendor_id : INTEGER := 4466
);
PORT (
bistenrcv0 : IN STD_LOGIC := '0';
bistenrcv1 : IN STD_LOGIC := '0';
bistenrpl : IN STD_LOGIC := '0';
bistscanen : IN STD_LOGIC := '0';
bistscanin : IN STD_LOGIC := '0';
bisttesten : IN STD_LOGIC := '0';
coreclkin : IN STD_LOGIC := '0';
corecrst : IN STD_LOGIC := '0';
corepor : IN STD_LOGIC := '0';
corerst : IN STD_LOGIC := '0';
coresrst : IN STD_LOGIC := '0';
cplerr : IN STD_LOGIC_VECTOR(7 - 1 DOWNTO 0) := (others => '0');
cplpending : IN STD_LOGIC := '0';
dbgpipex1rx : IN STD_LOGIC_VECTOR(15 - 1 DOWNTO 0) := (others => '0');
dlaspmcr0 : IN STD_LOGIC := '0';
dlcomclkreg : IN STD_LOGIC := '0';
dlctrllink2 : IN STD_LOGIC_VECTOR(13 - 1 DOWNTO 0) := (others => '0');
dldataupfc : IN STD_LOGIC_VECTOR(12 - 1 DOWNTO 0) := (others => '0');
dlhdrupfc : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
dlinhdllp : IN STD_LOGIC := '1';
dlmaxploaddcr : IN STD_LOGIC_VECTOR(3 - 1 DOWNTO 0) := (others => '0');
dlreqphycfg : IN STD_LOGIC_VECTOR(4 - 1 DOWNTO 0) := (others => '0');
dlreqphypm : IN STD_LOGIC_VECTOR(4 - 1 DOWNTO 0) := (others => '0');
dlrequpfc : IN STD_LOGIC := '0';
dlreqwake : IN STD_LOGIC := '0';
dlrxecrcchk : IN STD_LOGIC := '0';
dlsndupfc : IN STD_LOGIC := '0';
dltxcfgextsy : IN STD_LOGIC := '0';
dltxreqpm : IN STD_LOGIC := '0';
dltxtyppm : IN STD_LOGIC_VECTOR(3 - 1 DOWNTO 0) := (others => '0');
dltypupfc : IN STD_LOGIC_VECTOR(2 - 1 DOWNTO 0) := (others => '0');
dlvcctrl : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
dlvcidmap : IN STD_LOGIC_VECTOR(24 - 1 DOWNTO 0) := (others => '0');
dlvcidupfc : IN STD_LOGIC_VECTOR(3 - 1 DOWNTO 0) := (others => '0');
dpclk : IN STD_LOGIC := '0';
dpriodisable : IN STD_LOGIC := '1';
dprioin : IN STD_LOGIC := '0';
dprioload : IN STD_LOGIC := '0';
extrain : IN STD_LOGIC_VECTOR(12 - 1 DOWNTO 0) := (others => '0');
lmiaddr : IN STD_LOGIC_VECTOR(12 - 1 DOWNTO 0) := (others => '0');
lmidin : IN STD_LOGIC_VECTOR(32 - 1 DOWNTO 0) := (others => '0');
lmirden : IN STD_LOGIC := '0';
lmiwren : IN STD_LOGIC := '0';
mode : IN STD_LOGIC_VECTOR(2 - 1 DOWNTO 0) := (others => '0');
mramhiptestenable : IN STD_LOGIC := '0';
mramregscanen : IN STD_LOGIC := '0';
mramregscanin : IN STD_LOGIC := '0';
pclkcentral : IN STD_LOGIC := '0';
pclkch0 : IN STD_LOGIC := '0';
phyrst : IN STD_LOGIC := '0';
physrst : IN STD_LOGIC := '0';
phystatus : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
pldclk : IN STD_LOGIC := '0';
pldrst : IN STD_LOGIC := '0';
pldsrst : IN STD_LOGIC := '0';
pllfixedclk : IN STD_LOGIC := '0';
rxdata : IN STD_LOGIC_VECTOR(64 - 1 DOWNTO 0) := (others => '0');
rxdatak : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
rxelecidle : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
rxmaskvc0 : IN STD_LOGIC := '0';
rxmaskvc1 : IN STD_LOGIC := '0';
rxreadyvc0 : IN STD_LOGIC := '0';
rxreadyvc1 : IN STD_LOGIC := '0';
rxstatus : IN STD_LOGIC_VECTOR(24 - 1 DOWNTO 0) := (others => '0');
rxvalid : IN STD_LOGIC_VECTOR(8 - 1 DOWNTO 0) := (others => '0');
scanen : IN STD_LOGIC := '0';
scanmoden : IN STD_LOGIC := '0';
swdnin : IN STD_LOGIC_VECTOR(3 - 1 DOWNTO 0) := (others => '0');
swupin : IN STD_LOGIC_VECTOR(7 - 1 DOWNTO 0) := (others => '0');
testin : IN STD_LOGIC_VECTOR(40 - 1 DOWNTO 0) := (others => '0');
tlaermsinum : IN STD_LOGIC_VECTOR(5 - 1 DOWNTO 0) := (others => '0');
tlappintasts : IN STD_LOGIC := '0';
tlappmsinum : IN STD_LOGIC_VECTOR(5 - 1 DOWNTO 0) := (others => '0');
tlappmsireq : IN STD_LOGIC := '0';
tlappmsitc : IN STD_LOGIC_VECTOR(3 - 1 DOWNTO 0) := (others => '0');
tlhpgctrler : IN STD_LOGIC_VECTOR(5 - 1 DOWNTO 0) := (others => '0');
tlpexmsinum : IN STD_LOGIC_VECTOR(5 - 1 DOWNTO 0) := (others => '0');
tlpmauxpwr : IN STD_LOGIC := '0';
tlpmdata : IN STD_LOGIC_VECTOR(10 - 1 DOWNTO 0) := (others => '0');
tlpmetocr : IN STD_LOGIC := '0';
tlpmevent : IN STD_LOGIC := '0';
tlslotclkcfg : IN STD_LOGIC := '0';
txdatavc00 : IN STD_LOGIC_VECTOR(64 - 1 DOWNTO 0) := (others => '0');
txdatavc01 : IN STD_LOGIC_VECTOR(64 - 1 DOWNTO 0) := (others => '0');
txdatavc10 : IN STD_LOGIC_VECTOR(64 - 1 DOWNTO 0) := (others => '0');
txdatavc11 : IN STD_LOGIC_VECTOR(64 - 1 DOWNTO 0) := (others => '0');
txeopvc00 : IN STD_LOGIC := '0';
txeopvc01 : IN STD_LOGIC := '0';
txeopvc10 : IN STD_LOGIC := '0';
txeopvc11 : IN STD_LOGIC := '0';
txerrvc0 : IN STD_LOGIC := '0';
txerrvc1 : IN STD_LOGIC := '0';
txsopvc00 : IN STD_LOGIC := '0';
txsopvc01 : IN STD_LOGIC := '0';
txsopvc10 : IN STD_LOGIC := '0';
txsopvc11 : IN STD_LOGIC := '0';
txvalidvc0 : IN STD_LOGIC := '0';
txvalidvc1 : IN STD_LOGIC := '0';
bistdonearcv0 : OUT STD_LOGIC;
bistdonearcv1 : OUT STD_LOGIC;
bistdonearpl : OUT STD_LOGIC;
bistdonebrcv0 : OUT STD_LOGIC;
bistdonebrcv1 : OUT STD_LOGIC;
bistdonebrpl : OUT STD_LOGIC;
bistpassrcv0 : OUT STD_LOGIC;
bistpassrcv1 : OUT STD_LOGIC;
bistpassrpl : OUT STD_LOGIC;
bistscanoutrcv0 : OUT STD_LOGIC;
bistscanoutrcv1 : OUT STD_LOGIC;
bistscanoutrpl : OUT STD_LOGIC;
clrrxpath : OUT STD_LOGIC;
coreclkout : OUT STD_LOGIC;
dataenablen : OUT STD_LOGIC;
derrcorextrcv0 : OUT STD_LOGIC;
derrcorextrcv1 : OUT STD_LOGIC;
derrcorextrpl : OUT STD_LOGIC;
derrrpl : OUT STD_LOGIC;
dlackphypm : OUT STD_LOGIC_VECTOR(2 - 1 DOWNTO 0);
dlackrequpfc : OUT STD_LOGIC;
dlacksndupfc : OUT STD_LOGIC;
dlcurrentdeemp : OUT STD_LOGIC;
dlcurrentspeed : OUT STD_LOGIC_VECTOR(2 - 1 DOWNTO 0);
dldllreq : OUT STD_LOGIC;
dlerrdll : OUT STD_LOGIC_VECTOR(5 - 1 DOWNTO 0);
dlerrphy : OUT STD_LOGIC;
dllinkautobdwstatus : OUT STD_LOGIC;
dllinkbdwmngstatus : OUT STD_LOGIC;
dlltssm : OUT STD_LOGIC_VECTOR(5 - 1 DOWNTO 0);
dlrpbufemp : OUT STD_LOGIC;
dlrstentercompbit : OUT STD_LOGIC;
dlrsttxmarginfield : OUT STD_LOGIC;
dlrxtyppm : OUT STD_LOGIC_VECTOR(3 - 1 DOWNTO 0);
dlrxvalpm : OUT STD_LOGIC;
dltxackpm : OUT STD_LOGIC;
dlup : OUT STD_LOGIC;
dlupexit : OUT STD_LOGIC;
dlvcstatus : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
dprioout : OUT STD_LOGIC;
dpriostate : OUT STD_LOGIC_VECTOR(3 - 1 DOWNTO 0);
eidleinfersel : OUT STD_LOGIC_VECTOR(24 - 1 DOWNTO 0);
ev128ns : OUT STD_LOGIC;
ev1us : OUT STD_LOGIC;
extraclkout : OUT STD_LOGIC_VECTOR(2 - 1 DOWNTO 0);
extraout : OUT STD_LOGIC_VECTOR(15 - 1 DOWNTO 0);
gen2rate : OUT STD_LOGIC;
gen2rategnd : OUT STD_LOGIC;
hotrstexit : OUT STD_LOGIC;
intstatus : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
l2exit : OUT STD_LOGIC;
laneact : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
linkup : OUT STD_LOGIC;
lmiack : OUT STD_LOGIC;
lmidout : OUT STD_LOGIC_VECTOR(32 - 1 DOWNTO 0);
ltssml0state : OUT STD_LOGIC;
mramregscanout : OUT STD_LOGIC;
powerdown : OUT STD_LOGIC_VECTOR(16 - 1 DOWNTO 0);
resetstatus : OUT STD_LOGIC;
rxbardecvc0 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxbardecvc1 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxbevc00 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxbevc01 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxbevc10 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxbevc11 : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxdatavc00 : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
rxdatavc01 : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
rxdatavc10 : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
rxdatavc11 : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
rxeopvc00 : OUT STD_LOGIC;
rxeopvc01 : OUT STD_LOGIC;
rxeopvc10 : OUT STD_LOGIC;
rxeopvc11 : OUT STD_LOGIC;
rxerrvc0 : OUT STD_LOGIC;
rxerrvc1 : OUT STD_LOGIC;
rxfifoemptyvc0 : OUT STD_LOGIC;
rxfifoemptyvc1 : OUT STD_LOGIC;
rxfifofullvc0 : OUT STD_LOGIC;
rxfifofullvc1 : OUT STD_LOGIC;
rxfifordpvc0 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
rxfifordpvc1 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
rxfifowrpvc0 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
rxfifowrpvc1 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
rxpolarity : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
rxsopvc00 : OUT STD_LOGIC;
rxsopvc01 : OUT STD_LOGIC;
rxsopvc10 : OUT STD_LOGIC;
rxsopvc11 : OUT STD_LOGIC;
rxvalidvc0 : OUT STD_LOGIC;
rxvalidvc1 : OUT STD_LOGIC;
r2cerr0ext : OUT STD_LOGIC;
serrout : OUT STD_LOGIC;
successspeednegoint : OUT STD_LOGIC;
swdnwake : OUT STD_LOGIC;
swuphotrst : OUT STD_LOGIC;
testout : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
tlappintaack : OUT STD_LOGIC;
tlappmsiack : OUT STD_LOGIC;
tlcfgadd : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
tlcfgctl : OUT STD_LOGIC_VECTOR(32 - 1 DOWNTO 0);
tlcfgctlwr : OUT STD_LOGIC;
tlcfgsts : OUT STD_LOGIC_VECTOR(53 - 1 DOWNTO 0);
tlcfgstswr : OUT STD_LOGIC;
tlpmetosr : OUT STD_LOGIC;
txcompl : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
txcredvc0 : OUT STD_LOGIC_VECTOR(36 - 1 DOWNTO 0);
txcredvc1 : OUT STD_LOGIC_VECTOR(36 - 1 DOWNTO 0);
txdata : OUT STD_LOGIC_VECTOR(64 - 1 DOWNTO 0);
txdatak : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
txdeemph : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
txdetectrx : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
txelecidle : OUT STD_LOGIC_VECTOR(8 - 1 DOWNTO 0);
txfifoemptyvc0 : OUT STD_LOGIC;
txfifoemptyvc1 : OUT STD_LOGIC;
txfifofullvc0 : OUT STD_LOGIC;
txfifofullvc1 : OUT STD_LOGIC;
txfifordpvc0 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
txfifordpvc1 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
txfifowrpvc0 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
txfifowrpvc1 : OUT STD_LOGIC_VECTOR(4 - 1 DOWNTO 0);
txmargin : OUT STD_LOGIC_VECTOR(24 - 1 DOWNTO 0);
txreadyvc0 : OUT STD_LOGIC;
txreadyvc1 : OUT STD_LOGIC;
wakeoen : OUT STD_LOGIC
);
END COMPONENT;
end hardcopyiv_pcie_hip_components;
package body HARDCOPYIV_PCIE_HIP_COMPONENTS is
function str2bin (s : string) return std_logic_vector is
variable len : integer := s'length;
variable result : std_logic_vector(len -1 DOWNTO 0) := (OTHERS => '0');
variable i : integer;
begin
for i in 1 to len loop
case s(i) is
when '0' => result(len - i) := '0';
when '1' => result(len - i) := '1';
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
end loop;
return result;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! " SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function int2bin (arg : boolean; size : integer) return std_logic_vector is
variable result : std_logic_vector(size-1 downto 0);
begin
if(arg)then
result := (OTHERS => '1');
else
result := (OTHERS => '0');
end if;
return result;
end int2bin;
function tx_top_ctrl_in_width(double_data_mode : string;
ser_double_data_mode : string
) return integer is
variable real_widthb : integer;
begin
real_widthb := 1;
if (ser_double_data_mode = "true" AND double_data_mode = "true") then
real_widthb := 4;
elsif (ser_double_data_mode = "false" AND double_data_mode = "false") then
real_widthb := 1;
else
real_widthb := 2;
end if;
return real_widthb;
end tx_top_ctrl_in_width;
function rx_top_a1k1_out_width(des_double_data_mode : string) return integer is
variable real_widthb : integer;
begin
if (des_double_data_mode = "true") then
real_widthb := 2;
else
real_widthb := 1;
end if;
return real_widthb;
end rx_top_a1k1_out_width;
function rx_top_ctrl_out_width(double_data_mode : string;
des_double_data_mode : string
) return integer is
variable real_widthb : integer;
begin
real_widthb := 1;
if (des_double_data_mode = "true" AND double_data_mode = "true") then
real_widthb := 4;
elsif (des_double_data_mode = "false" AND double_data_mode = "false") then
real_widthb := 1;
else
real_widthb := 2;
end if;
return real_widthb;
end rx_top_ctrl_out_width;
function hssiSelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
function bin2int (s : std_logic_vector) return integer is
constant temp : std_logic_vector(s'high-s'low DOWNTO 0) := s;
variable result : integer := 0;
begin
for i in temp'range loop
if (temp(i) = '1') then
result := result + (2**i);
end if;
end loop;
return(result);
end bin2int;
function bin2int (s : std_logic) return integer is
constant temp : std_logic := s;
variable result : integer := 0;
begin
if (temp = '1') then
result := 1;
else
result := 0;
end if;
return(result);
end bin2int;
function int2bit (arg : integer) return std_logic is
variable int_val : integer := arg;
variable result : std_logic;
begin
if (int_val = 0) then
result := '0';
else
result := '1';
end if;
return result;
end int2bit;
function int2bit (arg : boolean) return std_logic is
variable int_val : boolean := arg;
variable result : std_logic;
begin
if (int_val ) then
result := '1';
else
result := '0';
end if;
return result;
end int2bit;
function mux_select (sel : boolean; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector is
variable dataout : std_logic_vector(data1'range);
begin
if(sel) then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : boolean; data1 : std_logic; data2 : std_logic) return std_logic is
variable dataout : std_logic;
begin
if(sel) then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : bit; data1 : std_logic_vector; data2 : std_logic_vector) return std_logic_vector is
variable dataout : std_logic_vector(data1'range);
begin
if(sel = '1') then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function mux_select (sel : bit; data1 : std_logic; data2 : std_logic) return std_logic is
variable dataout : std_logic;
begin
if(sel = '1') then
dataout := data1;
else
dataout := data2;
end if;
return (dataout);
end mux_select;
function reduction_or (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result or val(i);
end loop;
return(result);
end reduction_or;
function reduction_nor (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result or val(i);
end loop;
return(not result);
end reduction_nor;
function reduction_xor (
val : std_logic_vector) return std_logic is
variable result : std_logic := '0';
begin
for i in val'range loop
result := result xor val(i);
end loop;
return(result);
end reduction_xor;
function reduction_and (
val : std_logic_vector) return std_logic is
variable result : std_logic := '1';
begin
for i in val'range loop
result := result and val(i);
end loop;
return(result);
end reduction_and;
function reduction_nand (
val : std_logic_vector) return std_logic is
variable result : std_logic := '1';
begin
for i in val'range loop
result := result and val(i);
end loop;
return(not result);
end reduction_nand;
function alpha_tolower (given_string : string) return string is
-- VARIABLE DECLARATION
variable string_length : integer := given_string'length;
variable result_string : string(1 to 25) := " ";
begin
for i in 1 to string_length loop
case given_string(i) is
when 'A' => result_string(i) := 'a';
when 'B' => result_string(i) := 'b';
when 'C' => result_string(i) := 'c';
when 'D' => result_string(i) := 'd';
when 'E' => result_string(i) := 'e';
when 'F' => result_string(i) := 'f';
when 'G' => result_string(i) := 'g';
when 'H' => result_string(i) := 'h';
when 'I' => result_string(i) := 'i';
when 'J' => result_string(i) := 'j';
when 'K' => result_string(i) := 'k';
when 'L' => result_string(i) := 'l';
when 'M' => result_string(i) := 'm';
when 'N' => result_string(i) := 'n';
when 'O' => result_string(i) := 'o';
when 'P' => result_string(i) := 'p';
when 'Q' => result_string(i) := 'q';
when 'R' => result_string(i) := 'r';
when 'S' => result_string(i) := 's';
when 'T' => result_string(i) := 't';
when 'U' => result_string(i) := 'u';
when 'V' => result_string(i) := 'v';
when 'W' => result_string(i) := 'w';
when 'X' => result_string(i) := 'x';
when 'Y' => result_string(i) := 'y';
when 'Z' => result_string(i) := 'z';
when others => result_string(i) := given_string(i);
end case;
end loop;
return (result_string(1 to string_length));
end alpha_tolower;
end HARDCOPYIV_PCIE_HIP_COMPONENTS;
|
gpl-3.0
|
sukinull/vivado_zed_pieces
|
axigpio_w_linux_uio/project_uio/project_uio.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/38e122e0/hdl/blk_mem_gen_v8_2.vhd
|
24
|
19921
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XWrzYjpTpUuus//3Yqipm0uESgOiNKQ8VQh6ZdiO7zAhk4piHKnqwa/2EKkH2x6OH6UJ3gXKq5/H
re5lJuG43w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
I/mVU8dDhiD84VpApZ3BHEAFwc8ScdU1t9VBFtvay6KT8PQqngzpdxcgzAY0oIKkn+v6vjos+Vid
wD+8ZToTkz2FZXJPO3eRQevGvf5hRJLnUIO2/ZJWF1oujViMdIgwOogfnidehakdpP9Dgg9TjQgp
v0EFW47TFj3bwlWawDY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
enarOZZRqLSdokx00l/yrdbwVHZE+iAGChN3X8+ai4tHQi6+FKLUSim9B5GEcPwYS2mLkPj8A9rQ
5+AJ1kr2tDdusvxAzB/shFvbBXROK4gidDLQeNupO6hIO7r5vzS3kcAOkJO2aDc55fp7hixh/JA0
B5ocr008Ek+2uBgUbygMG2FCfD0pFWjISb/tg1djhmIcAFZG9kmWWc0s+zoo/kTSUd4KkXr/QOkH
ic6q2IKhR1zbYLDZIyB9OwtYBKnmV3gKLNz4lRCqnLkfHbIMkYgdIsFcnEpJiDoMsvseR01+aCP6
GWfqzKUs5VqB3KCuOzf8E3dscBl39FrAil+mPQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NInC2wOqMP8qjRIT3rC43wHF+spQmp4lMdNpY71XGeTlX0lnj6wSc7IAQCUvEwCsoD8M4lwDIyQW
CEJUES1QCK6RSSvTwvVQeBA4AxEIpaskNQxhoCUW/G4HQJtkJNx3CEIEt169GwQJQGnHhDLxfGpS
u+cWPD37eOsIEPKPYDo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n/eBV2B/I1aWzlinjPqs0AiVgEgxpVbGxbjLwPCaCuUjlRKvVii71Q//M8LWbnOjtRJh3iBV/FEx
4T5nzpDhXZdqlODnIgqEMa5jCYIjAB6EeS4jPxVGSA7iEqNBDhWlHG3rpa4eNPN3yBK1pHMbI7UP
Hji9wZRyEtGGFw5B11hN9vtAkMO2LkpNTGpgjYHkEwC52hYlYduDhCuZ3vjF8T70md/6IndKHOYN
1iPNKTgSWMqyyRqam9ZwHLVb8pZEd1PWRleL/jtaee1nkct5RizJO5isCLalUXAmpwA5DzxRzVfm
6HIYn0aBOwtEePgf/knEux3ax3FfU2Xf9P4+rw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block
VRl2IPSegGte2EEkvGTfMdA8J/7ljZkIqUO655TVXkaKszIQdAParUVE/q4nfRMUKm8EQmcEPW8r
Em7z7lcUd9yUjANQPGTUlEBraIe4wJwQOyyvvylIpotL3I6OpYXxNoSwtwFPJr04onHOlmvOGH1H
lzHTjBNJ9HKfj1Z4UGEA6/bDw7WC2Lw4Y5BhXLMY8u+1BZRV5DIW5OR41B5zr54DwrDuRpaQnY93
6AtfPSLcONqz+21Snh0NhQ7ycxPZ6Hz/af8HP7wS2lNHkOiLb6otttKwpt0M4WwwnGrB4iGZfsrA
YkbLwxaeo8WMaV5KVcg+tE51mTwMhIrd8ZTkGJ2TmlDobpXMZqeYogqIAY9s8qV+6zROdyUySyM+
YC0XDfCjgnirKkBajDHxdDGw5xGFpi8zPovHD1lDbyPDHE9RSDClGG1CIFOyQ5AZL4VM2LbaA6qK
CkSwhLy7jEqPrmMhyGNg3i8OKfVblnA0pazoX9TaXfqZPIlSCBoQyjTSIMq3YOwTNFQt2Knh3bA7
z1C/Xkp+Krd6zVrropiFDGxkMybRQTB/Q8niI/5ztwZsH/9V3MHV2QcbkAd54y1GMItBwxKz8wcF
Q47VJWqErHkdfDDEobskd06MEpmw4cmN7pQSrsFNMM9z7yXDASul1+DLnXM9CbjbX6zc4tSUiXiN
yNVXCrN1mtbwbRX+UsLxhpHnuKIa5h4sOvX3YHqV8de0g6T2VwmROHWvPWkyo+kCfYbXHNbAzeSE
crA45b1bQ5OIksefv0jsIUhsa/ZOPHay0MuhxznN4d6LshQCznhNTr7LgeteOR62qvyE1gxOL3Qt
ptfsXMJSZpPVXUeP4qKKwSZDXfc+V6ALd1RY3tiSxRjlx37HT6D6LzavjeUsR82AIvLd3avFWIkf
Qu6hAwPdTxHtNNv5FEIxWJ5q331h3DjyCcwrlbERIl6/6cm7xFbgo0ddO2145sZUxTRnGvZ0Ii1s
jLDMz7pWA9G35BZ7xi8stvYSlQ2w91jR+XLkbeY7Wlc4yVGnLhi1gzT1RBbRzuM9c/3LO10YUrp0
Uz+xQN1vGIIWDVkT13Jfkef0otPz5xR3sdXQaTGSWJhAwC9nlwhckQXuPcK96tbl0rs9yxoqpH9I
eAKsFuc/JbndWuVgBer6IQoPu3/KJSa+cwnsIYt5xNrH1MKY2WJj4G8IMYFl+2RcxnkIp2GkoOeU
OFFncKFkLjdLnc17S3d8o+LjHy7rvCMuoBAB2gE5mrJvIsUOSUo2oqh4P2JvLABjRJ3EPXaLgFUK
pmYuN5rrlYWYbbmhLZqW040Lew1OWg6hEu8FFg4qLR47vpgtLYjUfbvQpqt+0l3DG12xqpJMcdwj
0tvpNS93RNQfDkytXPBG2hZQa6Mw5y8TxTcp+BpwJtedtQPbW214FcAT6fkDLANKG7NNXFCRlv/8
Nl2/1Mhq8u78MIifW2iz3ZrqXITdoZdtJT8DqfD+chLy0jjiw/vinXUBSl3u31O1ECd2WjVH9joM
hlvjU4cnx5CxuZpJ2b0Uo75DmJGhJXQST3drzo40KlVfOwkIiY2vkLr7U3GNiBCfRKgWjdzFd8aC
7rS+3H9LeY3SCwD8spPBTK94BRI/43iYFkblg+bWDGJxJOHjjocgGI6okosepRxbketf/xC3nsI9
QKX9vu3URW618M9KMbpADmufcgmddEudcSbbEtmQY+pt0FbPGTNNkr89mvww2HXj5HyU7z5R1T41
ln5a3KYRh93pia2/vpc0OstNSq8As63nRBeHabbzM766IIyFm4qanaH9k9uFz9ROaRIKOQKoUBhQ
nk/qGbspmWPmLpzbnlqIIMISU6e3DBsUkKmirKssj2NjIWr6BCf3zhI7HVLe7jQXmu8cwvZynb28
b4FWvLt5UdX0mIZS3NBVZYQ/p/8uIL+rJGq5HM6DtDh4ShTAbUsAz8fnZqlzqzyec0pxmY8e7mOA
hLV4YbScR9mCZF3qck5+6s6bdutZ+tQ/uacKwxYniorwGmhEHY8XLY1hCfAQHg2CtIHDWU7sRFtk
ghqbrEA8z0J5Sn9MXZgnNcnhm3/fSKgheU95Rp36ymss2RIjT8/Zz22drQViSzQlVtIV+TzQk6J3
6CrlLhH6iIJNFE3hb46lvPD7OzyWAbtlL7rZJ+5KWJhfsDkk77j6O/Geu6AE90MuTSvwNhUeTcUi
OEGeKS8WW/Duc09rT+wNBBT4Zsk30ll9/EJZpQbgP+r/2WYQlgn08YnhOQIOssuwS3DpsLqCLnRO
u6tyuZh0wfwVAmfwBbpc3M/hcQbOSq43zUUuZaFah0WPuBywBYYw7Gta6sM9KMP+UD8g89pbqfxd
6vCBNG4uKWH/4ZcXBdUT78/guPCu5gDikrY/SFL1KiFzE9uk9S3gzDZDzCY9+YRjWC2cs3PvSKR/
hDs+tQ0wt++h/DASmcoOfMcsaPG72aX7ZCIxr1RNASa5lreZo+6L00SIvF4u1ke+TD7NHfILliMJ
H2GCS1HGnd962HGibUBfLTPwiNLqwgUTPqvEE5DpeRTW67gqlYZyYiC+p0T5PgyZb4iYRGb/fCFr
lgcghTJLpk/FpicS1xP84Mqy5bxlO1OcqT/78Bqjf0a5IBhlEpshg51u8K2W+d4b56aioXlIT78U
iP+UcHTSzyVOHmflh6NgS+3nFrlHS4n53rSKBRvxONtjrY+PM8wUVlQjrxU/9EJbCJIaSQ7tuFA1
xHn9u+XrjtKoxGSl+X+GjQ+jVYMihxW+C53HN3NNZrfE6ItRbqofvtc4IyoqeyPskotyKNQcdMWE
27NLl9eKWPoL2DzqzgTnmqj7gdmnKV1iifm4e6OsiBzJNMzpX+ByU9zmHNu6KLFrrjhYWgMXP0T0
5URhaTRcD+XLR/27WzDbwO+SuOsbUBbfg4Pw+KS56SFaVsrj2HwSIyn9dXjebXf9FMry/toEOliK
2MTBanOAkSXIqvprL8rsUQp9GQekcaAT9YVfMalS479qPvgyp+i+q984A2wSpHBF9o4zYXMDQuAA
RagQC3j7cZcHANj19Hxb4lY9takJFWlXiqau4EeR0o0GmquBahSZeh3o3ob1z1L0aD0qk95y6HrL
9Iksxz/E19hlU+Vyylk9y5LPJdGY7brWPomZ/9zqFe+iZK/0Kb/QQ/zxL67QOyysWOJDGLU6mU8A
ibNNAS6x1yCgLE/ABXeM3LsUbKerPVQzzpGomus7MoD47GpjamjCDpvdPuaN9w8VPFEAmFkdM3jg
eu9e082hZQYRepLgQX7uWJb3BwxidNzgNUq1SmJU2FydC7seeyFFHkNCpJOtgGcU3SfiCDpjFwdo
xd0gFgzfVHylY4nUU9kMvtUoeNcZGgvjF9ke5lg8Nkd0Sv6/TbPNkQ+FQ3Kqw6533FLL7K6kBxTi
4DfiwNnojVh7/YmuSVmqGYj0QFRaHbjlpIEs561b2FxitX8cyYgoyA6NTZfhItntIJBIqGt00DhM
OclUr4X7RE/+ImNkF6fcbX+TqihvIAga6y7ndep9SJ/CeMRZ0IY2t9Uk5lolmRN5DxqS9oT+g2ns
XUs8Zf5JqAdPQoqB0t4K+MbgWIEZ8Tw4+HGqMLg2FiCZtNNfmk3wBVP/geklvUHBnKQNKkWsXnwz
1Zcx5SVqOAqAL7QJOaTkcKmtBA1/yQ529TIc88PW7isurljI2Fs2riSBic9FgPskFWGCe4pFxejo
KYxsy4MM+1nVuZTvm8znXHoatPLJZmZip9ZPn+uatyWwm6POihEjyztcMMfK8Zq3Be9GdBFdjPF4
MamDXb9h5riN4zf5XV3OotgUhq9nTn2IJOBpD716SH5UJuEV1GHj98vo2VSLi6HQ9c5EO5a2IW42
mCA+RzL7SrtqSll2Yy+2j7MdY3LC2ze86LU86I3E26zl+Ii+zRNRDKvjQbYI6Xpg2EAM2C0Ls9x9
1V6zl/64J5yBERYYmyOcxk46TStZwJGaD0AbZ+PxerDaffa0sOEI5h1NUX4ohwBwhcNvnfENSAMS
ZRdXuiKOJ6Lj3i5DJWyP8WE9FEDV5FJSbgNmzPvQu2gc1jOQQV+dJKx5v9HMGhU3cfeeryblEHx6
BCMNV4+5pgP1VfpF5sZADOkL1divXnJGZSzjRxH1lxY91zOMgy6I/qVVPpMymBGgPMzpwrE26fzG
iWeXwbb0jnSfqTCTxV9tWC3DLTt9BmXx4c/Qh9lXrRCvyEdQvy9PK8ldgYM+ctIHg5WKe1s84Kuz
JsbrgIE3cgkG1T+R0Cc8wXeaZnWp9lp7zlXfYYpqyphNUXHPNWEq6vwt+ZlMrjXpGgWvlAPRwhnG
wxukv38xxMXdpj6Jf0bH8MInOWAynsVd+wfLeJ9v+fNzP0u+wn6298mhLlkaSp1WUnNgfGdiQSsc
WXUVNlKM1pLhWchXtF58zmP+AT9BucSSNULA6Ua9ZRr7E7sAjXdoSvfyf0LHm1+BuWs+V3CJw0H1
gMpenOkqLS0rButb1czQx0C7KVgf6PM++Cg65OLX1wxHEEzsNccVZiBMnnoD4ucGQ6i/uTbyQNF1
sEY11080DdKczfwTP/HiAvds7mU9JuKNGSRPqigw3qV687IOhk0BHoIJjB4EFP7xUC8hZSq3SRjb
emyoaqG1XZcFjGQtzhv4Ip8eqWvNMoolm44fyChnHXNCJEDbfyTFrtqznkNwkifseKbEGnRC4PST
lxbrmGlKwUJPx57E646sIMEVOyy6BFZPayGq+s3rGZ9GU0RaC0DeVpYtdgEmoRbmnRHQzsxP8Mmz
LqfiS+UCL/FPjHjWJfiZrRB210fdhNfApkqTVrUxHUcifllX99fBgCvXroJRsUJj6G4VHHGxq9fI
E0xp6Yz1C4/4GjQL9DTxx0cSv7aDMbsLdurZkUV5yNRkXxHPx4CRwb1iHAxPB7gulSDTJSbj+1+h
UvTIppziuu0SWkfrFmJeSw8C6sf0q5c6W9HGE0LUE1UJUuzWuM1kUas2QRSWGVcVDQbPBfJqJ6gn
E/fIvFqBC8W/IOe6aHfeAn4sF+9dEOwRZJgyHSW/XObSuaWEm/aZaKrSI3zzqkE6JOhkGD7CMWy5
TmsF/0CcyxoqAD2ONiD7HkSw9DfRb1NCAqvMkyk3+q5z1V0wb8KVi5reK+lojqxaq/FdAMMMZuMv
tLO4jLOtNsOIG2tQQgWJClF3R1KeMnYpJYZrLgMxuOTUM2NwiMbSkgMyLvPaLz8HGN6ufZIPUsVk
6ATbwfqgpIen1iSi8fkkFJwQgvr1Paphto+oWZMaGLaDctyMBye1Gj/qCNL3tYjp4lADtXj7B8jG
lRo61W3JhVC/FLfT+6YfGk7XryhMHXnHHD54bVj7Fgq5GPHUjI8PFcw2AHIVOcBwzYlA0FogmZZ5
ZSLknehLuAz4snoxaKL6RK4i+04mNwd/Keb32XB3UHSuHAE0JPBOQNSo8i5fT72QVND9w2OCa2JF
jE32Yw6PRSOICCS0vtJfsIOozcG+OiS8VsTfNK9sI4tyRVyCseske4J4128oanpQukjAhEA1F+5X
r7AH80ON7y16oXsEvuLWvX/7LJ4H6vf+YdQDpC/+s6G0hGsupRAROF990ls6EZ+6gPHX9DHinqcg
oBgKvGI6CDHwv50CZ0XW9M8cx4leTVsyQv/oEQYpooonl1JNlYLGn59GzBStcKh4V1O+c8weJP1d
3Z1pKaPO86GP4e2vqpVJjr/eRbk+u2I71cq/ZZWoBlm9oQACNK88bToEn80671/2P7Q+gagB5Flc
vHXxSx8PMfetdFw36vPx6bDniURH0dzI29qZMc2z0yS80RYOW0r7Xm+oIP5we0p5xSFkwK7SMV45
wAPnFmoniptNTIujzMSd8c/zEmgfyeswiaIHps7YkNj8WLLUxwpjis4ErFX0ODucQzCvETwDb2OB
OHqHcVyXcxnFWuEEacb5kPqGbXH29T9z53EgmDsba4hyPXdkbN9b3r1d1IeVe4pF1pYQvDz9awn9
kTVi2WRtUp3fXYTqH0iazcZ9BhEkVxyL/Rck+q2rhzWapXCQ6WGJsmUI73JpzOky9SDmNf1wZ5kF
QkzRJQAebbnT4yKUdvmnPcNYr1bqcKwUdMeE9gGsr67ovEwwkF8AkXXsp06o9qeSiSMuuvz45f0r
1jORJRegAP+dLmmVTqO1hoWZBnHElKbNPC/XpLXwX0r8E3lsRxfFRp7eH9HrHAzVI+RRZMCmbdAc
jHWf0oVZIiyRbmYEhun6e9N/fsx77uMbyCDJMIz/teN2uX3ulCTZ9SC6iJnme7LIKLu0p02BNM7+
uq4n+zklwVdZLZLhBi2KPaKSHedsIwxPVZ/SyUP9WQUpqvy9M+JArUx0HGKan785gkjz9sqteus6
x/9Cqj1imntEUHGqLrK7SMb/ZnAeLS8bisoV1QarJjmoDEUcY7DKsUdZIKLfV76+pSKU+7lRtLGa
FsdL/2Pc13oXBCA+BSdEHE9AJoHYH6TEnSJaMb7eNCyet71GmH/1m1TPSm1sN2bZIj1N/S2qS+CG
GhsOc6X/x/ELNcmGeqU3bm6Zv6erkucWq+dYFRTqcfEZpyh7lCxgWdjYpPb5VSpfLzMEOORI4t4V
xEO/Vr3XT6MEzKfQumSU2KFas8n7u1ryd7kI4JoTKbv10b0pXxi5TIAstkGGjKxzhtBrhQ0is4tP
LomjRGQKFTxDAwODuL9wjJCfXw+4DE9+ApAC7wBircaGc1JkMKY3wBK0REQWAPHVDvY1XUWrpIgG
n+JlP+sa/AoCHbTT6e476q0ZjIolMGy4/gP+CjNcUrcO532/CyeeHVZ8QU1cAv8i+9+u/kcNgsls
M2vscffFZV5Y8F10Hl212BAVK2pTomHV9WmP8EaECHZGWD4SUbltPPL3WONZJyqbnuHJcv9Ob7ds
RDfR6W3tfAYPlNDDSowGkfZrRzy9IB30D7wVJdCg7L+gX7HB7bZw/rz8OdXKJkFmm3gPrgIu7Tfd
TTvEoMCc6YJo7CNZOtDK6CJrisk1FJvt0hFsYEBdLuKUeZ+bgCgE5sfkO7SL3dHQFZf7uWpxkgqH
dAY4Wc4InXZUx7DYsDft4mTSrxHgG5TgrH4okuvjszFlO5eHNeY8+sy+VeIfTAv5XpdllszbYUmQ
f/YXNX/lHYAG7mV9mlGge0pILr4tnXyu7UTaIL2p06yZO1mpIzUZOzCrNXyX22Qr4PaXXFkXiN0O
ETm0m0oa43QuoZ+ORo9I3KBH8A0ujoVlrf9w9hPXTBGm8huXgSXhiS1QTqHtZ6IXHWpOYqabcAiQ
nceAKNe6PntsXBU6O0AE3xGwQOPbiFLLnoFHb3rtWzwhzJU7veTr3AndSIK11mLfSDFA3QftDoKD
WC/zKOBmIxlSwxQNTnNXDOoLabMMMFVEjf1bCHM56Dl0wloUr+W4cPcNDtIndQMsb2vnkZhi3fCa
8JWWVDSGYB8L3QTKp2DVGmDPLs6sS80G4COLk7t47cmopd++9ab52GRE2r7KtsEyRhALxtlxJkzg
WViNdegbXHmRaxPVYGiDBdlwjUQUPZ1LR7s2wpBlsyuC/BIal5sxuBeJzxQkSjLcRTOo9RDiNFiF
vgVV6WwESLFPOOZiy4OMyIbRB9eydIqOe9wE7vsCikjiszddf2izwUseXoN9t5uF+nvhZeP/9Vbk
z3f1YyFeC5viBGwR3Sf10CqUUqe5cOIfX94NdEfc/OZ6rKpl+egNLecFRwF6+/MU9tIBpJSV9bb/
X86hP4ZS16Grf5MZuRwAOz2eJ8Pquy+063nGIw5fC8XWZQmuKKeShiED+OMtuq0P4MnPahsjIkc9
Bl9Tk8OEXjBbeWYTA1hBMEbdD045DQQoDoUWysqHGK7DSjYEKNFiizp9GOX2V+Ip4K1WM7auM5c4
Vvq85N8u9plPTSfZcJCTrlJ0KEPqT7nQ+YTE6fOX62AibGwIfi6T3qfP78nUpAQcnQ+PtgWkwhp0
EbSgmghk1+vfTitCJoMH/GQJLkyjvf31LqTnpj4IAbgIGfYQFtccwBnvGajOtRQloKP7bZwVn2UD
iIPcFPfUFOtdFi43kFG8iiLiURBfWS5P/MINDvBAJKVGfnXvXQHQ1hsZCwexXVUfkxFPIrSQP9ZN
fc8bLF3mb1nFgSZ9DVOCXThNnON+N5dD8xa7aFmNu5WdkrgaskZrc4ee6pHjIbUlbMtqhmlnIESA
UwHNMJnhOZibyYQQI6e4R0twwJglrOmXoPwWndxeyPwQRJdbI09emtew5fSTQAo2LYcrqWvo0muu
3hnrSS2xh169gPt+ZpmW7A7OsSwcvwHQq0mte2kujBuPIG5adFXxq+PoB8dZGQF1exbFYQaUPgaF
n/FhA+mdFTHW3MVyHZzMUu80RGKZRoiRGSVW9mX7I0Zb8X15wgaSTUn5yaU5MA9wOYiA+oeSyLJm
rlYvwUgcPg/luUW+afbwHTNMn+77kWfT0Wn/IM8TCDgx21ANl7BVS27bs9Iwrv6nuhrmSf2IGGKW
x+3IIDcdpNRhv0oWSAg+hUwfDzP16laqOMyp0IDQGox7/RAn9QCXyhugvbvy3Jy1r380A7g0OmU/
+0NA0SD0OpWMvkx2nk2W5EjOYCAAOO5TkPhIC5BupRHMB6OAIM4vgiDQ3yGzT7GrKS7Mdy2tjXTx
KZhBzXczl2Oo0AokJ4/UgaRmVgHC8XtNPqI7blLKA3Ki8kiQwP98KjcdFza31Y2VWMjnF/LtfOem
57thfx/3i7hqM25m3ksajNPk0J6UBWgUzDcnQtlRLvydnsd3esPVYv4OgcHFc1t8Vu/VIQPbOEhw
eam7xvGFgF62aH+pbukccRhqcmWzfBbUPoMUtoy56yLXpQSnPyO0HH9WckBF5nrBYVQT3EYlEoFX
XOlXenK2ZnL/gYbQGYPK2oGHHyamxeFhDlLwKK5EppUO4PObiiZSsvfmQ5YQYyT0DmbiWaXMSoE/
CnOjkhGNBhDnSnEM5DT/f+jQVQGi3SH+evbCtay+ORoNOYEooggEZqxH+FZqPvBn2d7pwvB5IxyK
Awe1s94CLUplUnQth8MPbil0uPYHFFIZZrVHobvW2XarZqz87qZXNdS9Us9JbPasvc6DHY+39p/B
BVma8ECQbQvwiK65WyR/uiwjgKMrOM1AnC+iU6hPLBIielT8t+ohjBb4hPc77uIM296Np8c7oz++
HUosl04XkKAmk5zFLmlKyy9i4mr0e2K9jZeTnz55G4UjJPf715XQ2OO/dxQE3GEJOctc3DNrjsRl
IPDNjNfh+1gzQzj93PJRbmXVWZbGtfgiHzG2nwbjSSPqOT66JGHxAqUsuko0SOvriTZ+0psINce5
RkdHW/5Gg+ar29tCzAZicolWxxt1F6fidGE9Q+Gyg2dHsgIumxqfv3P0upibb0OZQrMvRbJwKyKe
OzkZmD117v17tV5VvmJYLpnv2rVnyLsqNGEUT+hiHL2UZirc8D8CxEKDNDZd60iO3Y1AHFl4fjbl
K607BtKaZvzfQrhNnbhv3lO5+4X/+N458bOEFU/G1ceS73Ouxwhd352ZjR8DzWTqva8g627dDQ1R
AXDTEO8bUiNHSiRe9W0KGueDpTbhnS9D1kU8awMYGQSfAh9wQOuyH9eKuR6eG6a81IYvZj8ARF/k
JbzbSL9h5lL3EEFzt4sJhiwJjOEB6XTTBgRe8wZe4xKqE3o2aAu4ymqhjL+YmUgQpYwnuMXupBUg
lJxbgX6Y3r7tSVjGlszZ+72QsArXRI4CDExCndB9FAIzz8MCepVV1aIIfArdA6geKJmT9We8B5bW
xzfj9GULWPdYU018Nyry/6rb3XS8taH6TQq/qTtSQbW0gh9BTa90S7pSfxOybMit3IwKAfAsiozm
2hk6K0uT5yR7j+w2VwN13+WqwVbTz06BCLwrJxQP/waciLz3O4nrsYMKMkoFNZFA9FoZUVMOI0Wm
zStyHUG7U4QCLSrJ+20Yecbx8g+YFAIN4bwbKuIMcLiugdSpPEUlNkfjz6J/1YA+JBHtWnzIap2z
Ov8B4OOlo6yl23qDaYzBY2STLnGKZG5IPsQXoLQoAQ+seDXji/w1hGcNWdp40MGukKo/FJ/QisWv
fobKge9ErFeuekaGIx0MQSRkVpS94peUJ+sJQkNAzyz5XyxFh5D908Hq7iYGqtRBBJmmmbr4ATO3
ulUiYRBnclYMeMV2SnzClxwn0sOSP10G4FgRIIym6P+Zs42oBTlNvWXbLDh5aeaCqRuNvV2xCMVs
7vOO2LyBPS1ape/BmiA+B28a29hqiBEAYn7cdK4PeTpFvIt2G+HpkLQW9WxbMuXsrO+r65hm2LJc
eDWSiwj+B9weR9Q0B4FnStUjDrQHK5Pj/0f9OmlpWBE61M3qNCC2f6nLaYVc9uQhVObi994PXw+G
F8kGFZQW0K8c7S8Mqx8R5xJv5cjyKo9yxZ6Hd6MdJsHKeE6fMne4K/3pfV7+M8jd2qgpjLrwxCpP
L/Sxvm+M0zoI/WnvSIScIBOL9brYG41yvtrDyeio+7kciJMBZAxQO5r0P3XiqiApWF4MgHokPCGG
XSKXjh0gT0R4nqLW2cBSAhXUsH86qmcm8V2nr39IwI7ZnGdwBEHkqH8Uaz0SGM1o/UXteswD6N0+
JRGw/5ympFyqTWPDl71lazpvc7oAfuugcFJQOy5ulXmIfPPmdLT2kb38eSpBv+Kai5eGtajB67d2
p2g2DE3asntzqODzuq9lNuBawpCireCsl6lOgb8PbskJ/0NsSjg/DF5j2GYwvzggm7kSS3XTj9x/
HLbfL9KAKB27+uaL+sXqJB8BiEblk1xiHQuso0Ld2HBU/3A3CJEQfqGXDMCjUt+kI19nXqAEN8CM
u07dWsBG3ZmpcL7bUi4GtxoMHjD6lZhRLwme/x5Ls9/K6m53aAMeVjN3Sgh0iR/hhc/iglh3LuAp
OHoqV5LI6AIgy1q70tw6KjaVaSMxZMQK5MM+gudymqYZjA4nQxBvsnDc+ULg3ZhvlYxTx7ZoDZyx
5K3U35EjNOPzg0077mQdkl6RflnLOYRi70VrUfLNL5ES7VREKnfv2EFq4pZfMAeggODH6ESNrF4b
LNo8CT0ve0sO/XRZon/ZdtN5BYYze386tgMFa6qLo/Ul0TG1UqAIT9jbdIUOF+ITH1OCmSbwY9Na
r+fRXt/oHae2Q8snbIzxWbDyrYkpDYkrTCDxVCWZVWED5dnzVRsFefda4LHpuKtINH5Fs3i6T00S
aFvMPW/6BxyGTCtklz/Aq8AtI3J2+uTD5FG2CMPdG1XcNwWH4WQz/pSr3DwK/jqDvv51r2tvGTSg
hoebs5QRK6LRelHrRGbJL4Rnqosjt+dROuOFJFhudwwMfAbyuh6adQAuNpfs3EFFRr/U25RJkGkU
5y3TdMpnIWsLgOehcr/r7p/0Vyfxsc6haAigQFDT9ocHJzCN6Mhu2bOhJrt4AeiiZVOVpZiWgatv
ayuLgF6ZKLNin2BOVCgzHQSmg4XCOGI34H/zMot4Qbl/fcno9TqDA4N+71CDxBp4rQsB4bqmAgAE
GeGh4dW3wJ/T8jFmxjjcazp0mjP87iTJ/ghPGiKJ9GfjsQIrfDiZiowfL8e1kkJFtSEepLky2Pz7
Vg6eCq29gwWzw2t9nS0IuzE0Xj7chhLv5yhG/kvJfRpJh3spXtqzIMeEOe1HCT/OPTStOIawjtN4
tAmEQulX/QR4YiGPN2HLcUQ7B1zJ5O3xataT7wtkRBLQsoxiE/bkP74qEuzopVTpj8suixsEiZ2J
+kANey7dxKqclQ5rIW01cFk1O6uNfOSuf5oE+r5hqODXgxvu09RjIhPT3IS2Ucfcrq2AUA1LvyI1
YuUzLSSUCdAD3vcstgRMrTfqCuLHesZfbZhvjzRFFtBhpSK8kkkUp7PW0F2FWwG9qkr0lB8HexEZ
DjoV5YY8N2gMxTWZHlCr/XVaNRyBxvo2re9em/eXiZViZHWmQHLa35KLuEshXjYErQK8bckcW5HD
NZIvKWcFtz2SaDuVPpoqqumdT7YgpKeTfUORBZagSiBRS7SOUu4xwIl/M8bS47lwuTm7/tXOEWB4
CLMnpwDCI71Wq4K73ccwkValTGlYQ9rh1yd1k9J+MJ1ykgG6bZ2rmRPG4HK4HDfWDEu/k4HWDpeT
sIvldIxwDysqMEh//eHfcNrsx7+/YsZeK2vpV3R4BdyTWsS0sjg8LUoQ0/c8M+23a5E7vnYaaTyp
8aEzSjy0oi4C995LGwnEbZ3FUi0k+pXma96lvH2RTUKfK74/kmaWc+MRnA8DJLWvjy71PeGtkOxT
rl952TgGO2aQ0bzBLujPfr05zzgA7j7FbPmbZs8DJstTiyvitlFj5V7rtM3A2DKGeO3UfW72Pd0i
QNIzp/2Un0++sjiKg85Z9qXJLjAJ8UT7JEREP4mp65QdD1TTYeL5BrDXn0UaKe1i0kNA3IftoqPI
2xqkasHzhc6aRU77DSQ25Pf7Xz3uzrs9TYKu1RG6PVtBS20OYPo0BhBRYrg10kTmQsiGXMfe8oGz
R3sIZEzN1qdQmcvF8hV8W08e4RlqV8riD5WTcWF6u+w6qdLlfqPqm2FvUEPPtMTKXPYwS5wAA8O9
toETOlyrxit5M6qqvkKQlwuk9Rsga/An47cg0wW8Zt9yyK/ruRqxXjVbahSfuQoYNxYNDC7zAUsd
pQJ7kjYJlGCBb9WhzydEjeQ1mbg1pnru0UUt7BPmr1xZw5YTuVuOTyzzKRtIZa5fzOC7V+333PP5
ROidLqScom2KcMXYym4JjbjDPpy8tZ1N2qzd39z/YWI0JDQ6nH0FroULZywBZRjtUH3Ctpgqb/9l
AupEf/qbnJbgnBlqEhv9sB4WxiRzGdmURpdy3OInLdFLeDtflYDken/2il8GQZngDFK+X4V2Sg1A
odYwJZKl3I3od+iJW4AB7eB51omFnU6c5naVP5qfYrO35nj6VIaXDF266iScFj39YblsvK01UuLU
QyAxVvTVl86lAWGClgc6UjPrRX1Et5FsrCs4v4GYdUcsol/OZNm3xItC3qCs4jR8rq+FOL9UObYy
C6iLoTUdf8L9xHCJUChIoORbXd+sl3jEGiiJJRWCVle1Bjag2sBcgSlymXj66QlDFy94ODSA01m+
c3O+uTtg3u37qqeH3ABV9vfHlH0Ekic4F0fiyGW9a7Dm2YgRsRI1cDtDABOSs1xPH0dIaeIu66kY
bI2mGQwh/wT+0cnoVt67/ToiNyZdmdVyNmQHG1cOSRQW/n+zXI0T1pELK5fLya77GwiFrJORgasl
5XSHY3CAO7zKtzrCWIz/U27KvUfB/GcHqTAI+ISKQXnx4EKHR6JK/CsfWJm4ZIbqGK4tS3237o0g
W+tjy1SBnaWAWbTPbbWyCU0mtPs35fEtwo8K9L8AyfvKwc0mLAPwGbM8S+8WdCKtgSO60J3viIxe
YZ37yLAO704UcinTumoCuZbdyzwbJuQqQykvTK1r89FT5Lm1G3EPlXoQutEz1Hn0x946SVlP1+Ww
ycXwjDbSiThqlRBTWKtSZtyfiHK7aVsIdyXJj+Gqd2jQDtuuLR7I1mFIqF7vcKt5E2uJia1M0dNf
2Ck0LSxtU864VBjiQXpD5Z0eM0dZRD0vbIFgTeK2kVHclVODCD96xxj6SZjkcopHwhUAapPoule1
foJ85Op2VOmji/54aNULFY6Mp65eCiC7Ni+Z96VjUoq6qVY3tZviW+DYeOnQSQzlqrJrqex2iBjj
3YnMaQBKRzt0Lx0Fr8E1KLgDOCTt/VPoDWWFY6RNEigyGHxaaWxKUd+HLcG/SH50nAuzPfx5a8f3
vgAZYhVMUe8tKb1tb7qcbP9YcbOgxkBOUdPcxJDyjX0xclBB6EVBcOncuMUnLcDl98FtpnpJzg+Q
I6JpY8pdHAAZ+aC/d7aoxFWiA/arTPgpBtMBRH5kZrt7h5/EAp8Ixodn96B8mGYUqmHAhF38W+/Z
TPLcdVj/GQMjWuQqEhq7EjVcGykjn56kT8iLE/ccxr1E5lyd1NcZQ6C2V7bOVLzbe3CciYRWcRcL
uY3cfNxR56IvyBXqFZ4Dib1xNrf9W6dx0A9xrCAvqdyTIdL1fNaMQo17NR3wxnUdCvzSoV14VFSa
jv4k+Hl6HdqvcPRbCy0TgjxNpER3+Ir5hZSgpqQypoZxn8jo3Qd8F5qeQdrpleMdIEanYCc1vwKb
dTzkNEUcEYXZ8K4N/7zxqf2sWNq2mxKL9ha6rAmEOJcCypmz45RR+s1yCo3793z2XADA5WAxy/Xq
Th7hrBh/O/dtlydzsEUYDWTcrr+KJo2IGvYuSyIvBdTywi3s00CcyHJGafwrxu7F9EV96iAYSh0n
NgQ7QM4KT5TjZAaMYnKD07PcMtu1dZ/Nnhbib1PmHjmrc3Ksrh/smzgoMDPYkvmAoFxJwMzZYukG
wKswohybtFzeQ9LH7GzJMbqdwkAJRj08nW5SzhC5z6GCX7oVIiqyRVf74s1mYpMsCjHW9iOWA6e1
Esu5yPgNvHbbS9AnG/2sRg3Ka1L/JGIoWrHWTK2Xh1O7tHRYs2rAjr2IRBzti7CB29wxSmMsS2NG
TR/UqjL3CJypI5uhSOQcEg3BsWigcTYso1+NBPcSbq/QRPvwhYhn757LjMpdu5385RwGwaHKmpIY
GMs3Hl2aYCutz0T2NCWq/r9nXmRJr4CrcrOak18vQwX2TFduaeCv0wTasMDCpExLJbuLcPNYX6ih
BcOQdQ9MN83s5dFzumN080xzNS5PawwcrGKdISaLmM8wpglRd3bFZTKHpuA4UnY3716yU8f70iZt
pL38JyJRxfM7dzwCF8ZDc4Vt+3Cd9jhgUkofno3hoaM/SVM80PAQzexQOnUuJB6nqNCOBPRUft4w
Ip8me90aDI6Bup56CcdC5z0+lPdPr2dlhDUFAck2GccLy/x4qBPRI4oX7oJM1ksxIkB7nEf3ezDv
iWHEH5210WU5tudLN6HmIthJOEwYetXnI4WAqRWMmSfw3p22p03yQP5W1gnLahaBl1fIP38E3mOa
27fSWR1TK5iGUOYB/8eZW7CFhwYqi/H+nxKQJuxSkXE/uUTyL7UYIb3a+uL3D7j+Ej4ljgrHon0L
MILg+Xo9InZjXJ5QY8koWmXGb9T+IhJRDZ0SXOcdh4zyu1KrqVaexJgrhIK4IkJobRcCglZdGq87
TbqbkgF9qlXYYi8tPoXW9F7/s3I+RlVzy02hDNCQe4szIDjDJ4kgxTPY+U3ZgXY6ijPgCPk0mnBL
XRKifGuuSG59JX8rutdmGUVFHXuH9i9eygydyjQ4LYwbl05R0Ff+RM4STE9dv9MCE7C2yQBfCy2h
OCQh62VLzCLeNjO63ROak9u4cEbbZxiu66LxslTrHaPUpOLhcba1sO5BtT7L/SVXBvnfHgBubY25
04vE7bhqaCsNFXitjTMzEVsI1Rv8VLnmykogkQzcRN4iYQZmvGKoEXcumA+eZJkzsGlSd3eLfgEK
JHXDgCy54/ih7sAmjiTvrHMrwhmlydgiJvkmoBKFpTn0PTr2r3aXW7A8Xyy+V5jOg6TR1nt3dmQd
U57wnWKsyedK/SZOJttUhlxzezP8F6I/5UbyPD7Z96JlkR+eIxJ2rQTdvApiv2e436PSJAGuDQG/
Yc+AXgqWm/ZiBt6OWUCWchTmZ6Zbs41xNlpLJflRCzgMKC6osLQXn48vI/2CScFleAdvV1p0rCsP
CQp/1jaGH/bdcmSndQQ6HZ09m4sMa8S+kEwfRQye89H3Fmu3eBusClef3rINi3sV8+JF4u6uLGMh
LArbiB7nDGPDebo1DfL5g6MVrZPtB7kJoVTCMiBgifKSqp+V0CtHnMhJQdte7yDMc5SuvzeAO8hx
/A584p9sdg2Rv8vVFeFfnDffun4PPueocM8EY1dy8627gSuUgBhDXdmpYx4uWOdCDXCxgRLFTdfQ
YJCph64yu12ho33d5v8HLJDlbI6r70aXrPTIn4u6XZkqFZs7qv+NlT49IFGFjZF+n/QOeQM1Gk0v
rfUTbcdZgLjD4Jk1+G/M6stIXT2d6HZRiUtqdGQPfnUWSOALyGCi6jNsswEYJzfGKFz/F36WVjpL
06f6vkjNX6Zbe9D3VU1VphZtp8md0jm/s1iBhUEn9leeExFMoYClIRCQIXaSVgjquCx+xkyAsDqa
oRt6bJOxCO5qkXvO7m+RDhYvu6s6hyDKX+cCsmwBa0BXbQ9qteT+k9ga2h/+P8KojHK+0JhP8qCP
IY4HEa5It2jipiFQF4brAgE89U411qsQaPkbBoAEZkrwXHik0YcpZy/677mkNxwYCtYdIj4+2F4g
t2rrz82kEBKQc8XJUDasxBdGijE+yjbEGDvihbLRki6pAuFQu98O8DUU/JPoKxpsaLBZqizcCywr
z2j8jcTAXcnEbt4ypE72eafJV73Xi7Yu1uZ6vXk+/1Gakmxa+eKdYOTizaqV0WSGlOYY7QHhG0Gn
7Kw1pgYqq/but5RJZQoQ4qQX637q3NDPcB+Hrj7x3HH7Yk7z5vsLpcp4GIhZ6DUGWSKdUthU0iTr
3Ese0WgFBlM754fuYPtcGRBEG4RUjdmyxBQPFTZeZiw5qr9uUpLeWTOa9ljcBRELJlyGnSOTqJjI
rpPLBCGYNQ7uSpvjY+1uyxt0JnPVUrEyMF5zy39C/FPw51iL/pVuIzzLaPFmVtFAys+K53bukIeT
Jli1lA0/wD3cXo/QCapmoIneAqQBtO4KwG28AVRE0HtWhnggT20kgIR96ugJ5XeMD/Jb9GY2esKD
deNcABh0aX0i5PM2J4Qkh1cIYd3IWGsrRp6b7SvMvH8ZOThTdz4q7sER7udWjBfMlEV90hoZ96ED
TQLc23sybCJ5Nq4Yuma1MuyNqhjVkP0SZ6p6G1rb6BynyOTNpu9/pCSBjXeOYcATQM5Mm/AOe5zB
cVj2cT7a0WtPqgmrYOnGJbYOPuNWrm8qv/RMLUuIUFEFComEVIpvcR8r3oEGAG5y/5mTjtsg9OnE
cmcPv7vTCua2mpYOMdJHjyqBFbcGXbkzJEIBhQdELw+vNMkfQL1+1XuU8ukDLC0YC7hKzQ6K1sHs
9oAlvbbT/C1bemuB0gwCyEGQT1rC4LWXCbKV9VixagvQtkPQcxqlxwVrym27McWBvWpG5h0083bV
HItUFs8jQPB/Dse7z3kXUuSPQAw3GDtje/m5+Ana8J+kVq8o65KocIb9aM0lPc1TiOmdvQnHX1cc
yF8CAoeHphhU88KXwYHGcYbLPSLycKzgA2LXCGgadzoVc47Bql161l3mPwGVb4WHtHcoaCVoGv21
ZloTK9KTfsT7LhhY
`protect end_protected
|
gpl-3.0
|
sukinull/vivado_zed_pieces
|
axigpio_w_linux_uio/project_uio/project_uio.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/lpf.vhd
|
23
|
17838
|
-------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library lib_cdc_v1_0;
--use lib_cdc_v1_0.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
ACT_HI_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
-------------------------------------
ACT_LO_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
asr_d1 <= Auxiliary_System_Reset;
-------------------------------------
ACT_HI_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
asr_d1 <= not Auxiliary_System_Reset;
ACT_LO_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/process/harris/hdl/pipliner_7x7.vhd
|
1
|
8678
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use ieee.math_real.all;
library std;
library altera_mf;
use altera_mf.altera_mf_components.all;
use work.harris_package_components.all;
--use work.harris_package_variables.all;
entity pipliner_7x7 is
generic (
LINE_WIDTH_MAX : integer;
PIX_WIDTH : integer;
HALF_WINDOW_WIDTH: integer -- The total window's width is :(HALF_WINDOW_WIDTH*2+1) : Should be an odd number
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
in_data : in std_logic_vector((PIX_WIDTH-1) downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
out_data : out std_logic_vector((PIX_WIDTH-1) downto 0);
out_fv : out std_logic;
out_dv : out std_logic;
enable_i : in std_logic;
widthimg_i : in std_logic_vector(15 downto 0);
pixel_table_7_7 : out pixel_matrix
);
end pipliner_7x7;
architecture rtl of pipliner_7x7 is
type pixel_mask is array (0 to 2,0 to 2) of signed((PIX_WIDTH) downto 0);
type pix_out_signal is array (0 to 5) of std_logic_vector((PIX_WIDTH-1) downto 0);
--type pixel_matrix is array (0 to 6,0 to 6) of std_logic_vector((PIX_WIDTH-1) downto 0);
--type filter_matrix is array (0 to 6,0 to 6) of std_logic_vector(RESULT_LENGTH downto 0);
constant RESULT_LENGHT : integer := 64;
constant FIFO_LENGHT : integer := LINE_WIDTH_MAX-7;
constant FIFO_LENGHT_WIDTH : integer := integer(ceil(log2(real(FIFO_LENGHT))));
constant Ix_sobel_mask:pixel_mask:=((to_signed(-1,9),to_signed(0,9),to_signed(1,9)),(to_signed(-1,9),to_signed(0,9),to_signed(1,9)),(to_signed(-1,9),to_signed(0,9),to_signed(1,9)));
constant Iy_sobel_mask:pixel_mask:=((to_signed(-1,9),to_signed(-1,9),to_signed(-1,9)),(to_signed(0,9),to_signed(0,9),to_signed(0,9)),(to_signed(1,9),to_signed(1,9),to_signed(1,9)));
signal fv_signal,out_clk_dv:std_logic;
signal widthimg_temp : std_logic_vector(15 downto 0):=widthimg_i;
signal sig_wrreq:std_logic:='1';
signal sig_rdreq:std_logic:='0';
signal line_pix_out:pix_out_signal;
shared variable R:std_logic_vector((RESULT_LENGHT-1) downto 0);
shared variable Prev_R_max:std_logic_vector((RESULT_LENGHT-1) downto 0):=x"00000001DCD65000";
shared variable R_max:std_logic_vector((RESULT_LENGHT-1) downto 0):=x"00000001DCD65000";
shared variable conv_value_x,conv_value_y:signed(17 downto 0):=to_signed(0,18);
shared variable conv_value:integer:=0;
shared variable param_changing_reset:std_logic:='0';
shared variable Ixx,Ixy,Iyy:signed(31 downto 0):=to_signed(0,32);
shared variable cast_36_bits:std_logic_vector(35 downto 0);
shared variable aclr:std_logic:='0';
shared variable Ixx_vec,Iyy_vec:std_logic_vector(31 downto 0);
shared variable mult_a,mult_b,mult_2_a,mult_2_b,mult_3_a,mult_3_b:std_logic_vector(31 downto 0);
shared variable mult_s,mult_2_s,mult_3_s,comp_a,comp_a_2,comp_b,comp_b_2,add_a_1,add_b_1,add_b_2,add_s_inter,add_s :std_logic_vector(63 downto 0);
shared variable comp_s,comp_s_2:std_logic:='1';
shared variable pixel_matrix_kernel:pixel_matrix;
component scfifo
generic
( LPM_WIDTH: POSITIVE;
LPM_WIDTHU: POSITIVE;
LPM_NUMWORDS: POSITIVE;
LPM_SHOWAHEAD: STRING := "OFF";
ALLOW_RWCYCLE_WHEN_FULL: STRING := "OFF";
OVERFLOW_CHECKING: STRING:= "ON";
UNDERFLOW_CHECKING: STRING:= "ON"
);
port
(
data: in std_logic_vector(LPM_WIDTH-1 downto 0);
clock, wrreq, rdreq, aclr: in std_logic;
full, empty, almost_full, almost_empty: out std_logic;
q: out std_logic_vector(LPM_WIDTH-1 downto 0);
usedw: out std_logic_vector(LPM_WIDTHU-1 downto 0)
);
end component;
begin
sig_wrreq<='1';
G_1 : for i in 0 to 5 generate
line_fifo : scfifo
generic map (
LPM_WIDTH =>PIX_WIDTH,
LPM_WIDTHU =>FIFO_LENGHT_WIDTH,
LPM_NUMWORDS =>FIFO_LENGHT
)
port map(
data => pixel_matrix_kernel(i+1,0),
clock => clk_proc,
wrreq => in_dv,
q => line_pix_out(i),
rdreq => sig_rdreq and in_dv,
aclr =>param_changing_reset or(not(reset_n))
);
end generate;
process (clk_proc, reset_n)
variable x_pos,y_pos : unsigned(15 downto 0);
variable counter:integer:=0;
begin
fv_signal<=in_fv;
if(reset_n='0') then
x_pos := to_unsigned(0, 16);
y_pos := to_unsigned(0, 16);
out_clk_dv<='0';
elsif(rising_edge(clk_proc)) then
fv_signal<=in_fv;
out_clk_dv<='0';
if(in_fv='0') then
x_pos := to_unsigned(0, 16);y_pos := to_unsigned(0, 16);
out_clk_dv<='0';
------------------------------------------------------------------------------------------------------
elsif(in_dv='1') then
counter:=counter+1;
if(counter=(unsigned(widthimg_i)-8)) then
sig_rdreq<='1';
end if;
out_clk_dv<='1';
for o in 0 to 6 loop
for p in 0 to 5 loop
pixel_matrix_kernel(o,p):=pixel_matrix_kernel(o,p+1);
end loop;
if (o<6) then
pixel_matrix_kernel(o,6):=line_pix_out(o);
end if;
end loop;
pixel_matrix_kernel(6,6):=in_data;
----------- end of line ----------------
if(x_pos=unsigned(widthimg_i)) then
y_pos := y_pos+1;
x_pos := to_unsigned (1, 16);
else
x_pos := x_pos+1;
end if;
-----------------------------------------
----------------------- bloquage et débloquage de l'horloge -----------------------------------------------------
if (y_pos<=to_unsigned (HALF_WINDOW_WIDTH-1, 16)) then
out_clk_dv<='0';
end if;
----------------------- blocage de bords spéciale pour les deux premiers élements de bords ----------------------
if (x_pos<=to_unsigned (HALF_WINDOW_WIDTH, 16)) then
if (y_pos=to_unsigned (3, 16)) then
out_clk_dv<='0';
end if;
end if;
-----------------------------------------------------------------------------------------------------------------
else
end if;
-------------- changing widthimg_i parameter---------------------------------------------------------------------
if (unsigned(widthimg_i)=unsigned(widthimg_temp)) then
param_changing_reset:='0';
else
param_changing_reset:='1';
counter:=0;
sig_rdreq<='0';
end if;
widthimg_temp<=widthimg_i;
-----------------------------------------------------------------------------
else
end if;
end process;
out_data<= std_logic_vector(to_unsigned(conv_value, 8));
out_dv <= out_clk_dv;
out_fv <= fv_signal;
pixel_table_7_7<=pixel_matrix_kernel;
end rtl;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/component/gp_com/flow_to_com/flow_to_com.vhd
|
1
|
7785
|
-- **************************************************************************
-- FLOW IN
-- **************************************************************************
-- This component is connected to USB Driver and generate FV/DV/data as outputs
-- 26/11/2014 - creation - C.Bourrasset
--------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ComFlow_pkg.all;
entity flow_to_com is
generic (
FLOW_SIZE : POSITIVE := 8;
DATA_HAL_SIZE : POSITIVE := 16;
FIFO_DEPTH : INTEGER := 1024;
FLOW_ID : INTEGER := 1;
PACKET_SIZE : INTEGER := 256;
FLAGS_CODES : my_array_t := InitFlagCodes
);
port (
clk_proc : in std_logic;
clk_hal : in std_logic;
rst_n : in std_logic;
in_data : in std_logic_vector(FLOW_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
enable_flow_i : in std_logic;
enable_global_i : in std_logic;
-- to arbitrer
rdreq_i : in std_logic;
data_o : out std_logic_vector(DATA_HAL_SIZE-1 downto 0);
flow_rdy_o : out std_logic;
f_empty_o : out std_logic;
size_packet_o : out std_logic_vector(15 downto 0)
);
end flow_to_com;
architecture rtl of flow_to_com is
---------------------------------------------------------
-- COMPONENT DECLARATION
---------------------------------------------------------
component fv_signal_synchroniser
port (
clk : in std_logic;
rst_n : in std_logic;
fv_i : in std_logic;
signal_i : in std_logic;
signal_o : out std_logic
);
end component;
component flowto16
generic (
INPUT_SIZE : INTEGER;
FIFO_DEPTH : INTEGER := 32
);
port (
rst_n : in std_logic;
clk : in std_logic;
in_data : in std_logic_vector(FLOW_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
out_data : out std_logic_vector(15 downto 0);
out_fv : out std_logic;
out_dv : out std_logic
);
end component;
component com_flow_fifo_tx
generic (
FIFO_DEPTH : INTEGER := 1024;
FLOW_ID : INTEGER := 1;
PACKET_SIZE : INTEGER := 256;
HAL_WIDTH : INTEGER := 16;
FLAGS_CODES : my_array_t := InitFlagCodes
);
port (
clk_proc : in std_logic;
clk_hal : in std_logic;
rst_n : in std_logic;
data_wr_i : in std_logic;
data_i : in std_logic_vector(15 downto 0);
rdreq_i : in std_logic;
flag_wr_i : in std_logic;
flag_i : in std_logic_vector(7 downto 0);
-- fifo pkt inputs
fifo_pkt_wr_i : in std_logic;
fifo_pkt_data_i : in std_logic_vector(15 downto 0);
-- to arbitrer
data_o : out std_logic_vector(HAL_WIDTH-1 downto 0);
flow_rdy_o : out std_logic;
f_empty_o : out std_logic;
fifos_f_o : out std_logic;
size_packet_o : out std_logic_vector(15 downto 0)
);
end component;
component write_flow is
generic (
PACKET_SIZE : INTEGER := 256;
FLAGS_CODES : my_array_t := InitFlagCodes
);
port (
clk : in std_logic;
rst_n : in std_logic;
in_data : in std_logic_vector(15 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
enable_i : in std_logic;
fifo_f_i : in std_logic;
data_wr_o : out std_logic;
data_o : out std_logic_vector(15 downto 0);
flag_wr_o : out std_logic;
flag_o : out std_logic_vector(7 downto 0);
fifo_pkt_wr_o : out std_logic;
fifo_pkt_data_o : out std_logic_vector(15 downto 0)
);
end component;
---------------------------------------------------------
-- SIGNALS FOR INTERCONNECT
---------------------------------------------------------
signal fifo_f_s : std_logic := '0';
signal data_wr_s : std_logic := '0';
signal data_s : std_logic_vector(15 downto 0) := (others=>'0');
signal in_data_s : std_logic_vector(15 downto 0);
signal in_fv_s : std_logic;
signal in_dv_s : std_logic;
signal enable_flow_sync : std_logic;
signal enable_global_sync : std_logic;
signal enable_s : std_logic;
signal fifo_pkt_wr_s : std_logic;
signal fifo_pkt_data_s : std_logic_vector(15 downto 0);
-- may add CDC component for flag
signal flag_s : std_logic_vector(7 downto 0) := (others=>'0');
signal flag_wr_s : std_logic := '0';
begin
-- Adapt input flow size to 16 bits
flowto16_inst : component flowto16
generic map (
INPUT_SIZE => FLOW_SIZE,
FIFO_DEPTH => 128
)
port map (
clk => clk_proc,
rst_n => rst_n,
in_data => in_data,
in_fv => in_fv,
in_dv => in_dv,
out_data => in_data_s,
out_fv => in_fv_s,
out_dv => in_dv_s
);
ENABLE_FLOW_INST : component fv_signal_synchroniser
port map (
clk => clk_proc,
rst_n => rst_n,
fv_i => in_fv_s,
signal_i => enable_flow_i,
signal_o => enable_flow_sync
);
ENABLE_GLOBAL_INST : component fv_signal_synchroniser
port map (
clk => clk_proc,
rst_n => rst_n,
fv_i => in_fv_s,
signal_i => enable_global_i,
signal_o => enable_global_sync
);
-- port map
WRFLOW_process : component write_flow
generic map (
PACKET_SIZE => PACKET_SIZE,
FLAGS_CODES => FLAGS_CODES
)
port map (
clk => clk_proc,
rst_n => rst_n,
in_data => in_data_s,
in_fv => in_fv_s,
in_dv => in_dv_s,
enable_i => enable_s,
fifo_f_i => fifo_f_s,
data_wr_o => data_wr_s,
data_o => data_s,
flag_wr_o => flag_wr_s ,
flag_o => flag_s,
fifo_pkt_wr_o => fifo_pkt_wr_s,
fifo_pkt_data_o => fifo_pkt_data_s
);
ComFlowFifoTX_inst : component com_flow_fifo_tx
generic map (
FIFO_DEPTH => FIFO_DEPTH,
FLOW_ID => FLOW_ID,
PACKET_SIZE => PACKET_SIZE,
FLAGS_CODES => FLAGS_CODES,
HAL_WIDTH => DATA_HAL_SIZE
)
port map (
clk_proc => clk_proc,
clk_hal => clk_hal,
rst_n => rst_n and enable_s,
data_wr_i => data_wr_s,
data_i => data_s,
rdreq_i => rdreq_i,
flag_wr_i => flag_wr_s,
flag_i => flag_s,
fifo_pkt_wr_i => fifo_pkt_wr_s,
fifo_pkt_data_i => fifo_pkt_data_s,
data_o => data_o,
flow_rdy_o => flow_rdy_o,
f_empty_o => f_empty_o,
fifos_f_o => fifo_f_s,
size_packet_o => size_packet_o
);
enable_s <= enable_flow_sync and enable_global_sync;
end rtl;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/component/gp_fifo/hdl/tb/tb_gp_fifo.vhd
|
1
|
3389
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library ALTERA_MF;
use ALTERA_MF.all;
entity tb_fifo is
end tb_fifo;
architecture sim of tb_fifo is
---------------------------------------------------------
-- CONSTANTS
---------------------------------------------------------
constant FIFO_DEPTH_CONST : positive:=4;
constant DATA_WIDTH_CONST : positive:=8;
---------------------------------------------------------
-- SIGNALS
---------------------------------------------------------
signal clk_s : std_logic;
signal reset_n_s : std_logic;
signal data_wr_s : std_logic;
signal data_in_s : std_logic_vector(DATA_WIDTH_CONST-1 downto 0);
signal full_s : std_logic;
signal data_rd_s : std_logic;
signal data_ou_s : std_logic_vector(DATA_WIDTH_CONST-1 downto 0);
signal empty_s : std_logic;
---------------------------------------------------------
-- C.U.T
---------------------------------------------------------
component gp_fifo
generic (
DATA_WIDTH : positive;
FIFO_DEPTH : positive
);
port (
clk : in std_logic;
reset_n : in std_logic;
data_wr : in std_logic;
data_in : in std_logic_vector(DATA_WIDTH-1 downto 0);
full : out std_logic;
data_rd : in std_logic;
data_out : out std_logic_vector(DATA_WIDTH-1 downto 0);
empty : out std_logic
);
end component;
begin
---------------------------------------------------------
-- C.U.T INSTANSTATION
---------------------------------------------------------
gp_fifo_inst : gp_fifo
generic map(
DATA_WIDTH => DATA_WIDTH_CONST,
FIFO_DEPTH => FIFO_DEPTH_CONST
)
port map(
clk => clk_s,
reset_n => reset_n_s,
data_wr => data_wr_s,
data_in => data_in_s,
full => full_s,
data_rd => data_rd_s,
data_out => data_ou_s,
empty => empty_s
);
---------------------------------------------------------
-- STIMULUS
---------------------------------------------------------
-- Clock
clk_stim : process
begin
clk_s <= '1';
wait for 20 ns;
clk_s <= '0';
wait for 20 ns;
end process;
-- Initial Reset
init_reset : process
begin
reset_n_s <= '0' ;
wait for 50 ns ;
reset_n_s <= '1' ;
wait for 340 ns;
end process;
-- Write in FIFO stimulus
dw_stim : process
begin
data_wr_s <= '0';
wait for 60 ns;
data_wr_s <= '1';
wait for 40 ns;
data_wr_s <= '0';
wait for 20 ns;
data_wr_s <= '1';
wait for 60 ns;
data_wr_s <= '0';
wait for 20 ns;
data_wr_s <= '1';
wait for 40 ns;
data_wr_s <= '0';
wait for 60 ns;
end process;
-- Read From FIFO simulus
rd_stim : process
begin
data_rd_s <= '0';
wait for 160 ns;
data_rd_s <= '1';
wait for 40 ns;
data_rd_s <= '0';
wait for 20 ns;
data_rd_s <= '1';
wait for 60 ns;
data_rd_s <= '0';
wait for 20 ns;
data_rd_s <= '1';
wait for 40 ns;
end process;
-- In data stimulus
data_stim : process
variable i : integer := 0;
begin
for i in 1 to 100 loop
data_in_s <= std_logic_vector(to_unsigned(i,DATA_WIDTH_CONST));
wait for 20 ns;
end loop;
end process;
end architecture;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/toolchain/caph/hdl/caph_lib/caph.vhd
|
1
|
13658
|
-----------------------------------------------------------------------------------------
-- --
-- This file is part of the CAPH Compiler distribution --
-- http://caph.univ-bpclermont.fr --
-- --
-- Jocelyn SEROT --
-- [email protected] --
-- --
-- Copyright 2011-2015 Jocelyn SEROT. All rights reserved. --
-- This file is distributed under the terms of the GNU Library General Public License --
-- with the special exception on linking described in file ../LICENSE. --
-- --
-----------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package core is
function cond(e1: boolean; e2: signed; e3: signed) return signed;
function cond(e1: boolean; e2: unsigned; e3: unsigned) return unsigned;
function cond(e1: boolean; e2: std_logic_vector; e3: std_logic_vector) return std_logic_vector;
function eq(e1: signed; e2: signed) return unsigned;
function eq(e1: unsigned; e2: unsigned) return unsigned;
function mul(e1: signed; e2: signed) return signed;
function mul(e1: unsigned; e2: unsigned) return unsigned;
function to_std_logic_vector(e: unsigned; s: natural) return std_logic_vector;
function to_std_logic_vector(e: signed; s: natural) return std_logic_vector;
function to_std_logic_vector(e: boolean; s: natural) return std_logic_vector;
function from_std_logic_vector(e: std_logic_vector; s: natural) return unsigned;
function from_std_logic_vector(e: std_logic_vector; s: natural) return signed;
function from_std_logic_vector(e: std_logic_vector; s: natural) return boolean;
function from_std_logic_vector(e: std_logic_vector; s: natural) return std_logic_vector;
function to_bool(e: unsigned) return boolean;
function to_bool(e: signed) return boolean;
function to_bool(e: std_logic_vector) return boolean;
function conv_unsigned(e: unsigned; s: natural) return unsigned;
function conv_unsigned(e: signed; s: natural) return unsigned;
function conv_unsigned(e: boolean; s: natural) return unsigned;
function conv_unsigned(e: integer; s: natural) return unsigned;
function conv_signed(e: signed; s: natural) return signed;
function conv_signed(e: unsigned; s: natural) return signed;
function conv_signed(e: boolean; s: natural) return signed;
function conv_signed(e: integer; s: natural) return signed;
function to_integer(e: integer) return integer;
function to_string(v : std_logic_vector) return string; -- for debug only
procedure dump_slv(name: string; v: std_logic_vector); -- for debug only
component stream_in is
generic ( filename: string := "input.bin"; size: integer := 10; period: integer := 2; blanking: boolean := false );
port ( full : in std_logic;
dout : out std_logic_vector(size-1 downto 0);
wr : out std_logic; -- write (push) signal, active 1 on clk^
clk : in std_logic;
rst : in std_logic
);
end component;
component stream_out is
generic ( filename: string := "vhdl_result.dat"; size: integer := 10);
port ( empty : in std_logic;
din : in std_logic_vector(size-1 downto 0);
rd : out std_logic; -- read (pop) signal
clk : in std_logic;
rst : in std_logic
);
end component;
component port_in is
generic ( filename: string := ""; size: integer := 10; ival: bit_vector);
port ( full : in std_logic;
dout : out std_logic_vector(size-1 downto 0);
wr : out std_logic; -- write (push) signal, active 1 on clk^
clk : in std_logic;
rst : in std_logic
);
end component;
component port_out is
generic ( filename: string := "result.bin"; size: integer := 10 );
port ( empty : in std_logic;
din : in std_logic_vector(size-1 downto 0);
rd : out std_logic; -- read (pop) signal, active 1 on clk^
clk : in std_logic;
rst : in std_logic
);
end component;
--~
--~ component split2 is
--~ generic ( size: integer := 10);
--~ port (
--~ d_f: out std_logic;
--~ d : in std_logic_vector (size-1 downto 0);
--~ d_wr : in std_logic;
--~ d1_f : in std_logic;
--~ d1 : out std_logic_vector(size-1 downto 0);
--~ d1_wr : out std_logic;
--~ d2_f : in std_logic;
--~ d2 : out std_logic_vector(size-1 downto 0);
--~ d2_wr : out std_logic
--~ );
--~ end component;
--~
--~ component split3 is
--~ generic ( size: integer := 10);
--~ port (
--~ d_f: out std_logic;
--~ d : in std_logic_vector (size-1 downto 0);
--~ d_wr : in std_logic;
--~ d1_f : in std_logic;
--~ d1 : out std_logic_vector(size-1 downto 0);
--~ d1_wr : out std_logic;
--~ d2_f : in std_logic;
--~ d2 : out std_logic_vector(size-1 downto 0);
--~ d2_wr : out std_logic;
--~ d3_f : in std_logic;
--~ d3 : out std_logic_vector(size-1 downto 0);
--~ d3_wr : out std_logic
--~ );
--~ end component;
--~
--~ component split4 is
--~ generic ( size: integer := 10);
--~ port (
--~ d_f: out std_logic;
--~ d : in std_logic_vector (size-1 downto 0);
--~ d_wr : in std_logic;
--~ d1_f : in std_logic;
--~ d1 : out std_logic_vector(size-1 downto 0);
--~ d1_wr : out std_logic;
--~ d2_f : in std_logic;
--~ d2 : out std_logic_vector(size-1 downto 0);
--~ d2_wr : out std_logic;
--~ d3_f : in std_logic;
--~ d3 : out std_logic_vector(size-1 downto 0);
--~ d3_wr : out std_logic;
--~ d4_f : in std_logic;
--~ d4 : out std_logic_vector(size-1 downto 0);
--~ d4_wr : out std_logic
--~ );
--~ end component;
--~
--~ component split5 is
--~ generic ( size: integer := 10);
--~ port (
--~ d_f: out std_logic;
--~ d : in std_logic_vector (size-1 downto 0);
--~ d_wr : in std_logic;
--~ d1_f : in std_logic;
--~ d1 : out std_logic_vector(size-1 downto 0);
--~ d1_wr : out std_logic;
--~ d2_f : in std_logic;
--~ d2 : out std_logic_vector(size-1 downto 0);
--~ d2_wr : out std_logic;
--~ d3_f : in std_logic;
--~ d3 : out std_logic_vector(size-1 downto 0);
--~ d3_wr : out std_logic;
--~ d4_f : in std_logic;
--~ d4 : out std_logic_vector(size-1 downto 0);
--~ d4_wr : out std_logic;
--~ d5_f : in std_logic;
--~ d5 : out std_logic_vector(size-1 downto 0);
--~ d5_wr : out std_logic
--~ );
--~ end component;
--~
--~ component split6 is
--~ generic ( size: integer := 10);
--~ port (
--~ d_f: out std_logic;
--~ d : in std_logic_vector (size-1 downto 0);
--~ d_wr : in std_logic;
--~ d1_f : in std_logic;
--~ d1 : out std_logic_vector(size-1 downto 0);
--~ d1_wr : out std_logic;
--~ d2_f : in std_logic;
--~ d2 : out std_logic_vector(size-1 downto 0);
--~ d2_wr : out std_logic;
--~ d3_f : in std_logic;
--~ d3 : out std_logic_vector(size-1 downto 0);
--~ d3_wr : out std_logic;
--~ d4_f : in std_logic;
--~ d4 : out std_logic_vector(size-1 downto 0);
--~ d4_wr : out std_logic;
--~ d5_f : in std_logic;
--~ d5 : out std_logic_vector(size-1 downto 0);
--~ d5_wr : out std_logic;
--~ d6_f : in std_logic;
--~ d6 : out std_logic_vector(size-1 downto 0);
--~ d6_wr : out std_logic
--~ );
--~ end component;
--component decoder is
-- generic ( size: integer := 8 )
-- port (
-- sel: in integer;
-- outp: std_logic_vector ( size-1 downto 0)
-- );
--end component;
end core;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- use STD.textio.all; -- FOR DEBUG ONLY
package body core is
function cond(e1: boolean; e2: signed; e3: signed) return signed is
begin
if e1 then return e2; else return e3; end if;
end;
function cond(e1: boolean; e2: unsigned; e3: unsigned) return unsigned is
begin
if e1 then return e2; else return e3; end if;
end;
function cond(e1: boolean; e2: std_logic_vector; e3: std_logic_vector) return std_logic_vector is
begin
if e1 then return e2; else return e3; end if;
end;
function eq(e1: signed; e2: signed) return unsigned is
begin
if ( e1 = e2 ) then
return "1";
else
return "0";
end if;
end;
function eq(e1: unsigned; e2: unsigned) return unsigned is
begin
if ( e1 = e2 ) then
return "1";
else
return "0";
end if;
end;
function maximum(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function mul (e1: signed; e2: signed) return signed is
constant length: INTEGER := maximum(e1'length, e2'length);
begin
return resize(e1*e2, length);
end;
function mul (e1: unsigned; e2: unsigned) return unsigned is
constant length: INTEGER := maximum(e1'length, e2'length);
begin
return resize(e1*e2, length);
end;
function to_std_logic_vector(e: unsigned; s:natural) return std_logic_vector is
begin
return STD_LOGIC_VECTOR(resize(e,s));
end;
function to_std_logic_vector(e: signed; s:natural) return std_logic_vector is
begin
return STD_LOGIC_VECTOR(resize(e,s));
end;
function to_std_logic_vector(e: boolean; s:natural) return std_logic_vector is
begin
if e then
return STD_LOGIC_VECTOR(TO_UNSIGNED(0,s-1)) & "1";
else
return STD_LOGIC_VECTOR(TO_UNSIGNED(0,s-1)) & "0";
end if;
end;
function from_std_logic_vector(e: std_logic_vector; s:natural) return unsigned is
begin
-- return UNSIGNED(e(s-1 downto 0));
-- return UNSIGNED(e(e'high downto e'high-s+1));
return UNSIGNED(e(s-1 downto 0)); -- Changed in v2.6.2: in variants, fields are RIGHT justified
end;
function from_std_logic_vector(e: std_logic_vector; s:natural) return signed is
begin
-- return SIGNED(e(s-1 downto 0));
-- return SIGNED(e(e'high downto e'high-s+1));
return SIGNED(e(s-1 downto 0)); -- Changed in v2.6.2: in variants, fields are RIGHT justified
end;
function from_std_logic_vector(e: std_logic_vector; s:natural) return boolean is
begin
-- if e(e'high downto e'high) = "1" then
if e(0 downto 0) = "1" then -- Changed in v2.6.2: in variants, fields are RIGHT justified
return true;
else
return false;
end if;
end;
function from_std_logic_vector(e: std_logic_vector; s:natural) return std_logic_vector is
begin
return (e(s-1 downto 0)); -- Changed in v2.6.2: in variants, fields are RIGHT justified
-- return e(e'high downto e'high-s+1);
end;
function to_bool(e: unsigned) return boolean is
begin
if e = (e'range=>'0') then return false; else return true; end if;
end;
function to_bool(e: signed) return boolean is
begin
if e = (e'range=>'0') then return false; else return true; end if;
end;
function to_bool(e: std_logic_vector) return boolean is
begin
if e = (e'range=>'0') then return false; else return true; end if;
end;
function conv_unsigned(e: unsigned; s: natural) return unsigned is
begin
return resize(e, s);
end;
function conv_unsigned(e: signed; s: natural) return unsigned is
begin
return resize(unsigned(e), s);
end;
function conv_unsigned(e: boolean; s: natural) return unsigned is
begin
if ( e ) then return to_unsigned(1,s); else return to_unsigned(0,s); end if;
end;
function conv_unsigned(e: integer; s: natural) return unsigned is
begin
return to_unsigned(e,s);
end;
function conv_signed(e: signed; s: natural) return signed is
begin
return resize(e, s);
end;
function conv_signed(e: unsigned; s: natural) return signed is
begin
return resize(signed('0' & e), s);
end;
function conv_signed(e: boolean; s: natural) return signed is
begin
if ( e ) then return to_signed(1,s); else return to_signed(0,s); end if;
end;
function conv_signed(e: integer; s: natural) return signed is
begin
return to_signed(e,s);
end;
function to_integer(e: integer) return integer is
begin
return e;
end;
-- Debug aux fns
function to_string(v : std_logic_vector) return string is
variable s : string(1 to v'length) := (others => 'x');
variable c : string(1 to 3);
variable j : integer := 1;
begin
for i in v'high downto v'low loop
c := std_logic'image(v(i));
s(j to j) := c(2 to 2); -- c is a 3 character string (with quotes) !
j := j+1;
end loop;
return s;
end to_string;
procedure dump_slv(name: string; v: std_logic_vector) is
begin
report name & "[" & integer'image(v'high) & ":" & integer'image(v'low) & "]=" & to_string(v);
end;
end package body core;
|
gpl-3.0
|
hoglet67/ElectronFpga
|
AtomBusMon/src/AVR8/JTAG_OCD_Prg/OCDProgcp2.vhd
|
4
|
10499
|
--**********************************************************************************************
-- JTAG "Flash" programmer for AVR Core(cp2 Clock Domain)
-- Version 0.5
-- Modified 20.06.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use WORK.JTAGPack.all;
use WORK.AVRuCPackage.all;
entity OCDProgcp2 is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
-- From/To TCK clock domain("Flash" programmer)
FlEEPrgAdr : in std_logic_vector(15 downto 0);
FlPrgRdData : out std_logic_vector(15 downto 0);
EEPrgRdData : out std_logic_vector(7 downto 0);
FlEEPrgWrData : in std_logic_vector(15 downto 0);
ChipEraseStart : in std_logic;
ChipEraseDone : out std_logic;
ProgEnable : in std_logic;
FlWrMStart : in std_logic; -- Multiple
FlWrSStart : in std_logic; -- Single
FlRdMStart : in std_logic; -- Multiple
FlRdSStart : in std_logic; -- Single
EEWrStart : in std_logic;
EERdStart : in std_logic;
TAPCtrlTLR : in std_logic; -- TAP Controller is in the Test-Logic/Reset state
-- From the core
PC : in std_logic_vector(15 downto 0);
-- To the PM("Flash")
pm_adr : out std_logic_vector(15 downto 0);
pm_h_we : out std_logic;
pm_l_we : out std_logic;
pm_dout : in std_logic_vector(15 downto 0);
pm_din : out std_logic_vector(15 downto 0);
-- To the "EEPROM"
EEPrgSel : out std_logic;
EEAdr : out std_logic_vector(11 downto 0);
EEWrData : out std_logic_vector(7 downto 0);
EERdData : in std_logic_vector(7 downto 0);
EEWr : out std_logic
);
end OCDProgcp2;
architecture RTL of OCDProgcp2 is
-- **********************************************************************************
-- *************************** Programmer part *********************************************
-- **********************************************************************************
-- Edge detectors
signal TAPCtrlTLRDel : std_logic; -- TAP Run-Test/Idle
-- Chip Erase Start edge detector
signal ChipEraseStartDel : std_logic;
-- Flash Write Start(using Virtual Flash Page Load Register) edge detector
signal FlWrMStartDel : std_logic;
-- Flash Write Start(using Load Data Low(2d)/Load Data High(2e)) edge detector
signal FlWrSStartDel : std_logic;
-- Flash Read Start(using Virtual Flash Page Read Register) edge detector
signal FlRdMStartDel : std_logic;
-- Flash Read Start(using Load Data Low and High Byte(3d)) edge detector
signal FlRdSStartDel : std_logic;
-- "Flash" programmer state machines
signal FlWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal FlRdCnt : std_logic_vector(1 downto 0) ; -- Read (Low andHigh bytes)
signal FlRd_St : std_logic; -- "Flash" read(Latch data)
-- "Flash" address and data registers
signal FlashPrgAdrRg : std_logic_vector(15 downto 0); -- Address(Write/Read)
signal FlashPrgDataRg : std_logic_vector(15 downto 0); -- Data(for Write)
-- Output copies
signal pm_h_we_Int : std_logic;
signal pm_l_we_Int : std_logic;
-- Chip erase
signal ChipErase_St : std_logic;
-- "EEPROM" support
-- Edge detectors
signal EEWrStartDel : std_logic;
signal EERdStartDel : std_logic;
-- EEPROM address and data registers
signal EEPrgAdrRg : std_logic_vector(EEAdr'range); -- Address(Write/Read)
signal EEPrgDataRg : std_logic_vector(EEWrData'range); -- Data(for Write)
signal EEWr_Int : std_logic;
-- EEPROM programmer state machines
signal EEWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal EERdCnt : std_logic_vector(1 downto 0) ; -- Read
signal EERd_St : std_logic;
begin
-- ***************************** Programmer part ********************************
FlashWriteCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
TAPCtrlTLRDel <= TAPCtrlTLR;
FlWrMStartDel <= FlWrMStart;
FlWrSStartDel <= FlWrSStart;
-- Delay counter
if(TAPCtrlTLR='1') then -- Reset counter
FlWrCnt <= (others => '0');
elsif((FlWrMStart='0' and FlWrMStartDel='1')or
(FlWrSStart='0' and FlWrSStartDel='1')) then
FlWrCnt <= "01";
elsif(FlWrCnt/="00") then
FlWrCnt <= FlWrCnt + 1;
end if;
-- Control
if(TAPCtrlTLR='1') then -- Reset control signals
pm_h_we_Int <= '0';
pm_l_we_Int <= '0';
else
case pm_h_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_h_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_h_we_Int <= '0';
end if;
when others => null;
end case;
case pm_l_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_l_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_l_we_Int <= '0';
end if;
when others => null;
end case;
end if;
-- Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
FlashPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
FlashPrgAdrRg <= FlashPrgAdrRg + 1;
elsif(FlWrCnt="11" or FlRdCnt="11") then -- Normal mode
FlashPrgAdrRg <= FlEEPrgAdr;
end if;
-- Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
FlashPrgDataRg <= (others => '1');
elsif(FlWrCnt="11") then -- Write to flash
FlashPrgDataRg <= FlEEPrgWrData;
end if;
-- EEPROM Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
EEPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
EEPrgAdrRg <= EEPrgAdrRg + 1;
elsif(EEWrCnt="11" or EERdCnt="11") then -- Normal mode
EEPrgAdrRg <= FlEEPrgAdr(EEPrgAdrRg'range);
end if;
-- EEPROM Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
EEPrgDataRg <= (others => '1');
elsif(EEWrCnt="11") then -- Write to EEPROM
EEPrgDataRg <= FlEEPrgWrData(EEPrgDataRg'range);
end if;
-- EEPROM Write
case EEWr_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or EEWrCnt="11") then
EEWr_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
EEWr_Int <= '0';
end if;
when others => EEWr_Int <= '0';
end case;
-- EEPROM Read state
if(EERdCnt="11") then
EERd_St <= '1';
else
EERd_St <= '0';
end if;
end if;
end process;
-- "Flash" write enables
pm_l_we <= pm_l_we_Int;
pm_h_we <= pm_h_we_Int;
-- "Flash" data inputs
pm_din <= FlashPrgDataRg;
-- EEPROM
EEAdr <= EEPrgAdrRg;
EEWrData <= EEPrgDataRg;
EEWr <= EEWr_Int;
EEPrgSel <= ProgEnable; -- !!!TBD!!! (Add EESAVE)
-- Flash read
FlashReadCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
FlRdMStartDel <= FlRdMStart;
FlRdSStartDel <= FlRdSStart;
-- EEPROM edge detectors
EEWrStartDel <= EEWrStart;
EERdStartDel <= EERdStart;
-- Delay counter (for read)
if(TAPCtrlTLR='1') then -- Reset counter
FlRdCnt <= (others => '0');
elsif((FlRdMStart='0' and FlRdMStartDel='1')or
(FlRdSStart='0' and FlRdSStartDel='1')) then
FlRdCnt <= "01";
elsif(FlRdCnt/="00") then
FlRdCnt <= FlRdCnt + 1;
end if;
if(FlRdCnt="11") then
FlRd_St <= '1';
else
FlRd_St <= '0';
end if;
if(FlRd_St='1') then -- Latch read data
FlPrgRdData <= pm_dout;
end if;
-- EEPROM Read delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EERdCnt <= (others => '0');
elsif(EERdStart='0' and EERdStartDel='1') then -- Falling edge
EERdCnt <= "01";
elsif(EERdCnt/="00") then
EERdCnt <= EERdCnt + 1;
end if;
-- EEPROM Write delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EEWrCnt <= (others => '0');
elsif(EEWrStart='0' and EEWrStartDel='1') then -- Falling edge
EEWrCnt <= "01";
elsif(EEWrCnt/="00") then
EEWrCnt <= EEWrCnt + 1;
end if;
-- EEPROM Read latch
if(EERd_St='1') then
EEPrgRdData <= EERdData;
end if;
end if;
end process;
-- Chip Erase
ChipEraseState:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
ChipEraseStartDel <= ChipEraseStart; -- Edge detector
if (TAPCtrlTLR='1') then -- Reset
ChipErase_St <= '0';
else
case ChipErase_St is
when '0' =>
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
ChipErase_St <= '1';
end if;
when '1' =>
if (FlashPrgAdrRg=C_MaxEraseAdr) then
ChipErase_St <= '0';
end if;
when others => null;
end case;
end if;
end if;
end process;
-- !!!TBD!!!
ChipEraseDone <= not ChipErase_St;
-- *************************** End of programmer part *******************************
pm_adr <= FlashPrgAdrRg when (ProgEnable='1') else -- Programming Mode
PC; -- Normal Operations
end RTL;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/io/eth_marvell_88e1111/hdl/ICMP/ff_icmp.vhd
|
1
|
7359
|
-- megafunction wizard: %FIFO%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: dcfifo
-- ============================================================
-- File Name: ff_icmp.vhd
-- Megafunction Name(s):
-- dcfifo
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY ff_icmp IS
PORT
(
aclr : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
rdclk : IN STD_LOGIC ;
rdreq : IN STD_LOGIC ;
wrclk : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rdempty : OUT STD_LOGIC ;
wrfull : OUT STD_LOGIC
);
END ff_icmp;
ARCHITECTURE SYN OF ff_icmp IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC ;
COMPONENT dcfifo
GENERIC (
intended_device_family : STRING;
lpm_numwords : NATURAL;
lpm_showahead : STRING;
lpm_type : STRING;
lpm_width : NATURAL;
lpm_widthu : NATURAL;
overflow_checking : STRING;
rdsync_delaypipe : NATURAL;
read_aclr_synch : STRING;
underflow_checking : STRING;
use_eab : STRING;
write_aclr_synch : STRING;
wrsync_delaypipe : NATURAL
);
PORT (
rdclk : IN STD_LOGIC ;
wrfull : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
rdempty : OUT STD_LOGIC ;
wrclk : IN STD_LOGIC ;
wrreq : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
rdreq : IN STD_LOGIC
);
END COMPONENT;
BEGIN
wrfull <= sub_wire0;
q <= sub_wire1(7 DOWNTO 0);
rdempty <= sub_wire2;
dcfifo_component : dcfifo
GENERIC MAP (
intended_device_family => "Cyclone III",
lpm_numwords => 256,
lpm_showahead => "OFF",
lpm_type => "dcfifo",
lpm_width => 8,
lpm_widthu => 8,
overflow_checking => "ON",
rdsync_delaypipe => 4,
read_aclr_synch => "OFF",
underflow_checking => "ON",
use_eab => "ON",
write_aclr_synch => "OFF",
wrsync_delaypipe => 4
)
PORT MAP (
rdclk => rdclk,
wrclk => wrclk,
wrreq => wrreq,
aclr => aclr,
data => data,
rdreq => rdreq,
wrfull => sub_wire0,
q => sub_wire1,
rdempty => sub_wire2
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
-- Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
-- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
-- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
-- Retrieval info: PRIVATE: Clock NUMERIC "4"
-- Retrieval info: PRIVATE: Depth NUMERIC "256"
-- Retrieval info: PRIVATE: Empty NUMERIC "1"
-- Retrieval info: PRIVATE: Full NUMERIC "1"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
-- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
-- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
-- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: Optimize NUMERIC "0"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
-- Retrieval info: PRIVATE: UsedW NUMERIC "1"
-- Retrieval info: PRIVATE: Width NUMERIC "8"
-- Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
-- Retrieval info: PRIVATE: diff_widths NUMERIC "0"
-- Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
-- Retrieval info: PRIVATE: output_width NUMERIC "8"
-- Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
-- Retrieval info: PRIVATE: rsFull NUMERIC "0"
-- Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
-- Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
-- Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
-- Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
-- Retrieval info: PRIVATE: wsFull NUMERIC "1"
-- Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "256"
-- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "8"
-- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4"
-- Retrieval info: CONSTANT: READ_ACLR_SYNCH STRING "OFF"
-- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
-- Retrieval info: CONSTANT: USE_EAB STRING "ON"
-- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
-- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4"
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
-- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty"
-- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
-- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
-- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL "wrfull"
-- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
-- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
-- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
-- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
-- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
-- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
-- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp_inst.vhd TRUE
-- Retrieval info: LIB_FILE: altera_mf
|
gpl-3.0
|
DreamIP/GPStudio
|
support/component/neighExtractor/cnn_types.vhd
|
1
|
239
|
library ieee;
use ieee.std_logic_1164.all;
package cnn_types is
constant PIXEL_CONST : integer := 8;
type pixel_array is array ( integer range <> ) of std_logic_vector (PIXEL_CONST-1 downto 0);
end cnn_types;
|
gpl-3.0
|
hoglet67/ElectronFpga
|
AtomBusMon/src/T80/T80_Reg.vhd
|
2
|
5074
|
--------------------------------------------------------------------------------
-- ****
-- T80(c) core. Attempt to finish all undocumented features and provide
-- accurate timings.
-- Version 350.
-- Copyright (c) 2018 Sorgelig
-- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr
-- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as
-- correct implementation is still unclear.
--
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers, technology independent
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Changed to single register file
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0);
DOR : out std_logic_vector(127 downto 0);
DIRSet : in std_logic;
DIR : in std_logic_vector(127 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal RegsH : Register_Image(0 to 7);
signal RegsL : Register_Image(0 to 7);
begin
process (Clk)
begin
if rising_edge(Clk) then
if DIRSet = '1' then
RegsL(0) <= DIR( 7 downto 0);
RegsH(0) <= DIR( 15 downto 8);
RegsL(1) <= DIR( 23 downto 16);
RegsH(1) <= DIR( 31 downto 24);
RegsL(2) <= DIR( 39 downto 32);
RegsH(2) <= DIR( 47 downto 40);
RegsL(3) <= DIR( 55 downto 48);
RegsH(3) <= DIR( 63 downto 56);
RegsL(4) <= DIR( 71 downto 64);
RegsH(4) <= DIR( 79 downto 72);
RegsL(5) <= DIR( 87 downto 80);
RegsH(5) <= DIR( 95 downto 88);
RegsL(6) <= DIR(103 downto 96);
RegsH(6) <= DIR(111 downto 104);
RegsL(7) <= DIR(119 downto 112);
RegsH(7) <= DIR(127 downto 120);
elsif CEN = '1' then
if WEH = '1' then
RegsH(to_integer(unsigned(AddrA))) <= DIH;
end if;
if WEL = '1' then
RegsL(to_integer(unsigned(AddrA))) <= DIL;
end if;
end if;
end if;
end process;
DOAH <= RegsH(to_integer(unsigned(AddrA)));
DOAL <= RegsL(to_integer(unsigned(AddrA)));
DOBH <= RegsH(to_integer(unsigned(AddrB)));
DOBL <= RegsL(to_integer(unsigned(AddrB)));
DOCH <= RegsH(to_integer(unsigned(AddrC)));
DOCL <= RegsL(to_integer(unsigned(AddrC)));
DOR <= RegsH(7) & RegsL(7) & RegsH(6) & RegsL(6) & RegsH(5) & RegsL(5) & RegsH(4) & RegsL(4) & RegsH(3) & RegsL(3) & RegsH(2) & RegsL(2) & RegsH(1) & RegsL(1) & RegsH(0) & RegsL(0);
end;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/process/dynroiBinMask/hdl/dynroiBinMask.vhd
|
1
|
4352
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity dynroiBinMask is
generic (
CLK_PROC_FREQ : integer;
IN_SIZE : integer;
OUT_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
------------------------- in flow -----------------------
in_data : in std_logic_vector(IN_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
------------------------ out flow -----------------------
out_data : out std_logic_vector(OUT_SIZE-1 downto 0);
out_fv : out std_logic;
out_dv : out std_logic;
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end dynroiBinMask;
architecture rtl of dynroiBinMask is
component dynroiBinMask_process
generic (
CLK_PROC_FREQ : integer;
IN_SIZE : integer;
OUT_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : in std_logic;
status_reg_bypass_bit : in std_logic;
in_size_reg_in_w_reg : in std_logic_vector(11 downto 0);
in_size_reg_in_h_reg : in std_logic_vector(11 downto 0);
------------------------- in flow -----------------------
in_data : in std_logic_vector(IN_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
------------------------ out flow -----------------------
out_data : out std_logic_vector(OUT_SIZE-1 downto 0);
out_fv : out std_logic;
out_dv : out std_logic
);
end component;
component dynroiBinMask_slave
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
status_reg_bypass_bit : out std_logic;
in_size_reg_in_w_reg : out std_logic_vector(11 downto 0);
in_size_reg_in_h_reg : out std_logic_vector(11 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end component;
signal status_reg_enable_bit : std_logic;
signal status_reg_bypass_bit : std_logic;
signal in_size_reg_in_w_reg : std_logic_vector (11 downto 0);
signal in_size_reg_in_h_reg : std_logic_vector (11 downto 0);
begin
dynroiBinMask_process_inst : dynroiBinMask_process
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ,
IN_SIZE => IN_SIZE,
OUT_SIZE => OUT_SIZE
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
status_reg_bypass_bit => status_reg_bypass_bit,
in_size_reg_in_w_reg => in_size_reg_in_w_reg,
in_size_reg_in_h_reg => in_size_reg_in_h_reg,
in_data => in_data,
in_fv => in_fv,
in_dv => in_dv,
out_data => out_data,
out_fv => out_fv,
out_dv => out_dv
);
dynroiBinMask_slave_inst : dynroiBinMask_slave
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
status_reg_bypass_bit => status_reg_bypass_bit,
in_size_reg_in_w_reg => in_size_reg_in_w_reg,
in_size_reg_in_h_reg => in_size_reg_in_h_reg,
addr_rel_i => addr_rel_i,
wr_i => wr_i,
rd_i => rd_i,
datawr_i => datawr_i,
datard_o => datard_o
);
end rtl;
|
gpl-3.0
|
DreamIP/GPStudio
|
support/process/conv/hdl/conv.vhd
|
1
|
6528
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity conv is
generic (
LINE_WIDTH_MAX : integer;
CLK_PROC_FREQ : integer;
IN_SIZE : integer;
OUT_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
------------------------- in flow -----------------------
in_data : in std_logic_vector(IN_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
------------------------ out flow -----------------------
out_data : out std_logic_vector(OUT_SIZE-1 downto 0);
out_fv : out std_logic;
out_dv : out std_logic;
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(3 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end conv;
architecture rtl of conv is
component conv_process
generic (
LINE_WIDTH_MAX : integer;
CLK_PROC_FREQ : integer;
IN_SIZE : integer;
OUT_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : in std_logic;
widthimg_reg_width : in std_logic_vector(15 downto 0);
w00_reg_m00 : in std_logic_vector(7 downto 0);
w01_reg_m01 : in std_logic_vector(7 downto 0);
w02_reg_m02 : in std_logic_vector(7 downto 0);
w10_reg_m10 : in std_logic_vector(7 downto 0);
w11_reg_m11 : in std_logic_vector(7 downto 0);
w12_reg_m12 : in std_logic_vector(7 downto 0);
w20_reg_m20 : in std_logic_vector(7 downto 0);
w21_reg_m21 : in std_logic_vector(7 downto 0);
w22_reg_m22 : in std_logic_vector(7 downto 0);
norm_reg_norm : in std_logic_vector(3 downto 0);
------------------------- in flow -----------------------
in_data : in std_logic_vector(IN_SIZE-1 downto 0);
in_fv : in std_logic;
in_dv : in std_logic;
------------------------ out flow -----------------------
out_data : out std_logic_vector(OUT_SIZE-1 downto 0);
out_fv : out std_logic;
out_dv : out std_logic
);
end component;
component conv_slave
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
widthimg_reg_width : out std_logic_vector(15 downto 0);
w00_reg_m00 : out std_logic_vector(7 downto 0);
w01_reg_m01 : out std_logic_vector(7 downto 0);
w02_reg_m02 : out std_logic_vector(7 downto 0);
w10_reg_m10 : out std_logic_vector(7 downto 0);
w11_reg_m11 : out std_logic_vector(7 downto 0);
w12_reg_m12 : out std_logic_vector(7 downto 0);
w20_reg_m20 : out std_logic_vector(7 downto 0);
w21_reg_m21 : out std_logic_vector(7 downto 0);
w22_reg_m22 : out std_logic_vector(7 downto 0);
norm_reg_norm : out std_logic_vector(3 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(3 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end component;
signal status_reg_enable_bit : std_logic;
signal widthimg_reg_width : std_logic_vector (15 downto 0);
signal w00_reg_m00 : std_logic_vector (7 downto 0);
signal w01_reg_m01 : std_logic_vector (7 downto 0);
signal w02_reg_m02 : std_logic_vector (7 downto 0);
signal w10_reg_m10 : std_logic_vector (7 downto 0);
signal w11_reg_m11 : std_logic_vector (7 downto 0);
signal w12_reg_m12 : std_logic_vector (7 downto 0);
signal w20_reg_m20 : std_logic_vector (7 downto 0);
signal w21_reg_m21 : std_logic_vector (7 downto 0);
signal w22_reg_m22 : std_logic_vector (7 downto 0);
signal norm_reg_norm : std_logic_vector (3 downto 0);
begin
conv_process_inst : conv_process
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ,
LINE_WIDTH_MAX => LINE_WIDTH_MAX,
IN_SIZE => IN_SIZE,
OUT_SIZE => OUT_SIZE
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
widthimg_reg_width => widthimg_reg_width,
w00_reg_m00 => w00_reg_m00,
w01_reg_m01 => w01_reg_m01,
w02_reg_m02 => w02_reg_m02,
w10_reg_m10 => w10_reg_m10,
w11_reg_m11 => w11_reg_m11,
w12_reg_m12 => w12_reg_m12,
w20_reg_m20 => w20_reg_m20,
w21_reg_m21 => w21_reg_m21,
w22_reg_m22 => w22_reg_m22,
norm_reg_norm => norm_reg_norm,
in_data => in_data,
in_fv => in_fv,
in_dv => in_dv,
out_data => out_data,
out_fv => out_fv,
out_dv => out_dv
);
conv_slave_inst : conv_slave
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
widthimg_reg_width => widthimg_reg_width,
w00_reg_m00 => w00_reg_m00,
w01_reg_m01 => w01_reg_m01,
w02_reg_m02 => w02_reg_m02,
w10_reg_m10 => w10_reg_m10,
w11_reg_m11 => w11_reg_m11,
w12_reg_m12 => w12_reg_m12,
w20_reg_m20 => w20_reg_m20,
w21_reg_m21 => w21_reg_m21,
w22_reg_m22 => w22_reg_m22,
norm_reg_norm => norm_reg_norm,
addr_rel_i => addr_rel_i,
wr_i => wr_i,
rd_i => rd_i,
datawr_i => datawr_i,
datard_o => datard_o
);
end rtl;
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd
|
6
|
12657
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127zxuBj1ECc6tWOAdJ2iS3OFph
QgmFgQCpfpNIuikHLWnbBsF4ugKXzggwBMoyDMGH36/T2xvpT9w9TtOHQ/LEYhU54VH5RUSTG97i
VbDqAPEpthNFnogpLmfIRikZ5B6IOeQX67msAxSganNaocmTd15H/HbK89SbscHyFVGRzY2TkCw1
N6bkqMz9iVGQUcQ/Q73DazevYucHG/1FQjUi0Ps8raBTZJohdArHIVmckpLHb7F5sUu2+YCWVdUI
lqLSpoG3ka3qs4eS7iM1MfnVQTrjM7vapr7o73ra5nwtx/IxANrd+crzbhRqVsVoLmlNugDPGjRm
jWIaQT+aSeJCxQ1Pbg2ufd221tgZsgYGe6uVc6HtDgjSHmH9F78qtqs6aHjBijBy1WCgtVy4olmZ
+iKQxO3Z1+ynWU7hm3ub2qnHKFKaX3FY0rQImB1PQ/tNjKmr5EDUAcp3Q+9Lj887CPs1yXPfJzni
9X6jgKQR3I8bsUAkksPxtsaUvTCO5DKBM/IM7jFkPgMjlngOWYslCcCHY6w+AjJDLz1+55m7QRpe
d4BxCO3ke/t1VOiMzLQch6eh8F/YMeJHM7Oth6bTUlw6PoGXBsjykzS4wrF7nGwaoGw1WwjhOJxm
AjsHGCpXBOncyz5amDpecs00TkSeeryY2G10ktspYnkuoEHGc/qfaDvmMJqXIkh01OuPdLAANYaK
CYSRYUAyZl9/sa3sitFDVf+qC3e+dYrJW9wu/BwWyyk9GnsD5SA44/XSrAIhKQzr0xJRdusJbuCO
1KISBnwLN380nRKgJ5bGS21te9UpWq8Ey976b7riQy6+fpc+YHaq6kIJXhueQyhy0aRgMMEO8FjE
w14flEbH1kQb1TTiChwzwnMAL1dkUlt4HhMy3uHp7MCHM6zrBnqDwZ65ZA55Cqmxr2XblW6NALps
GQh/N6gIriFzWXT1O5Ckk/NE41Dk6ZcSaB7XR+sTp28ZI8B3I0XYtakGCE/ijzEI7fd07fvuqDV2
SGTw4m8p/4lElg8fCkayYZ61xZcFdAwJvGG0x7CJ9Q23c3SH67fdV5AZ1gbnFKl5b3Q+ohrNP/iZ
qHJ87TofvM+TIYyOGW0WLcV/nWYqitCmDJM23RqMutMWPBDxh5BbRRaAsN4IUT/I85p1tHW8IwMv
pZzthIMlqJMxoFKy+q+t6kZYJZwSfzzz1Z/nEk/sXvMN03MCasbWXVSFtW2i8EqOD2fX39L6QkUI
Xh+Wt/D60HxaIpvUd3ZGlaXZppqVumr7k0LbiJvGAj7q3t0ziV08/+Gkuqg8toL0PeFeEPio1odU
GRqb9ProUGuF9chg0T2DFkz0mxMdAhn7YyWrwjdpnlkD3mZerBkl4ZhWdp/g8io7OYRaY6tsjJ29
kGhZ04cILL1pIfDg150VtZX0ZAIQ195JspNkO8K4GaHvtPPoiL2CQcPfU4TvwOTxUhfpIbgtIdwI
QA6WUtGdgbQMPCwR8GUoEVmnI2I6WnkXr6C39Ku9g8qmgnfoI0tuSzyAesiF89GGSsHdNp1KxHbr
NgzpcwkSr48lbWb4Fi6WgMELLDc8Hmtwnn+fDnarpa06oz7By1t/TVw42xSt1/Q3jCWObF4fmqC3
MA24Hmyg9vyFPtgtJxDR9tM/vqvd2exMQ/iS3VZxAvs3v1jrLOqfe1S/Uebn8/y1aM+TQSous+CI
eUDydcPMdmVpMcPhVmfkQJycpXk4MSEi/yz+B3okaQG0YD8sqPLNLyCAHvrsE+wpk5+GXtQ1OvoY
dR2Gk+hS/z8HrnsI/VupaUJWuND9J6DPdzCF2lQPpg1/CisiMhD3MzNgMd0QkJia8XvMhsdqCCmF
vSOKa5QbSy23k3/QiMAAAXiyQYSmen4V9X2X2dPUCLK6BdRxTZhOu9CnEkgZPYAeKfOH62uxFbmL
oSj48KrgBppfkZZsd9q94gLWc3mMtBwJF0ktFrBGF5HtXCeGMHO1d9ny04ls4mGSkf7KwBeGVMVb
wNl2WRxXoizCl/nIVO+PbxLDdErwnRvP6xd0/M0KQ2q2a/JON5hZq6X0LZnm3CzhLIrPa6JOxo7P
gX+yUOfnPyw14PXLGdFJYLFkzUVOb7i2esZS9eM0ZxmYbBfd1CE5Xs8+FppaW93i2A93Kw97XjdO
GfPGo9fsXfiHNgAKUUYTMowwlGZh+qQv3cUp0qCH7HlKmNoSLiK6JSn/YaXyYNOWpXZhKkMDF6HM
2pMwEFJYlpDSX6gDgMQPlicYsoBYqLPr6BQ8Tgr/m5EyUDijUjPVUi8fCfiZQQGzS41rughHQhLT
cY6UQvQAmtz2gCZ6du4JqfqxBso+iMW6RT6Jv4zV0qTNC7yzaA0X28xuwVAvZSw040ZSGpszJkw/
iKAPqzgcraR8jm1c/prgtZ7sZpTdivLKRGHO0hG9/eOUfHphRs3+UH9pTOcMxraaGQ6mru42Up0j
Er9Taxqzs+Cb9El7rTi25JIaIg//HOH50yt82bcJrpoGaVGCHhD7+nrBKqKcBBPxztzc//VnTDs3
tpHwiITBwOawx6dkM0e6xMwhoj3eNCuMnk7pSabdBvWZMRqz3U5EqFwWoNIrGiMOTHBmt4ii2Ppz
Ta8jZi9C7RgnhZomAJY/BOZOGfn/a2+C4PTXttMRbKKuJuwEuG9iShH/RiZVv3HH42FMXZLgCqCu
0JnGNYtwti0ZTSDhySRD04MwRtzJV8ORvmHRry3in0nSIE69VWlXSSB1xyc+fnNecADbN5t3T331
Dck5EqGUZVAfLLKlrjhdONR6nE4ROoaVgudv+RSc44b/uiu4hL7u8Q/kkgP+VbKYLrSOMNhoL7Um
B6VZD+J4h1JV88bXLzX7XhJNyt+9hI3ZqMIW6w4VStJfEvqCE3VQQWCOXO7DgppV4ks53CG+fU5t
9a94IWFfhiQ9CGx/DjqA88KeZjG0iZ96Tv51tE+bIXn8lSXY7GFV5a/bnyuSR3130MZMJRyzbvSx
Np13/mRyX688MALI/4h6Yv1QmULLUwpCRfOU8DLO7yXk3L7FN888NFRnTXSau8cfprbcrleECxwk
BwA+0sdAqohNDN6x4xQ0xYjv8HwbeDVLm44NiGfK13xO5QgNfLSs3n/SEr7qEf0pUE8TnS+1Kk6i
Xe82ulhjXHDMkuNPgcD7zLaTwIW70ZzEWyvN+d6IvleMB4ZnCVBkL8UE4DGBbAhJqdKKPsqGzv2n
XxblfZreuHXy6pXDGz9qddyWJsRm81Vwhm8us8xjY9vImWcyqvyAsohlpqC3+HjDvnrh3F/PhYcT
QglBG4akZuyAP+C17NsuaxUolE8QOmGKjIEu8JgDsrsQApOC5lqKcBKtj29hhmG2d4ImkUGps0tn
04+Lppwe1IqXXe0ismfHFVSFhLyMTgVp9F8UjHjbzCVJlfLkJrK3mpBSaIXM+ncHtDHg9FrLpMwb
fFnAyAK+GXFwljF7421LQBUQsI1qPQ8QNn3adUGcjTo/t3Zq4GLm8lvVIoMnq96vW8rXsktkXqgu
gRba2QqZbVV+ovx5y5ViangzIa6J1Lf8HTTAINRFhEzTaToDcU8IeDSiEoL9c3OekGDlsSzzY865
BStyVjQaglbOkl/Z6ajjMod0QC42GisELZDPCD2S6d2lSIx8mBHRk29HK8oxxZioE0YRSltYpm+8
1H77s8TdSvyb0ArHZm+4CB73PZi27xAMVNG7sbC95WLOPsBiSrD/twvxhrG3kBn6SpYIP5zZoNjZ
tSL5QPWlSPfZkihsa6KbiEDDs2qfmfr5t9KBdhAhorNDwo9nRJlLS7kMHM8xLLeu2lE6ssY1mVlc
4AOjpz5Cm5h6H/XqE6JV7GvP9tjfhGiP7lhdw5/4RQPTi3Xo1knnqahJDuANlUQDRRh3NIgBzwtC
q47BpH2UA1LZX8bCXaTs7GR9sbgeMRF9ArRDnqlvC68kqtY/e9E50jS1W9F9mFOV1Za2eqxrLguo
yOUbSNpW7Se2Q2P5KwZNKd1KXUYCtirlmaNSBxutPH9u7acG27x6dXcBhmW/HLGAGdQ1/Kk/UyC/
4CNQqDNO7roUxAvnenx9Ji+7PlnRqnUAnwB6/4PnwH8WpIXPLodMVEYUR1vwMQnt1FM+oHdPeuSW
qRE+fNCuw25407+VSoQNSi+7HxVwozeiJ2ujyCNoGzDdR+4316ODF0L6zcvrscKb/RCSKUghgq4W
gHci4G6pk70UgNb3f4M+O2DBWU240NqvSsJQK/+ZQzzphnNc+mCueHf8LIL2EKnIqqw7xzfGy4Ao
I1UYKwB7PQcAY9KI8ONqsiNc3YWOxQyxiXRYHM8tRVrgIIYEpmBRVKHjpzfb1I5sSdBoTuCTDG3e
dy4/ny2zdpxEN7pQGLoK1XrNKgHzAP0NDz8mweBgnjxAidxS9RcXCxd4CCeetKsvqx/qkPTcWPic
ryKc1FO+nBcoAwyGwtx2oy5pku2LIc1JhgjcjI0rwTf48QMv3ivlBJd7CM2Wb2WiLws5/wJ0lEoO
VJXK6tH4KvBKT9BOZ/FmG4/skONZB1c97XXegCjp5Wczyk1B+CdATXkFGjj6Gx1NWJJa6i5Hr0kp
yT/EjjEeoYYOHVGeyquxL+fpOZx57NkfvS2941rsW2KWQpjLgFlNA35HDf/zLgVXgnvKxrT1RVG/
8MTgN8Kz1gRizVuIARmiolepeOUY3rnmn+8OPp1i3ONxg54fm86IHFjynB8NbGPdBAq3MHcaKzPO
CwXIeot6Vd8ezwR17k0rJOnDyvQwWBXCqIRb1ssCjBKHJHVwlwbnNtECKOoeFS+VnSrlnCYs1etM
ZI7X0DKSOajf67j1yVNKa/ajGQt4tcvUoPK/Wy1CMzMD8q2KIwZbil1ExORZBt9KIVfSaNoGO0FO
FJfLe10Oo3V92pzGHQXJa7Sr0jzeUwnjzb3PNPjNCF/NRrLAjuMAQQ73Y5l8JP8mdFtPtVpqhJ+g
t7cr7E2gYFFisPpCd00SOPTSjyW8B6B20PJMPe7yY2SjU3Ld8/r+X1QJHlOROi3cU6Zzs3E9Q9LS
gx40d03NmojdiSGy+5tACKOZGVfSy99kaKexkMBtZuwthuagctRNWBl6WxXbxai4fNu6Ku6hQWOs
lRPBCirYxMVDQt5mm1iGeVuf5aQd4zn80ywLNNGviQdqFQnn3Ap8hqLmAvpNngau1upDA47FYxfY
ItPNkrH89DKPuHj56Kg0SJzhORIkdPIsiKyx7Sj5lNcajpyxAIu1+JuA+lENNDWHI7pE+PotGXD8
VeT54udlSIi7K2+3rDMinOlA6qseATWlxHh7QqP9jpxMk6VTQkXFURrZ20/pifSc14RIzNHBL65G
GxPJ5XTDwC+HmzzxN0vW6oBHkJuQGuoyPWZOnG7BAnMnTqxTS8BiqhmM+3l4dUNhQztgKeTqMYLH
aZKEYoQtz7h9t8ZH/rXcwT04ZCiFNd2t+69cY11I7smnKT/MQixzrqYsVjBkf0KrSe1EQOe6qt5K
sOKn1Xono2xUkVbVMNHWZgwH+bJs3PVmijE+4Fs70UEmyrXPu9DOR+MsEcEuDSxVcPGQu5l8c013
UKsTyy5LYMpUw/SYiub8s74iPJnDGc+Jx27WLm021GjXahjWnVtX9ZqAN2TFbznLaROv8M2LSdCO
WmSOfJHK8Ky3xLbN0tALZ/UDFyo3GahGOzPBvyICB2+XuqrcCOdWAa0NXpDBUeix7ixjk3bklnyM
ovrXN6q8nwGWQ5RjNhFVtkRhXUR6iYDyv6sAOFo0TAhK9bfXoI6rIiqJ1RdxLNPssjyRs6veWUlr
UC/nGNG3wp4T/8SnytL829M2NAPrNgyMroqSFJq2cEZsJmWKmY66zIkg48b+lYpy9c4ZVu2jgU7Y
q1NgoWf4m7JCSJSHY/F+c2NlavsszOl//9CA/noMMHcucwsrmysimSSursdk1jLcV+uBD6sNnw32
3FGH3PJiHKLN0189axQNPFXXkPbXrrzn2pWEYQZEYFZWxSt2vqvkQubpi+yJGQZ6W8ABIo2rRi4V
UXEezEyOKUAk9yRyd8tsoJiP7b97jPLzWGP/xk4uUcQusRRnEbFAQmGPgTCCZ5a0F6QzL81R0Dzl
cK1Vex8GpF3S/UTPuhgUitMCSjTtE56A4onF1AKy7N+6QEpPV8m/ERJf6RFyJJf8NwqCiqELNNt5
AU0arU0xOj6wA+QWA4adE/R/hkWq739RJomJAzfn5THpxChyXETtN/IjgHIUIzcu1D6Hn7EOItC9
x76TFWD7al7WT2yXnsLP5FpLVL2rLVb0v4oHcjhj2kOrbhFPiNXE+Dn4YHLKvrRWix8uL+AGNkvf
vHSDd6Zs88zbP1FtfGNsK9GyH/g1ey0o/6dwcco1l3aAfJelHBnPBQ69aeGhwHmSIwtDm1tXqpkf
dGQp4jerkZj56GyPh+foPddteY6HTQBSj+olHTu6dZYFBQq5usYOF+ERQO+2yJROrhS6XSHhaeP3
wWlbWUyWsCL0a+Q/P64po/wKmbQVjK1NLNl1sxYlPh2R/b0Yurup3SmP1+kMgvb1xkC9SEWS3LV3
+d7qmIFiodoIqQzGz2HhWfDGBmJHTpMfwnXZWWGCanUJt/gb9aZfYmgV7OIoBXLaXcMCelQz+6hr
3pLh9VpsHhKLDdqRzm1ENVEqVykTIjdLfHp1qtJNVDNfVDofJdfAzDVYLHlmbp4fbj1xdgHtmZ7r
rz3grGQHtum6R1OvK/FfOnxZcrU2JP6vp/UuIH0Thwm85DP3wP3FNLcjn3Ao6/0260AYTpMs1rVe
lmdHkyU5PRFb0r+m/dh0812aFOmiFZcTMnjtMehklttOALQ8ll7vWC3yVueJLkiEVp8lnnWdqb1E
2AfbT9YjvsKS8D5LGA78sKuYWfhCpaXwxgaSpWFHAOrhIHqsfxonmrshsXoeNKCEz7F0S/vxV0B1
Qs2c/EFxvjADCzwvchYgvhFjliYYZhoSPllhXznEDtVza7ebZm+Vzx0SnAfXchHw/WHTKOB6xsLh
5wdTevMMtc6fggaimzR/QT/saUeszZvd1HdwnrwsaVkO6L4DNid4Ws39jqgRpcmRlL5pqHpthTmy
eb9DhpL3ZPAswkSsN96/llWmBMyN56U5dnEwczGmP/TxTP0ySTh1w//nb7Wy+r8qCk9vOjAH44Zz
qGLF5nEI0F6XU5x34FsnMinsHb4G0gdDajRKYu0JeWzv5L2LgMGc6ch+kCLOTRq/ESabRZjgi5G8
jOx6kB/ElWeNvl4/ZNvM6BTvsQ8dMI92puLl3xUdCrUfLWSDVaE7t1McFPShh4aSaBEUcSt8L/zC
RC4p7iZQhrQRJaUzvVcNQu5IynBz8IX4PYSpqOk6/9nZyhUmt2AwSxP5CA9D8OV0qbDO5Fik+0/Q
8+xK0Qs2AxPZ5pk9D6HrDoSYPKvnn3sZXO6Rs6xjveUhhOnZ7Rds3iBIo32ZhcTMYnEoChZY4sqD
ioySbz7rpqp560PWQMiLUhcGD9OmF2mM2+OzWufna7UOq01yAC9vQgZlMXFt+8EWS4llNMwenP6R
P2/cht/QSEC8cfwf2PAAIoe9o/k8yLjFMyCrre0IOA89295THDMM+2k3UafNwxtPcxNW8t2Lp6mq
1J59ynjJ9+XR/S3I/DH+rvp4RmfcUxPSY9noYDH43eIeRb3hJiiQ4QNjxxlkPgc4URdZNkQPCi3s
9JFzINMxXbohvVS2TQoCdBTX+UJvTjERhZHPFZNSsaxS0Y2j5tvoceOgp+intdc/DdIE2KeWhSGr
LsclLTX/YXZLInWt2f5U6uXxUrpzMA2xsWymRpL8vWmbv9coqOp7xFmiPubMWNJLKECUx0xWn7Tk
epLRt8g9/nvHu837zydwZMLWuxx6yHn8Gt7Qpfwjcw7cHu11L/Z8ndPgLSNvbmkHCWSmYaUD0QnR
XOT5JN/Hj1eWbq/WGUOR74DF5qZuUzlb4qp5WXmCba0EAYnthmwyVDxNfB611SyIgD5fKOkcoiZo
Nvi53J+xEydW/Hs4BCl46nvotjX5vDsDOzrGRT44ME8HpZ7xJEJnwHh2FZ7CNHcVsJRjQggsilBW
UiJHM1/128YVcFj4UqPzutDBoXTLMOMKahgKZ957BejwvLFDPHGgMd4Cp32ezLl+ZV2wFYB7TFsi
8xTyKBNbo+6+ZHVWXoEG1b4gw4TaRmEpkYLKTXGZpGD6tw9xJ2uJWrY0fShExZRgVVxx5GrM8n27
ApLmrVdOM8oOndRARxuZjgIeO1fTyGvZ7f5hgoDj4Gc7zAfDMq1CSrdzCNCniD2keoQvfFSjUVFQ
0Rzpyg0hsFoGypEza52TsaniiQbNShSTKa52/JA0YQkzW6CbaEf28Xtnr0jXKMMCMXJLpbIsHjZR
VV7Qj4aJbuxcBuYp5WHO9RNU0sz1XY/WAxwNGDFxdci3yTL2jMJrI3tAxNmfNP+VOde6f0SXVGOR
jrfNXPUhAKWbV2zc1iKl9uOM2RcH1eL9OuJTPm83sTIleOh9hfhxzRA+BqPO/uVxm+QXVNG1b6Eu
zl1QH3eiQFyqr3xzkChrm0s/0SpxikuYsD6GJ5GKevK4vHYuDutF9W4wOIX4SctFBH4QOUg3Q1xB
VSpYehNZGRluERnfJPC9/e+NvP+T3+7xXTcM7bSzbYeYByYtwxAa2zwzS/E3/g6Jt7A8chIo6KUA
rGjoXLjZG4JAmXXgtcM/zDWz1Mqa0btzFulbLA4aJQ/QRMWSge8bVkzSdhe/8P3aPWBzG2pNYV8y
IWxMvORaQTJIDMbveArRe5EFrEzk0CTCKfEo710rZfMu0xFrLXVVGNFUqD4e9QKykcLD8cK4PRkp
j4Sgd3MgPu5WdTdVT6Zpd5D+3BF6KpMPjrAEvAeBlTU1Oa3i/eCpzYtl0IPNrDM9WONH01URAjrD
ipy5+15OmieNZJLiR3t1RTBwdEmaw1/5lbZFPu/zMi5ec481DVVvedQxDcHP8UNWwkQ43zMmzP19
eSSFt5TZv6bJTd9vWk93PYn4Nukv2LjERLkze3iJfSDbG5c89tSUs0hHexrA/iutSVNLDMLT+MRG
uB4V6ultart57hLpkZ9l4rci4zMhHE6+w40wUO8bPLryeu81KSMY8osQqFdqYjNdQLKDb4D3iMwB
bldhgXeTiObcGbwaNHcVu54GgIlTJ4lkQizwmFLvPnNFvlqhmZ93SBss0/moA8W91Bxvfosk90nl
nJioHTegDjRdFz/ioCBO7vk3SpK7I7pTaFss8eJtfQh7aG1AwCmia1yuj1PymAvpHNjYhehYgvLW
xMUOFHUOgW+5vEYibSbyPwYRaTD6Cea3ZBgkYPBg6P/SbNqg6gp35RJziQ5QK/nPdyJ94ylUw8xE
FWmM4J4P9U+5k0UFensaWHkl1pv/8fmrQnwXfUI5TuHuSz+ahJ5n//k6vD0+U/Xz02quTvlUCTWP
wUm+HjCU/d8FfMzLKc0Y043tGSWWCkoPVEooY2gpVc71lHsOFdFWg25x3PTqr8mlUK9CkVZjfQeL
AVny7m2D21kMkq6oRVRsktaJCF8nPpXxCS8kXJLPBtBjyFDpd5C3r7pwkYLUh+IMutuDSAAVu+/U
EuUlqH4RzC/fQnGFmniJWpFdXU/2xetF7Dfff4SattCc2mFmKBgohL6GPsnCJrDn1NGU8EJElluX
07hlSxn57jOBuk/50mRdW36xF2eO3Y6pO79SrI8xE5eaeC5iPeQN9sopfXcCGhSZ0TgbNS4zD4Uu
VzL57tU5cgEzckh0gS1QXZtb130BoT0MYd2KG82ZKaWFzRmys0/8ZBOm83Pady7JWvgk53/PXWab
UZAzZ4gZICJ68tEWyV9vQ5bEY7Eb+uuS5whGVYcuno3SZEaknDtsUvz2RfJmVLD2y2QFgerMLfVO
/lBCVUhBx9nzUPi1iD+iw7vM6JZlJHvwe511l6eyX+otQZTV+hgL4vWCXxk3UQs5VRoBAoovqprZ
LTsllAp9TQMj46+hBz1D5Rr4naca/tXKhL+vNYSD5vWMkqTu7DzlvksmHnO4LWLGVgiX
`protect end_protected
|
gpl-3.0
|
JuanMarcosRamirez/WeightedMedianDisenoLogico
|
misc/FPGA/otros/auditoría_imagen_16x16/window_3x3_x.vhd
|
1
|
10204
|
--------------------------------------------------------------------------
--
-- Autor: Jorge Márquez
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity window_3x3 is
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth -1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth -1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end window_3x3;
architecture window_3x3 of window_3x3 is
component fifo_16x8x
port (
din : IN std_logic_VECTOR(7 downto 0);
wr_en : IN std_logic;
wr_clk : IN std_logic;
rd_en : IN std_logic;
rd_clk : IN std_logic;
rst : IN std_logic;
dout : OUT std_logic_VECTOR(7 downto 0);
full : OUT std_logic;
empty : OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
end component;
signal a00 : std_logic_vector(vwidth-1 downto 0);
signal a11 : std_logic_vector(vwidth-1 downto 0);
signal a12 : std_logic_vector(vwidth-1 downto 0);
signal a13 : std_logic_vector(vwidth-1 downto 0);
signal a21 : std_logic_vector(vwidth-1 downto 0);
signal a22 : std_logic_vector(vwidth-1 downto 0);
signal a23 : std_logic_vector(vwidth-1 downto 0);
signal a31 : std_logic_vector(vwidth-1 downto 0);
signal a32 : std_logic_vector(vwidth-1 downto 0);
signal a33 : std_logic_vector(vwidth-1 downto 0);
--fifoa signals
signal clear : std_logic;
signal wrreqa : std_logic:='1';
signal rdreqa : std_logic:='0';
signal ofulla : std_logic;
signal oemptya : std_logic;
signal ofifoa : std_logic_vector(vwidth-1 downto 0);
signal ousedwa : std_logic_VECTOR(3 downto 0);
--fifob signals
signal wrreqb : std_logic:='0';
signal rdreqb : std_logic:='0';
signal ofullb : std_logic;
signal oemptyb : std_logic;
signal ofifob : std_logic_vector(vwidth-1 downto 0);
signal ousedwb : std_logic_VECTOR(3 downto 0);
signal dwrreqb: std_logic:='0';
-- signals for DV coordination
signal dddddddddDV: std_logic:='0';--:='0'; --9ds
signal ddddddddDV: std_logic:='0'; --8ds -- Señales
signal dddddddDV: std_logic :='0'; --04/06/08 7 ds funciona al pelo! -- Señales
signal ddddddDV: std_logic:='0';
signal dddddDV: std_logic:='0';
signal ddddDV: std_logic:='0';
signal dddDV: std_logic:='0';
signal ddDV: std_logic:='0';
signal dDV: std_logic:='0';
signal ousedwa_temp: integer:=0;
signal ousedwb_temp: integer:=0;
begin
fifoa: fifo_16x8x
port map (
din => a13,
wr_en => wrreqa,
wr_clk => Clk,
rd_en => rdreqa,
rd_clk => Clk,
rst => clear,
dout => ofifoa,
full => ofulla,
empty => oemptya,
wr_data_count => ousedwa
);
fifob: fifo_16x8x
port map (
din => a23,
wr_en => wrreqb,
wr_clk => Clk,
rd_en => rdreqb,
rd_clk => Clk,
rst => clear,
dout => ofifob,
full => ofullb,
empty => oemptyb,
wr_data_count => ousedwb
);
clear <= not(RSTn);
clock: process(Clk,RSTn)
begin
if RSTn = '0' then
a11 <= (others=>'0');
a12 <= (others=>'0');
a13 <= (others=>'0');
a21 <= (others=>'0');
a22 <= (others=>'0');
a23 <= (others=>'0');
a31 <= (others=>'0');
a32 <= (others=>'0');
a33 <= (others=>'0');
w11 <= (others=>'0');
w12 <= (others=>'0');
w13 <= (others=>'0');
w21 <= (others=>'0');
w22 <= (others=>'0');
w23 <= (others=>'0');
w31 <= (others=>'0');
w32 <= (others=>'0');
w33 <= (others=>'0');
wrreqa <= '0';
wrreqb <= '0';
-- dddddddddDV <= '0'; --9 ds
-- ddddddddDV <= '0'; -- 8 ds
-- dddddddDV <= '0'; -- 7 ds
ddddddDV <= '0';
dddddDV <= '0';
ddddDV <= '0';
dddDV <= '0';
ddDV <= '0';
dDV <= '0';
DV <= '0';
elsif rising_edge(Clk) then
a00 <= D;
a11 <= a00;
w11 <= a00;
w12 <= a11;
a12 <= a11;
w13 <= a12;
a13 <= a12;
w21 <= ofifoa;
a21 <= ofifoa;
w22 <= a21;
a22 <= a21;
w23 <= a22;
a23 <= a22;
w31 <= ofifob;
a31 <= ofifob;
w32 <= a31;
a32 <= a31;
w33 <= a32;
a33 <= a32;
wrreqa <= '1';
wrreqb <= dwrreqb;
ddddddDV <= dddddddDV; --04/06/08
dddddDV <= ddddddDV;
ddddDV <= dddddDV;
dddDV <= ddddDV;
ddDV <= dddDV;
dDV <= ddDV;
DV <= dDV;
end if;
end process;
req: process(Clk)
begin
if rising_edge(Clk) then
if ousedwa = "1010" then
rdreqa <= '1';
dwrreqb <= '1';
end if;
if ousedwb = "1010" then
rdreqb <= '1';
dddddddDV <= '1'; --04/06/08 ds
end if;
end if;
end process;
end window_3x3;
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/builtin/fifo_generator_v12_0_comps_builtin.vhd
|
6
|
32006
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x32/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd
|
8
|
32589
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/builtin/builtin_prim_v6.vhd
|
6
|
37128
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/rd_pe_sshft.vhd
|
6
|
17676
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/axi_bram_ctrl_16b/blk_mem_gen_v8_2/hdl/blk_mem_input_block.vhd
|
8
|
45404
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/blk_mem_gen_v8_2/hdl/blk_mem_input_block.vhd
|
8
|
45404
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872)
`protect data_block
iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj
mKjKwY2xZcfJ/srndO8S7QOgA1cW3PGqqy5cSeqaNkpdKKv7LRMK/PgSU3QY91fBkoay9fSdIXCM
AO2YSxjwjCCa4kjZ6EGpHLsWaRutaBcN9VFBZUxk88GZUKXyxFnz/FEntp9Y4JdD1OfzH626OAHc
i1zmQa3eDNw15stEMGYeYb2JzeHGuJitsFtt3F4PaUb8tqxTAVH7Cq0vCshswcZHqxNzvxEiO2qc
82TmDBZ+jGB7lpzN/MA1Y1cBIAVSTQQ78k/vwFobS36Sl0SJ+9LLlVbL7vOgplkHRfbygk5Uq01S
ikffXlJlbZJml3na2Ji+XmYB8lPyqeQFUUvcVDDpqGM91YSESZt1OV+l7c+UTeK9hTbu7nrhBIyi
w9+1BopnR7Qdl2vksCH4GnxhNdDpjTxc4KQpxjdMr+pvqSarqHc5vkXX98qJKTmPg9MK1y/tGjmE
gbKUxPCRbbZ+UzUHdqETyqT/oxVtuEyo080upJkuLoaZ0mVyG6lxOBcDe3a+MF3g6XAb6GZhZ0yN
gJ1ljnPr3adn6R9Q5dwW2GXG68B5RaQd4DBd9ud19e7tLRsaoplU9YtDRU+xShdodwa7HXLSS/w0
R9anhChZhmJtT2FLAc//7HSq7DI/C2cp0x23/QFeziaYD8bRiSO+m5Nwjn1VjMHGK4G8QHqAFSvK
wiF/tURipRxg1kPTbTeWxQXIbmW5iZJq9ug3NdNP4qtswJQbe/x6sl2CWZSDsMpmBYZJd54KBWxZ
Bl1iyKuiT1vBiN5ZXQvABZQiVUYye/dnKjluwAgt9FSYATex0L6AWD0v3RPa0j6t+Gn94pzuFw2W
H+szpPu5UtB3tD2NtcJmYLFYdteIZF4rZP26VsvX5Q9L5rNDSzl5kdmkppEsrf2qSiJg0YLxMBdW
6V1fhuOdJ0j+GRjGI7cJ7iCTwGy9InsQDc3RuIhCGg6PUIb+aJAUILERbwxrhJcBelgoaWkuiGC6
rfNMwezuKA+Ii+uEXsHyavypPGp48K/kCTuP9SY8cEWb4XIjbew0g6yYcy9rjvzJnS/q6FydVBhJ
YpQP4XkkZsP6xG0+AKoh9q3DXK3UlL4XW49eIB/pnAL5jO8jnO08Le2FbSNupd7DgqWUK7o2/3CR
GnUaya9rPkZIv+J2yDKC6Rresk940Aje1pWQo9pcFK5xPi0ebnC1oRA43gLq6oRkc5wgVArxtR6j
cTYhE6i+2E5xat6gVVl/BgbJwHNC6KJ01u/pJyCt6hhKxfADu3ELlXVUwZZmuYRTBUoIuIwXYkhi
NArJtrvVvTVGP3XECVnyQe++5bXOKrziBj7kuOd5MOjJNWBW9zxiRpXwSYFoz6v7g3KkT0synb5s
uWlQbd8D+0PRPZ4LdRE9hqyAYKxnXi1SIDhbt5J1ERsDZUeTLhrLnP07Wbbhl0hk0OziElZRUMHP
2m8ZY/9MDzkFO5s71G8PR/o6TvL/8TFJAdRlmg+QnS3EyVx+MbtM3T651k5hvmToQOdCUClHJ6K7
QMO8tyCEIWclHK2OP1UASbulMWVnIh7P5posVMTJwaboLdU8aeu2CmraYlBap90B+FOeFt5jr4lJ
ANCHFGYJB5AZOGd08vqHadGnSxFSzWT/mSqie2TzeIt2ZM6Xw58rYDsY4WBgnipIh4Bgu6SRlK7k
/p+XL5/m+0s1IuC6pizkURkwaO63EFz6YzhO7HSD/Hg0JpXLksQ9MKZ4SteDIQg2X1XT+WPbIyMc
erqJiA0/a9+WLwbg/OQvVyATx2oCKm+3tqiz9+50nEFqrHRYWFCdbIP8wdRmUavYyDaR7zkSD/QZ
fAGEn+kvLFlyQ1Fcw5g6yyaQTksjsn3Reg8nYROyPrdmT8cYEIV6s/iMRLI3aNYaSlV26g9ZXtXn
Ioo7TeO/w5Mf4Ld/Yq9Gc/dzOJV9IejcGxhuyMzvIkLV3ke8dTP40ovOgV1u6XS6v+BiE3y6M+A7
QS2mQywqrpbQZAI9KNl4BSdM7z1RBuElJaK7FQfs0Tllayfuiq0hLLSmIS4vtShkL75Y9gasNCo5
kFczN6yOrwAr2i5g0x3Z7xxwYuUTck4x1ZNZ2lng1AocAtdekDWOocltZWhkjVSxGu5eBEDAfLEc
Zbw4NS8sMDNih/MfJYc7F5/j7rnYBJSCkFNeji9QMXLwEaD3HA9WIyWznJE3LA2364GgnR6r8itS
815YXS3kNoVg0v8Xx81fmX2znOmJTZrwFLO47pa+JcVgAmukdPqPuumVPuwcjYY35EfqXvUPvw2u
Mdgh9tUiIqy+UMQZMYxNL+dISh4XE8ESALDc/dpvbLw0mxccMOCM3roBwnuc0y8G8jkMlI95Sf0I
Mln/h4usCeJxzq9QrLjrkCB2Z+ty3qzveGHqr936E/IXlciJcdTOOzMqKKshE0Ku6xLs7oTpC+HV
mYspOYpxdmzvGiyXX4/uVpXP7mU//DncB27Ryjur6HBHOKBlEGNP1k8Zl3tMlhtrBevdFC5qk9Fa
qN7XdbzE6NtSv1AQVif3EtBX+aCwTYO+IvvcIVFuDa9tc8KDrEp/ldLVQGUwdh1rSH4ilJI6mfGd
xWEhIw8kApUXAQ7eYaSK6/v0hW1zh9CXrrpGfDdc1PubkzvC119aNec5YysWIdmGQcroliNOtk30
g901IbPUC+GFhOopM6A4vs78KoRaUyiiITOXr2IMBReivG/EEUREeZ6F9tnS1jkMffhB37j4/X7W
cpV1llYIdtx9pPoR4yX3wwvxNSTHW4I6GxWKEeYpsOOwz9ZYzqMBmrlcgP1cm8ALPioHJBha7HvT
C7BaalzOiRc7UQGJWKhVVr/DCYz3JtubuHw87RHyNTbZeaDx5cw1ekDmlIwDk/Fxvu9I863MNkt/
HyxVfiALz0IGw8RBGe4m68pi/6c6LVgItVC95Xd9RkdXlCE9PcfaHzp7Bift+aLWCeN60rEB4EHG
/h/wSR3MaEy3+Lff7lelhEOg3v5YFAoPwQTlY25s06L4n6yFsB5TRp5chIAMxg/mJXiQVwfig0Ws
FRmvOk6pXRcK39kSLVwT3edkDk7A4aJdYHj0gtb/jG8u37wmZ/crnOQKFS1IS5UFrSKtpIpfBykh
bJ9rW2gdhNdFQi9fQtmF+QNS9JhTfmYHF7qGi4bJ3gC+edQqHNIxTzuqGpZDOpcdAeXWTwRXVGYa
vFKVBUC/+xq/awfbnm3K1GjSKzfyk6qD1ztSbHds9KM9ln7eosZYTJrYdiCOtNCP8t2HMlx3Z56K
imsppZDcRW87Yw1zOficlqCSe+oJdqHP3uZGw0YNJYjWaCgfh3YoF+h1F2lBN3WqvV5w4ucU6G3R
31duiVvJ9VKfN8QaKrNgZZWWXa026P0H0HWin+7pFRfQ5u/lcrH1LD7ftX66f+mRtD9C5QowXAs3
qmY9pNlb1x7DrG5KVK3OyxRML2OqefHUJsCTI/LE02bVR2hjuAt6+JBRera35uIjKgr+ipM3yosZ
kNuidSCnlUkadDBiqBLctO9qWgGFvYFAmWVIdYb8c7322HnHOc84SnR8SFaw+7PtaKUUHaH+1j9R
jyCYuY5cyrTPzP+iAenOyylqrNC/Hd7dq7+nW9Hof9HQlpuyXTz13WGD8BVf3xuEtY29qjnflPK4
H8xzEUtlvuU8BI6PrgNi1Mz9lWtkHDhOl5XVir1j34EZez6DfeTNE5YQ/YPtUIEF4c/eFU2MAOPb
7zoE5KJ7Vqfzf6EIUnaIPPQdPrBp/hdCxxUhgQ+r7wBc30Z/tCjJgPPQN81/v5JJBa6OmaqlzlvN
b1SHoLmFqc2e1BHPvWJbzPjJLthhfSt1lJv/1qlDwrm1R1rBgAS3gvL5XCvnxDm2yL2xoTl6TS4n
HKC/XUS10SZHhiHd8814gh21+VEgt9zSSz5CisNi5+9u0YLo+/+MODULaWYH1wrdACMvG1naZKi+
SLwmD+lfMsQ74d+jyJ6mQK/t2saedF+C54zJ33d+X6zBfpgbfE8yWM5T/jAG2V/mUrZN7TU+JRja
PCK+DGSLF0qEvQWwdlEMiBga/JiPdAWatkIbjivgDgtq8Ep7fTVZxSc92ewU+/Vw5zI9mSD+0a9u
A8iBVvhwRIOVu2dESOlmE6ebWaQkIm1WF4RpBmICoGhn4Kjj67Q7ETwiOeyKirppE4zbWBIWtz/a
uAwIY1Hvf6R8GXZPV0++PN+ScG17yWyClJbHJGQXwsXqEgmLRswJzDecDtFFOQNre6xTriVAkGpj
MlbpI9U0DqSOAD3U4XyuhSG9ZhMxmA2p641Xdbj4q4c4fHZJG8dGlw07Q/1q9Eq/i6Qa89yLmqbu
8H1bUfH8McX3X+SD0lSCnkTVdQrN7em9x0mJc4aX8r6iGGcLreUYCfj0s2MsAfq89d4EJ6Ff8+SF
gllseXhcwNKjtmgHExVogse6omcclkfo2TE4IAGYftaGtLYq+YNLBToDnsfG1BNA3cpWn+lrj/9l
5gNmd20JLXeV+jlG+aRMdIggkEsK9ObQITWJQMpNGRDsoJCVIe1AASqaLK0suI42SkrvbLruB1X6
PmrCq6gEigGx89PfWkWoqaGQa+XFUFwJDUnLptH3uptc3o0PCDuGCToSGhUl6ECYvtfRqo5SYsau
IoE7CfpHTCIyZsJ5I9tiDKbQOgjddzjB+Sgpdwi5slCAvW8DUItvvR9f2OQwejMo2Jpi8f7oR/hZ
XgKhcz7js5WX201yQ5hWNUbR/nFsJUd5wX0uQujXa5PVqfmS0z36LwJ6Jn1SIogu0dqsuvJdVvC4
gUgrpYDEVtC2zPp4BMmGah/c9s3ZShWHJXfLlvbSjjqeU91r4kMew5BM8RH0eciOE8Lxdo7BQsJQ
MEB0XIMyPaCn/BOpTRauBphIWUBn/cLX1/jLaR4zOUuM+bodOGBv0ARNp4YYxh1xMwkputSECYTD
INZTn36XzSwU1goSbInIXTYgVEhgG+tHMq/HEm+skGSyGwmvpefnomro2cnF7Yu6tjVJOkC0S7C4
0HKnkNXXnHzIlU21cLtUctuDxY/PEGjISNWuRbTCfkZ+Ljdzqajyd8xKCXLtb8fOHHZxK5/VGZXh
ehtcqMVNx7ttPDXjUsh2u/plWp1PlCgJETEfV2tANFOhWvOcyS2CzOQE3dov2oLKAZhZoIQYWZZl
NyZmQ2+FBqyXKgt5oyqzN+2mv9q57wIzrWKA1ur1Xl6gqJlxLvtEJhrgd+t/S7UL8R4H08z8R0uP
b4GB442nGjvDET9okW/v2XZqMApv/6UBSsWe29ZaJutZhMZZ3yc7GqiKkmlPu76d6dy+K4sp31rJ
3dpI6lSfD1/pLVD9qAkOd16S9+L52ReBKR6N1ItOp4ij0iWrAjLUyuolokl/QdxDRqEX1UHSN9p6
K0UtdnSdhgYCpZVeOAHVgJ/4gpflSpA5YMj65AmWXshRNOJojv3Hpk6Efm2AchRiU9mHxzxmT1ES
oxkGO0YuDTW/Nz2F0dFwO3DC3OhcAv3CKYiXrpSoeOCuN8EkEm5aS1YUVOrKlnN87KabaYSK5j6z
7i34FBRNyLIwerKeRtM6rM7KtSGN7DEo6uA9TkvUTsHe14Pj5vbi85vbXXAURJLSneAEDVV9SPxC
OR04A9pU/dUCOmezvqb6x7KZ3JR5WhCGRmNAJKMAMRqToP0aOKgDe0J6vB5FRZPluaqfbH3PFzzI
HDO9urBm6wEwWiQ7lu+jAwNMhgSQGIPcc4vu7tqiq02ZEfC5UskkgvGSHFjQYMjc18xwY85GCn1i
iT+T0FkT6w5xdIVV3Gtn8mO9pP2Jps7rlDpE5z/GtjSwccYHBkghFx33mboHfCOJhEqrlYPd6hih
TzAQGCRCy19+h+/K3TyuNmZ2THVXFFxH7bgX/WJ2gjIpx58mJqPeFHlVD0puxxM7TDuG+ZkltPJj
BuAdUFqWOzRK7Wm8t6xaqgFKsqTxjR36MGXSkeJ6AqCeLaJPdLAQSbq2Wcjqok8reqUGnX2hlTan
/hBXsWjmdgP5Es7wFEq2WjNU5pj2aBix+aSDyBwU8ieq+ynKVpa9fW3KTzMrFcV2LLCA4L2MrRQy
lbGCZ4LrZxSTRVuNi2FwPGhsvOaQLVuY+pp7ly/kCnT6iG4zMXC2ZLdZpBG5Vyt5JrZ9z6MQfF2W
XWqGGYsY+NdPeD5f3sIoZIsL0y6Dy/wYbHJ8KNtp/PGNdhJbI7IC9HUyINEL6r2KsVOUe8Muh26f
MpWo/ZtUk21RkY+heEdXM8dAFfBDFUy18dJZe9kM2CoPk2RLNnuOrhLvjR8o6nZY+7UgFaBwgq8g
M+1lLNZRXDHac4fe6OhX9/Ap8WIzG+kbtxmRjTC7iTb/PgkUYOqG6tWJYJqtccS7Nmkl4MI1821+
YUPgXbG48jfMMo05ckTMcSVdYa4MYEMr+QKYah+0P43xzqyZJyQHUlwP/laFLyNgBwm8QWXzqIF2
HpGjDmwElaLLjiLRRDrR6QtiIhcZkGeJQgvQTUJFywA8lz795DtFQ+WlUCLojTVbYsIIAb6OL1xr
JS9jH13EWvHkqCHnDTiAedfAIBQ9/8wa2DpIzityPNnxIGRcV0lph3MeSDz9V25X4WY5ouAhKAJ1
ifZ36xBbv8ZzzrJDO2Db7IQRtdrMc5jNljEG7pGyNX7bdTqfpKYrXIVX3T6NJT8mDxMh/UsXJM1h
r6UB0MwTi24tDE58KyzqycVqT/UB8JhER6wgbkMkrHMk1gI4dKOBUi0tUixv1JxRmliolh3lTZf9
NCkiomC9BxSJ5B6/mnf5EtmbJc8VLJaa55Xe0Xis1IADFUGkYjUX1C8tjMwqCWT209Xncw8GjPhN
t3xfs2pye2GcPm7KaJBelqZnPlz+NBR8h9aKdkikld1QZXuvsI8u5wDBPOjfcislx2KiS+ypyE1Y
x7knGLxKhwfn4i/CVK0Jg5L0HM1dxawiJ2mt4QYf7K0K+HG5qVaHestGo/owLsW15APxnFDFkT34
6gSyyVVZp89Kq0Lkxmz+PEtpjb5Nrd/P31pmzf1E0kYSedS2XqF8zUQU3lASTtNFWLXuuKMrt9Ff
coWJExp8kJlNG8Di+0j+uV2LXlXMUn4sOKgJitAGy22Ix+4z0fU4s/7bD9hLlzXVBTrcuNgKcfc/
yY4DPx/i6oiRHhO07ZsjyF1j49xhMjjpcNFTPNypsoCRujYbsS83q9TewlMZpC/0TQNw7hmnXfCw
h0tI5i8m8WtJTn3Qzx3ARfz0Kl7qbOJghDxjWZK1NlYdnZJeXmrL/AdyIE7oyzN7kUZ9fJReo1os
VriitXlT4jUKowVJFNQdYZeGbJh0AYNvYRYCK9nxU0dOtsJ0L1MSLQ7B9Kn0N6oUf+6hh/ST519l
QrWBOXQW5vmBV2bEcpwnZpE5AUcUXBCEVcDDzMWhZJ+zNPyaH8G2qlapTuOKO7Ja5fbZYASW87k5
i/Gjh7JGxv5zr3GEv8CPbUfTa+c6bL0VyGPKGPy86L/pRWWfcgE/xtHwWyNUQ2+UGuxSy0wM9lpi
y13g5lNnqNEH/CJXKNMyJEbpqqNaVro2fK1vRs1mngtLb88s7iyBFA/dg69Cp3Q5bahwl1QRmiSe
YkRoxRsh5L0Pk0EpD7bOtJt1fgkmNPxKYVretYDlGBGukU1+pTon+AdjBVRiINhV71SbX/8w9uMf
h2oa1/k0Er/d+mPATI2L1dP2b8yrVQw9P52noG00Uz6kddyptj9ewnEJ+ciYzE01ljzt9pPOvi1h
3EB3O1AnxUqMYP+iP5zKtyZyp+RQ7APURFEt4tIOriMQJgE0kRuzoU6RSsM32KnLjVV036tL5msx
gWfdH6NU81bUVAxzakLvIkalyt1vqVX3gOwOmr4BTuxZZVnS9BAv8M8UjVQPB0n3Q4IJKEXX1LPl
RP1HZPsxC/WvQlIM5vqs5Cp9ntn3iPYPptN2Dt/EG1YyBqLHMoXxBYEI4ynlgLgTkjFNDuS/TxNv
TjFQXyuj/SNkgleOTC+8vBRTwjQUp8pCSHJbImIe0bPY2gnlrPowGNTciI6/42pt/O4hlREWo0DT
IBKNQ+H4STczVLWZEprnuOk4Dc+V+lV+rEq6uhfhMwwMAA+x5Q/buafY0J7Hk4hTVnQjG1forkns
YS82twXDtmdSStlW8gVE7GmLVZDWo/cNOdiRMnLKvNWcS2pi93xPECuHrxGm6L1QXOKl9zs10SeM
SZmptjyM/xbAITOBOa/7CmufI+i/cOkNSeCx93VtnnGAncBgDQwPcSzYXrwzIaICZtbI295ImeVe
fYTLIm7Ey+gnv7bascnsiCq7HHm8blPEMpQumHYLIc7fhqQS44cAfmh0ZakS65R9qFjGrIRtdOjP
vBQcvCpMZyJb/KMdDnIHkcBD/TgVPMX6+Ny1X+9I+tHDOI6lo5saCixkNALQ3yuZ8hGZwckuxEEN
fueGMmKBs/qCfOZEKaGAyrUS5i3F41wwA9wcm8THnNN/N5djmGR5db/M7ClqKbkiMBXsLjGw12K6
BTgdV2oRUPG3FCNtqX7cQ8e1TecoUP66+va4Or5SEVUvaY45PlA8YqfWCbrRD1zx7C4ut54YY3Pm
7PBj/z7QqYOGht+EBaroWxLk6yT8aa4Ke4egnQ3G+chXzNSBIWGdOO+BRHIBAp2RvC9SFj7tKNJS
qv7tAvPk3ZGUHAtJzvkUqBi2zwNQ3T/SlqMGaZgv/ztt3maoPfvXbSHXWfovsmqweQ4CvgJJMOO1
qGs0aqtaazQbj1nyDxyLSsIGiXgUkw8Cktu0dEK77A55410V6P7GW2lJ0FN1WoLPHzo8oBxEPog6
/+XwGOWi4vbNARh7RO9HmR/x53vghPh0RN+qCJ2WQ8d3nl39ThYBfvhL4clC8kHUDAYpLIbusC0Z
HonZEDckedhxXpEUAxjZKnQCPahgUEICqIrVv+WGy+tDnSy4+j/o42gYVZlpE719yvPcjTCefDp3
bsAqXB/zHXAMOF0rw99jKk2pKqtOoFAMPvFXJAYNNRZePsgs5BF43EeJZ7TECbWPJO4/85w+zCYZ
ooX81BiCWv8OebK5UtprCyUQDxvJBrEe2qlX7TCOc6SADfOkJoz9YHaGTiqGe22PW9SYZ0hzpchR
KYJz8s6azYvSovCoPY3gJ4iKUZeXCs8Z4TC6ZZkwtiiNvNnpN5iPSWMNgpjyOnviYT35qKuIRUj9
6ZPwK9h3Rzu9C3TT/j1TO2/uPU0JQZQhlhDF9FsHEWTlnLCRgWuTDZwfM0rdVL6SHg/yUE9VvG63
9xL7j75jhkGfyUQUQ38K+HNhwIW5LCFerIg4plqesmXlRZIv5WQCr5U/nmIqjs6qnbKz5uzrpCu8
j1kWQ1mI3qQkWhXF4DKXVTee58rL2AJUEZ/h+fM7+DtRdWhFI54IfRGMaKvLKMtA2AdiKkFSoH5r
Lf75UXrfY+jD5ztUrt31lgR/+8c8B3GhMSfDfpHI9uSzOENhyNUCzx+Udm4ps4CL5W1Ce2kGNvF5
gWUpLO8X1lrwIFYnuhRAFhECmKMFxalECCgsqSzN+TQuyqNjh6oWZeKStFS+fhuJIhtAQHoz0zm6
TaoBVk1t1MBZ8tTZvtporXP3zWZmo8S1+alduouzR1yoaD+8rGnXBa9Zei5YffeV3r1SCgc5K6PD
AYkjH86d3KnoNeOI4WTbNGF/mCUabLtQzub/epka9AdUifnluvMqmcv+YziSpKDUfhTk4pDOjHls
svrkxCt7gmaLJzk1cF39LjiEvlKsv1DnvQSB7mZDgyzutC1W6V6Ad50tx8lmgaOxOLxcihuSkKMR
3WqdHS79YTfbdX1WD9zN9f/DDGMdQMD5fngFyHZcHfjjOPYVE5DhpF3JrOIxkRpoVyMmHAUoZPl9
ek/dj7S3pO+/b+Hu6OeSyt8XUQ4Jnnx9ImMYtI5dLbAsUcikVMJw1JoStGRkbj5KIjNXIon2ZEZ5
R8+2j0WMSXoTVyTKeX4c4lPpJryKxx5r3Ogn1IcCt8ItQbOUYdq4tWQbxGnTvrM8nhDnhMR+b15Q
VNjj85aX3kNGDi4TYmoz0Mwd+z++a6Py++rGsmYnXj6pqwvaCoBT2I7CR2JAG6gW1FvNcTwyY7sx
VBbgdzCSts9Dt141Y1mK//amGM6tfCuqB5DsaREhA2W/pqHlAfaUZ84oD5KOhFGwjkeFoh6BYgDT
9+h30kfRwi8LxOmooYUbBNfNM0i47ueGiv/GpxfnoT+OKN2qJKyZzSoJSbUtgHLqcAT1BrmL5wlu
vEcEbv9pWpRHCQPel0WOJkQs/Vo0gn7IRKKZ00WiFaFzrMlspKAbmBk2zBGelkNerQoC0zKzABzn
QzcwvMZAsbztXY2hq/c8/adm3tLO+Dv8uRFIzN2HwysM5hbrxIU8Tbnqr4nmlGrfwu3yP/TKyZLX
kUoKWeyCQDXn1IBT4rjhz2g2QR1OPoFsVy2NG/3pmMiJ43Kr2c/iJFA3ieMPZY0pUfGG7NpwK+nt
2mO/dg7k5Htkm4OdMMyG9+l0g/zUh2E9q9LXaERX2hmHKUrUGVP5c7UzN7yNFScbYqzGAmqqFwlo
jXX7lgOzH6TnIVfUrzNLShmXRjqpNsD8pKRYNfQChRYVek172Kqyrismp29acEcY3hD1r5A50BhH
Uw1/vyP+RO2umMfCVn9n/TwBJrDjw0ejKRzB1MScLPof1NAtW2bVRZxP9pRrxrdiH0s7VDsmT9C+
fa8V/nF45nC6aypGq1IKWeORv98iurCAQY2u2mrcQVG8xZ/WZA1qrYesEZ8R9p+td6kW6T+wch4n
fPaDbK69Atcja7jIKaLEBMqJP7W1/7PaynSWozq0STfS+SZhJlw6ZML0vxeY2gP47bYP5ki6c9tc
MHF9YrDGu0K72mcsw/RZZ1F8hQCLywRzFQs3ARK5Ll9XyOXXGWZm1iBoqw1JZFESWBbf9yTVu2Hi
bIGLqvcQIwgW6yFH9G33uRbKKOomTD8wtVVUC0hZyD3J3fp08T63wq/S2strgVpQnIsPd/ukI0oE
fBNXGSLGoGvAi5/IUnuy7s0B2XMisa49C6p4Uv67atDxE8aS2iuKlMTv05xXbMOFJn3Jb4ZLGVkk
zecn/9x5KzEBJmN0WnWUt6y1ENqOIJmI5g/cBuGQ9C5suowvRCwnocMIzY8HxrdcS/U9fzCJqfL+
JI2xWPHBBKZApj7IioN7H9UEMWnGN6FVLkrzpp5o+FnWquFt0uG642N/U7woOYVkOXViavQyc0kD
w9hvZPzi13kwWGYJ6uxmhdYIMLF3j2aa6lbvs7nhGF+n6uSJl7fQFMtgcDm+SJJ+m1uX8ScWViN8
qBPshX5DOnRCGgyyf/DFgwu6uk4ygCd7zWv7PMwRrXGkrymqGJypleiq8rEdouIxvZzv0X3PmODr
6dfQHGWjELm9qsT7va8dhJkIxmMzaHAy3loaLom+7WTg+utOcgB/v4qaN7FZ1Dt+wK5B0+ygwUCs
0622L8dSCbK0jEqd+iGENeFm40ofXrCOb4gFsSxnWS843QGyh86FDbOwrmOOdRI0wq0S4Nt/Jm1P
zIa3ztq6EJTtxykaoMIKpd3uhDepARFSHp9JWJOsDFnvESGeo6rUv7qL6qcxgzkfmgcTKIbTFIne
KkW097vQTiTUUa7162I95lcFl66nRY8+T+t+DWeImxzwZlqwiLXvYvCujQWIj4Mv8tbwTT9UY2/h
7TnVvwU+D7osfAJ3J3Q25UqWj3mL2pH8QKAyF9ddlk6ycOSs1JEQ+Jc/eRznIqY+UqRl1RJdnYso
p1443S/o9RYrt4u61r8N99Km67ppfdsCXvT7OP/QbCYuNDtB9R3WvfA+oELxj3ILZNn2/f3vNpY1
CtBRPi4+iOnAjzLiic+LIsAIKoooEO0Qtg/D5XI8/rFihQR0Ji3F6TT68OdnumwODLOkZBlk3csL
5lcVBYYtH0ibgNeZ+z8uTcMh4ljBYi6NVnjzigshFZnqSNRAnsAkPTzTRIEwPg4p5Oy9I6M9jEZE
zzvo7Fbp29pfVJNMzr8DWX2YJSWG+h3A5/ujkuCzrnyytMNldRTYLWbh/NCzq9y4UhNMkqZEGzj9
tvdttnk9Dc0xYcbtl9uXqbrhtCYW56hc/w9G9AgKjzJuwv+PvI0KXpViBY0mD2gA0d1cgswtSdHO
/SbS73MP5Uftqn20wWU7HQN+FNwcZCRPmK9bULn457SXsjNex4ddyKLZqhvSLLCTxYKSgPPXUXiH
Q3p2QHaBfpgov9eDQhG/kqrrmGvBY83dS4ezdshZGTtWHM6/ReC8IOvfWCOJdTlkDz7hvZ0/7Z4v
YaljSxG06hrFSpCwpPT9bK1R63Twab/ZCPxi8VFjSree2HRjrIOOSGsNJcJY4talsfgE2yMNrFPX
EmGNvDY9pkfPiAPOdaCPO5qB+QVMvwxN8P+5fV/PcFnqIeN00Q6UuQ/xJHNm52eYG11ZtF5sdNtF
iNEhFoieNqkt3NqhvJ50L1v6JiUc4OZsSy+xNwdLkPPOsyDX24G5phkZs0Ez2NT+eyQ7N+v0y+uM
oZjpRUEUiZcfuxJA8pqbdK6WsULXtiXg5E2r9eW27g7amHJukHjrmgR3FbjSSf1NXq1a5wf+Ol05
6lmRTj/rm1sc2MOaZGMrelBsKmCV4f2VbUIWp3teauZwQwfPnb59vkorC+0Zj9EVDzgMSnZ8Mkm+
fquuBKfvT0xQG4SniveUhm7xJ7hPLSCyN0hJYgE5KWW+0/unA0thDeb8ntmxdjY5vkRnG2hPcsol
kycIfvF1zfH6zB87nD/KO/BrdrXXxZUQjJrH+DH7Isywsx2nYn6DZzKacCZIzy7JeEw841R97m0z
EjOS36kP2qPEsGXpqGOan71QKBeTiUo2ZUcTaNKQpPAswe/7+PsX76uWUc6aOmxapqSFuADeJVP9
9ycb7c6GGq1GDL+JK6vz4CKYXIeuJDNgn4w4LaX4UGBsqNrjTEynAn05hvmFW9aMeqXcvIxVD58s
/6LQ+lJoTmDo1qesx/NcDVCOtfIrAjTKr22DUB8Kjccbnv82f6OFPwoQyiSacCobDS17cREueSLh
C/rj2L4Ano9Qf2PIy7kAZlMbLvIARIz3jXqCTroNe1Dkzn5KQwkAVT3RZ+eqgZeX7DFqe4kXJEQ9
XzdoMwJacAcDbuu3b1gSD4ekymHBBDCFHZ1Bx2W+bafiXnTy0QV1qOhI5EASJWmKygQrA6NeZfae
eD49dKQkHLGUO9dawCFm1yMcNT2thLDJc1q14zLZWJuGgT7qYFU4CATJiC373AV0OU1vfoTqaFCN
s5Z8vYeGNvE9vS1B+d9EkXEQH3emP9Vzl4GJY8Nj3vBkXfhmfxU+7MBILTJSIFWL/+qKXn+qhyNX
eqEFEWZCVr5prdQ59UQv+g/YQejZ97DskQ9U0VebZgQkFEu6D7nmMh22z2NtKF3pubdYca4j0cAW
P58TlEGQSEemvep9nHf+L9RKUEXjxN7SFHjc2n51PgEGhFE+EqapD5mtaz9pM6VWXe7ifQZ66G21
Bm8A+vX6DpKVcttRSWjPLBQ7TyVdDNu3d0KA30rnvPAVrguLBauy7GkRKBOAwKk+Z234OhgFPVFL
cZ5rLRaWYxnFpyj93ctjaWf6aNlUsRCtN3O97LkgfMXmlc40Zyeardq6UWO7QtmHuldpCqZWxuto
KKZ/srh0JhBeavUGFXjiWiXZQ+K+r+N1mhdVhpWKjtdfoqr5eIvYLjZTISU7sxqo28aec5z8Qf7i
ZNQAxn+NgpdF2bqwLLnNnvlleTqhG25LxkzEtQjGXxZnmvut1DexpyZb1919HfqFDqHfE7hFRq+k
mdW7pU9vL5yYvyAnTRdXOnm2JEyIDzej2fj4UMhj05kcXpdgdj0wxL1QvrIDA2jTvJhAxFf9Y4cS
T6Ykccwy6gkMxMg6mBTzuvFm2+yZQfHCV0POwpmlbdnVHrlkP3YzzvYKMb1dKQlpiEI/bdA8aZrf
05jvnIzMZDHHSCg1OTIOrI1Y/cI9T2ohWZvTqSHaG4w7Q/3uIy2fPUFrn6yl6bmNM99/0xGjbxFG
YNg+Y2CRl00m/rtVDhuoIL1RFR6CvaBZgFAVgTMttB65dSytdAAZYIcFqck0Wsln8yOgscHthYsB
pgvMZ9Dn4lWWArUpFZeBc8zgv5IsC+g7oiJpPoCdM5GdmTSRw8Ik6/h/eVdBZFmZWkjtaJOkNIho
9k2i+oJndQ3pWvX8CsHIpGmsTwxMtO8g/vFcUnGq6d2PEDBFQ/47iZQBCKIrZnK/kZTe0t3S9e49
xsaN6Ibptk0dF3M2C0HDNqOnW1YvFK106pxOWqMebHncd1AN4Jdm7qTuC4NgP6oUdQ6l92e1df/N
jAQcJ1RgWMy6jEtPwxpY4oezsbDpl8iLmFyT4/M3MUq+bykRELWgg+9hcbIcGJ91oB90fQImCtSE
mkENjEPXP5VPIInj/n9Bn1/YWR4sb+psRDN6JROafd3TGV2JWndj35IZbIDAfbhESDRkj220L3CZ
7KquxKMjXKg4Ly2sdC3D2Gs4Bg4iBBoPuqpr+itoiM2nbYwmfXvRcl3vTFeD7COUSUSjYuLaEZmE
exN0+NOanecfOyY+QyyFE/6fho9tGt048ApcBTrvtiboL8beFKIIcQL698Zp6weF93tYpY0QQp9c
NxXMnE2q88yalwjQEKBunE8/it8naykVItw0GSc4tPTtX7gur+n+TiwvGVbUY3slbh6b7m6LsuzJ
wt3vrEK5JpCoVbkNRk6sKhGqZN3gVAh0SKQ28ZrdiSG2j/vGPvGmRboy/enbxdmiXcBIrSQFQFnX
gMMVtghV5fz7989TguIYK+mVGawmR2if4hXUrlUq5Swg1kXe5NbQZkkI4bhN908KSChWNk0eUxet
TIw7/HAwQhI5Ql+ahBPsZQ3VWkBg3j/CfHu16+B0h7JFIYl6dQ6N17OkRbJotFYzD6No+dy7yWDP
ZbBme4B7Sy51/PoNQHXbGeOFFKpga4w8pVTpT/5POEPaVuAUYPUOvjBbjsl/xhi0Ru1morLajhPx
nsZYQLl7XdyXdlWWrOypi4jtweonpCXHcaH1kvqjQPYePKT/7L2NoKuWM6eR/wsiAsN5J9us+5Gq
nhvetAvlplHRvauaAgTsdOkBeVIJcX4bQXgViQWjOknydNbJmUc61DTb+XOTjSQ38tav1dkZAr8Y
mQC/OFS+dw9DpOTlUkggzauU6QIQRuTwhKdHw+j+HYKxljVE614+43KoAWdERoASDdgaDCykiXSy
IKqOOPAy8Uolol67qpYR8qEOeIAm01WqEviQakQodzHSE/ZiGpqusnTkYUqzGOiL6wdM3bK50x4m
kQ7oXT9SiIX9E4SzGg7FFoCcftDwWl5zjFBD4qIfBAuIN3Of6SBQ5XPVZ9eToJDQ+gcUSZt/ymav
2NSuxa3mREPVk/fSOA/upfSMEo9MGaoIXFZ2t/4P9PwikRbmjgnJ9E8hSsjgJT/4mu38sl9q5ze7
vuD2Nx7swO3jb6rNvASo1dyT/L01UjMz3VDcp/SkK1wn6zY6AsR42TPAXJmBlRJYoFTzGYm92pYd
RTBgZ3boaZ6unt7urnYySj7KjZ4FpZJMqhMBaerDaXn/d5axxjpHA/cgROTG2ncREEeWWySxUvGt
QpFjVt/DkuklvKFAJ7ZK2gTHsSgai6DxjxGpF1t4UB5e9YfFpExmPpYZ+JE1kebwCjATgP9FegqW
jbAZbyEaILjpKqp87b81K5NnxPuQVC5JN1d33sy8UigR80eWUI9PDhYwzA8YlNJJMVrWV200ToH+
nVK5lMqCgG3xDp+SZZlh0I/g9lLq/2cD0+MBmMp5jhAhiKNeSJtowAmGS1k5ZlRc05O4ZFfJla5K
2t3TwEbU6S2VcjMdnDZaPGNF/KRY+77LIO34YiUAX4YsMF3LXXK6w3Lb5wIwN79/Y/CzUe61YjN0
BqP00LtKmXamBCHcRL+m6O0hkj1v0PplIk9uWfsi1kF6/cTS4CYhlbk08/YX0TAeuhRnkkk8I3iS
wcrhCZ9TJO5l2MYEjkI1qyCCsE7CKON7vbzTbU3HgmwL3u69w5s0gzWpnrAy7+fhK38UMnWMUBJt
NnN6QrviPyAT3MbrnRqbmKM4v1i5JEmPeEnMx0haty7iNGzPIFDGDdx7aymXBbe3nO9LXJSID8ww
RnrMpRLwqbr8KC4vhDPl21Ma4f6evnhFUjyIFa5/EqhQFclebBNr61x3Zw604+XjN1eEAR/zj9Zf
hy1f9PfG9UNx4X4WdvbVLbXgLrsyzxn5wLLC7ZBVcn6PE8BZlX6EyMF8uo6prruxsqY1KPbc2V/h
KJBkM/bN6Dr8T5ge+0Zo4m3DGpn1sTXj+pJxn2lAvjLTUnhr6cylYTWhGLfvgeYqD8+IpEU93YVr
nzWTERKNtOCgg/pup2vlEaefrLp5b7PbcNQMQfFgZywEgc415JKLvCX6nYMLL/CB94rVCKT1IrVn
iUb+Qhvv8NcBATfSH1Fv4IKj5iASbMQdBMmx9T38mfAr2EckPDNR7IBqtVLN9G1xtDnhS5ljf9yq
Tn+vWmGRRCIdwLoSDOWIqDGFnUHXmtIEJsbdLIXRw0mlILbfBuO3By2Ca7b1hqHftgbaKG7sA6Ri
hVcFGevrWGBDWwKlNXx59NgHagAWt4CnKu4Riq/mn71KF5R5BdSi6yvZH7AWVX5daLCXX8521TBm
ZmWSItuKLiovpwzjJbduBm+VmXIJvkg8alOQS+p/MhWBniOcd7CXOOzevklBJqy4bHFiO4di8j9i
DoYzsShNKisltUhf6tReWcXSZUGls27H9rrNoLbmU6A7Hg5ijStKMsS4iqnbPSGzKsfK0Fvygrk+
Q3F6SAkJ0pkyqkqE1jv5gnpq1taOILfb+bUNKj1FbpMfxGg2q3UzNQUgOklgPcFyAkr6E/j9Cbl5
9DfOl2Qocibwj91b3HeInJUbHpv6chp1SN3VLIw8sNe7l0pfKeRCGEn1QvPsi84sl6pfEb8OYqoG
vUfZB0sDHphYgf2O0K+oDAbbbvwesWdpD44kCR9jA+YyK3wUm4mWJQe/yRNFCq2RaHp7n0JlseNw
lF6otmC9r1W09d/7w7OSpMFJqEE9jiYorzZ5LSV9RKD8D2UBJrcRcVWMm9OUHD4/TDZYaYKqKts5
mnBp3xJu8rF6wtTV3yIKlSjeFIKKrIeUmCQetxyBiM7nOtBxfYTlr37nGT1+YcRJpNGla+B4pYs7
nP/BVw7ebKqm6kDin94nFGjdYeykVPyuSGPWUm8aNMDm7j4oHu/GJDtpZejyQ9+a9yvURRDskWwi
EgSgmFqGJsmEk/VQKoJkvVQnYlpqoUbxt9RiT0Hr2r53i9nIGsaDmnRLVCHgwrfLO9qlNO8761Rw
TyRkK4O5bBE3ZobqDz7DNR1VAHB7rQsBFMGbVs3fo0m/71wBUD1jRQ5KkejfrN8P2ZRXFye3bUvG
Jitur5eOlJh9XgSbCiFI7SzWAWcnMlbJNXYpx2B/M5SGOPb8vpX9reYV5VynWG/djNwipwXCL4t8
T8iLhc8e6Zl92m+CHL3mgAlfVWVRr2sl1H7s9aeYtE1Wm3zVhIQ0LAgCAkWHK2oVsAI6/BPKJicE
BrAtw9aaO5jiKt5X5sSFmcWQ2KRmQuRAG9mvfFDXTxNXWfvgC3iKsNGJFLB9dHQGZJeQ2wkTbLzC
2JtD20dDH6tIPFWgyvAUhZS9J0DgRoPU20yFi1gT3Gxe4KWp1iiGGaq2bliV+em0nR56nvdc6qvm
KG8gjJ1iE7Do0n3clhvSKJM+VVavBcN+4wUyhzis05TJJ5oKtDifvIzisPsR5TLh055RYCqKxR4h
JRdMZzY0TUf3pKhr0gTBwDRCvUobOAUEXG6IDigRWgVzTFznNpR9p1oaGnilW1PbJ3mKY6/WCdZA
gcTkINzgUy+32Z59nOsTP/NInu4pBa9UUDUmeX6G1osfQhbQjid9579bem5zCqzvIbtUVKxIKTNZ
Ng1Itbr+oondWJCryI0uAgAwF03cdh0Y2PIo2Js7e6LPY91z4qegaYPukbrJALKQpwAih7mIADUY
hx4kVCNk7itzrawMXNIGvEhAE2TZGo/eYfR7ebVim6xNBkAisUz9Tac3uDbpDLhAD5w5QbVno7R4
xwd5kmbEkfwIfcYseW9h4A4fqoOkQKrGC8jpCpQh7eoDqPZxRJrH+i7pxSLA5r4ARCsrpDJXkx7G
9seLIsbkzQKgXH6ft0/+ReqX5asaWPFV9noTJ0M0r3bEShRwXd561gunvVA/GHBkI+j/bNX1NWGh
JABlqIk9i0o7Fjj9aL5xdAkKIo2W/C8sV1nu/Dgx2mQZuXoQOFoFawegHeQat0eRynS+k2stcGVW
ntqVLRZGrl/TPoStiDaK8MrdBXlv9tvU04fZ8AxaOr3AKGVY1dHbBlJ9R/3QTVcBQBNL3hkmWZ/c
cG/aGvVlJCN3pDg/NMCTRlpZ68t1dAUQDNU3AX1srJfUmuvS2/DGjgZJkPc60yGqNi3V9niO0U0w
8uFgFy0nxDsr+PHNa75G12p8sIKncuilxAQR+vQxzo2KLO1/7I1o2leKt3T5rpxcA1i+4VZOSJTA
UdgLoQdrwWDrLZYMSYL1Rxqp2eT5JXt4JtT+m3OEuePX6CIM93dwC/AdMgh4KGZH5T29OgojCDDd
0jkVa/l5LvHZ0ySlj8M8cDin83y0J23sQwe0kkZyhuxcWEBGQwR2h6gkoVa40i8+KTScJ/n64N3r
kVRrrA8VbKZSDRGNbTQ3X/Hs2Tj58XmDl7IVuYwueX6uOpzUypKluLf9+FkjJncUGHM/z2rlTHul
tyHUIuZ+OMLxz3zJItcl+t1E4Rwy/8KYz3xNZSdJ5pkWVcpBmxV0GIHNZuIVLF64tvv0jsoQXOqJ
soc1PbEIVxw2QoM+6b6A7JxoREbbdaewLWdToRqjm2gx3I3+FwJYOMsC+wlu2EHe955yEmoddWg/
F6beTItChDw7RtC9goShaRImy1gpcO/gAtoSaPJzx/FM5PpjTNnZtu11CUla82APXfBwaxc9e1A3
gMv641Zy3IN5xSZkNtJ+2g4NFJOJpyHhV8RmkDjZMcuHIj1THp4b5kGt1R+POu/fajk05W6S0NUd
B1/gvK0dlLzMuxMjGT8uJu7eX9zVinyp2IWG3f1ua4A6tOcbvAWeMoWubIqZjllaEgflvZoKF/H1
li+zdTMmRhvqcezxmyhWqQ7l6YuRfGeo8h+X3lvtOyRK+qN8rhrMgimA0la4GTvJ4MVFjuK2a1GV
rWf2No1MaxYftYKV8nhZb6I9qQaSQ5/lAoRdCj7UXgg/5XxHm9IvlEwZPXB7KBP4VpeTsO9v0bN2
zdMhkMa4+CmBwhaxtz5YN/mqs629Udt97zWDD1G0gKUsXEYuq4e/jBf/i3m3JwgiHZEumStEQksa
vB4QYvOdfoJe6K7gwd47eAs9heAbNG4B15UZuEjAoHXruNYMdFWP0sfv1E152+MG1gLGJJ2gSOaB
fHF+3XFGar8zk8W/5UHDw0z6w6SlvhB4hMwjMCrHw/fYJYnbee9lF7eeZDmHiJkYtruliwe+0PyI
8rtAD7UmzBI8Px1PZ3/8+OKbbvBszqwX5CDgpl2ehWMrG21VKiSRbSQ4Ycw7jwwcWGoD5GFJ1jU2
Rtb09Vm0Uqm2aT8sAcmCk/yRmpJv7o5w4DDPv2YRXXOC2i0OQO6i5jM7/UO+eUYRzzh5MyZ3YVDQ
XEIN9pKlsITPNGYajaSse7hszBw3OVsvRPkyou3uYpaF5aFUv7T4axrZeAAyteU8ZY7etyak76FP
JVmnOP2zwMP8qwT7QLgaumjLV8RzhHLi+jKUjEAj36zkmQP/IpvnKbazeaceHcMmTNHrcfBp4wh/
KndRMH4rozRKyZAuLL4VuUxy0NmGGxxWJSKPcJH4VMob8Am/tR0y4DpYDOWXC7OAvBc1TxW18HQB
g9DKO8htmJ7/3C2dk7tdi1ZAPPe2BBDC1CUDmvmLdluQJl+OIviNWSxTfWJSM/ubJXp0oVpeVNEm
M2XmelmaGPNHFDrhYI3w7Rie1ptju+YliEpq6fK4/BzITy53+jq8i9v0wKMCyNu3wWcjMdICLGtt
kxSV4zAgQDIBRG7g7QwJNQRqEnvDk7fH+Fvy+OY3kCNv8hqaBggCuQ5qItETb6QjbgiCNFMITu+1
ZryJz+jUgTZsQEbBViVBdqch5AwpoK5Vu7yvV5kk9F1nCVSHBS/R4ssArHLJIDc6OO1fj/0za9sT
NgzeEzeGJ72gXiFOilRqT9GuaYJIZ6CixbWIws2yPdSVTGfY2L+La9tJjrwdEKcKWLmv3TNPJtov
SuNmfbHeZTSHX6LYE1pAZ9iJGF4CFXOVjSXMoEGwYRoHyrhcfpyjWPtM90PyPsLGfegXFGUB0Z2M
bQphVQCK6p0SZmRNDsUn9ZX6G8dR/2tL5Kn+PEtl5L6FamZpHt9Dc4PvHrbokdsuN/5eOvV0QNig
rtjSB5qDz5ZSQPybPsOLSEL8EPNL7KBb7PJfvF0Nwu/mtie0XBA2+xZ+vYQV4Z9up34bKJzREPPg
Ex+P8qeqdkDDZ/01gBvwQtsG9VGvxHCvt+zU0GP9edEg7gfEHf+dKw4ikh+RQZ1SbuPlhi9EQPTW
co/Gn2flr8GO19Kt2vFYnfETE9CMWKvE9LyfRYns8UY1D+I0sbAHATVzsG8m8wDoyzRkac+Zayr1
PsftFp7XUPtf3EXBJvAeXQSlP0I0iiAw9Cfra3z0o6JNRk8xw6AmeRUpVlLeA71loc0JReyqllzB
nNaSakrs8YWXize97LHEUUDz+VmqaV3oaUNZkSbC+Od0IGBMhNkLsRwOivjisy7cpC/QkzWyeLmy
obGkizsEStDuwB0LSkrb0mVbO5yoA1blMK7+sziRrTcE3AJi+4czQeK428LiHSZKwd4Ao50tzFY1
J/CaeZMY44MA0jNjDtslgNH2BkTum2B+b4EUWJ0An3aNy3B6q1zbyjzKwV3nyV4MLpv8X8a76MrF
w/sTenf+ZyL3Hk5F/SB3GiHIdIJ8IiKs4zm+kF7G0bmLCX5DJAAZzqs0xXzaNRkrgzyyJsyquTyO
q0+2O0NDAHKTC0XYDlUNrmmNQJY9dWq3y++I1eK6w/+9ccQseSvJdgr83satmMbe5fx/bSVkhOcL
eo46bA4OhcICWe6y2PhX9jFcPQFnpdIrbqtgtzkyDaj14pXy1NIUYZE3SFNjCooIxqWg3orz7srg
3KapjOrW5iWWaX0I23Wu5w9vEiBs49/ex3Nv4MVSrcel2bYjbibjxo3cVWD+PVTQjtfjG7hlswgG
U7SFqecNhmMg8eCvGKBlgYmvetndWWIRHbfKiexbLgxQtI+9tEiQt7QgjcpwM/cPGGKIS3k8fb7L
Utn5KnZ3ccCAf1TRAV65LiKtJqfQrw8XHtUnqBN4R0fATXSlFPRzXUE95Tesvli3GDI1tIsji5Nn
i7tqTWtch6uixHqeqqCRQpmvgJzYJEGdK6fnLwCGJ+E+msO1jt1WTKU13Uyt72IE+2VdqtV3jYLJ
Bz2zKsz6YtNQjW3xrgJQo8FVTFP30PJTX+R7LbffErDwyhMQe+jPyXvhkF0c3RwiMnpfSzz/eo1G
rEFdmbzSz7FexQnWuU1jIR7wmIBdjaZdDjoJffQTOwhc89iiwW34Zq+dDgv3jaiaFgivxRSUKADs
FOkmNsIUXvx7/mXcBdTCRgwHUfC6jZFAjKsw0jn0wr2DJjXpiBXVaAm0BsxljlFoP8HNNorFa2w4
2XCUi8u502HYoBuh9hd449DI9wqZ4F8tvg1AAuYa9H8Bxf9YkLz7CVLZueRF9rCK0ti7gJjZFMkc
3MGQI81OjTVxgVxD1Mcq1bp3KlglsjMqmjP+CZe3H4mLotGMy7GdAh0kh/HJuQWom5dJmqxCgftG
xbY8YluX0hTLqKuLa/NRVP51jcNTXQrrH/voP+enP13J2WvdJdeO8nw7aBwTBum3Kl6NWcsvSKdS
odGK20TLuI3Hbs2fT5KTecDZr01QYT7DN2LRCwuxumNb97dCTA9xr+05CoTho6dHRPRpxluu5EQg
doh5+43q4hQGYaPO4xs8yk5KTxDAUqYkdArLpf7jIbQzEvTJKDNaTslgCAb1Yeyo1UzR7E2FsnZE
UbBxNJS0qH686A+dd/evlZgwNu1EXOsSWXAd11XA2R6OPq/Wg9JZCAZk1w7//iK5e1wbTp0pnDOP
nIrwz9oDU0uzob2stsOCYF64nYyj/7Pruno+RUGcqjd/DVSsAJqn6Do2OeN6ZtcvoCAcb389hp+F
zn00hkDQKMAapwfBSOHb5xjdPyFUfZy5kf0KLLcta4QS89/uENaDy+gj3N+eZ6B+kMcKy7lDzAB8
bUGRUWBaEzM8O08tGp1h1WtEhBhB9ELOD1nLl/g53n66SBGG5aYvrf2ogQw8jc0fLGM5txa0KxZ7
mC6FnrAlpo4B6t6y0kgm//9HzZc4vgxnug0vj/05cR6j7OB5HXS2cb5W+mKSzf0mgd9pnUZNK8cH
I90ob0ReBpePlnY97hrzQdWFk+Sus2hDgU3DIkXajLypEtBZILx4hWhV908CcDFt+JC05PGho1Sa
gD9UV9uO9Y1ea4+XborQ159Y9tuamdv1V1JuLVi9FbtwAGBvF2cJPYTXh4ovXxEkUSPWTqYWnD+R
gCmaWfE5EOZyCmTHfz5NtluHzZuL3HdVKalafa4I7ZeYgHUs9Sz/OdjXmTMeomtJeiprZ9uRH/4d
pQkrHMR4LsdEMik3ftsK8Qa0JJWCadd4SSRIqY1ybO9KIfWP5zA6Lz/JmNX+ahqoKyJriU/vpap/
NTZiif4UoDrduMX6FThWzR51CLwkZy6opLvOFIRnwbtEbIFxYRk0iBM65bJ7a1dPvi7wZqrhYBGe
UbTn8wUGWlraykSBrPLrwE1IMqfWDqktq8B2jXhzhiurZwKKR/h0GkJecSSAOYVagk/3+7iPIvVm
KpZmai9a+reJYQjyjWUVODQJJpQCUv56laXojPgXEfQ0G2YVflo+YFAldzPFkSZui4s9k7kXY+zA
LGnZ38weoL68Plyr/xRVTaswqd5ksR+Q/F8CfmHvdD6GzcVLj6ReYZ8LytGZdNiHPPrQ8XxmvfbU
nkm1K7vnRkgJdwmA4nglUlvMmBZaRzl7fNNcqbxklofaBUF9QHFarMXszJIp1mZmbV8+iaSRQxuz
+aLfmO6OpdmNkuT3AxDfCc68wGGN0kgrCHBfJGSpSpl1MpPl5LVwKyooJnG+yreklqO0AlK/y9g9
Ms0SQh7dYEQS2D6SgG3baToEKZPrIM1zsJT+TCZEhO8WH3AdWOdDEDsuIxMh87m8vJN/bB7VJS9L
XASkIhdMpX7Y8NIXbW341yetVANKMtCgIcfwDwfUxfjIkB8S9+/KE8j1Rpf/8Eu+tr+x8IYV5Pea
sk7rCHcE+e/C+jlKFLO7aRtlgzBCpWANFgQzP0zEM/fkPcnIxrkzc7uKZIFFyaqhzE8qpZX5rQPq
cKp3hgGHZDNrZ3yzPbkQqIJo6122S2gRN5LKr+NRrbHQXUwRw+L+4olY4CANQxx+a4QmW1895lTQ
uZ1OJx4BhfpBYtYbT7qKv56uXsFSw2OHgynOgxVVVpoeLDLx07OpkLqn/EhSlSJ52Ccbk0KhooiT
0PIZhkgyDYRt95AZc+ZIL+qNodys4Xcq/EyVWfWlkCa53NhbEIIRkXrg3EHj/LcdI5FIiP8mgJW5
YD3lAvBeirwm6pUrpdZ+wR1hdcck6a337uAiKRLZBFuGwxnk4n6VfxbAKlnjNiWUCwV4sk7CWDCt
rS6NbsQnaO99y1OQqwOlUE3odsknBdwJgo03Nbtj/sIWt5D25pJAxlQRbtt7yWkKcGxxoIQv0Mhz
vvJZbG3knHwSQ421YXAC64GhenKmJ80lpJ3Vzn+JoIKC/qWfXbmRY1fb7K+GraRgYbjxut8+q1Sk
MP6f1AIasgjqTVlP1qaqe1a2AX0z0GfYOMudWOVr9m4ip3tjGlUpJLG+ICpvxweRP7dZYrlIbn/R
FNNAExIXFOTwRxulPyqQY8OpRjde/9cVJhMmmD22BoLU8Yj+4KS2pS1kh43+++VKLQvGnWYlPkGR
YjsKxdxN4WBsvksZZ9nK/SsU/vJ8ubFZrniC71d6qth1CL6VLPp4AKoSDtycnIe7v4LLqurzeBSi
KsXs03nCi6jHKYFExrDDB0TqBd2ALureVcwlArR/P4SwndRrSwZ8Zy56lrChi7GioVLYsWyLVrqu
QzWXBTFzDy2XOmnmCluUT2T+NtY0AuypwoCZalvDPNofBco4FNm7m5dAIzSchGeYSiYJgf+WVvqT
PAY8rfov3m1FN+CTN/hgAiKSILoJ1SiUgcUt9DvMz5DJ/9mN6NBP439e0nQQDswHKdIl8IeIHRjh
R/0okAbs/Z5xcpNL5rZgnBfUmOBzENAXdEDqKlfz4dCkL9QJrmgusWOmv5+FlfbbHeYq1MCSajJ8
JCeFykvGU55kCkRDQjJkRWLkFA2BBZye0DbOM9BrBbxquvcz3xx2CAr/LXPyMADame7N4gvU88lx
2XPyh/a6GXo/QYJHX9tOj3Ma9p+moxOJwqP7VOR1zGVwipyHpb0mDm1KvJi4DrkaCu1AKsxqMkGe
I3FkAXRuyAjk4flGFd96AF01dJ/zkkKC5F5Hi5a0djTbunfK/4oB2xeVY7L90QF3Ry7go8wujB4I
lnWy/AQ+oQAKnN9YUa1BbuM4Wt3DR86H7wSUsgPpIpeyoxNnp8bJFmn9tP/gW8sdAgA6bE8Gjq8z
e5ge3vuE3Q2lsWN+xsh9/zlQyFOrjuZvfFBZ7CCA0jMtvlMsv12cmc0oUVbiVTzHrY8LuBEHP+a/
KwzD4eskJdwtC7Ozg+ykMGUh0C6ifx3k6+l37RiWqelJ7vGOOsqZps9FVz76thadneAUUGy7rfdj
Di/My0TQf/y86mp80jdazDGbmLQA2uNqLwd8TUkaMKnr42a0lVdFMoChO7aKfv1bHQ8kVXa3V/9X
/oRfi7xWzmmrcii41k7buxLLBmnRqjfp0x6s9wTmtQ4knvGaZeXfEoGpbo+X4JCHUgnO7joGrlr0
1pdsLnJtRlUHTGrkFMttXcProl6Zj+hXX1UYUaKHSNz9KQwe8htuDlzu+YY676hFDdOl8hN1BZWU
5HsIlIYsC1BwT7hzLpH/u8Frucdr8vfGylSWCZT3UfOmPvXX32Hg7ZidgAG7ZSnhdR7ybQDrfKh9
xI0AalO2yhgFUfcbxp6I0ZP08srUzJvoT3VYvtpt0pZ9agfQ8+MOqAWja2Xfx08Wel60FdZOVrwP
KkwDz0b1b9jnHX9mON3nCXV1VUBh9da7qUb29xi1G+JanuL8NesvGxSNELzolH7B0COSeGxE1LV+
CTg3hphfS8H8F6YXTnqPi/sbch2dRXzb/XM50IBoQhFV2aI49N3OqS8/XX+d5r8HvNBSTk9a11vH
YB6yFyCZNimDX67a6alklGXOzzC51PY+DSEQxSLh3G7kXxiW5BBqpPUKrdCgvNzh7wX1l4D2rq3e
6lSOArOpUyKNrmL4n3A8eFoQV3NzaNqN9P8xfhw2FVwtaXaWRbFAx64XspDBYU3vY4DTYbDjJYaf
PqWvbDMBpAqWzY5+pOSiIjc9O2qOYj8snnvw7ZsKpCNGfWltOQrXIup3P5Hrr2x1HhOxj6bPGjEY
FASejJSyGF4TnAhEoxlwk9RZNVuY9csmhABYPrHBGc2iR0Jcu9c+3xdeMqGLiHHWQVifBXJ8MQuA
ElJl2AO02+xQMPdi0gfKVTE0KUmlYVyDbqkJBN+WpgoOEoscQ7ISZNFhIBGeC+lTvLpoe/qBboI/
XRAhdc+nz+izPWSdOdEERtU/h3qr6PMxnLw++bujWplqTAnFLj+ptyIlX/PYjIn+iIIE9fCv0t/q
F+Wx0ZBcznHh5XkcCUkaGFB9bJJaLWZJQUxqOURn3oCMt29nsUT8Kjdw1bP0C5FSG7KMrgdcbYfh
jf6XDAJ/comvPcf3F/47j8XlP9iwL3ZMwFTpPKXFl7MFvbbrHv+3FfqB9bW2+8xOpcH5NSOEfUY/
I4Hc8bDAQ40blUlj5ahyJ9lCqGDOfPWIwIGh9MVK7W3fT2PnTj5eMMGvmNUCdHf31C6+8NFEhti8
Etkb5RWKywLonlgKPgHHmkeGVNUVSGUjCiQFYRamMq27o5WiQp/32hz3xmyOpby5BDflQgUz0M2t
J+Xa2nYT4l99Z4rnAFoUoVl/XvE2G6sxfgkmig/Yz5S3vhE8Eg9h6gf6ArF2OZSPxOnGTpTWXCBK
I4MWvC4etkuMsScEiqs36+3uAREKLNbE+XeON5QW7x3Z90+sgVPEXIKDyBj0HmQaSN0jftRx0yf9
rQWDb8yQkPIwLz2X+kbsrhjYFnTirkttZJmRYTGCXj25a9SKe+MFV6yBA2DvRRPyabcXIotuoAhA
Z5g4kErYVrcKTKHl2sZOVgu8VyMZ1tqhfrPMkAK7pG5oJjHx1f8DyrlO+NGGhwgBZ+dUCuCCm7I/
aNE1DnHw+zsrPXtfm/aJY1ic1hZeFfMsCyiaCgCsTW+nM7n+JpT4IvVXPor4A3EjjkWyBScjMJyb
bOJo1ur87/inWgjEeB1BxUQuomQlinhpEvTVVz8YRasqHklNU8iAoL8ch6xe3XHMP6T3duFSsGg2
4TbTSt21nxTOPgH22+q1G/5H6+cjpdG2HzDeRQi6FZGHlzH8Lec1ukhzI1dNW2zyMm7MyXvdNlS9
4RFtFxkagXtMaVZy3rMpg3VYuoaSoJPXyVVBmANbGmiRGWRc5ng0irtQPT+P4vx7ezJBaGrAlHi4
/t6BXfCSEV8ywkyXdx/ZZaAiogw+4jaWICuXJSRsvIkGx2VG5WibMc/r/zbGgry66FvOs1A6bwvq
Tx/WuDXSbgAd5RVxQZomcJlGgpOrL+m3nNksMpfRHWHdQ1q0Sq2qNfq6HB4Ejvm5Z6LAuMiNGfTU
CsKo9LmxEJu0/gYPP7naxwJ4I728Bvj3SChHZ1C047QMPG1gcLcUo4Vx0aVW3NXvHr7tRln+oSTp
3vxSLZ6kxLxWZeoWt5vNi9Fe1OCnAIQJLq1OXKadBcZQ+nnUg66091+RdfCIr8r8AnBD1m/Ox8G5
shQGUM2byspTr4VprsySTihJxYvMgvSEmckfpWllJ3kqJoAYYYuxlQGhNu6NNuuRC/jZdtA/JJT6
vnlQbiPpe7Ut0rg8CEyPePLofeqpGZF5iFlPUzc6VMDymieCwaK7bwsF9nrSvhOrWt1Qr2pepA5H
qB+lRqESCeHs8U3zwjweheYMcXZldcD9nC0J8HkxIf4ioS6ORTcml0LwuHrha3yMym+YtiJHx+PS
paH91MNndiVqJ+nTNhR292c/SjBtbdwzmwZl9eXfRXd657o2zLsCygAQuM6Ve+LLJMOcr0+6foWk
Pa4cMCLod1DR1DLif96zrUB/CiImugHhNSwBddrfjo3AGhMw0RjkRTw47lvWhBTbzFQ2Xa3w/HdM
8fibODtuSzsyh+AI/8/PIYbQULo6GjW44LiZrd/d7RRbhgu7JOSWrzD7s3oRxDUB3fjMZlWeETP4
FkUmE+VbQyArIPJVorzhhfVGg2AAHY6uQt0aGKeQrsvrBKYnD/QtaMZ5JqNV/treL87mp8zVOOxC
6+ABMfi2A1pcRC989lWsAwl5iAypCeq0LhRdZMFAiv3Skli6xn/mc4M7zgJmJi1bjkwIv1KA6tzr
Wld+FqxMxQPZnVvo5WihpgCFLvEnZ1eMFzu9TZZMU1YKpFiSeL9CWnLck70OlA2M/GjgoUL1t71Y
d7M5xKI2/3ml+51eESgeGY+ViudaBBwROQqNcPcMnVPpBMYIo22h925YpC8jiJQvYzMla+amH4X6
sDWRwO8DEVBizdMXeKg/zGvLETWyl/OVUuWamrOqTcqKR9vStS1eERoDb+uhSTZc21r2nUXk/JHp
A0t0c3t1dImEyXWcQKoBXxafhP982nRcan/XQNfa/jJxCRtqlBsJnAvLUqcf8oY11ni25NV5d21E
saLY9QcYvRNV/Ln2FwuZa5MO+EyvjfTdBDEgDEEltvQehRQ4nbnp6fg0PAXEjkMZWOWZnua5c+WB
YhLivMIBMTFQxbbqu9XLlh4TGtQCZl4vrGdnTK6rmBIyNUS0ZyA1ZuR5catU1VLs0iz7gydWTdqS
mhTXfjE046H/bNWLVjcgmXwf/QqhMCrxWpQaiMZK9qTvb0mKhaGLBsU6DaIYbWcsJlt2ET2YaM+N
aB/MFOBiPVLUSOeUpUEmtw//7CgxJvfdIFInyBubqZahGkfVFW5XhKtju1yiSB8WPfRFalM8+ZY/
M2GcrMNUIuKN9CvSUqo5YN8cDm/a3+22mPhc6lD0PZOhgDciW7XgAsqAWgblgm4yCYHm9RdNxDS/
nDGsTEkdgjGMcSrygJ13Y8iODNzvWsWS2MT69jKxqW/kqlF+bUveXYCaq5pfeRQya/W0+boEBeuq
K8CjOyhTP1/VZPhGeUNI85L/QN2rfzhZB0rk62ln2W3liudQvZGNQ4CIGz9j6u8gNqClktdym50m
QN/cqrtX21N0teHxLTsW0cs4W0JynaKtOZr/XrzGLxbEgDJw0hU9yvfHjyxaRZ6zKk7bBK01p6Bg
1YhuoC4EXMYKwQ7O/yZyiFaThgRO7zWMDj3sjAZ9tbaeipkEZyweJCtOTQ5MXuDKFW8cNdm8RsPC
Jr4eOqz2F3cGd8p0knU3ERTO+SxTj4d7tmc11pZJ6RiMbsFPdH7fbUEMI6eMNA8KyyI7+8npH1UK
JsSmIgdtyXYJWDBHrywcrtbw+MkzXusdK/D7A40kpYSACnUeIox6mAI/+YNjqwV3YGNdL9he3qlc
Jh1ICeiOkqMo5g+ySS2ruGsQJez0Jw44Da7WGX1NTXvWntIpdVBWrc+RHxVuFT0ZIFz06IyOqXvD
DHw5ZU8OtgsxnAeWXfNfTCB3avt5gL0NF68ufnZy9QaoJEnQWTgScBFy9GZd+XyRVcRbfo4KlAPK
mPvoHudIZFsxup82XmTqxElxazs0SsQdb9wN8XFpyQlUjzEsPl5rUjB2y+HzirKDWiHVz6EYkV5k
kaL68TDaelOYORGVEZoGenkwJspNxVfUjnbMpdQpVOtcDYwLxmUIXY4mufMqk9WpYSy4s/LRlJ/e
0EHmNiebhWOe+506fd5fiAc29eN6IVSCx1mzifoh8QZwNLg3+VZr+Om2AOyCuBg4D8GXVS8+caht
JAdEmPq79lQQ3spcGrEE1EE7U7Lh7P27Efozxb+rpRyoANEW+pfcPtwZcj7e8yw+FJToijYnYhuw
ewY3OSR36D9mOKo1dwX+cFpLdrQp9+tIG8xctBuVE03OpEudffBop3wOS3S8uUC5N9pUADlbCElh
9sdKzNAJ+uXi+aff0geKdtQiOzSn8hGh3zpRzfOhVYoDiHEJeXiaohxGxof1z8X4O29tFKK/L37b
C7sJZfD30t2PXLfr5bVC2Olf/74/ul1Dc8UwO3J341EX320Hxg/ZuWLEDatwiEKhnPa/afZLTuMI
EvTr/gF3do53cWbHuJlP85aUoTgWb3GdpNVWc17QWVJdGyx1QJWq9WX1XOPapMXx2k2t+N/Sujcv
m76ueDwQJ78KKB+y3QyIdzOBxI35o+1PNPByycjh7imHU0h0o9M8w9LO5IdpBkTz7XmRkjqT5GIR
LThiqJG7zorWg3gGoRf+p8bQF/RSj3EVOambuxmkiXi6Shpl1DgLZYoIvWskaY57t2O7QuBDVNnF
7TzTIlyMRO3Ve0+vWS5NGB5l6VxtdTokJgxy1ydvqJ5xxrS5qner7CraJ5qOPNmBDTon9b0OdbfE
3DANEU0CnncTSoJQF913uqDVfY+cDqYytRGKJsf0YMJGKP67WW6+qAHg8GHTPftbcVRE2k+S6tdo
CQDIEAzDKofLLa2Otin+rB4fLKi0ZgZVpu/NMiof27x6FoTNzLuFRmkecZDuqyvuGFtPn85aTJpL
GEG+i3cC4ISiM6f7iAXi/gDDE5N2F6U51Tw+oyf0rPKEkIVAGyTz4+XmzOA/FVGnU0j1e95e2+yF
P6sKSUGOsQPkr5p0uJ4VezBPJ42/yMt31OoHqI4zySeE042ttEXpoxqEvTlhWfcOmO0Gf8bTP4Yh
iqqKzDWcbvKErJ0DziRB18mQfNT/bTm+ewNbSFjU7RBYPDc9ITCm5MjY0O4RRbKNXbGeozN9oPsn
vIySePYLS3oGD1ki86fi+TKuEe5b738HmoTYUbtmoG/yvye6L0Y3mehjWryrjSBlsg+Pwzr03tGt
H5zj4/n/mvNNmy9vfrOtjsENiwwckCtu6eDDt1WtqqRLyjSFSasLioKYb8Fv8nFo2fMls1UKdohn
B+miAkIDtLVsdO9R/Gz3IWiSHQW9HZi7Uv5Lu75HkM69UhMdI0Yb577wvy4lZBXVD/VIMbfZsjq7
9te8LdkXaKDfper0LK5UylbmB7mtqcYrEQHSV+LV+TK0j1fsbFw/Kz0c7oX+hqMZiFK6CEsVZ+Ms
j91ko5uFLi6XEKYv1zc8QIUx9zdOsFICF5n8mg/NdkPTnOjfYIWZj6h8vHainSkEdKsQ7ZwNBMzG
4TqUi4f+lg+2qVt6C2yTwgINRMwwLR6ewUY97CPhBB1g2IKt9aLsLzqfrSfOKRBnDYTSoSkecs1w
6nk5w1VHUAQwaFZfE9N0Rvtur/wsDXi4LOg97zkmw2MJLV0g+sdxB9bihXVuLAHf5ZSg78utoJ2a
GiK/hBK1Su5Wy5YxHG5iAYxCM8EwMwPJ7dO2iT5xBR2EiAnbaWd10pVnPdjxYwK2Xw1suT1ssNIi
LHAGTY3fikh8htGXqmh3NJ0z4JPPGnAjRvKNTO+TnsjBsYyjye+Gt48fKxl/MIi9DdFJYNXWmCbK
2DtXCkOkQOWsgJCte0xXTIiVwoDUT5yk82Nx0N5u8w97a0vy1kHE0EmgjwdHOrBesc9E+4GivcEY
ZSSfkVs5w/V8veSsUxAsqmLcdoR3Q/nsh/RRtpRq0Tm3Tf5xDLWKEhHON2CgpLG6L9ACzHanDZ0e
O4dRXJOfR1EjSrIzWP04dOoBHLBFMxCCVLoX81EjWWgdYOEQL6173CEe/tIlhzgTNK8+Pnq1vx4h
Xjv6AGR9792IJ2tw3Z3ZD1yHyORsE5foEYSKTai8PwzgPGemXCneQEqh6heHJe+qjGBUaH7d1uiP
7U7v3KzAh6TfjfC+vAVNAOOpASvRdaIBYjbYBOCyCG7G9wa6Wjzxh7Oo/vMRmNuqDtq06Q6W8e+C
4D9RhE9IDM5EBNybQu3KdSMY9i3Ep/Q3al2NHYrrDAf1k8OrsacORSaqpZ8W9I6nlxPmau0XuibI
hS8BqakfgqT3/v1+QRKBjMCrXzBaXXtjG5y7DDMCTHRdpIhv2yEEfZKhA100tb1CYxFo/PzzcbYw
aC2oMuOmJnFeQ4BNX/ESPljx9g+hn7QTcWdH4YXXBT/CBnPxwB6aGRVK101MNUwO4tw32RNVVXKR
QU6WGN8QXeAscqjcZ/r2+g2NSDqJiKzL9M/fLXJunwTFhPrAVV/uidFj97cRktJy1n1IRZDYo6Ty
eWmTQ9Pn0Qp/Qsi5/uGGqn9uoshq3B47lZE8BW9GJiyhZA6aww+dUbDWQ1r6tMVfFDLx/iyzuXOU
yPNIZ74ehj3DOM3Jyx3pF6bt55/Ax+EwvcLV4+mukt04pcU7XCH3uLMMMrCeCNpS62a/gyiTCFFT
upCXS0oAAw8Bq9LSJW0U0WSf5Hrasj6oydvd+uXDJIy3oV/l43KusPreLp72FhlQoDZeQteXdyTv
9f4HmNIQsQx7s3LH+cI7+ijpdF4sA7yrSq2JnBmYhNBtehHrMtVctjS3sLRRzRitCCuqJYXvVHh4
i6zeKBhvVQ9Fg8oi+9QUvCLYPmjOH/jNBfyCa9E+LfUbMrERVkB8F3bXyTo3EtxwI3R7/f953LFI
FPY7BRDk252mccitmVIHz14SwrdxikIwaGWAwdP7syrGOii5R2LROZSOoVCIELm2v/OWvIzRQrQk
BRBfRuvkUk4KpUhK/ljxu5j0rM76EvH41OxIbUwpyGCvg0dPzz2H77lhPw02ChXj88zP6F4xySOr
Mq5orFxpXe2mshuJvmKp8/BQWArNqD836h6nN8OYszfKbzKvEhHLgOkitw2wWY/+XLiQ2CkkUQw7
LicVBMuRNmWOMaTjuesrH8f7NiEeVgmmHxl3G1+jkUkKkyWTVbiWFrR4SYKpkaRn1M970KeOlVsB
i+7GUWorqNiTkmNvBRRWyu6Jx44nH7cxyJhtijqR/xmpKM2O/m6BMHMdxzdKeLn/LhcsUtWETOir
P9gZctDpHaKd5PbOMXqGqLErv82Tte1KaFFY7VEz5V1V3AOmOpPV7DgKQ2OPE8Y3fSUXDzCDg3XA
yT8iHOquNF3ewgI6q9GrJ1Akc6DtpLYpgVLsh/PT3hiqUadUwlr0TviNiw5fB2orULbEf5emuQM7
XnB9h6QbAxrSfbaKJvcpu20nuXf+mRt5dxLbtFr9e894pS/6oCjJGc3eTcqqpVBnbo4DvGjG9YmY
QJvJNm+7itC0huPKlXOVPRXptKcVg5UzerS/OwbZHHbnIWaF8pS6r5OUKrCL4hs/Z06GubHxAAdb
u9u3vjwzlNVfro5p8LKYtZaKaDeaFwuTBKyybVSypHvk4yASsS+zbsJaXE6RKAXwDl8WQ57de6uq
ZskU9+Z1RaXkHmFodjawZSJcLHOmho2zbEGOBSciw8K8QuliDkMaM4lQADlIex2tj2WBibQRnDZe
foxTqmgdCivZ8V43eTOsCMsWRa3rvrcHFM4UOz3Sw9jO1uCgW7Xj6xp5JoYIkH9HCRU9cc/qYXRJ
6aNkyUAnxeoe7s+5JCr6HVEXmQjO61/l67945QooZIxpCp617rjvgJE90nHPdX/3rEtBgdOuWEia
hEgWBLxQ1ai8E38RNnZrZZOKizBAkcwoctdm10aWvrNmHXYIDHKqSslnZeADsz78yv6zdQN1Y5q6
5L0Yg2FEgHHHqG+3XF0ma1OI/mLiWTMw37GuJuWVMKUvwxWhL55siYbcLqrdkx1MfB25ZFo3W2U7
AKXC14ZLMRCImUwPw/N9cy9jwlqVDL8OXUwTHeB/6kTbTqerX+Z6eiw+yN2aPcxoIlxVichfd6Tx
ahjXLoqQglSpmFwOBm9x0CA7giyQwyA89FGAwaaOXCvE4jtGsUmMdTkkTuae+xJGAnfRr+3X9za/
oeS7jORXh0afoWRder/w/CQEOIUQsgEFkOKz/e2DvT3qRhjCJg7LJL6sy1lc1CQdiC8xu2VJd4To
LkCJ4c9MyBA5HnW5NIsYq4OPNronSs/AehjbRtqta5K/JpguQo/Q0cfljmrhHINYm1Ww30W38+OO
+LUQGZEIOHd7QGixjuZyAMx9VL2nto+HHcEAt31FXIDk01nem1K2Y3TuCJakBn3BOnMwHgLK7cqr
ZW4Trvre31+aJqr53jeLtSg/gwQUuMaldZ4HazULJxUlBxNISNbyGr0PkUY0BOrZ/IJqCqX5UMIo
zYuqNIIAjrHWVCJ0Wvef6GML7ASk4G4et0bnDsVlvcTxF4lVifTt4t+SZiJ4Zub+vGf9Boh0wHtB
rFENmHzrarwFosOvA4qUalB19BnqeWGLwKtA577TRHtpmEv1zH4MRrEIiNbp64tCf6F3yktfZh50
cuBuVY28cSDpAJ6TzY0bNtUtCS3M/fltGPMjZ5Lqy8BDo0QCPe0GRZHdVdMvgFocqtF2HJmM0QxZ
b1Re+d1+eSkfHzYCJoNl91FaB04nvifjtP/tC0IS5Mip4EzIVrPH0P0cx77b1gGmWQ8WXjhORX6W
+DskFR2Loartpg2qhoHeCab6o30mGhibmSzmdQDiGWOfl/W+gYwJZ6qrWs9u/BF2JwVSuOhNmtnt
EiAh2QVGhri25QwFret34u0GK28uTo4nPuupBOaGelBrvtuB7IvhnP+KSNvzio0Yd5aQjDb5hAck
pEN1oMDc2cX2CmFeQ09P3jHpWi3LXN9rm6gn1KcRXMEc4E3ez7RHGJ9jQvz8jseMo4nHCkPFzFd3
Kxq8KBirSEi1pCyKZ2DP/dz8bPywlNZSG7xYHKejIOc99VaxGbjJTt82fqMjnZStlTA0beGS4bzA
TNPLjnRRfWE3qcxJVzlvO60ZCM33WoMiwhC0vxhy6LKJZYJLNHubmfKi+1e6moFZP2oIO6aqUEo5
mRfs9CXia223UKM0QX6D9BD7WWi7q3FdNGWPIuFMdDWkYIIRwxF+SD1bNvIJIk/pmDQJWmAbI4bf
gXDaMB0iVcJ28N5zXoGzpOjQNJHeldA/2/eTsG1y3o620JniQAMKEeWFJfa4yBSsQDbI3+3QeCC/
GI0x9066EYBIVCwb6Wt52Y/Fhi5Zdh83GqHW2fo2BVNXh/A4M5unX+EPmDBDCzO5YSzGI/kmrDBe
OEQ2TYxQC4NK9q/TtDxzty1ceBORXoP85/ZWoUp6t4CmWzhYW2r6QYRm9WIVlmRdjnj4aAVvWVs1
7x+1jiGmnw66CaKna2G7xOSzr5y7qcCBAbUh1kUp3L8PL1esAjUBZrwnrgGRyOUy7r7SSCEt/pnj
0HCKzNbzsS49vZ/l+NfrVnAIs2EdlrNWjxVklXwhxRKyDkFlpl8dp0pCcVq0UaRbs5ZFI3LTJnhC
jfDq6UB4JXyW48EjioZbC1KPQufvSySxLfyu2OmI+2Sngu1VA/e5dj2PTn3atK5dahTOkVNp4gGi
nrxSc7cu0go6HqxpkRueZg2dzWPnrhjGbXQdZtBuHZK5guSPQdTTZPxPaNKf56jpLsw+s+HTxPIO
zUcWHOo35i2Ajn4zS+R2utjsH8FUnh+usIWW11S6nrIJDkItIgSwUUQjv0vIw+MhWRlqnCx1xsSU
nUt9W9sWpVhwG/NstMrt3szb22qCTRRW0LcLTbn8Sto7iOgrIp9xjoQnIX2R3jZbro9WXsz+zVV5
dEZB/Q7Dtx6Lh8wjWgvLNhkXQG98GtNwJb/jQL2Xsauc9g5BWjiaixbzkQur87ZWPrKxoWXzyrAw
1PzqXF2fGfAQYwvJ2w3xFBX2NsdyBPlQfn5JxTQ8gjnTO0fw3NISBjMe/FeepINJEFXEgXsyc60d
ZiwgOEaIBKP0zaa3vk7F6TXMeeGbWe6wa9h3WfM//4V6e9uD7SqHl2PcJ1pwmK62qKBYBZArHt/t
8JU8fq2F4DIw2b+0qNYtvEOk6m9fQRNxjrsrRzj9BPRCR+8fjR3iV66CdmS9tckIN+6AfMuNUZN5
hy5yCYoLAUXAwqFkwt2D0KdzAmglVzbnVmF/M4YlmVRxv24coexWOZdMIRq0kl92O63B+5ijVJlJ
3zyAYhSKM6Cpj7wKJnQGdkJT7hIYrAa77KFCIW2DgkGXzQ2monddZ5Hf5vJLHp5B1zBdT616a5Eu
ZI5Dr3PnpkIyt1qDXZdIo0CuK55sC4WGK86BRAooOobLx9qaTMv0NbcoFMSs5HG7HsK34McveGHP
GIuWYI2q6//FOQPbRX+7dU05MfrdVop4QttD62hR5B6kg9S8zQ4lKT7BKrkqqikvn4+WxDlE8WX6
ppfARFuu6BFnCrBp/uzoqTHET5bYMPsMlynf5dw/py74Dhi0r1HXUjyHxH7hN4FvxuJgWw4A0qNr
QXiPRfLWHjGgWOuvE/IYbpv3r5VA5wUbRe5W/ZcYC77Ip4ZoXT6Ai6OVDGyK+NvZZrKm1TjfKQ1m
FhBVtwDb3I+8DJmUkISrundo1pmsCkwlz8wpal+UF0GGwzDVxQFpzlvnXlaqjmWksbvescxv5oV6
XFt7bO4YwedU1G99cbhD1hluBJOiU7kWevAsVDxHT40rrqclM1e2a2ATdot1vRDOoP+LkTFV9qcE
MlkG8YDfrr53E06VD2HTGL0KrVXAgCvjennW/cqi3AHHHArGqLOAoV/AwQyCR41tcE4xZ8Zi+eXv
SDJ8EQm6oy4PledHDFo+84FDXXyLcPVCABmsIIcYtOjdcgPEQdufzkyurz6p0+Dv9Y0KACxUVVEs
yIuJTlUSerDYJCIhTpl9Co+bldrurVn9aVXFfJzdLkpfCxSr6o36HRNR8SyZRqdw16OeANDk4z+3
3aULWz/46Fg61WcZbbJnfaqP5w/ml9VFfpcr97WamSo35fW1wOhY4T8Lo05G7U4g5LKK5qq+6kI5
lEGo8j+IvincObP0QMvyo52VMK4p/j7LEEQ/6dOGvOu+nfQpAptWTpbKxIFqNVPPnnEbn3LOY46C
Tu/1RR9SiMxGi7v3sNzaFIj/vydJOYGFxS35A+VVwAbWOReK4rbuVWuo3u8nlkCzWQojjt1wqqwj
877iQwgmS98DrgYfzClaKoczO4aQiTgzK9g8MuNKakylb41DGnoLpn1p2zK6h43TCDz77f3ov5sA
8pmqjwJZh2kNamzijqHPWpJNY9Dc1cxMaVf0gHg6yeeWcHrqdIQ8DeSnt2Xlw7lVBHbD/HpO+11o
fbG4abo04ytWE4Ro6uE42wEUmzDOPB6tPG+XJoS0r5a//1/56obU/Lh0Rujt8qApGbZpziiuYmSP
UKTfsHxDhWtSKzhkhBePpJh84HSAAQ6R2i5O50kfSpUGlXIR0dJTPxq+d7gSYuQLiOnYYDsqpZ9S
HOelARQScJbt4tJqEoTvFfjbjeG4YRD4KOwPSVBxCUzGvLFds+oYcNHu13mHGebQ5dkWXtADgFm4
MNIUXwK+MlEUAtFRF/9Ea33/X+hHnLAAgUaDhsD4J96xlwjm07QfsRsSLMwKYB9lKczxpwMo5oRN
qpGknG1PNii8pt2ei2/6tBBV9IMEuNA5mUjqREB1ksY1GQ+NBrbF9AURC+0V7fHvD90q/InoFMw0
iPEp/ejAezOgL2BkCjyNlQCe7tYmM2dkjZNLbKKOXZ3RvIrJi1J76sq2VfuRfO97Qhdgn2mMlBtv
4wJObcz3eXVv+WvhJbBlWiH0LhQbdwUY1HoXkYCkpzLfG+esYl6uXWNKbbnETcU0L/0RhKx7IB4Q
SaAv6Z3bS+d5UIcqicYKH8JWpFi6I6k8IM0Sk2EJibzWMegvtmL8mNCfZWevihM97UCt+LMEEIOA
RfA6od+ZQ7/epjik9hON+TGA9KVj3mVYsBddEG5Mo+yxZcUEMMnctgZtWm5wmhJ9hJX//6qPCpuw
0rOt+YseWq3isV/6Abx+u/2KTQ4aa2oVnGztNALw1CHnBe7Y11k9F9emNafGE9p7CRAJJxZnatwM
IwGHgRqdnBckANcRvN0tZJ2Pj44yjLSZZcf5wzQk0kHr4RFuqy2e0kFzBEPu2HY5SyrGOzCZD/P8
LmcNrbGTvfvTXTYSLOELswmip6xc5f5P7Y7c9QBV/hXehBUxqDPx/Lcbv7wTWILBDLT2d+PQYfZI
PytndczbGaLywTvei4o5uxCRwWveBvn40INAs5Em0wtx2ppzb6E8iNg5OmTOyZvrlPETa/vyqjuY
Gd/7VeYH1He6VuUqUE05K1TNendwqc9nVpC6qqXjpe/mKrxZ9eccTtfcHVNmKpDitnhFnBJ90hqF
jzjG2B4RDtNOXskDKq6O7Vh3pzgds2XSvbYktMUeyZIETgFsd1OYttpjK7OVzZRJtwY6TNZI1fHD
SnLmUDWf1/DL1p98y3Zrjg98UVbo+SOUX1M5ztj/d+RgUqz/qp3FdJ/74AksSnxJqayz3TbhQAmM
gj8Nt/IbO8ky2Pdc0a3DgrKnXCC0AXUvEjh0bcHsVhPVbMsu9Zw2+E3VmEsxWifrZauU85S8gFjS
csSRq6PgEXdem+YEaMLg7bwFN90iCplcJDsRPIYdt9N2nlCZ0eNNG74VmJbDFdAitxXUXCqymJbV
ld91yoa6gBSuaWHut4msp58DlQe7nmd0uNze80XQbG/ZCQe5573/a4UTxPlNASAxbzYN072q0OUZ
GfKI/Y5m5hWeg6WuVcD9DS7/jXPQ1v7RAvwjY1F6Dct2qwEPX4UACccXd+CA+T2aJzThnHt4h2Lw
NDCqMqVSl6GwQAqidIdOIc2/lFqwNf79JI1cD8J+Etac/YtLAUMSeFUxt6Pmzml4r2s6Oz++wd47
fRYSFAOJQxJlM/aOc7SWIxb68FojybOyUYoA04/IU/yq6U90TOIIqTwuA5xKvlkzazmWslN4Vtgh
pagmOBvssaBkgQ2X/W41/y2wvyxdcNuKnpuY5Bzo/pJMvdORsAPcq2DbAA9hYqXJ04OyFUj/OQCy
cT3gOwrITp+BNy8LkR7saKYRbycz8yGERZr/RBfCIaklQSUQ0xwY+F4tnFjHuAlnDHnRz3K51614
66U0aHwga0g6jbcUyhGRoO+mLUofOQsqQ/1v/ebskf7OgkuhXE4PnQSdjqkRxpeQ+R2Db8FuSru3
cfIaCHVM85kbpxgQZsWncJ/5ukeGxwEIe8W8ftQXhgkrM3HWDmwhT+tV++dj35PkFS366gB70O7M
T3YMPGV5hXlBZJFNQW72zNRgDkArzRnNJHB4/s02Cue13gOECwTINWuoKimeGtJlSSI7//7QwQYW
mOANuAZTnsqGhWYQcaXx+hDcuzG631akZPmLZZFKrd5XX/1DismjZ6kygkRaadD2PFtnaJzQ7BRR
uKR5KltIieqScSy21HWe2zdPV2ZRC/j84i2mr/tp9uP+/AukhG1veAz7IPmPwQs2azhlUUx0oV0G
JhSL18VM0WRtB6cOLgFBQ9y9quCu20WaN4YAmJqhUZRWQc3wsinMqFMlUspYuuOkFfGxWvfDt8nl
j+1VkcBRo8uGnEScN2DiGlCsxsOW1bXrJGcNHq5rakitDlsJ0qzn9zT5tzMfMOvHV5WEQs1bKxet
shz7boFFpT3s6N7dttIm/AvBMmGodb2PJAsOxsFwWflg133MSCtjOZ00tVO8LyahtK1P7XZfnb6x
5xYZGXcVFzaHkvfPK6zV5fU6qCdm9Ajdj6znaBGg8iWvHtl5sTFxCAU/u4cn1v5CgIHz/KZedprz
YwTmzwFOBgromx9mWHnajDQOd7FCdh3dmXQTfTT8TovL+MaWhC5fVIZ09sXW8Osmmy5iINzI9Pya
zj7vSoB+zGkkz6WopXry7UTtCR7q5juXt1Q9d2+VMuHWFSKBaeGUL/7RfX0Qka1X7O/Moaq2CAhC
DWj/6MA6YBguWlktK+VC6CNbpj00GEL9mTgTVkQeP7bDzYNcN75C8u8MsCvi8uUP3qDDwcg0emN7
jnI0PK3rh4W0wkDO96P0DUzXuMruKu1baBtBRmckqo+TDRH82EggLv7OJdygy2HRnNBJT33yt/17
j1KdE36wSaz/GtjnIOYxcbn2y6imSA9ZdbQW2ynuTOxI5rpLq66smYfdYfz7tQHaze93Scf894zI
hP72/zD1eJDnUBgs/P27WracPt+V8NP54YmNEBZdDUQ7piH/2mBz8uQGU/yfz5C5RvOO/KNqn0vE
1LitOEkhRshfMcvo/xZF1dE9P/cBohBLE52/etALO79u2RZ9FYgn27nLCmTqemR6JFSS3KioxDlL
BH82XE8T9iqGd6OEXGMW2I6cG3Axdl6V/fZqrSAn/14DAt2hPwLfMK5DQDYWd/xVSjvDEP+PLR4H
e2+ZYxExcz/ieMSJyxmtXao6Z5Y4xhGVDIk3wa4KKhR0DnYVFMYH13F538y+PDNem0uxxaDIWM+J
KMAAufFYCVyv6UQZUKYVES4KG/wKi9s8h6cB6kc/qlSWLjMkF/ra4/HCjgXY7qe0IKN89yRzDv7z
JbJYHRTHg15CR/1YBki/mzq/lMN+9MSr8sUq6PdyDHgABNABXutIbrf8CIx/6EoYd3y1kST86Q3f
L3XqcUwXtgMMuo4yDSCdQ2kh6rQdU1lOJp7oIgA5RuICNSdOf4r2F03sAhloJEJXotltdaO54yRh
RZY/7/NlQ/FUeeu8BjfW2h0ZanGcyXMoLN9CN9BG/sEOKUeJ8s9FBk9C6AEbHnKY4P9h1ZgLB5um
/DKTfPcY0IQu6MplJ0m0jBa2U6BbSytw+W5C37u+TDdhBUXoP2V04OGQdVXl/geX1sfNeTaQCfbN
KMb4bDY/CRL/RLOCpclj/juxsECIiV6BcFrjT1yOpo93rnMuN2IHs0v0M2Lk0jVH76EZU1bxLDK8
wTFQSr+lMNnmWi7xzismAFDVzhlTtxfx0KCGYNodauw2EnaFQG0VjC9K2dOf0tm3/raiASl60fPP
FqfvmWtpaxB+asH3Bwg8llwQ3UXtt/5f4iCYxJGTMCopw32K/qScgBOaJz3l4jSVxrsFH5geHFzI
9TOCjbh5sBM+/epMRClB25BWvSWJz1UL6/12ZFJGmQDdqWkHUF0PuFdA/XEg42fQJlk/5v5Dwyix
2aoqmG9j9ImxGMouWl7HOU5gVCt5O9YNUCuQWRIF+9KJSPmvrjV20tGSPPsLCSQgBrKaqenfJZmd
yj6akBN2LbgwWxkrySpC2xQnz8raLuYuGxJeolhNYLOVlY2BtjvvGin7yBgXpgkBr9FR78VOT9PC
05T1k8njqf4I3vmKH/CrpDW8YevYx7QGQTv5Bh8Qcx34pf1DfL3Dg5qouv0IC+Smo1MpqwL5KYQc
zYCHPWsPd/bWteBlmm0bgDNBbfFuRuqfs+aWYNH8t9Y2Qb3sSBmM9hrsmqiKgIEWMYHkY0ji6bDB
QuPqOiTP5Z+Mgm3Rc3tA07M0g/k6RVx1lBOOSajj0jQ3RlxMft580WFYlWowyCLLm/Kd/Oje3zgO
b83dgXXi3VUBOHTZEVOsJ1s4cUUmAHdbWz4JU3KY4KeTdv1qV3JUqODJd1E2F7Qm/JwmDDIDEaC4
W3us1jITfzq/ClWWtxzJ12XVtJLFEjWQmtiOk4PYVUoNMivA+ni4CxCFxVUt5JbKOgHsq7SmjzPo
0tk18t2OGU6Ko9S+yg4ckpy2sP7qgsFwXAwDJ/aIIpraxxnMcTmqmWX9N535imfOpSXImKV3eYsJ
Fpmbsmnbj/PQkggzChNh2rMx4YoCnSJlmHkTfTGE/V+//165aOBacwDgqznVueFlvheOdPjuC4/a
DRqORrtBjmsooovaP3FvWH6Qi8Ocj9WYblzCIdS0eEqcmQ3GoeAgN2ulp+YNHVCxQIRwsbFG2UXg
H1q505Tjl1zGiVSlfNuIJfjObE72yiRut+gyD9UkkahodqAKc4a0vhucrg0QDz6irRjWpl2/RX9J
v2xiquPn7Zrb2oxzf3XQNRuOgNA4trqGCr9WfJhVgjPlupR+Ux0kRyKTYvWWuY+NZan4f1FL9ixX
pLaDbdJTINYwD7FkdWKlem+VySBSOkhFI/ZybZ5sWjO+Pr96ND77n1KdA5qqxOFkaLi81zy4WYdh
P6Dn9E+DxxQVS4mRv5eqADUNtofQhfatd1ciookwVRKjogqOfapIHqXjs7sM1QtP/8ceH8NFpw45
FwhKmpLtjjwNoF8KYPomIWhVf21kr0lO2zdvWK8xLhhEI6/IplKB7KCMCSKE2c+6v9/0oyeB6ult
1YkEng54NOal3KhvNZUWlwnNJXW7z6NthaWi1vc8pyq9TYfz1o0o7EUq+1gkAmno9IWHV7uHbc0S
NIfmtGKsviuSjnWZe+AjUeFJ6U+yr1mtDwTwqUL+Hu4kwY2j1gW6BrNK49Va4Y6/hwitr+pqy52G
igyMFYdx0vgwRntppiHfR+I+MZE1sMQ+Sd0WImrv+dPk4vD+OyFOlJpf+OEwLCQN0ZMS2W0NHNi/
hZ+f5MG0RVknh2JFxQD6yKGMimYCQGacRAlyYf4B8jqLNiofL1BESRH8N7VBz8TbuY0Wrmec5Ut8
MhyrX2LiGdFENcAjvheezINGZk9HHRhLvKPOv74+46fiOmbrCncBofZ5qvcT045w7gE2ZDwAlKUy
V5fX+KkMbCQHwaiG6iKnkTGHgE8+aKTkcKmE0COtWnqp8TG5aviTS1QAoJdMGGVyxqyx0zyeH/oo
Tph8PaQMMOmlaZAYML6pj7h6VgLrtwTpWwvOV63fOYimjVk/qfSncA7i0EpIKuQ6pPVNwTBfcyTj
MwMYrGHryi6kgAvqTKBjNztqOCKCWXlLH9qK5CATTUy138k74YDRkQ1A45Lp/FylV+0OzAdi6XT9
G0SntyfZ7RBBxf3QBQy5fHu3reGhL9v7HJ62WLdHjcVGBy3naTM0urLdEzFFFaqYFJdwK8r1qBko
jwNAbZbWqHbWMSxOUWlfuTqcwije7BVYzVK3Qb1PM+4If4hEq4Ccv/sLKxHEI6A8oA/1fny6SJKQ
opglUlju9RAu
`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/delay.vhd
|
6
|
10088
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_pkg.vhd
|
8
|
127668
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 92768)
`protect data_block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`protect end_protected
|
gpl-3.0
|
dskntIndustry/Hardware
|
hdl_library/CommonFunctions/MathHelpers.vhd
|
1
|
3248
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
package MathHelpers is
function log2(A : integer) return integer;
function isPow2(A : integer) return boolean;
function max(A, B : integer) return integer;
function max(A, B : std_logic_vector) return std_logic_vector;
function min(A, B : integer) return integer;
function min(A, B : std_logic_vector) return std_logic_vector;
function abs_std_logic_vector(arg: std_logic_vector) return std_logic_vector;
end package MathHelpers;
package body MathHelpers is
function log2(A : integer) return integer is
begin
for I in 1 to 30 loop
if (2**I >= A) then
return(I);
end if;
end loop;
return(30);
end function log2;
-------------------------------------------------------------------------------
-- return true if an integer nuber is a power of 2
function isPow2(x : integer) return boolean is
begin
-- Works for up to 32 bit integers
if
x = 1 or x = 2 or x = 4 or x = 8 or x = 16 or x = 32 or
x = 64 or x = 128 or x = 256 or x = 512 or x = 1024 or
x = 2048 or x = 4096 or x = 8192 or x = 16384 or
x = 32768 or x = 65536 or x = 131072 or x = 262144 or
x = 524288 or x = 1048576 or x = 2097152 or
x = 4194304 or x = 8388608 or x = 16777216 or
x = 33554432 or x = 67108864 or x = 134217728 or
x = 268435456 or x = 536870912 or x = 1073741824
then
report "Argument is a power of 2" severity NOTE;
return true;
else
report "Argument is not a power of 2" severity NOTE;
return false;
end if;
end function isPow2;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function max(A, B : integer) return integer is
begin
if B > A then
return B;
end if;
return A;
end function max;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function max(A, B : std_logic_vector) return std_logic_vector is
begin
if B > A then
return B;
end if;
return A;
end function max;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function min(A, B : integer) return integer is
begin
if B > A then
return A;
end if;
return B;
end function min;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function min(A, B : std_logic_vector) return std_logic_vector is
begin
if B > A then
return A;
end if;
return B;
end function min;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function abs_std_logic_vector(arg: std_logic_vector) return std_logic_vector is
variable Result: signed(arg'length-1 downto 0);
begin
Result := signed(arg);
if Result(Result'left) = '1' then
Result := -Result;
end if;
return std_logic_vector(Result);
end function;
end package body MathHelpers;
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x32/synth/fifo_async_103x32.vhd
|
1
|
38595
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY fifo_async_103x32 IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END fifo_async_103x32;
ARCHITECTURE fifo_async_103x32_arch OF fifo_async_103x32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_async_103x32_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fifo_async_103x32_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.3.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_async_103x32_arch : ARCHITECTURE IS "fifo_async_103x32,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fifo_async_103x32_arch: ARCHITECTURE IS "fifo_async_103x32,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=5,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=16,C_PROG_FULL_THRESH_NEGATE_VAL=15,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=5,C_RD_DEPTH=32,C_RD_FREQ=1,C_RD_PNTR_WIDTH=5,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=5,C_WR_DEPTH=32,C_WR_FREQ=1,C_WR_PNTR_WIDTH=5,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 5,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 103,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 103,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 16,
C_PROG_FULL_THRESH_NEGATE_VAL => 15,
C_PROG_FULL_TYPE => 1,
C_RD_DATA_COUNT_WIDTH => 5,
C_RD_DEPTH => 32,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 5,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 5,
C_WR_DEPTH => 32,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 5,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
prog_full => prog_full,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_async_103x32_arch;
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/synchronizer_ff.vhd
|
6
|
8637
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/common/synchronizer_ff.vhd
|
6
|
8637
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/builtin_extdepth_v6.vhd
|
6
|
50137
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_wrapper.vhd
|
8
|
57813
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/common/output_blk.vhd
|
6
|
27163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18368)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_sshft.vhd
|
6
|
23122
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/builtin/reset_builtin.vhd
|
6
|
19078
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/ramfifo/wr_dc_fwft_ext_as.vhd
|
6
|
13630
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
|
gpl-3.0
|
dskntIndustry/Hardware
|
hdl_library/SPI/SPI_Master.vhd
|
1
|
1570
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.CommonFunctions.all;
library hdl_library_ClockGenerator;
use hdl_library_ClockGenerator.ClockGenerator.all;
entity SPI_Master is
generic
(
G_CLOCK_FREQUENCY : integer;
G_SPI_FREQUENCY : integer;
G_SPI_TRANSACTION_SIZE : integer
);
port
(
clock : in std_logic;
enable : in std_logic;
SCLK : out std_logic;
MISO : out std_logic;
MOSI : in std_logic;
SS_n : in std_logic;
tx_data : out std_logic_vector(G_SPI_TRANSACTION_SIZE - 1 downto 0);
rx_data : in std_logic_vector(G_SPI_TRANSACTION_SIZE - 1 downto 0);
data_valid : in std_logic;
ready : out std_logic
);
end entity; --SPI_Master
architecture arch of SPI_Master is
signal SCLK_n : std_logic := '0';
begin
enable <= data_valid;
SerializeDataToSPI:process(clock)
begin
if rising_edge(clock) then
if enable = '1' then
MOSI <= rx_data(rx_data'high);
rx_data <= rx_data(rx_data'high - 1 downto 0) & '0';
end if;
end if;
end process SerializeDataToSPI; -- SerializeDataToSPI
dut : entity hdl_library_ClockGenerator.ClockGenerator
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_CLOCK_DIVIDER => G_CLOCK_DIVIDER
)
port map
(
clock => clock,
enable => enable,
clock_output => SCLK,
clock_output_n => SCLK_n
);
end architecture; -- arch
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16/fifo_generator_v12_0/hdl/builtin/builtin_top.vhd
|
6
|
77480
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55616)
`protect data_block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`protect end_protected
|
gpl-3.0
|
DougFirErickson/parallella-hw
|
fpga/ip/xilinx/fifo_async_103x16/fifo_generator_v12_0/hdl/builtin/bin_cntr.vhd
|
6
|
8597
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Yarr/Yarr-fw
|
rtl/kintex7/rx-core/gearbox32to66.vhd
|
1
|
2153
|
-- ####################################
-- # Project: Yarr
-- # Author: Timon Heim
-- # E-Mail: timon.heim at cern.ch
-- # Comments: RX channel
-- # Aurora style rx code
-- ####################################
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity gearbox32to66 is
port (
-- Sys connect
rst_i : in std_logic;
clk_i : in std_logic;
-- Input
data32_i : in std_logic_vector(31 downto 0);
data32_valid_i : in std_logic;
slip_i : in std_logic;
-- Outoput
data66_o : out std_logic_vector(65 downto 0);
data66_valid_o : out std_logic
);
end gearbox32to66;
architecture rtl of gearbox32to66 is
signal gearbox_cnt : unsigned(7 downto 0);
signal shift_cnt : std_logic;
signal buffer128 : std_logic_vector(127 downto 0);
signal slip_cnt : std_logic;
begin
shift_proc: process(clk_i, rst_i)
begin
if (rst_i = '1') then
buffer128 <= (others => '0');
gearbox_cnt <= (others => '0');
data66_valid_o <= '0';
data66_o <= (others => '0');
shift_cnt <= '0';
slip_cnt <= '0';
elsif rising_edge(clk_i) then
data66_valid_o <= '0';
if (data32_valid_i = '1') then
shift_cnt <= not shift_cnt;
buffer128(127 downto 0) <= buffer128(95 downto 0) & data32_i;
data66_o <= buffer128(128-(to_integer(gearbox_cnt(4 downto 0))*2)-1 downto 62-(to_integer(gearbox_cnt(4 downto 0))*2));
if (shift_cnt = '1') then
if (slip_i = '1') then
gearbox_cnt <= gearbox_cnt;
data66_valid_o <= '1';
elsif (gearbox_cnt = 32) then
gearbox_cnt <= (others => '0');
data66_valid_o <= '0';
else
gearbox_cnt <= gearbox_cnt + 1;
data66_valid_o <= '1';
end if;
end if;
end if;
end if;
end process shift_proc;
end rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/conditional_expressions/rule_100_test_input.vhd
|
2
|
397
|
architecture rtl of fifo is
begin
process
begin
var1 := '0'when rd_en = '1' else '1';
var2 := '0' when rd_en = '1' else '1';
wr_en_a <= force '0'when rd_en = '1' else '1';
wr_en_b <= force '0' when rd_en = '1' else '1';
end process;
concurrent_wr_en_a <= '0'when rd_en = '1' else '1';
concurrent_wr_en_b <= '0' when rd_en = '1' else '1';
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/process/rule_008_test_input.fixed_upper.vhd
|
1
|
151
|
architecture RTL of FIFO is
begin
process
begin
END process;
-- Violations below
process
begin
END process;
end architecture RTL;
|
gpl-3.0
|
Yarr/Yarr-fw
|
rtl/spartan6/gn4124-core/dma_controller.vhd
|
2
|
19703
|
--------------------------------------------------------------------------------
-- --
-- CERN BE-CO-HT GN4124 core for PCIe FMC carrier --
-- http://www.ohwr.org/projects/gn4124-core --
--------------------------------------------------------------------------------
--
-- unit name: DMA controller (dma_controller.vhd)
--
-- authors: Simon Deprez ([email protected])
-- Matthieu Cattin ([email protected])
--
-- date: 31-08-2010
--
-- version: 0.2
--
-- description: Manages the DMA transfers.
--
--
-- dependencies:
--
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: 30-09-2010 (mcattin) Add status, error and abort
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use work.gn4124_core_pkg.all;
entity dma_controller is
port
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i : in std_logic;
rst_n_i : in std_logic;
---------------------------------------------------------
-- Interrupt request
dma_ctrl_irq_o : out std_logic_vector(1 downto 0);
---------------------------------------------------------
-- To the L2P DMA master and P2L DMA master
dma_ctrl_carrier_addr_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_h_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_l_o : out std_logic_vector(31 downto 0);
dma_ctrl_len_o : out std_logic_vector(31 downto 0);
dma_ctrl_start_l2p_o : out std_logic; -- To the L2P DMA master
dma_ctrl_start_p2l_o : out std_logic; -- To the P2L DMA master
dma_ctrl_start_next_o : out std_logic; -- To the P2L DMA master
dma_ctrl_byte_swap_o : out std_logic_vector(1 downto 0);
dma_ctrl_abort_o : out std_logic;
dma_ctrl_done_i : in std_logic;
dma_ctrl_error_i : in std_logic;
---------------------------------------------------------
-- From P2L DMA master
next_item_carrier_addr_i : in std_logic_vector(31 downto 0);
next_item_host_addr_h_i : in std_logic_vector(31 downto 0);
next_item_host_addr_l_i : in std_logic_vector(31 downto 0);
next_item_len_i : in std_logic_vector(31 downto 0);
next_item_next_l_i : in std_logic_vector(31 downto 0);
next_item_next_h_i : in std_logic_vector(31 downto 0);
next_item_attrib_i : in std_logic_vector(31 downto 0);
next_item_valid_i : in std_logic;
---------------------------------------------------------
-- Wishbone slave interface
wb_clk_i : in std_logic; -- Bus clock
wb_adr_i : in std_logic_vector(3 downto 0); -- Adress
wb_dat_o : out std_logic_vector(31 downto 0); -- Data in
wb_dat_i : in std_logic_vector(31 downto 0); -- Data out
wb_sel_i : in std_logic_vector(3 downto 0); -- Byte select
wb_cyc_i : in std_logic; -- Read or write cycle
wb_stb_i : in std_logic; -- Read or write strobe
wb_we_i : in std_logic; -- Write
wb_ack_o : out std_logic -- Acknowledge
);
end dma_controller;
architecture behaviour of dma_controller is
------------------------------------------------------------------------------
-- Wishbone slave component declaration
------------------------------------------------------------------------------
component dma_controller_wb_slave is
port (
rst_n_i : in std_logic;
wb_clk_i : in std_logic;
wb_addr_i : in std_logic_vector(3 downto 0);
wb_data_i : in std_logic_vector(31 downto 0);
wb_data_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_sel_i : in std_logic_vector(3 downto 0);
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
clk_i : in std_logic;
-- Port for std_logic_vector field: 'DMA engine control' in reg: 'DMACTRLR'
dma_ctrl_o : out std_logic_vector(31 downto 0);
dma_ctrl_i : in std_logic_vector(31 downto 0);
dma_ctrl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA engine status' in reg: 'DMASTATR'
dma_stat_o : out std_logic_vector(31 downto 0);
dma_stat_i : in std_logic_vector(31 downto 0);
dma_stat_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address in the carrier' in reg: 'DMACSTARTR'
dma_cstart_o : out std_logic_vector(31 downto 0);
dma_cstart_i : in std_logic_vector(31 downto 0);
dma_cstart_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (low) in the host' in reg: 'DMAHSTARTLR'
dma_hstartl_o : out std_logic_vector(31 downto 0);
dma_hstartl_i : in std_logic_vector(31 downto 0);
dma_hstartl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (high) in the host' in reg: 'DMAHSTARTHR'
dma_hstarth_o : out std_logic_vector(31 downto 0);
dma_hstarth_i : in std_logic_vector(31 downto 0);
dma_hstarth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA read length in bytes' in reg: 'DMALENR'
dma_len_o : out std_logic_vector(31 downto 0);
dma_len_i : in std_logic_vector(31 downto 0);
dma_len_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (low) to next item in list' in reg: 'DMANEXTLR'
dma_nextl_o : out std_logic_vector(31 downto 0);
dma_nextl_i : in std_logic_vector(31 downto 0);
dma_nextl_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (high) to next item in list' in reg: 'DMANEXTHR'
dma_nexth_o : out std_logic_vector(31 downto 0);
dma_nexth_i : in std_logic_vector(31 downto 0);
dma_nexth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA chain control' in reg: 'DMAATTRIBR'
dma_attrib_o : out std_logic_vector(31 downto 0);
dma_attrib_i : in std_logic_vector(31 downto 0);
dma_attrib_load_o : out std_logic
);
end component dma_controller_wb_slave;
------------------------------------------------------------------------------
-- Constants declaration
------------------------------------------------------------------------------
constant c_IDLE : std_logic_vector(2 downto 0) := "000";
constant c_DONE : std_logic_vector(2 downto 0) := "001";
constant c_BUSY : std_logic_vector(2 downto 0) := "010";
constant c_ERROR : std_logic_vector(2 downto 0) := "011";
constant c_ABORT : std_logic_vector(2 downto 0) := "100";
------------------------------------------------------------------------------
-- Signals declaration
------------------------------------------------------------------------------
-- DMA controller registers
signal dma_ctrl : std_logic_vector(31 downto 0);
signal dma_stat : std_logic_vector(31 downto 0);
signal dma_cstart : std_logic_vector(31 downto 0);
signal dma_hstartl : std_logic_vector(31 downto 0);
signal dma_hstarth : std_logic_vector(31 downto 0);
signal dma_len : std_logic_vector(31 downto 0);
signal dma_nextl : std_logic_vector(31 downto 0);
signal dma_nexth : std_logic_vector(31 downto 0);
signal dma_attrib : std_logic_vector(31 downto 0);
signal dma_ctrl_load : std_logic;
signal dma_stat_load : std_logic;
signal dma_cstart_load : std_logic;
signal dma_hstartl_load : std_logic;
signal dma_hstarth_load : std_logic;
signal dma_len_load : std_logic;
signal dma_nextl_load : std_logic;
signal dma_nexth_load : std_logic;
signal dma_attrib_load : std_logic;
signal dma_ctrl_reg : std_logic_vector(31 downto 0);
signal dma_stat_reg : std_logic_vector(31 downto 0);
signal dma_cstart_reg : std_logic_vector(31 downto 0);
signal dma_hstartl_reg : std_logic_vector(31 downto 0);
signal dma_hstarth_reg : std_logic_vector(31 downto 0);
signal dma_len_reg : std_logic_vector(31 downto 0);
signal dma_nextl_reg : std_logic_vector(31 downto 0);
signal dma_nexth_reg : std_logic_vector(31 downto 0);
signal dma_attrib_reg : std_logic_vector(31 downto 0);
-- DMA controller FSM
type dma_ctrl_state_type is (DMA_IDLE, DMA_START_TRANSFER, DMA_TRANSFER,
DMA_START_CHAIN, DMA_CHAIN,
DMA_ERROR, DMA_ABORT);
signal dma_ctrl_current_state : dma_ctrl_state_type;
-- status signals
signal dma_status : std_logic_vector(2 downto 0);
signal dma_error_irq : std_logic;
signal dma_done_irq : std_logic;
begin
------------------------------------------------------------------------------
-- Wishbone slave instanciation
------------------------------------------------------------------------------
dma_controller_wb_slave_0 : dma_controller_wb_slave port map (
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_addr_i => wb_adr_i,
wb_data_i => wb_dat_i,
wb_data_o => wb_dat_o,
wb_cyc_i => wb_cyc_i,
wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i,
wb_we_i => wb_we_i,
wb_ack_o => wb_ack_o,
clk_i => clk_i,
dma_ctrl_o => dma_ctrl,
dma_ctrl_i => dma_ctrl_reg,
dma_ctrl_load_o => dma_ctrl_load,
dma_stat_o => open,
dma_stat_i => dma_stat_reg,
dma_stat_load_o => open,
dma_cstart_o => dma_cstart,
dma_cstart_i => dma_cstart_reg,
dma_cstart_load_o => dma_cstart_load,
dma_hstartl_o => dma_hstartl,
dma_hstartl_i => dma_hstartl_reg,
dma_hstartl_load_o => dma_hstartl_load,
dma_hstarth_o => dma_hstarth,
dma_hstarth_i => dma_hstarth_reg,
dma_hstarth_load_o => dma_hstarth_load,
dma_len_o => dma_len,
dma_len_i => dma_len_reg,
dma_len_load_o => dma_len_load,
dma_nextl_o => dma_nextl,
dma_nextl_i => dma_nextl_reg,
dma_nextl_load_o => dma_nextl_load,
dma_nexth_o => dma_nexth,
dma_nexth_i => dma_nexth_reg,
dma_nexth_load_o => dma_nexth_load,
dma_attrib_o => dma_attrib,
dma_attrib_i => dma_attrib_reg,
dma_attrib_load_o => dma_attrib_load
);
------------------------------------------------------------------------------
-- DMA controller registers
------------------------------------------------------------------------------
p_regs : process (clk_i, rst_n_i)
begin
if (rst_n_i = c_RST_ACTIVE) then
dma_ctrl_reg <= (others => '0');
dma_stat_reg <= (others => '0');
dma_cstart_reg <= (others => '0');
dma_hstartl_reg <= (others => '0');
dma_hstarth_reg <= (others => '0');
dma_len_reg <= (others => '0');
dma_nextl_reg <= (others => '0');
dma_nexth_reg <= (others => '0');
dma_attrib_reg <= (others => '0');
elsif rising_edge(clk_i) then
-- Control register
if (dma_ctrl_load = '1') then
dma_ctrl_reg <= dma_ctrl;
end if;
-- Status register
dma_stat_reg(2 downto 0) <= dma_status;
dma_stat_reg(31 downto 3) <= (others => '0');
-- Target start address
if (dma_cstart_load = '1') then
dma_cstart_reg <= dma_cstart;
end if;
-- Host start address lowest 32-bit
if (dma_hstartl_load = '1') then
dma_hstartl_reg <= dma_hstartl;
end if;
-- Host start address highest 32-bit
if (dma_hstarth_load = '1') then
dma_hstarth_reg <= dma_hstarth;
end if;
-- DMA transfer length in byte
if (dma_len_load = '1') then
dma_len_reg <= dma_len;
end if;
-- next item address lowest 32-bit
if (dma_nextl_load = '1') then
dma_nextl_reg <= dma_nextl;
end if;
-- next item address highest 32-bit
if (dma_nexth_load = '1') then
dma_nexth_reg <= dma_nexth;
end if;
-- Chained DMA control
if (dma_attrib_load = '1') then
dma_attrib_reg <= dma_attrib;
end if;
-- next item received => start a new transfer
if (next_item_valid_i = '1') then
dma_ctrl_reg(0) <= '1';
dma_cstart_reg <= next_item_carrier_addr_i;
dma_hstartl_reg <= next_item_host_addr_l_i;
dma_hstarth_reg <= next_item_host_addr_h_i;
dma_len_reg <= next_item_len_i;
dma_nextl_reg <= next_item_next_l_i;
dma_nexth_reg <= next_item_next_h_i;
dma_attrib_reg <= next_item_attrib_i;
end if;
-- Start DMA, 1 tick pulse
if (dma_ctrl_reg(0) = '1') then
dma_ctrl_reg(0) <= '0';
end if;
end if;
end process p_regs;
dma_ctrl_byte_swap_o <= dma_ctrl_reg(3 downto 2);
------------------------------------------------------------------------------
-- IRQ output assignement
------------------------------------------------------------------------------
dma_ctrl_irq_o <= dma_error_irq & dma_done_irq;
------------------------------------------------------------------------------
-- DMA controller FSM
------------------------------------------------------------------------------
p_fsm : process (clk_i, rst_n_i)
begin
if(rst_n_i = c_RST_ACTIVE) then
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= c_IDLE;
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
elsif rising_edge(clk_i) then
case dma_ctrl_current_state is
when DMA_IDLE =>
-- Clear done irq to make it 1 tick pulse
dma_done_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_START_TRANSFER =>
-- Clear abort signal
dma_ctrl_abort_o <= '0';
if (unsigned(dma_len_reg(31 downto 2)) = 0) then
-- Requesting a DMA of 0 word length gives a error
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
else
-- Start the DMA if the length is not 0
if (dma_attrib_reg(1) = '0') then
-- L2P transfer (from target to PCIe)
dma_ctrl_start_l2p_o <= '1';
elsif (dma_attrib_reg(1) = '1') then
-- P2L transfer (from PCIe to target)
dma_ctrl_start_p2l_o <= '1';
end if;
dma_ctrl_current_state <= DMA_TRANSFER;
dma_ctrl_carrier_addr_o <= dma_cstart_reg;
dma_ctrl_host_addr_h_o <= dma_hstarth_reg;
dma_ctrl_host_addr_l_o <= dma_hstartl_reg;
dma_ctrl_len_o <= dma_len_reg;
dma_status <= c_BUSY;
end if;
when DMA_TRANSFER =>
-- Clear start signals, to make them 1 tick pulses
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif(dma_ctrl_done_i = '1') then
-- End of DMA transfer
if(dma_attrib_reg(0) = '1') then
-- More transfer in chained DMA
dma_ctrl_current_state <= DMA_START_CHAIN;
else
-- Was the last transfer
dma_status <= c_DONE;
dma_done_irq <= '1';
dma_ctrl_current_state <= DMA_IDLE;
end if;
end if;
when DMA_START_CHAIN =>
-- Catch the next item in host memory
dma_ctrl_current_state <= DMA_CHAIN;
dma_ctrl_host_addr_h_o <= dma_nexth_reg;
dma_ctrl_host_addr_l_o <= dma_nextl_reg;
dma_ctrl_len_o <= X"0000001C";
dma_ctrl_start_next_o <= '1';
when DMA_CHAIN =>
-- Clear start next signal, to make it 1 tick pulse
dma_ctrl_start_next_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif (next_item_valid_i = '1') then
-- next item received
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ERROR =>
dma_status <= c_ERROR;
-- Clear error irq to make it 1 tick pulse
dma_error_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ABORT =>
dma_status <= c_ABORT;
dma_ctrl_abort_o <= '1';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when others =>
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= (others => '0');
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
end case;
end if;
end process p_fsm;
end behaviour;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/generic_map/rule_004_test_input.vhd
|
1
|
583
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
generic map (
G_GEN_1 => 1,
G_GEN_2 => 2,
G_GEN_3 => 3);
end architecture ARCH;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/if_statement/rule_009_test_input.fixed.vhd
|
1
|
850
|
architecture RTL of FIFO is
begin
process
begin
if (a = '1' or b = '0' and
c = '1' xor d = '1' and
g = x) then
b <= '0';
elsif (a = '1' or b = '0' and
c = '1' xor d = '1' and
g = x) then
b <= '1';
else
b <= '1';
end if;
-- Violations below
if (a = '1' or b = '0' and
c = '1' xor d = '1' and
g = x) then
b <= '0';
elsif (a = '1' or b = '0' and
c = '1' xor d = '1' and
g = x) then
b <= '1';
else
b <= '1';
end if;
if a = 1 then
b <= 1;
elsif
b = 1 then
c <= 2;
end if;
end process;
-- Check comments in if statements
process begin
if (a = 1 and
-- Comment
b = 0) then
b <= '1';
end if;
end process;
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/context/rule_009_test_input.fixed.vhd
|
1
|
413
|
--This should pass
context c1 is
end context c1;
--These should fail
context c1 is
end context
c1;
context
c1
is
end context
-- Some comment
c1;
context c1 is
end context -- Some comment
-- Some other comment
c1;
context c1 -- Yet another commet
-- Some comment
is
end context
-- Comment again
c1;
-- Test with missing end context keyword
context c1 is
end;
context c2 is
end context c2;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/conditional_waveforms/rule_100_test_input.fixed.vhd
|
1
|
398
|
architecture rtl of fifo is
begin
process
begin
var1 := '0'when rd_en = '1' else '1';
var2 := '0' when rd_en = '1' else '1';
wr_en_a <= force '0'when rd_en = '1' else '1';
wr_en_b <= force '0' when rd_en = '1' else '1';
end process;
concurrent_wr_en_a <= '0' when rd_en = '1' else '1';
concurrent_wr_en_b <= '0' when rd_en = '1' else '1';
end architecture rtl;
|
gpl-3.0
|
lvd2/zxevo
|
unsupported/solegstar/fpga/current/sim_models/T80_Pack.vhd
|
7
|
8485
|
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core
--
-- Version : 0242
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
library IEEE;
use IEEE.std_logic_1164.all;
package T80_Pack is
component T80
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
IORQ : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DInst : in std_logic_vector(7 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
MC : out std_logic_vector(2 downto 0);
TS : out std_logic_vector(2 downto 0);
IntCycle_n : out std_logic;
IntE : out std_logic;
Stop : out std_logic
);
end component;
component T80_Reg
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0)
);
end component;
component T80_MCode
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
IR : in std_logic_vector(7 downto 0);
ISet : in std_logic_vector(1 downto 0);
MCycle : in std_logic_vector(2 downto 0);
F : in std_logic_vector(7 downto 0);
NMICycle : in std_logic;
IntCycle : in std_logic;
MCycles : out std_logic_vector(2 downto 0);
TStates : out std_logic_vector(2 downto 0);
Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD
Inc_PC : out std_logic;
Inc_WZ : out std_logic;
IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc
Read_To_Reg : out std_logic;
Read_To_Acc : out std_logic;
Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F
Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0
ALU_Op : out std_logic_vector(3 downto 0);
-- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None
Save_ALU : out std_logic;
PreserveC : out std_logic;
Arith16 : out std_logic;
Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI
IORQ : out std_logic;
Jump : out std_logic;
JumpE : out std_logic;
JumpXY : out std_logic;
Call : out std_logic;
RstP : out std_logic;
LDZ : out std_logic;
LDW : out std_logic;
LDSPHL : out std_logic;
Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None
ExchangeDH : out std_logic;
ExchangeRp : out std_logic;
ExchangeAF : out std_logic;
ExchangeRS : out std_logic;
I_DJNZ : out std_logic;
I_CPL : out std_logic;
I_CCF : out std_logic;
I_SCF : out std_logic;
I_RETN : out std_logic;
I_BT : out std_logic;
I_BC : out std_logic;
I_BTR : out std_logic;
I_RLD : out std_logic;
I_RRD : out std_logic;
I_INRC : out std_logic;
SetDI : out std_logic;
SetEI : out std_logic;
IMode : out std_logic_vector(1 downto 0);
Halt : out std_logic;
NoRead : out std_logic;
Write : out std_logic
);
end component;
component T80_ALU
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
Arith16 : in std_logic;
Z16 : in std_logic;
ALU_Op : in std_logic_vector(3 downto 0);
IR : in std_logic_vector(5 downto 0);
ISet : in std_logic_vector(1 downto 0);
BusA : in std_logic_vector(7 downto 0);
BusB : in std_logic_vector(7 downto 0);
F_In : in std_logic_vector(7 downto 0);
Q : out std_logic_vector(7 downto 0);
F_Out : out std_logic_vector(7 downto 0)
);
end component;
end;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/signal/rule_014_test_input.vhd
|
1
|
1217
|
architecture RTL of ENTITY1 is
signal sig1, sig2 : std_logic;
signal sig9 : std_logic;
signal sig3 : std_logic;
signal sig4 : std_logic;
signal sig5 : std_logic;
signal sig6 : std_logic;
signal sig7 : std_logic;
component COMP1 is
port (
SIG1 : in std_logic;
SIG2 : out std_logic;
SIG3 : in std_logic
);
end component COMP1;
begin
PROC_NAME : process (siG2) is
begin
siG1 <= '0';
if (SIG2 = '0') then
sIg1 <= '1';
elsif (SiG2 = '1') then
SIg1 <= '0';
end if;
end process PROC_NAME;
-- This is a component that is brought in by a component declaration in the same file
U_COMP1 : COMP1
port map (
SIG1 => Sig1,
SIG2 => SIg2,
SIG3 => sig3
);
-- This is a component that is brought in by a package
U_COMP2 : COMP2
port map (
SIG3 => Sig3,
SIG4 => sig4,
SIG5 => siG5
);
-- This is a component that is directly instantiated
U_COMP3 : entity library.COMP3
port map (
SIG6 => siG6,
SIG7 => sig7
);
Sig1 <= '0';
sig1 <= sig2 and sig3;
sig1 <= Sig2 and sig3;
sig1 <= sig2 and
Sig3;
SIG1 <= SIG2 and SIG3;
SIG1 <= SIG1 or SIG1;
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/styles/code_examples/library_statements.vhd
|
1
|
158
|
library work;
use work.tb_utilities.all;
use work.tb_wait_clock_package.all;
use work.random_pkg.all;
use work.dsd_types.all;
--use work.channel_types.all;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/report_statement/rule_500_test_input.vhd
|
1
|
134
|
architecture rtl of fifo is
begin
process begin
REPORT "hello";
report "hello";
end process;
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/interface_signal_declaration/classification_test_input.vhd
|
1
|
496
|
entity FIFO is
port (
port1 : in std_logic;
port1 : out std_logic;
port1 : inout std_logic bus;
port1 : buffer std_logic bus := "asdf";
port1 : linkage std_logic := "asdf";
port1 : std_logic
);
end entity FIFO;
entity FIFO is
port (
signal port1 : in std_logic;
signal port1 : out std_logic;
signal port1 : inout std_logic;
signal port1 : buffer std_logic;
signal port1 : linkage std_logic;
signal port1 : std_logic
);
end entity FIFO;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/after/rule_001_test_input.vhd
|
1
|
2507
|
architecture ARCH of ENTITY is
begin
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (falling_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (rising_edge(clk)) then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This checks detection of after outside clock processes
a <= b after 10 ns;
-- Violations below -----------------------------------
-- This process checks for missing after statements
CLK_PROC : process (reset, clk) is
begin
if (reset = '1') then
a <= '0';
b <= '1';
c <= '0';
d <= '1';
elsif (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c;
c <= d;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (falling_edge(clk)) then
a <= b;
b <= c after 1 ns;
c <= d;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (rising_edge(clk)) then
a <= b;
b <= c;
c <= d after 1 ns;
d <= e after 1 ns;
end if;
end process CLK_PROC;
-- This process checks for a clock process without a reset
CLK_PROC : process (reset, clk) is
begin
if (clk'event and clk = '1') then
a <= b after 1 ns;
b <= c after 1 ns;
c <= d;
d <= e;
end if;
end process CLK_PROC;
end architecture ARCH;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/comment/rule_011_test_input.fixed.vhd
|
1
|
963
|
-- This should be okay
architecture rtl of fifo is
-- Comment
signal a : std_logic;
-- Okay comment
signal b : std_logic_vector;
begin
-- Comment 1
a <= b;
-- Comment 2
c <= d;
-- Comment 3
e <= f;
-- BQ1/M3S1
rx_tdata_remapped <= rx_tdata(119 downto 112) & rx_tdata(127 downto 120) &
-- BI1/M2S1
rx_tdata(87 downto 80) & rx_tdata(95 downto 88) &
-- BQ0/M3S0
rx_tdata(103 downto 96) & rx_tdata(111 downto 104) &
-- BQ0/M2S0
rx_tdata(71 downto 64) & rx_tdata(79 downto 72) &
-- AQ1/M1S1
rx_tdata(55 downto 48) & rx_tdata(63 downto 56) &
-- AI1/M0S1
rx_tdata(23 downto 16) & rx_tdata(31 downto 24) &
-- AQ0/M1S0
rx_tdata(39 downto 32) & rx_tdata(47 downto 40) &
-- AI0/M0S0
rx_tdata(7 downto 0) & rx_tdata(15 downto 8);
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/port_clause/classification_test_input.vhd
|
1
|
130
|
entity FIFO is
port ( );
end entity FIFO;
entity FIFO is
port (
);
end entity FIFO;
entity FIFO is
port
(
)
;
end entity FIFO;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/record_type_definition/rule_301_test_input.fixed.vhd
|
1
|
392
|
architecture rtl of fifo is
type t_record is record
a : std_logic;
b : std_logic;
end record t_record;
type t_record is record
a : std_logic;
b : std_logic;
end record t_record;
type t_record is record
a : std_logic;
b : std_logic;
end record t_record;
type t_record is record a : std_logic; b : std_logic; end record;
begin
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/component/rule_012_test_input.fixed_upper.vhd
|
1
|
212
|
architecture RTl of FIFO is
component fifo is
end component FIFO;
-- Failures below
component fifo is
end component FIFO;
component fifo is
end component FIFO;
begin
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/architecture/rule_020_test_input.fixed_lower.vhd
|
3
|
221
|
architecture RTL of ENT is
begin
end RTL;
architecture RTL of ent is
begin
end rtl;
architecture RTL of Ent is
begin
end Rtl;
architecture RTL of ENT is
begin
end;
architecture RTL of ENT is
begin
end architecture;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/context_ref/rule_003_test_input.vhd
|
1
|
39
|
context c1;
CONTEXT c2;
Context c2;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/type_definition/rule_005_test_input.vhd
|
1
|
308
|
architecture RTL of FIFO is
type state_machine is (idle, write, read, done);
-- Violations below
type state_machine is (idle,
write,
read, done);
type state_machine is (idle,
write, read, done);
type state_machine is (idle,
write,
read,
done);
begin
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/block/rule_505_test_input.vhd
|
7
|
169
|
architecture RTL of FIFO is
begin
block_label : block is begin end block block_label;
BLOCK_LABEL : BLOCK IS BEGIN END BLOCK BLOCK_LABEL;
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/alias_declaration/rule_102_test_input.vhd
|
1
|
164
|
architecture rtl of fifo is
alias designator : subtype_indication is name;
alias designator : subtype_indication is name;
begin
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/package/rule_018_test_input.fixed_upper.vhd
|
1
|
71
|
package fifo_pkg is
end PACKAGE;
package fifo_pkg is
end PACKAGE;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/architecture/rule_017_test_input.vhd
|
1
|
307
|
architecture RTL of FIFO is begin end architecture RTL;
-- This should fail
architecture RTL of FIFO is
signal a : std_logic;
begin
a <= b after 1 ns;
end architecture RTL;
-- This should not fail
architecture RTL of FIFO is
signal a : std_logic;
begin
a <= b after 1 ns;
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/conditional_waveforms/rule_103_test_input.vhd
|
2
|
409
|
architecture rtl of fifo is
begin
process
begin
var1 := '0' when (rd_en = '1') else'1';
var2 := '0' when (rd_en = '1') else '1';
wr_en_a <= force '0' when (rd_en = '1') else'1';
wr_en_b <= force '0' when (rd_en = '1') else '1';
end process;
concurrent_wr_en_a <= '0' when (rd_en = '1') else'1';
concurrent_wr_en_b <= '0' when (rd_en = '1') else '1';
end architecture rtl;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/attribute_specification/rule_503_test_input.fixed_upper.vhd
|
1
|
183
|
architecture RTL of FIFO is
attribute coordinate of comp_1:component IS (0.0, 17.5);
ATTRIBUTE COORDINATE OF comp_1:component IS (0.0, 17.5);
begin
end architecture RTL;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/generic/rule_013_test_input.vhd
|
1
|
259
|
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
-- Violation below
entity FIFO is
GENERIC(g_size : integer := 10;
g_width : integer := 256;
g_depth : integer := 32
);
end entity FIFO;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/comment/rule_100_test_input.fixed.vhd
|
1
|
254
|
--
-- This should pass
--| This should pass
----------This should pass
--==================
-- This should fail
--| This should fail
----------This should pass
--
--==================
--¨
-- pragmas should be ignored
--vhdl_comp_off
--vhdl_comp_on
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/wait/rule_001_test_input.vhd
|
1
|
764
|
entity ENTITY1 is
generic (
wait_generic : std_logic := '0'
);
port (
wait_port : std_logic := '1'
);
end entity ENTITY1;
architecture ARCH of ENTITY1 is
signal wait_for_something : std_logic;
component ENTITY2 is
generic (
wait_generic : std_logic := '0'
);
port (
wait_port : std_logic := '1'
);
end component ENTITY2;
begin
PROC1 : process (wait_for_something) is
-- wait <-- this should not be classified as a wait
variable wait_for_other_thing : std_logic;
begin
wait for 10ns;
wait on a,b;
wait until a = '0';
end process PROC1;
U_ENTITY2 : ENTITY2
generic map (
wait_generic => '0'
)
port map (
wait_port => '1'
);
end architecture ARCH;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/entity/rule_014_test_input.fixed_lower.vhd
|
1
|
103
|
entity FIFO is
end entity fifo;
entity FIFO is
end entity FIFO;
entity FIFO is
end entity FIFO;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/generic_map/rule_003_test_input.vhd
|
1
|
694
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : INST1
generic map(
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : INST1
generic map
(
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/element_association/rule_100_test_input.vhd
|
1
|
343
|
architecture rtl of fifo is
begin
a <= (others => (others => '0'));
process begin
a <= (others => (others => '0'));
end process;
end architecture;
architecture rtl of fifo is
begin
a <= (others=> (others => '0'));
process begin
a <= (others => (others=> '0'));
end process;
end architecture;
|
gpl-3.0
|
jeremiah-c-leary/vhdl-style-guide
|
vsg/tests/vsg/read_configuration_files/package_2.vhd
|
12226531
|
0
|
gpl-3.0
|
|
michaelmiehling/A25_VME_TB
|
Testbench/M25P32/memory_access.vhd
|
1
|
9527
|
-------------------------------------------------------
-- Author: Hugues CREUSY
--February 2004
-- VHDL model
-- project: M25P32 50 MHz,
-- release: 1.0
-----------------------------------------------------
-- Unit : Memory Access
-----------------------------------------------------
-------------------------------------------------------------
-- These VHDL models are provided "as is" without warranty
-- of any kind, included but not limited to, implied warranty
-- of merchantability and fitness for a particular purpose.
-------------------------------------------------------------
-----------------------------------------------------------
--
-- MEMORY ACCESS
--
-----------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
LIBRARY STD;
USE STD.textio.ALL;
LIBRARY work;
USE WORK.mem_util_pkg.ALL;
-----------------------------------------------------------
-- Entity
-----------------------------------------------------------
-- This entity modelizes the access to the memory array
-----------------------------------------------------------
ENTITY Memory_Access IS
GENERIC( init_file: string;
SIZE : positive;
Plength : positive;
SSIZE : positive;
NB_BIT_DATA: positive;
NB_BIT_ADD: positive;
NB_BIT_ADD_MEM: positive
);
PORT( add_mem: IN std_logic_vector(NB_BIT_ADD_MEM-1 downto 0);
BE_enable,SE_enable,add_pp_enable,PP_enable,read_enable,data_request: IN boolean;
p_prog: IN page (0 TO (Plength-1));
data_to_read: OUT std_logic_vector (NB_BIT_DATA-1 downto 0)
);
END Memory_Access;
-----------------------------------------------------------------
-- Architecture
-----------------------------------------------------------------
-- The architecture contains one process which executes
-- read and write instructions
-- on the content. This content is initialized and further
-- saved by two procedures
-- (write_to_file and read_from_file) in the convenient text file
-----------------------------------------------------------------
ARCHITECTURE Static_Alloc OF Memory_Access IS
CONSTANT bit_to_code_mem:natural:=TO_bit_code(size/NB_BIT_DATA);
CONSTANT top_mem:positive:=size/NB_BIT_DATA-1;
TYPE memoire IS array (0 TO top_mem) OF std_logic_vector(7 downto 0);
--------------------------- PROCEDURES ------------------------
------------------------- READ FROM FILE ----------------------
PROCEDURE read_from_file ( file_name:string;
memory: out memoire) IS
file data_file : text open read_mode is file_name;
VARIABLE L: line;
VARIABLE LSB,MSB:std_logic_vector(3 downto 0);
VARIABLE dr: string (1 to 2*Plength);
VARIABLE index_m: natural:=0;
BEGIN
WHILE NOT endfile(data_file) LOOP
readline(data_file,L);
READ(L,dr);
deallocate(L);
FOR i IN 1 TO Plength LOOP
CASE dr(2*(i-1)+1) IS
WHEN '0'=> MSB := "0000";
WHEN '1'=> MSB := "0001";
WHEN '2'=> MSB := "0010";
WHEN '3'=> MSB := "0011";
WHEN '4'=> MSB := "0100";
WHEN '5'=> MSB := "0101";
WHEN '6'=> MSB := "0110";
WHEN '7'=> MSB := "0111";
WHEN '8'=> MSB := "1000";
WHEN '9'=> MSB := "1001";
WHEN 'A'=> MSB := "1010";
WHEN 'B'=> MSB := "1011";
WHEN 'C'=> MSB := "1100";
WHEN 'D'=> MSB := "1101";
WHEN 'E'=> MSB := "1110";
WHEN 'F'=> MSB := "1111";
WHEN 'a'=> MSB := "1010";
WHEN 'b'=> MSB := "1011";
WHEN 'c'=> MSB := "1100";
WHEN 'd'=> MSB := "1101";
WHEN 'e'=> MSB := "1110";
WHEN 'f'=> MSB := "1111";
WHEN OTHERS => null;
END CASE;
CASE dr(2*(i-1)+2) IS
WHEN '0'=> LSB := "0000";
WHEN '1'=> LSB := "0001";
WHEN '2'=> LSB := "0010";
WHEN '3'=> LSB := "0011";
WHEN '4'=> LSB := "0100";
WHEN '5'=> LSB := "0101";
WHEN '6'=> LSB := "0110";
WHEN '7'=> LSB := "0111";
WHEN '8'=> LSB := "1000";
WHEN '9'=> LSB := "1001";
WHEN 'A'=> LSB := "1010";
WHEN 'B'=> LSB := "1011";
WHEN 'C'=> LSB := "1100";
WHEN 'D'=> LSB := "1101";
WHEN 'E'=> LSB := "1110";
WHEN 'F'=> LSB := "1111";
WHEN 'a'=> MSB := "1010";
WHEN 'b'=> MSB := "1011";
WHEN 'c'=> MSB := "1100";
WHEN 'd'=> MSB := "1101";
WHEN 'e'=> MSB := "1110";
WHEN 'f'=> MSB := "1111";
WHEN OTHERS => null;
END CASE;
memory(index_m):=(MSB(3),MSB(2),MSB(1),MSB(0),LSB(3),LSB(2),LSB(1),LSB(0));
index_m:=index_m+1;
END LOOP;
END LOOP;
END read_from_file;
----------------------------------------------------------
---------------- WRITE TO FILE --------------------
PROCEDURE write_to_file ( file_name:string;
memory:IN memoire) IS
file data_file : text open write_mode is file_name;
VARIABLE L: line;
VARIABLE LSB,MSB:std_logic_vector(3 downto 0);
VARIABLE dr: string (1 to 2*Plength);
VARIABLE index_m:natural:=0;
BEGIN
WHILE (index_m<TOP_MEM) LOOP
FOR i IN 1 TO Plength LOOP
FOR j IN 0 TO 3 LOOP
LSB(j):= memory(index_m)(j);
MSB(j):= memory(index_m)(j+4);
END LOOP;
index_m:=index_m+1;
CASE MSB IS
WHEN "0000" => dr(2*(i-1)+1):='0';
WHEN "0001" => dr(2*(i-1)+1):='1';
WHEN "0010" => dr(2*(i-1)+1):='2';
WHEN "0011" => dr(2*(i-1)+1):='3';
WHEN "0100" => dr(2*(i-1)+1):='4';
WHEN "0101" => dr(2*(i-1)+1):='5';
WHEN "0110" => dr(2*(i-1)+1):='6';
WHEN "0111" => dr(2*(i-1)+1):='7';
WHEN "1000" => dr(2*(i-1)+1):='8';
WHEN "1001" => dr(2*(i-1)+1):='9';
WHEN "1010" => dr(2*(i-1)+1):='A';
WHEN "1011" => dr(2*(i-1)+1):='B';
WHEN "1100" => dr(2*(i-1)+1):='C';
WHEN "1101" => dr(2*(i-1)+1):='D';
WHEN "1110" => dr(2*(i-1)+1):='E';
WHEN "1111" => dr(2*(i-1)+1):='F';
WHEN OTHERS => null;
END CASE;
CASE LSB IS
WHEN "0000" => dr(2*(i-1)+2):='0';
WHEN "0001" => dr(2*(i-1)+2):='1';
WHEN "0010" => dr(2*(i-1)+2):='2';
WHEN "0011" => dr(2*(i-1)+2):='3';
WHEN "0100" => dr(2*(i-1)+2):='4';
WHEN "0101" => dr(2*(i-1)+2):='5';
WHEN "0110" => dr(2*(i-1)+2):='6';
WHEN "0111" => dr(2*(i-1)+2):='7';
WHEN "1000" => dr(2*(i-1)+2):='8';
WHEN "1001" => dr(2*(i-1)+2):='9';
WHEN "1010" => dr(2*(i-1)+2):='A';
WHEN "1011" => dr(2*(i-1)+2):='B';
WHEN "1100" => dr(2*(i-1)+2):='C';
WHEN "1101" => dr(2*(i-1)+2):='D';
WHEN "1110" => dr(2*(i-1)+2):='E';
WHEN "1111" => dr(2*(i-1)+2):='F';
WHEN OTHERS => null;
END CASE;
END LOOP;
WRITE(L,dr);
writeline(data_file,L);
END LOOP;
END write_to_file;
----------------------------------------------------
BEGIN -- architecture body begins here
----------------------------------------------------
-- PROCESS MEMORY
----------------------------------------------------
memory: PROCESS
VARIABLE content:memoire;
VARIABLE deb_zone, int_add:natural:=0;
VARIABLE cut_add:std_logic_vector(bit_to_code_mem-1 downto 0);
VARIABLE int_add_mem:natural:=to_bit_code(size/NB_BIT_DATA);
VARIABLE first_run:boolean:=true;
VARIABLE message, my_file: LINE;
BEGIN
---------------------------------
-- initialisation of memory array
---------------------------------
IF (first_run) THEN
WRITE (message,string'("Trying to load "));
WRITE (message, init_file);
writeline(output, message);
read_from_file(init_file,content);
first_run:=false;
END IF;
WAIT ON add_pp_enable,pp_enable, be_enable, se_enable, data_request,read_enable;
-----------------------------------------------------------
-- To ignore don't care MSB of the address
-----------------------------------------------------------
IF ( (se_enable'event AND se_enable)
OR (add_pp_enable'event AND add_pp_enable)
OR (read_enable'event AND read_enable) ) THEN
FOR i IN 0 TO bit_to_code_mem-1 LOOP
cut_add(i):=add_mem(i);
END LOOP;
END IF;
-----------------------------------------------------------
-- Read instruction
-----------------------------------------------------------
IF (data_request'event AND data_request AND read_enable) THEN
int_add:=to_natural(cut_add);
IF (int_add>top_mem) THEN
FOR i IN 0 TO bit_to_code_mem-1 LOOP
cut_add(i):='0';
END LOOP;
int_add:=0;
END IF;
data_to_read<=content(int_add);
cut_add:=add_inc(cut_add); -- to increase the adress
END IF;
IF (READ_enable'event AND (NOT read_enable)) THEN
FOR i IN 0 TO NB_BIT_DATA-1 LOOP
data_to_read(i)<='0';
END LOOP;
END IF;
----------------------------------------------------------
-- Page program instruction
-- To find the first adress of the memory to be programmed
----------------------------------------------------------
IF (add_pp_enable'event AND add_pp_enable) THEN
int_add_mem:=to_natural(cut_add);
int_add:=top_mem+1;
WHILE int_add>int_add_mem LOOP
int_add:=int_add-Plength;
END LOOP;
END IF;
------------------------------------------------------
-- Sector erase instruction
-- To find the first adress of the sector to be erased
------------------------------------------------------
IF (se_enable'event AND se_enable) THEN
int_add:=add_sector(cut_add,SIZE/NB_BIT_DATA,SSIZE/NB_BIT_DATA);
END IF;
------------------------------------------------------
-- Write or erase cycle execution
------------------------------------------------------
IF (pp_enable'event AND (pp_enable)) THEN
FOR i IN 0 TO (Plength-1) LOOP
content (int_add+i):=p_prog(i) AND content(int_add+i);
END LOOP;
-- write_to_file(init_file,content);
END IF;
IF (be_enable'event AND (NOT be_enable)) THEN
FOR i IN 0 TO top_mem LOOP
content(i):="11111111";
END LOOP;
-- write_to_file(init_file,content);
END IF;
IF (se_enable'event AND (NOT se_enable)) THEN
FOR i IN int_add TO (int_add+SSIZE/NB_BIT_DATA-1) LOOP
content(i):="11111111";
END LOOP;
-- write_to_file(init_file,content);
END IF;
END PROCESS memory;
END static_alloc;
|
gpl-3.0
|
bobxiv/DispositivosLogicosProgramables-FICH
|
Proyecto 1 DLP TP Calculadora/Src/ArithmeticTest.vhd
|
1
|
4123
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 23:03:50 10/08/2011
-- Design Name:
-- Module Name: C:/Users/BOB/Calculadora/Source/ArithmeticTest.vhd
-- Project Name: Calculadora
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ArithmeticModule
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ArithmeticTest IS
END ArithmeticTest;
ARCHITECTURE behavior OF ArithmeticTest IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ArithmeticModule
PORT(
A : IN std_logic_vector(7 downto 0);
B : IN std_logic_vector(7 downto 0);
Op : IN std_logic_vector(1 downto 0);
Res : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(7 downto 0) := (others => '0');
signal B : std_logic_vector(7 downto 0) := (others => '0');
signal Op : std_logic_vector(1 downto 0) := (others => '0');
--Outputs
signal Res : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
--constant <clock>_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ArithmeticModule PORT MAP (
A => A,
B => B,
Op => Op,
Res => Res
);
-- Clock process definitions
--<clock>_process :process
--begin
--<clock> <= '0';
--wait for <clock>_period/2;
--<clock> <= '1';
--wait for <clock>_period/2;
--end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
--wait for <clock>_period*10;
-- Estimulos:
-- ----------
--Prueba de la operacion de suma
--Prueba 1 Comienza a 100ns
A <= "00000001";--1
B <= "00001000";--8
OP <= "00";--Suma
--Res deberia tener 9, osea 00001001b
wait for 100 ns;
--Prueba 2 Comienza a 200ns
A <= "00000001";--1
B <= "00000001";--1
OP <= "00";--Suma
--Res deberia tener 2, osea 00000010b
wait for 100 ns;
--Prueba 3 Comienza a 300ns
A <= "00000101";--5
B <= "00000011";--3
OP <= "00";--Suma
--Res deberia tener 8, osea 00001000b
wait for 100 ns;
--Prueba de la operacion de resta
--Prueba 1 Comienza a 400ns
A <= "00001000";--8
B <= "00000001";--1
OP <= "01";--Resta
--Res deberia tener 7, osea 00000111b
wait for 100 ns;
--Prueba 2 Comienza a 500ns
A <= "00000001";--1
B <= "00000001";--1
OP <= "01";--Resta
--Res deberia tener 0, osea 00000000b
wait for 100 ns;
--Prueba 3 Comienza a 600ns
A <= "00000101";--5
B <= "00000011";--3
OP <= "01";--Resta
--Res deberia tener 2, osea 00000010b
wait for 100 ns;
--Prueba de la operacion de multiplicacion
--Prueba 1 Comienza a 700ns
A <= "00001000";--8
B <= "00000001";--1
OP <= "10";--Multiplicacion
--Res deberia tener 8, osea 00001000b
wait for 100 ns;
--Prueba 2 Comienza a 800ns
A <= "00000011";--3
B <= "00000111";--7
OP <= "10";--Multiplicacion
--Res deberia tener 21, osea 00010101b
wait for 100 ns;
--Prueba 3 Comienza a 900ns
A <= "00000101";--5
B <= "00000011";--3
OP <= "10";--Multiplicacion
--Res deberia tener 15, osea 00001111b
wait for 100 ns;
wait;
end process;
END;
|
gpl-3.0
|
michaelmiehling/A25_VME_TB
|
Testbench/M25P32/mem_util_pkg.vhd
|
1
|
5403
|
-------------------------------------------------------
-- Author: Hugues CREUSY
--February 2004
-- VHDL model
-- project: M25P32 50 MHz,
-- release: 1.0
-----------------------------------------------------
-- Unit : Package mem_util_pkg
-----------------------------------------------------
-------------------------------------------------------------
-- These VHDL models are provided "as is" without warranty
-- of any kind, included but not limited to, implied warranty
-- of merchantability and fitness for a particular purpose.
-------------------------------------------------------------
-------------------------------------------------------------------------
-- Memory utilization package
-------------------------------------------------------------------------
library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
-------------------------------------------------------------------------
-- PACKAGE
-------------------------------------------------------------------------
PACKAGE mem_util_pkg IS
TYPE PAGE is ARRAY (natural range <>) of std_logic_vector(7 downto 0);
--------------------------------------------------------------------
-- To convert a standard logic vector (ie a binary word) into
-- a natural
---------------------------------------------------------------------
FUNCTION TO_natural(vecteur_bit : std_logic_vector ) RETURN natural ;
---------------------------------------------------------------------
---------------------------------------------------------------------------
-- To increase the adress pointing vector
---------------------------------------------------------------------------
FUNCTION add_inc(vecteur_bit : std_logic_vector ) RETURN std_logic_vector ;
---------------------------------------------------------------------------
--------------------------------------------------------------------
-- To get the number of bits used to code the memory
-- which size (number of bytes) is a parameter
--------------------------------------------------------------------
FUNCTION TO_bit_code(nb_octets: positive) RETURN natural ;
--------------------------------------------------------------------
------------------------------------------------------------------------
-- To get the first adress of the sector pointed by anyone of its bytes.
------------------------------------------------------------------------
FUNCTION add_sector(vecteur_bit: std_logic_vector;
NB_byte_mem,NB_byte_sect: positive) RETURN natural;
------------------------------------------------------------------------
--------------------------------------------------------------------------
-- To convert an integer (ARG) into a standard logic vector with its size.
--------------------------------------------------------------------------
FUNCTION TO_std_logic_vector(ARG: INTEGER; SIZE: INTEGER)
RETURN STD_LOGIC_VECTOR;
--------------------------------------------------------------------------
END mem_util_pkg;
---------------------------------------------------------------
-- Package body
---------------------------------------------------------------
PACKAGE BODY mem_util_pkg IS
----------------------------------------------------------------
FUNCTION TO_natural(vecteur_bit : std_logic_vector ) RETURN natural IS
VARIABLE val_vecteur: natural := 0;
BEGIN
FOR J IN vecteur_bit'RANGE LOOP
val_vecteur := val_vecteur * 2;
val_vecteur := val_vecteur + std_logic'pos(vecteur_bit(J)) - 2;
END LOOP;
RETURN val_vecteur;
END TO_natural;
---------------------------------------------------------------
FUNCTION add_inc(vecteur_bit : std_logic_vector ) RETURN std_logic_vector IS
VARIABLE val_vecteur: std_logic_vector(vecteur_bit'RANGE);
BEGIN
val_vecteur := vecteur_bit;
FOR J IN vecteur_bit'REVERSE_RANGE LOOP
val_vecteur(J) := "XOR"( vecteur_bit(J), '1' );
IF (val_vecteur(J) = '1') THEN
EXIT;
END IF;
END LOOP;
RETURN val_vecteur;
END add_inc;
-----------------------------------------------------------------
FUNCTION TO_bit_code(nb_octets: positive) RETURN natural IS
VARIABLE val_add, add_bit_code: natural ;
BEGIN
val_add := nb_octets;
add_bit_code := 0;
IF ((val_add rem 2)/=0) THEN
val_add := val_add - 1 ;
add_bit_code := add_bit_code + 1 ;
END IF;
WHILE ( val_add > 1 ) LOOP
val_add := (val_add/2);
add_bit_code := add_bit_code + 1;
END LOOP;
RETURN add_bit_code;
END TO_bit_code;
---------------------------------------------------------------
FUNCTION TO_std_logic_vector(ARG: INTEGER; SIZE: INTEGER)
RETURN STD_LOGIC_VECTOR IS
variable result: STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable temp: integer;
begin
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
else
temp := (temp - 1) / 2;
end if;
end loop;
return result;
END TO_std_logic_vector;
------------------------------------------------------------
FUNCTION add_sector(vecteur_bit:std_logic_vector;NB_byte_mem,NB_byte_sect:positive)
RETURN natural IS
VARIABLE addr_sector,deb_sect: natural := 0;
BEGIN
addr_sector := TO_natural(vecteur_bit);
FOR i IN 1 TO (NB_byte_mem/NB_byte_sect) LOOP
IF ((i-1)*NB_byte_sect<=addr_sector AND addr_sector < i*NB_byte_sect) THEN
deb_sect:=(i-1)*NB_byte_sect;
END IF;
END LOOP;
RETURN deb_sect;
END add_sector;
--------------------------------------------------------------
END mem_util_pkg;
|
gpl-3.0
|
bobxiv/DispositivosLogicosProgramables-FICH
|
Practica/testseg.vhd
|
1
|
3167
|
--------------------------------------------------------------------------------
-- Company: Universidad de Valencia
-- Engineer: Alfredo Rosado
--
-- Create Date: 10:58:12 10/16/2006
-- Design Name: segmentos
-- Module Name: C:/Xilinx/prac1/prac1b/testseg.vhd
-- Project Name: prac1b
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: segmentos
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY testseg_vhd IS
END testseg_vhd;
ARCHITECTURE behavior OF testseg_vhd IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT segmentos
PORT(
Reloj : IN std_logic;
Reset : IN std_logic;
interruptor : IN std_logic_vector(3 downto 0);
abcdefgdp : OUT std_logic_vector(7 downto 0);
anodos : BUFFER std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
SIGNAL Reloj : std_logic := '0';
SIGNAL Reset : std_logic := '0';
SIGNAL interruptor : std_logic_vector(3 downto 0) := (others=>'0');
--Outputs
SIGNAL abcdefgdp : std_logic_vector(7 downto 0);
SIGNAL anodos : std_logic_vector(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: segmentos PORT MAP(
Reloj => Reloj,
Reset => Reset,
interruptor => interruptor,
abcdefgdp => abcdefgdp,
anodos => anodos
);
tb : PROCESS
BEGIN
-- Wait 100 ns for global reset to finish
wait for 100 ns;
Reset <= '1';
wait for 15 ns;
reset <= '0';
wait; -- will wait forever
END PROCESS;
tb2 : PROCESS
BEGIN
Reloj <= '1';
wait for 10 ns;
Reloj <= '0';
wait for 10 ns;
END PROCESS;
tb3 : PROCESS
BEGIN
interruptor <= "0000";
wait for 1000 ns;
interruptor(0) <= '1';
wait for 1000 ns;
interruptor(0) <= '0';
interruptor(1) <= '1';
wait for 1000 ns;
interruptor(1) <= '0';
interruptor(2) <= '1';
wait for 1000 ns;
interruptor(2) <= '0';
interruptor(3) <= '1';
wait for 1000 ns;
interruptor(3) <= '0';
interruptor(0) <= '1';
wait for 1000 ns;
interruptor(0) <= '0';
interruptor(1) <= '1';
wait for 1000 ns;
interruptor(1) <= '0';
interruptor(2) <= '1';
wait for 1000 ns;
interruptor(2) <= '0';
interruptor(3) <= '1';
wait for 1000 ns;
interruptor(3) <= '0';
interruptor(0) <= '1';
wait for 1000 ns;
interruptor(0) <= '0';
interruptor(1) <= '1';
wait for 1000 ns;
interruptor(1) <= '0';
interruptor(2) <= '1';
wait for 1000 ns;
interruptor(2) <= '0';
interruptor(3) <= '1';
wait for 1000 ns;
interruptor(3) <= '0';
interruptor(0) <= '1';
wait;
END PROCESS;
END;
|
gpl-3.0
|
lelongdunet/dspunit
|
sim/rompkg.vhd
|
2
|
2115
|
-------------------------------------------------------------------------------
-- Le langage VHDL : du langage au circuit, du circuit au langage.
-- Copyright (C) Jacques Weber, Sébastien Moutault et Maurice Meaudre, 2006.
--
-- Ce programme est libre, vous pouvez le redistribuer et/ou le modifier selon
-- les termes de la Licence Publique Générale GNU publiée par la Free Software
-- Foundation (version 2 ou bien toute autre version ultérieure choisie par
-- vous).
--
-- Ce programme est distribué car potentiellement utile, mais SANS AUCUNE
-- GARANTIE, ni explicite ni implicite, y compris les garanties de
-- commercialisation ou d'adaptation dans un but spécifique. Reportez-vous à
-- la Licence Publique Générale GNU pour plus de détails.
--
-- Vous devez avoir reçu une copie de la Licence Publique Générale GNU en même
-- temps que ce programme ; si ce n'est pas le cas, écrivez à la Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307,
-- États-Unis.
--
-- [email protected]
-- [email protected]
-------------------------------------------------------------------------------
-- Projet :
-- Design :
-- Fichier : rompkg.vhd
-- Module : PACKAGE rompkg
-- Descript. :
-- Auteur : J.Weber
-- Date : 03/03/07
-- Version : 1.0
-- Depend. :
-- Simulation : ModelSim 6.0d
-- Synthèse :
-- Remarques :
--
--
-------------------------------------------------------------------------------
-- Date | Rév | Description
-- 01/08/06 | 1.0 | Première version stable utilisée pour le livre.
-- 03/03/07 | | Pas de modifications du design.
-- | | Preparation pour la mise en ligne.
-- | |
-- | |
-------------------------------------------------------------------------------
LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;
PACKAGE rompkg IS
CONSTANT ad_nb_bits : INTEGER := 3 ; -- e
CONSTANT size: INTEGER := 2**ad_nb_bits ; -- 8 bytes
SUBTYPE byte IS STD_LOGIC_VECTOR(7 DOWNTO 0) ;
TYPE rom_tbl IS ARRAY(NATURAL RANGE <>) OF byte ;
END PACKAGE rompkg ;
|
gpl-3.0
|
michaelfivez/ascon_hardware_implementation
|
ascon12864_iterated/Kernel/DiffusionLayer.vhd
|
1
|
1684
|
-------------------------------------------------------------------------------
--! @project Iterated hardware implementation of Asconv12864
--! @author Michael Fivez
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is an hardware implementation made for my graduation thesis
--! at the KULeuven, in the COSIC department (year 2015-2016)
--! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions',
--! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications)
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity DiffusionLayer is
generic( SHIFT1 : integer range 0 to 63;
SHIFT2 : integer range 0 to 63);
port( Input : in std_logic_vector(63 downto 0);
Output : out std_logic_vector(63 downto 0));
end entity DiffusionLayer;
architecture structural of DiffusionLayer is
begin
DiffLayer: process(Input) is
variable Temp0,Temp1 : std_logic_vector(63 downto 0);
begin
Temp0(63 downto 64-SHIFT1) := Input(SHIFT1-1 downto 0);
Temp0(63-SHIFT1 downto 0) := Input(63 downto SHIFT1);
Temp1(63 downto 64-SHIFT2) := Input(SHIFT2-1 downto 0);
Temp1(63-SHIFT2 downto 0) := Input(63 downto SHIFT2);
Output <= Temp0 xor Temp1 xor Input;
end process DiffLayer;
end architecture structural;
|
gpl-3.0
|
hsnuonly/PikachuVolleyFPGA
|
VGA.srcs/sources_1/ip/select1/synth/select1.vhd
|
1
|
14297
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY select1 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END select1;
ARCHITECTURE select1_arch OF select1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF select1_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF select1_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF select1_arch : ARCHITECTURE IS "select1,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF select1_arch: ARCHITECTURE IS "select1,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=select1.mif" &
",C_INIT_FILE=select1.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=2071,C_READ_DEPTH_A=2071,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRITE_DEPTH_B" &
"=2071,C_READ_DEPTH_B=2071,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN" &
"_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 3.822999 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "select1.mif",
C_INIT_FILE => "select1.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 2071,
C_READ_DEPTH_A => 2071,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 2071,
C_READ_DEPTH_B => 2071,
C_ADDRB_WIDTH => 12,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 3.822999 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END select1_arch;
|
gpl-3.0
|
hsnuonly/PikachuVolleyFPGA
|
VGA.ip_user_files/ip/bg_pole/bg_pole_stub.vhdl
|
2
|
1364
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:33:47 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/bg_pole/bg_pole_stub.vhdl
-- Design : bg_pole
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity bg_pole is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 6 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end bg_pole;
architecture stub of bg_pole is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[6:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
|
gpl-3.0
|
bsmerbeckuri/SHA512Optimization
|
CPU_System/Rhody_CPU_pipelinev7.vhd
|
1
|
38937
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Rhody_CPU_pipelinev7 is
port ( clk : in std_logic;
rst : in std_logic;
MEM_ADR : out std_logic_vector(31 downto 0);
MEM_IN : in std_logic_vector(31 downto 0);
MEM_OUT : out std_logic_vector(31 downto 0);
mem_wr : out std_logic;
mem_rd : out std_logic;
key : in std_logic;
LEDR : out std_logic_vector(3 downto 0)
);
end;
architecture Structural of Rhody_CPU_pipelinev7 is
-- state machine: CPU_state
type State_type is (S1, S2);
signal update, stage1, stage2, stage3, stage4: State_type;
-- Register File: 8x32
type reg_file_type is array (0 to 7) of std_logic_vector(31 downto 0);
signal register_file : reg_file_type;
-- Internal registers
signal MDR_in, MDR_out, MAR, PSW: std_logic_vector(31 downto 0);
signal PC, SP: unsigned(31 downto 0); --unsigned for arithemtic operations
-- Internal control signals
signal operand0, operand1, ALU_out : std_logic_vector(31 downto 0);
signal carry, overflow, zero : std_logic;
-- Pipeline Istruction registers
signal stall: Boolean;
signal IR2, IR3, IR4: std_logic_vector(31 downto 0);
--Rhody Instruction Format
alias Opcode2: std_logic_vector(5 downto 0) is IR2(31 downto 26);
alias Opcode3: std_logic_vector(5 downto 0) is IR3(31 downto 26);
alias Opcode4: std_logic_vector(5 downto 0) is IR4(31 downto 26);
alias RX2 : std_logic_vector(2 downto 0) is IR2(25 downto 23);
alias RX3 : std_logic_vector(2 downto 0) is IR3(25 downto 23);
alias RY2 : std_logic_vector(2 downto 0) is IR2(22 downto 20);
alias RZ2 : std_logic_vector(2 downto 0) is IR2(19 downto 17);
alias RA2 : std_logic_vector(2 downto 0) is IR2(16 downto 14);
alias RB2 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RB3 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RC2 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RC3 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RD2 : std_logic_vector(2 downto 0) is IR2(7 downto 5);
alias RE2 : std_logic_vector(2 downto 0) is IR2(4 downto 2);
alias I2 : std_logic_vector(15 downto 0) is IR2(15 downto 0);
alias M2 : std_logic_vector(19 downto 0) is IR2(19 downto 0);
alias M3 : std_logic_vector(19 downto 0) is IR3(19 downto 0);
-- Temporary control signals
signal tmpx, tmpy, tmpz, tmpa: std_logic_vector(31 downto 0);
--Condition Codes
alias Z: std_logic is PSW(0);
alias C: std_logic is PSW(1);
alias S: std_logic is PSW(2);
alias V: std_logic is PSW(3);
--Instruction Opcodes
constant NOP : std_logic_vector(5 downto 0) := "000000";
constant ADD64: std_logic_vector(5 downto 0) := "000001";
constant T2 : std_logic_vector(5 downto 0) := "000010";
constant LDM : std_logic_vector(5 downto 0) := "000100";
constant LDR : std_logic_vector(5 downto 0) := "000101";
constant LDIX : std_logic_vector(5 downto 0) := "000110";
constant STIX : std_logic_vector(5 downto 0) := "000111";
constant LDH : std_logic_vector(5 downto 0) := "001000";
constant LDL : std_logic_vector(5 downto 0) := "001001";
constant LDI : std_logic_vector(5 downto 0) := "001010";
constant MOV : std_logic_vector(5 downto 0) := "001011";
constant STM : std_logic_vector(5 downto 0) := "001100";
constant STR : std_logic_vector(5 downto 0) := "001101";
constant ADD : std_logic_vector(5 downto 0) := "010000";
constant ADI : std_logic_vector(5 downto 0) := "010001";
constant SUB : std_logic_vector(5 downto 0) := "010010";
constant MUL : std_logic_vector(5 downto 0) := "010011";
constant IAND : std_logic_vector(5 downto 0) := "010100"; --avoid keyword
constant IOR : std_logic_vector(5 downto 0) := "010101"; --avoid keyword
constant IXOR : std_logic_vector(5 downto 0) := "010110"; --avoid keyword
constant IROR : std_logic_vector(5 downto 0) := "010111"; --avoid keyword
constant JNZ : std_logic_vector(5 downto 0) := "100000";
constant JNS : std_logic_vector(5 downto 0) := "100001";
constant JNV : std_logic_vector(5 downto 0) := "100010";
constant JNC : std_logic_vector(5 downto 0) := "100011";
constant JZ : std_logic_vector(5 downto 0) := "100100";
constant JS : std_logic_vector(5 downto 0) := "100101";
constant JV : std_logic_vector(5 downto 0) := "100110";
constant JC : std_logic_vector(5 downto 0) := "100111";
constant JMP : std_logic_vector(5 downto 0) := "101000";
constant CMP : std_logic_vector(5 downto 0) := "101010";
constant T11 : std_logic_vector(5 downto 0) := "101110";
constant T12 : std_logic_vector(5 downto 0) := "101111";
constant CALL : std_logic_vector(5 downto 0) := "110000";
constant CMPI : std_logic_vector(5 downto 0) := "110010";
constant RET : std_logic_vector(5 downto 0) := "110100";
constant RETI : std_logic_vector(5 downto 0) := "110101";
constant PUSH : std_logic_vector(5 downto 0) := "111000";
constant POP : std_logic_vector(5 downto 0) := "111001";
constant SYS : std_logic_vector(5 downto 0) := "111100";
constant SIG0 : std_logic_vector(5 downto 0) := "111110";
constant SIG1 : std_logic_vector(5 downto 0) := "111111";
constant MLOAD0 : std_logic_vector(5 downto 0) := "011001";
constant MLOAD1 : std_logic_vector(5 downto 0) := "011010";
constant MLOAD2 : std_logic_vector(5 downto 0) := "011011";
constant MLOAD3 : std_logic_vector(5 downto 0) := "011100";
constant WLOAD : std_logic_vector(5 downto 0) := "011101";
constant ROUND1 : std_logic_vector(5 downto 0) := "101100";
constant FIN : std_logic_vector(5 downto 0) := "101101";
constant MSTM0 : std_logic_vector(5 downto 0) := "101001";
constant MSTM1 : std_logic_vector(5 downto 0) := "101011";
constant WPAD2 : std_logic_vector(5 downto 0) := "111010";
constant WPAD : std_logic_vector(5 downto 0) := "111011";
constant WORD_BITS : integer := 64;
subtype WORD_TYPE is std_logic_vector(63 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
--shared variable w_80 : WORD_VECTOR(0 to 79);
----------------------------------------------------------------
constant K_TABLE : WORD_VECTOR(0 to 79) := (
0 => To_StdLogicVector(bit_vector'(X"428a2f98d728ae22")),
1 => To_StdLogicVector(bit_vector'(X"7137449123ef65cd")),
2 => To_StdLogicVector(bit_vector'(X"b5c0fbcfec4d3b2f")),
3 => To_StdLogicVector(bit_vector'(X"e9b5dba58189dbbc")),
4 => To_StdLogicVector(bit_vector'(X"3956c25bf348b538")),
5 => To_StdLogicVector(bit_vector'(X"59f111f1b605d019")),
6 => To_StdLogicVector(bit_vector'(X"923f82a4af194f9b")),
7 => To_StdLogicVector(bit_vector'(X"ab1c5ed5da6d8118")),
8 => To_StdLogicVector(bit_vector'(X"d807aa98a3030242")),
9 => To_StdLogicVector(bit_vector'(X"12835b0145706fbe")),
10 => To_StdLogicVector(bit_vector'(X"243185be4ee4b28c")),
11 => To_StdLogicVector(bit_vector'(X"550c7dc3d5ffb4e2")),
12 => To_StdLogicVector(bit_vector'(X"72be5d74f27b896f")),
13 => To_StdLogicVector(bit_vector'(X"80deb1fe3b1696b1")),
14 => To_StdLogicVector(bit_vector'(X"9bdc06a725c71235")),
15 => To_StdLogicVector(bit_vector'(X"c19bf174cf692694")),
16 => To_StdLogicVector(bit_vector'(X"e49b69c19ef14ad2")),
17 => To_StdLogicVector(bit_vector'(X"efbe4786384f25e3")),
18 => To_StdLogicVector(bit_vector'(X"0fc19dc68b8cd5b5")),
19 => To_StdLogicVector(bit_vector'(X"240ca1cc77ac9c65")),
20 => To_StdLogicVector(bit_vector'(X"2de92c6f592b0275")),
21 => To_StdLogicVector(bit_vector'(X"4a7484aa6ea6e483")),
22 => To_StdLogicVector(bit_vector'(X"5cb0a9dcbd41fbd4")),
23 => To_StdLogicVector(bit_vector'(X"76f988da831153b5")),
24 => To_StdLogicVector(bit_vector'(X"983e5152ee66dfab")),
25 => To_StdLogicVector(bit_vector'(X"a831c66d2db43210")),
26 => To_StdLogicVector(bit_vector'(X"b00327c898fb213f")),
27 => To_StdLogicVector(bit_vector'(X"bf597fc7beef0ee4")),
28 => To_StdLogicVector(bit_vector'(X"c6e00bf33da88fc2")),
29 => To_StdLogicVector(bit_vector'(X"d5a79147930aa725")),
30 => To_StdLogicVector(bit_vector'(X"06ca6351e003826f")),
31 => To_StdLogicVector(bit_vector'(X"142929670a0e6e70")),
32 => To_StdLogicVector(bit_vector'(X"27b70a8546d22ffc")),
33 => To_StdLogicVector(bit_vector'(X"2e1b21385c26c926")),
34 => To_StdLogicVector(bit_vector'(X"4d2c6dfc5ac42aed")),
35 => To_StdLogicVector(bit_vector'(X"53380d139d95b3df")),
36 => To_StdLogicVector(bit_vector'(X"650a73548baf63de")),
37 => To_StdLogicVector(bit_vector'(X"766a0abb3c77b2a8")),
38 => To_StdLogicVector(bit_vector'(X"81c2c92e47edaee6")),
39 => To_StdLogicVector(bit_vector'(X"92722c851482353b")),
40 => To_StdLogicVector(bit_vector'(X"a2bfe8a14cf10364")),
41 => To_StdLogicVector(bit_vector'(X"a81a664bbc423001")),
42 => To_StdLogicVector(bit_vector'(X"c24b8b70d0f89791")),
43 => To_StdLogicVector(bit_vector'(X"c76c51a30654be30")),
44 => To_StdLogicVector(bit_vector'(X"d192e819d6ef5218")),
45 => To_StdLogicVector(bit_vector'(X"d69906245565a910")),
46 => To_StdLogicVector(bit_vector'(X"f40e35855771202a")),
47 => To_StdLogicVector(bit_vector'(X"106aa07032bbd1b8")),
48 => To_StdLogicVector(bit_vector'(X"19a4c116b8d2d0c8")),
49 => To_StdLogicVector(bit_vector'(X"1e376c085141ab53")),
50 => To_StdLogicVector(bit_vector'(X"2748774cdf8eeb99")),
51 => To_StdLogicVector(bit_vector'(X"34b0bcb5e19b48a8")),
52 => To_StdLogicVector(bit_vector'(X"391c0cb3c5c95a63")),
53 => To_StdLogicVector(bit_vector'(X"4ed8aa4ae3418acb")),
54 => To_StdLogicVector(bit_vector'(X"5b9cca4f7763e373")),
55 => To_StdLogicVector(bit_vector'(X"682e6ff3d6b2b8a3")),
56 => To_StdLogicVector(bit_vector'(X"748f82ee5defb2fc")),
57 => To_StdLogicVector(bit_vector'(X"78a5636f43172f60")),
58 => To_StdLogicVector(bit_vector'(X"84c87814a1f0ab72")),
59 => To_StdLogicVector(bit_vector'(X"8cc702081a6439ec")),
60 => To_StdLogicVector(bit_vector'(X"90befffa23631e28")),
61 => To_StdLogicVector(bit_vector'(X"a4506cebde82bde9")),
62 => To_StdLogicVector(bit_vector'(X"bef9a3f7b2c67915")),
63 => To_StdLogicVector(bit_vector'(X"c67178f2e372532b")),
64 => To_StdLogicVector(bit_vector'(X"ca273eceea26619c")),
65 => To_StdLogicVector(bit_vector'(X"d186b8c721c0c207")),
66 => To_StdLogicVector(bit_vector'(X"eada7dd6cde0eb1e")),
67 => To_StdLogicVector(bit_vector'(X"f57d4f7fee6ed178")),
68 => To_StdLogicVector(bit_vector'(X"06f067aa72176fba")),
69 => To_StdLogicVector(bit_vector'(X"0a637dc5a2c898a6")),
70 => To_StdLogicVector(bit_vector'(X"113f9804bef90dae")),
71 => To_StdLogicVector(bit_vector'(X"1b710b35131c471b")),
72 => To_StdLogicVector(bit_vector'(X"28db77f523047d84")),
73 => To_StdLogicVector(bit_vector'(X"32caab7b40c72493")),
74 => To_StdLogicVector(bit_vector'(X"3c9ebe0a15c9bebc")),
75 => To_StdLogicVector(bit_vector'(X"431d67c49c100d4c")),
76 => To_StdLogicVector(bit_vector'(X"4cc5d4becb3e42b6")),
77 => To_StdLogicVector(bit_vector'(X"597f299cfc657e2a")),
78 => To_StdLogicVector(bit_vector'(X"5fcb6fab3ad6faec")),
79 => To_StdLogicVector(bit_vector'(X"6c44198c4a475817"))
);
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6a09e667f3bcc908"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"bb67ae8584caa73b"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"3c6ef372fe94f82b"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"a54ff53a5f1d36f1"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"510e527fade682d1"));
constant H5_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"9b05688c2b3e6c1f"));
constant H6_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"1f83d9abfb41bd6b"));
constant H7_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5be0cd19137e2179"));
-------------------------------------------------------------------------
signal dm0 : std_logic_vector(63 downto 0);
signal dm1 : std_logic_vector(63 downto 0);
signal dm2 : std_logic_vector(63 downto 0);
signal dm3 : std_logic_vector(63 downto 0);
signal dm4 : std_logic_vector(63 downto 0);
signal dm5 : std_logic_vector(63 downto 0);
signal dm6 : std_logic_vector(63 downto 0);
signal dm7 : std_logic_vector(63 downto 0);
signal dm8 : std_logic_vector(63 downto 0);
signal dm9 : std_logic_vector(63 downto 0);
signal dm10 : std_logic_vector(63 downto 0);
signal dm11 : std_logic_vector(63 downto 0);
signal dm12 : std_logic_vector(63 downto 0);
signal dm13 : std_logic_vector(63 downto 0);
signal dm14 : std_logic_vector(63 downto 0);
signal dm15 : std_logic_vector(63 downto 0);
-- a,b,c,d,e,f,g,h
signal wva : WORD_TYPE;
signal wvb : WORD_TYPE;
signal wvc : WORD_TYPE;
signal wvd : WORD_TYPE;
signal wve : WORD_TYPE;
signal wvf : WORD_TYPE;
signal wvg : WORD_TYPE;
signal wvh : WORD_TYPE;
signal t1_val : WORD_TYPE;
signal t2_val : WORD_TYPE;
signal rcount: integer := 0;
-- H0,H1,H2,H3,H4,H5,H6,H7
signal h0 : WORD_TYPE;
signal h1 : WORD_TYPE;
signal h2 : WORD_TYPE;
signal h3 : WORD_TYPE;
signal h4 : WORD_TYPE;
signal h5 : WORD_TYPE;
signal h6 : WORD_TYPE;
signal h7 : WORD_TYPE;
signal tmp1, tmp2, tmp3, tmp4, tmp5, tmp6, tmp7: std_logic_vector(63 downto 0);
signal mvect : WORD_VECTOR(0 to 15);
signal wout: std_logic_vector(63 downto 0);
begin
--Display condition code on LEDR for debugging purpose
LEDR(3) <= Z when key='0' else '0';
LEDR(2) <= C when key='0' else '0';
LEDR(1) <= S when key='0' else '0';
LEDR(0) <= V when key='0' else '0';
--CPU bus interface
MEM_OUT <= MDR_out; --Outgoing data bus
MEM_ADR <= MAR; --Address bus
--One clock cycle delay in obtaining CPU_state, e.g. S1->S2
mem_rd <= '1' when ((Opcode2=LDM or Opcode2=LDR or Opcode2 = LDIX) and stage2=S2) else
'1' when (stage1=S2 and not stall) else
'1' when ((Opcode2=POP or Opcode2=RET) and stage2=S2) else
'1' when (Opcode2=RETI and stage2=S2) else
'1' when (Opcode3=RETI and stage3=S2) else
'0'; --Memory read control signal
mem_wr <= '1' when ((Opcode3=STM or Opcode3=STR or Opcode3=STIX) and stage3=S1) else
'1' when ((Opcode3=PUSH or Opcode3=CALL) and stage3=S2) else
'1' when (Opcode3=SYS and stage3=S2) else
'1' when (Opcode4=SYS and stage4=S2) else
'0'; --Memory write control signal
stall <= true when(Opcode2=LDM or Opcode2=LDR or Opcode2 = LDIX or Opcode2=STM or Opcode2=STR or Opcode2=STIX or Opcode2=WPAD or Opcode2=WPAD2) else
true when(Opcode2=CALL or Opcode2=PUSH or Opcode2=POP or Opcode2=RET
or Opcode2=SYS or Opcode2=RETI) else
true when(Opcode3=CALL or Opcode3=RET or Opcode3=PUSH
or Opcode3=SYS or Opcode3=RETI) else
true when(Opcode4=SYS or Opcode4=RETI) else
false;
--The state machine that is CPU
CPU_State_Machine: process (clk, rst)
begin
if rst='1' then
update <= S1;
stage1 <= S1;
stage2 <= S1;
stage3 <= S1;
stage4 <= S1;
PC <= x"00000000"; --initialize PC
SP <= x"000FF7FF"; --initialize SP
IR2 <= x"00000000";
IR3 <= x"00000000";
IR4 <= x"00000000";
elsif clk'event and clk = '1' then
case update is
when S1 =>
update <= S2;
when S2 =>
if (stall or
(Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z='0') or
(Opcode2=JNS and S='1') or (Opcode2=JS and S='0') or
(Opcode2=JNV and V='1') or (Opcode2=JV and V='0') or
(Opcode2=JNC and C='1') or (Opcode2=JC and C='0') ) then
IR2 <= x"00000000"; --insert NOP
else
IR2 <= MEM_in;
end if;
IR3 <= IR2;
IR4 <= IR3;
update <= S1;
when others =>
null;
end case;
case stage1 is
when S1 =>
if (not stall) then
if(Opcode2=JMP or Opcode2=JNZ or Opcode2=JZ or Opcode2=JNS or
Opcode2=JS or Opcode2=JNV or Opcode2=JV or
Opcode2=JNC or Opcode2=JC) then
MAR <= x"000" & M2;
else
MAR <= std_logic_vector(PC);
end if;
end if;
stage1 <= S2;
when S2 =>
if (not stall) then
if (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= (x"000" & unsigned(M2))+1;
elsif ((Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z = '0') or
(Opcode2=JNS and S = '1')or (Opcode2=JS and S = '0') or
(Opcode2=JNV and V = '1') or (Opcode2=JV and V = '0') or
(Opcode2=JNC and C = '1') or (Opcode2=JC and C = '0')) then
null;
else
PC <= PC + 1;
end if;
end if;
stage1 <= S1;
when others =>
null;
end case;
case stage2 is
when S1 =>
if (Opcode2=LDI) then
register_file(to_integer(unsigned(RX2)))<=(31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDH) then
register_file(to_integer(unsigned(RX2)))
<= I2 & register_file(to_integer(unsigned(RX2)))(15 downto 0);
--(31 downto 16)<= I2;
elsif (Opcode2=LDL) then
register_file(to_integer(unsigned(RX2)))
<= register_file(to_integer(unsigned(RX2)))(31 downto 16) & I2;
--(15 downto 0)<= I2;
elsif (Opcode2=MOV) then
register_file(to_integer(unsigned(RX2)))<=register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=ADD or Opcode2=SUB or Opcode2=MUL or Opcode2=CMP or
Opcode2=IAND or Opcode2=IOR or Opcode2=IXOR) then
operand1 <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=IROR) then
null;
elsif (Opcode2=ADI or Opcode2=CMPI) then
operand1 <= (31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDM) then
MAR <= x"000" & M2;
elsif (Opcode2=LDR) then
MAR <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=LDIX) then
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RY2))))
+ unsigned(M2));
elsif (Opcode2=STM) then
MAR <= x"000" & M2; MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2=STR) then
MAR <= register_file(to_integer(unsigned(RX2)));
MDR_out <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=STIX) then
MAR <= std_logic_vector(unsigned(
register_file(to_integer(unsigned(RX2))))
+ unsigned(M2));
MDR_out <=
register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= x"000" & unsigned(M2);
elsif (Opcode2=CALL or Opcode2=PUSH or Opcode2=SYS) then
SP <= SP + 1;
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MAR <= std_logic_vector(SP);
elsif (Opcode2=SIG0) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),1)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),8)) xor
std_logic_vector(shift_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),7)))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),1)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),8)) xor
std_logic_vector(shift_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),7)))(31 downto 0);
elsif (Opcode2=SIG1) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),19)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),61)) xor
std_logic_vector(shift_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),6)))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),19)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),61)) xor
std_logic_vector(shift_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),6)))(31 downto 0);
elsif (Opcode2 = ADD64) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector((unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2))))) + (unsigned(register_file(to_integer(unsigned(RZ2)))) & unsigned(register_file(to_integer(unsigned(RA2))))))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector((unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2))))) + (unsigned(register_file(to_integer(unsigned(RZ2)))) & unsigned(register_file(to_integer(unsigned(RA2))))))(31 downto 0);
elsif (Opcode2 = T11) then
register_file(to_integer(unsigned(RX2))) <=
std_logic_vector(unsigned(((register_file(to_integer(unsigned(RB2)))& register_file(to_integer(unsigned(RC2)))) xor ((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and ((register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))) xor (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2)))))))) +
unsigned(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),14)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),18)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),41)))
+ (unsigned(register_file(to_integer(unsigned(RD2)))) & unsigned(register_file(to_integer(unsigned(RE2)))) + 0))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <=
std_logic_vector(unsigned(((register_file(to_integer(unsigned(RB2)))& register_file(to_integer(unsigned(RC2)))) xor ((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and ((register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))) xor (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2)))))))) +
unsigned(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),14)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),18)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),41)))
+ (unsigned(register_file(to_integer(unsigned(RD2)))) & unsigned(register_file(to_integer(unsigned(RE2)))) + 0))(31 downto 0);
tmpx <= std_logic_vector(register_file(to_integer(unsigned(RX2))));
tmpy <= std_logic_vector(register_file(to_integer(unsigned(RY2))));
elsif (Opcode2 = T12) then
register_file(to_integer(unsigned(RX2))) <=
std_logic_vector((unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2))))) +
(unsigned(register_file(to_integer(unsigned(RZ2)))) & unsigned(register_file(to_integer(unsigned(RA2))))) +
(unsigned(register_file(to_integer(unsigned(RB2)))) & unsigned(register_file(to_integer(unsigned(RC2))))))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <=
std_logic_vector((unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2))))) +
(unsigned(register_file(to_integer(unsigned(RZ2)))) & unsigned(register_file(to_integer(unsigned(RA2))))) +
(unsigned(register_file(to_integer(unsigned(RB2)))) & unsigned(register_file(to_integer(unsigned(RC2))))))(31 downto 0);
elsif (Opcode2 = T2) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector((unsigned(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),28)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),34)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),39))) +
unsigned(((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and (register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))))xor
((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2)))))xor
((register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))) and (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2))))))))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector((unsigned(std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),28)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),34)) xor
std_logic_vector(rotate_right(unsigned(register_file(to_integer(unsigned(RX2)))) & unsigned(register_file(to_integer(unsigned(RY2)))),39))) +
unsigned(((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and (register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))))xor
((register_file(to_integer(unsigned(RX2))) & register_file(to_integer(unsigned(RY2)))) and (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2)))))xor
((register_file(to_integer(unsigned(RZ2))) & register_file(to_integer(unsigned(RA2)))) and (register_file(to_integer(unsigned(RB2))) & register_file(to_integer(unsigned(RC2))))))))(31 downto 0);
elsif (Opcode2 = WLOAD) then
h0 <= H0_INIT;
h1 <= H1_INIT;
h2 <= H2_INIT;
h3 <= H3_INIT;
h4 <= H4_INIT;
h5 <= H5_INIT;
h6 <= H6_INIT;
h7 <= H7_INIT;
wva <= H0_INIT;
wvb <= H1_INIT;
wvc <= H2_INIT;
wvd <= H3_INIT;
wve <= H4_INIT;
wvf <= H5_INIT;
wvg <= H6_INIT;
wvh <= H7_INIT;
elsif (Opcode2 = WPAD) then
if (rcount < 80) then
wout <= std_logic_vector(mvect(to_integer(unsigned(register_file(to_integer(unsigned(RX2)))))));
end if;
elsif (Opcode2 = WPAD2) then
if (rcount < 80) then
wout <= std_Logic_vector(
unsigned(unsigned(rotate_right(unsigned(mvect(14)),19)) xor unsigned(rotate_right(unsigned(mvect(14)),61)) xor unsigned(shift_right(unsigned(mvect(14)),6))) +
unsigned(mvect(9)) +
unsigned(unsigned(rotate_right(unsigned(mvect(1)),1)) xor unsigned(rotate_right(unsigned(mvect(1)),8)) xor unsigned(shift_right(unsigned(mvect(1)),7))) +
unsigned(mvect(0)));
end if;
elsif (Opcode2= MLOAD0) then
mvect(0) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(1) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(2) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(3) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD1) then
mvect(4) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(5) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(6) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(7) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD2) then
mvect(8) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(9) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(10) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(11) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2= MLOAD3) then
mvect(12) <= (std_logic_vector(register_file(to_integer(unsigned(RX2)))) & std_logic_vector(register_file(to_integer(unsigned(RY2)))));
mvect(13) <= (std_logic_vector(register_file(to_integer(unsigned(RZ2)))) & std_logic_vector(register_file(to_integer(unsigned(RA2)))));
mvect(14) <= (std_logic_vector(register_file(to_integer(unsigned(RB2)))) & std_logic_vector(register_file(to_integer(unsigned(RC2)))));
mvect(15) <= (std_logic_vector(register_file(to_integer(unsigned(RD2)))) & std_logic_vector(register_file(to_integer(unsigned(RE2)))));
elsif (Opcode2 = MSTM0) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(unsigned(dm0))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(unsigned(dm0))(31 downto 0);
register_file(to_integer(unsigned(RZ2))) <= std_logic_vector(unsigned(dm1))(63 downto 32);
register_file(to_integer(unsigned(RA2))) <= std_logic_vector(unsigned(dm1))(31 downto 0);
register_file(to_integer(unsigned(RB2))) <= std_logic_vector(unsigned(dm2))(63 downto 32);
register_file(to_integer(unsigned(RC2))) <= std_logic_vector(unsigned(dm2))(31 downto 0);
register_file(to_integer(unsigned(RD2))) <= std_logic_vector(unsigned(dm3))(63 downto 32);
register_file(to_integer(unsigned(RE2))) <= std_logic_vector(unsigned(dm3))(31 downto 0);
elsif (Opcode2 = MSTM1) then
register_file(to_integer(unsigned(RX2))) <= std_logic_vector(unsigned(dm4))(63 downto 32);
register_file(to_integer(unsigned(RY2))) <= std_logic_vector(unsigned(dm4))(31 downto 0);
register_file(to_integer(unsigned(RZ2))) <= std_logic_vector(unsigned(dm5))(63 downto 32);
register_file(to_integer(unsigned(RA2))) <= std_logic_vector(unsigned(dm5))(31 downto 0);
register_file(to_integer(unsigned(RB2))) <= std_logic_vector(unsigned(dm6))(63 downto 32);
register_file(to_integer(unsigned(RC2))) <= std_logic_vector(unsigned(dm6))(31 downto 0);
register_file(to_integer(unsigned(RD2))) <= std_logic_vector(unsigned(dm7))(63 downto 32);
register_file(to_integer(unsigned(RE2))) <= std_logic_vector(unsigned(dm7))(31 downto 0);
elsif (Opcode2 = FIN) then
dm0 <= std_logic_vector(unsigned(wva) + unsigned(h0));
dm1 <= std_logic_vector(unsigned(wvb) + unsigned(h1));
dm2 <= std_logic_vector(unsigned(wvc) + unsigned(h2));
dm3 <= std_logic_vector(unsigned(wvd) + unsigned(h3));
dm4 <= std_logic_vector(unsigned(wve) + unsigned(h4));
dm5 <= std_logic_vector(unsigned(wvf) + unsigned(h5));
dm6 <= std_logic_vector(unsigned(wvg) + unsigned(h6));
dm7 <= std_logic_vector(unsigned(wvh) + unsigned(h7));
end if;
stage2 <= S2;
when S2 =>
if (Opcode2=ADD or Opcode2=SUB or Opcode2=IROR or Opcode2=IAND or
Opcode2=MUL or Opcode2=IOR or Opcode2=IXOR or Opcode2=ADI) then
register_file(to_integer(unsigned(RX2))) <= ALU_out;
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC
elsif (Opcode2=CMP or Opcode2=CMPI) then
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC only
elsif (Opcode2=LDM or Opcode2=LDR or Opcode2=LDIX) then
MDR_in <= MEM_in;
elsif (Opcode2=STM or Opcode2=STR or Opcode2=STIX) then
null;
elsif (Opcode2=CALL or Opcode2=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= std_logic_vector(PC);
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MDR_in <= MEM_IN; SP <= SP - 1;
elsif (Opcode2=PUSH) then
MAR <= std_logic_vector(SP);
MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2 = T11) then
register_file(to_integer(unsigned(RD2))) <= std_logic_vector(tmpx);
register_file(to_integer(unsigned(RE2))) <= std_logic_vector(tmpy);
elsif (Opcode2 = WPAD) then
if (rcount < 80) then
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(to_integer(unsigned(register_file(to_integer(unsigned(RX2))))))) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
end if;
elsif (Opcode2 = WPAD2) then
if (rcount < 80) then
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(to_integer(unsigned(register_file(to_integer(unsigned(RX2))))))) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
end if;
end if;
stage2 <= S1;
when others =>
null;
end case;
case stage3 is
when S1 =>
if (Opcode3=LDM or Opcode3=LDR or Opcode3=LDIX) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3=STM or Opcode3=STR or Opcode3=STIX) then
null;
elsif (Opcode3=CALL) then
PC <= x"000" & unsigned(M3);
elsif (Opcode3=POP) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3=RET) then
PC <= unsigned(MDR_in);
elsif (Opcode3=RETI) then
PSW <= MDR_in; MAR <= std_logic_vector(SP);
elsif (Opcode3=PUSH) then
null;
elsif (Opcode3=SYS) then
SP <= SP + 1;
elsif(Opcode3 = WPAD) then
if (rcount < 80) then
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
rcount <= rcount + 1;
end if;
elsif(Opcode3 = WPAD2) then
if (rcount < 80) then
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
mvect(0) <= mvect(1);
mvect(1) <= mvect(2);
mvect(2) <= mvect(3);
mvect(3) <= mvect(4);
mvect(4) <= mvect(5);
mvect(5) <= mvect(6);
mvect(6) <= mvect(7);
mvect(7) <= (mvect(8));
mvect(8) <= (mvect(9));
mvect(9) <= (mvect(10));
mvect(10) <= (mvect(11));
mvect(11) <= (mvect(12));
mvect(12) <= (mvect(13));
mvect(13) <= (mvect(14));
mvect(14) <= (mvect(15));
mvect(15) <= wout;
rcount <= rcount + 1;
end if;
end if;
stage3 <= S2;
when S2 =>
if (Opcode3=RETI) then
MDR_in <= MEM_IN; sp <= sp - 1;
elsif (Opcode3=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= PSW;
elsif (Opcode3 = WPAD2) then
end if;
stage3 <= S1;
when others =>
null;
end case;
case stage4 is
when S1 =>
if (Opcode4=RETI) then
PC <= unsigned(MDR_in);
elsif (Opcode4=SYS) then
PC <= X"000FFC0"&unsigned(IR4(3 downto 0));
else stage4 <= S2;
end if;
stage4 <= S2;
when S2 =>
stage4 <= S1;
when others =>
null;
end case;
end if;
end process;
--------------------ALU----------------------------
Rhody_ALU: entity work.alu port map(
alu_op => IR2(28 downto 26),
operand0 => operand0,
operand1 => operand1,
n => IR2(4 downto 0),
alu_out => ALU_out,
carry => carry,
overflow => overflow);
zero <= '1' when alu_out = X"00000000" else '0';
operand0 <= register_file(to_integer(unsigned(RX2)));
-----------------------------------------------------
end Structural;
|
gpl-3.0
|
hsnuonly/PikachuVolleyFPGA
|
VGA.ip_user_files/ip/select1/select1_stub.vhdl
|
2
|
1366
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Fri Jan 13 17:33:49 2017
-- Host : KLight-PC running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub D:/Document/Verilog/VGA/VGA.srcs/sources_1/ip/select1/select1_stub.vhdl
-- Design : select1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity select1 is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 11 downto 0 );
douta : out STD_LOGIC_VECTOR ( 11 downto 0 )
);
end select1;
architecture stub of select1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[11:0],dina[11:0],douta[11:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4";
begin
end;
|
gpl-3.0
|
hsnuonly/PikachuVolleyFPGA
|
VGA.srcs/sources_1/ip/title3/synth/title3.vhd
|
1
|
14282
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY title3 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END title3;
ARCHITECTURE title3_arch OF title3 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF title3_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF title3_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF title3_arch : ARCHITECTURE IS "title3,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF title3_arch: ARCHITECTURE IS "title3,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=title3.mif,C" &
"_INIT_FILE=title3.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=3725,C_READ_DEPTH_A=3725,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRITE_DEPTH_B=37" &
"25,C_READ_DEPTH_B=3725,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BH" &
"V_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 3.822999 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "title3.mif",
C_INIT_FILE => "title3.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 3725,
C_READ_DEPTH_A => 3725,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 3725,
C_READ_DEPTH_B => 3725,
C_ADDRB_WIDTH => 12,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 3.822999 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END title3_arch;
|
gpl-3.0
|
hsnuonly/PikachuVolleyFPGA
|
VGA.srcs/sources_1/ip/title2_1/synth/title2.vhd
|
1
|
14290
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_5;
USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5;
ENTITY title2 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END title2;
ARCHITECTURE title2_arch OF title2 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF title2_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_5 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF title2_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF title2_arch : ARCHITECTURE IS "title2,blk_mem_gen_v8_3_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF title2_arch: ARCHITECTURE IS "title2,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=title2.mif,C" &
"_INIT_FILE=title2.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=12,C_READ_WIDTH_A=12,C_WRITE_DEPTH_A=10404,C_READ_DEPTH_A=10404,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=12,C_READ_WIDTH_B=12,C_WRITE_DEPTH_B=" &
"10404,C_READ_DEPTH_B=10404,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WAR" &
"N_BHV_RANGE=0,C_COUNT_36K_BRAM=4,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.227751 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_5
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 0,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "title2.mif",
C_INIT_FILE => "title2.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 12,
C_READ_WIDTH_A => 12,
C_WRITE_DEPTH_A => 10404,
C_READ_DEPTH_A => 10404,
C_ADDRA_WIDTH => 14,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 12,
C_READ_WIDTH_B => 12,
C_WRITE_DEPTH_B => 10404,
C_READ_DEPTH_B => 10404,
C_ADDRB_WIDTH => 14,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "4",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.227751 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 14)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END title2_arch;
|
gpl-3.0
|
bsmerbeckuri/SHA512Optimization
|
CPU_System/Rhody_CPU_pipelinev42.vhd
|
2
|
29774
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Rhody_CPU_pipelinev42 is
port ( clk : in std_logic;
rst : in std_logic;
MEM_ADR : out std_logic_vector(31 downto 0);
MEM_IN : in std_logic_vector(31 downto 0);
MEM_OUT : out std_logic_vector(31 downto 0);
mem_wr : out std_logic;
mem_rd : out std_logic;
key : in std_logic;
LEDR : out std_logic_vector(3 downto 0)
);
end;
architecture Structural of Rhody_CPU_pipelinev42 is
-- state machine: CPU_state
type State_type is (S1, S2);
signal update, stage1, stage2, stage3, stage4: State_type;
-- Register File: 8x32
type reg_file_type is array (0 to 7) of std_logic_vector(31 downto 0);
signal register_file : reg_file_type;
-- Internal registers
signal MDR_in, MDR_out, MAR, PSW: std_logic_vector(31 downto 0);
signal PC, SP: unsigned(31 downto 0); --unsigned for arithemtic operations
-- Internal control signals
signal operand0, operand1, ALU_out : std_logic_vector(31 downto 0);
signal carry, overflow, zero : std_logic;
-- Pipeline Istruction registers
signal stall: Boolean;
signal IR2, IR3, IR4: std_logic_vector(31 downto 0);
--Rhody Instruction Format
alias Opcode2: std_logic_vector(5 downto 0) is IR2(31 downto 26);
alias Opcode3: std_logic_vector(5 downto 0) is IR3(31 downto 26);
alias Opcode4: std_logic_vector(5 downto 0) is IR4(31 downto 26);
alias RX2 : std_logic_vector(2 downto 0) is IR2(25 downto 23);
alias RX3 : std_logic_vector(2 downto 0) is IR3(25 downto 23);
alias RX4 : std_logic_vector(2 downto 0) is IR4(25 downto 23);
alias RY2 : std_logic_vector(2 downto 0) is IR2(22 downto 20);
alias RY3 : std_logic_vector(2 downto 0) is IR3(22 downto 20);
alias RZ2 : std_logic_vector(2 downto 0) is IR2(19 downto 17);
alias RA2 : std_logic_vector(2 downto 0) is IR2(16 downto 14);
alias RB2 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RB3 : std_logic_vector(2 downto 0) is IR2(13 downto 11);
alias RC2 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RC3 : std_logic_vector(2 downto 0) is IR2(10 downto 8);
alias RD2 : std_logic_vector(2 downto 0) is IR2(7 downto 5);
alias RE2 : std_logic_vector(2 downto 0) is IR2(4 downto 2);
alias I2 : std_logic_vector(15 downto 0) is IR2(15 downto 0);
alias M2 : std_logic_vector(19 downto 0) is IR2(19 downto 0);
alias M3 : std_logic_vector(19 downto 0) is IR3(19 downto 0);
-- Temporary control signals
signal tmpx, tmpy, tmpz, tmpa: std_logic_vector(31 downto 0);
signal tmpxx: std_logic_vector(19 downto 0);
signal tmpyy: std_logic_vector(31 downto 0);
--Condition Codes
alias Z: std_logic is PSW(0);
alias C: std_logic is PSW(1);
alias S: std_logic is PSW(2);
alias V: std_logic is PSW(3);
--Instruction Opcodes
constant NOP : std_logic_vector(5 downto 0) := "000000";
--constant ADD64: std_logic_vector(5 downto 0) := "000001";
constant LDMD2 : std_logic_vector(5 downto 0) := "000010";
constant LDM : std_logic_vector(5 downto 0) := "000100";
constant LDR : std_logic_vector(5 downto 0) := "000101";
--constant LDIX : std_logic_vector(5 downto 0) := "000110";
--constant STIX : std_logic_vector(5 downto 0) := "000111";
constant LDH : std_logic_vector(5 downto 0) := "001000";
constant LDL : std_logic_vector(5 downto 0) := "001001";
constant LDI : std_logic_vector(5 downto 0) := "001010";
constant MOV : std_logic_vector(5 downto 0) := "001011";
constant STM : std_logic_vector(5 downto 0) := "001100";
constant STR : std_logic_vector(5 downto 0) := "001101";
constant ADD : std_logic_vector(5 downto 0) := "010000";
constant ADI : std_logic_vector(5 downto 0) := "010001";
constant SUB : std_logic_vector(5 downto 0) := "010010";
constant MUL : std_logic_vector(5 downto 0) := "010011";
constant IAND : std_logic_vector(5 downto 0) := "010100"; --avoid keyword
constant IOR : std_logic_vector(5 downto 0) := "010101"; --avoid keyword
constant IXOR : std_logic_vector(5 downto 0) := "010110"; --avoid keyword
constant IROR : std_logic_vector(5 downto 0) := "010111"; --avoid keyword
constant JNZ : std_logic_vector(5 downto 0) := "100000";
constant JNS : std_logic_vector(5 downto 0) := "100001";
constant JNV : std_logic_vector(5 downto 0) := "100010";
constant JNC : std_logic_vector(5 downto 0) := "100011";
constant JZ : std_logic_vector(5 downto 0) := "100100";
constant JS : std_logic_vector(5 downto 0) := "100101";
constant JV : std_logic_vector(5 downto 0) := "100110";
constant JC : std_logic_vector(5 downto 0) := "100111";
constant JMP : std_logic_vector(5 downto 0) := "101000";
constant CMP : std_logic_vector(5 downto 0) := "101010";
--constant T11 : std_logic_vector(5 downto 0) := "101110";
--constant T12 : std_logic_vector(5 downto 0) := "101111";
constant CALL : std_logic_vector(5 downto 0) := "110000";
constant CMPI : std_logic_vector(5 downto 0) := "110010";
constant RET : std_logic_vector(5 downto 0) := "110100";
constant RETI : std_logic_vector(5 downto 0) := "110101";
constant PUSH : std_logic_vector(5 downto 0) := "111000";
constant POP : std_logic_vector(5 downto 0) := "111001";
constant SYS : std_logic_vector(5 downto 0) := "111100";
constant SIG0 : std_logic_vector(5 downto 0) := "111110";
constant SIG1 : std_logic_vector(5 downto 0) := "111111";
constant MLOAD0 : std_logic_vector(5 downto 0) := "011001";
constant MLOAD1 : std_logic_vector(5 downto 0) := "011010";
constant MLOAD2 : std_logic_vector(5 downto 0) := "011011";
constant MLOAD3 : std_logic_vector(5 downto 0) := "011100";
constant WLOAD : std_logic_vector(5 downto 0) := "011101";
constant STMD : std_logic_vector(5 downto 0) := "101100";
constant FIN : std_logic_vector(5 downto 0) := "101101";
constant MSTM0 : std_logic_vector(5 downto 0) := "101001";
constant MSTM1 : std_logic_vector(5 downto 0) := "101011";
constant LDMD : std_logic_vector(5 downto 0) := "111010";
constant WPAD : std_logic_vector(5 downto 0) := "111011";
constant WORD_BITS : integer := 64;
subtype WORD_TYPE is std_logic_vector(63 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
--shared variable w_80 : WORD_VECTOR(0 to 79);
----------------------------------------------------------------
constant K_TABLE : WORD_VECTOR(0 to 79) := (
0 => To_StdLogicVector(bit_vector'(X"428a2f98d728ae22")),
1 => To_StdLogicVector(bit_vector'(X"7137449123ef65cd")),
2 => To_StdLogicVector(bit_vector'(X"b5c0fbcfec4d3b2f")),
3 => To_StdLogicVector(bit_vector'(X"e9b5dba58189dbbc")),
4 => To_StdLogicVector(bit_vector'(X"3956c25bf348b538")),
5 => To_StdLogicVector(bit_vector'(X"59f111f1b605d019")),
6 => To_StdLogicVector(bit_vector'(X"923f82a4af194f9b")),
7 => To_StdLogicVector(bit_vector'(X"ab1c5ed5da6d8118")),
8 => To_StdLogicVector(bit_vector'(X"d807aa98a3030242")),
9 => To_StdLogicVector(bit_vector'(X"12835b0145706fbe")),
10 => To_StdLogicVector(bit_vector'(X"243185be4ee4b28c")),
11 => To_StdLogicVector(bit_vector'(X"550c7dc3d5ffb4e2")),
12 => To_StdLogicVector(bit_vector'(X"72be5d74f27b896f")),
13 => To_StdLogicVector(bit_vector'(X"80deb1fe3b1696b1")),
14 => To_StdLogicVector(bit_vector'(X"9bdc06a725c71235")),
15 => To_StdLogicVector(bit_vector'(X"c19bf174cf692694")),
16 => To_StdLogicVector(bit_vector'(X"e49b69c19ef14ad2")),
17 => To_StdLogicVector(bit_vector'(X"efbe4786384f25e3")),
18 => To_StdLogicVector(bit_vector'(X"0fc19dc68b8cd5b5")),
19 => To_StdLogicVector(bit_vector'(X"240ca1cc77ac9c65")),
20 => To_StdLogicVector(bit_vector'(X"2de92c6f592b0275")),
21 => To_StdLogicVector(bit_vector'(X"4a7484aa6ea6e483")),
22 => To_StdLogicVector(bit_vector'(X"5cb0a9dcbd41fbd4")),
23 => To_StdLogicVector(bit_vector'(X"76f988da831153b5")),
24 => To_StdLogicVector(bit_vector'(X"983e5152ee66dfab")),
25 => To_StdLogicVector(bit_vector'(X"a831c66d2db43210")),
26 => To_StdLogicVector(bit_vector'(X"b00327c898fb213f")),
27 => To_StdLogicVector(bit_vector'(X"bf597fc7beef0ee4")),
28 => To_StdLogicVector(bit_vector'(X"c6e00bf33da88fc2")),
29 => To_StdLogicVector(bit_vector'(X"d5a79147930aa725")),
30 => To_StdLogicVector(bit_vector'(X"06ca6351e003826f")),
31 => To_StdLogicVector(bit_vector'(X"142929670a0e6e70")),
32 => To_StdLogicVector(bit_vector'(X"27b70a8546d22ffc")),
33 => To_StdLogicVector(bit_vector'(X"2e1b21385c26c926")),
34 => To_StdLogicVector(bit_vector'(X"4d2c6dfc5ac42aed")),
35 => To_StdLogicVector(bit_vector'(X"53380d139d95b3df")),
36 => To_StdLogicVector(bit_vector'(X"650a73548baf63de")),
37 => To_StdLogicVector(bit_vector'(X"766a0abb3c77b2a8")),
38 => To_StdLogicVector(bit_vector'(X"81c2c92e47edaee6")),
39 => To_StdLogicVector(bit_vector'(X"92722c851482353b")),
40 => To_StdLogicVector(bit_vector'(X"a2bfe8a14cf10364")),
41 => To_StdLogicVector(bit_vector'(X"a81a664bbc423001")),
42 => To_StdLogicVector(bit_vector'(X"c24b8b70d0f89791")),
43 => To_StdLogicVector(bit_vector'(X"c76c51a30654be30")),
44 => To_StdLogicVector(bit_vector'(X"d192e819d6ef5218")),
45 => To_StdLogicVector(bit_vector'(X"d69906245565a910")),
46 => To_StdLogicVector(bit_vector'(X"f40e35855771202a")),
47 => To_StdLogicVector(bit_vector'(X"106aa07032bbd1b8")),
48 => To_StdLogicVector(bit_vector'(X"19a4c116b8d2d0c8")),
49 => To_StdLogicVector(bit_vector'(X"1e376c085141ab53")),
50 => To_StdLogicVector(bit_vector'(X"2748774cdf8eeb99")),
51 => To_StdLogicVector(bit_vector'(X"34b0bcb5e19b48a8")),
52 => To_StdLogicVector(bit_vector'(X"391c0cb3c5c95a63")),
53 => To_StdLogicVector(bit_vector'(X"4ed8aa4ae3418acb")),
54 => To_StdLogicVector(bit_vector'(X"5b9cca4f7763e373")),
55 => To_StdLogicVector(bit_vector'(X"682e6ff3d6b2b8a3")),
56 => To_StdLogicVector(bit_vector'(X"748f82ee5defb2fc")),
57 => To_StdLogicVector(bit_vector'(X"78a5636f43172f60")),
58 => To_StdLogicVector(bit_vector'(X"84c87814a1f0ab72")),
59 => To_StdLogicVector(bit_vector'(X"8cc702081a6439ec")),
60 => To_StdLogicVector(bit_vector'(X"90befffa23631e28")),
61 => To_StdLogicVector(bit_vector'(X"a4506cebde82bde9")),
62 => To_StdLogicVector(bit_vector'(X"bef9a3f7b2c67915")),
63 => To_StdLogicVector(bit_vector'(X"c67178f2e372532b")),
64 => To_StdLogicVector(bit_vector'(X"ca273eceea26619c")),
65 => To_StdLogicVector(bit_vector'(X"d186b8c721c0c207")),
66 => To_StdLogicVector(bit_vector'(X"eada7dd6cde0eb1e")),
67 => To_StdLogicVector(bit_vector'(X"f57d4f7fee6ed178")),
68 => To_StdLogicVector(bit_vector'(X"06f067aa72176fba")),
69 => To_StdLogicVector(bit_vector'(X"0a637dc5a2c898a6")),
70 => To_StdLogicVector(bit_vector'(X"113f9804bef90dae")),
71 => To_StdLogicVector(bit_vector'(X"1b710b35131c471b")),
72 => To_StdLogicVector(bit_vector'(X"28db77f523047d84")),
73 => To_StdLogicVector(bit_vector'(X"32caab7b40c72493")),
74 => To_StdLogicVector(bit_vector'(X"3c9ebe0a15c9bebc")),
75 => To_StdLogicVector(bit_vector'(X"431d67c49c100d4c")),
76 => To_StdLogicVector(bit_vector'(X"4cc5d4becb3e42b6")),
77 => To_StdLogicVector(bit_vector'(X"597f299cfc657e2a")),
78 => To_StdLogicVector(bit_vector'(X"5fcb6fab3ad6faec")),
79 => To_StdLogicVector(bit_vector'(X"6c44198c4a475817"))
);
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6a09e667f3bcc908"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"bb67ae8584caa73b"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"3c6ef372fe94f82b"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"a54ff53a5f1d36f1"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"510e527fade682d1"));
constant H5_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"9b05688c2b3e6c1f"));
constant H6_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"1f83d9abfb41bd6b"));
constant H7_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5be0cd19137e2179"));
-------------------------------------------------------------------------
-- a,b,c,d,e,f,g,h
signal wva : WORD_TYPE;
signal wvb : WORD_TYPE;
signal wvc : WORD_TYPE;
signal wvd : WORD_TYPE;
signal wve : WORD_TYPE;
signal wvf : WORD_TYPE;
signal wvg : WORD_TYPE;
signal wvh : WORD_TYPE;
signal t1_val : WORD_TYPE;
signal t2_val : WORD_TYPE;
-- H0,H1,H2,H3,H4,H5,H6,H7
signal h0 : WORD_TYPE;
signal h1 : WORD_TYPE;
signal h2 : WORD_TYPE;
signal h3 : WORD_TYPE;
signal h4 : WORD_TYPE;
signal h5 : WORD_TYPE;
signal h6 : WORD_TYPE;
signal h7 : WORD_TYPE;
signal rcount : std_logic_vector(31 downto 0);
signal tmp1, tmp2, tmp3, tmp4, tmp5, tmp6, tmp7: std_logic_vector(63 downto 0);
signal mvect : WORD_VECTOR(0 to 15);
signal dvect : WORD_VECTOR(0 to 7);
signal wout: std_logic_vector(63 downto 0);
signal lcount: std_logic_vector(31 downto 0);
signal scount: std_logic_vector(31 downto 0);
begin
--Display condition code on LEDR for debugging purpose
LEDR(3) <= Z when key='0' else '0';
LEDR(2) <= C when key='0' else '0';
LEDR(1) <= S when key='0' else '0';
LEDR(0) <= V when key='0' else '0';
--CPU bus interface
MEM_OUT <= MDR_out; --Outgoing data bus
MEM_ADR <= MAR; --Address bus
--One clock cycle delay in obtaining CPU_state, e.g. S1->S2
mem_rd <= '1' when ((Opcode2=LDM or Opcode2=LDR or Opcode2=LDMD) and stage2=S2) else
'1' when (stage1=S2 and not stall) else
'1' when ((Opcode2=POP or Opcode2=RET) and stage2=S2) else
'1' when (Opcode2=RETI and stage2=S2) else
'1' when ((Opcode3=RETI or Opcode3=LDMD) and stage3=S2) else
'0'; --Memory read control signal
mem_wr <= '1' when ((Opcode3=STM or Opcode3=STR or Opcode3 = STMD) and stage3=S1) else
'1' when ((Opcode3=PUSH or Opcode3=CALL) and stage3=S2) else
'1' when (Opcode3=SYS and stage3=S2) else
'1' when ((Opcode4=SYS or Opcode4=STMD) and stage4=S2) else
'0'; --Memory write control signal
stall <= true when(Opcode2=LDM or Opcode2=LDR or Opcode2=STM or Opcode2=STR or Opcode2=WPAD or Opcode2 = LDMD or Opcode2 = STMD) else
true when(Opcode2=CALL or Opcode2=PUSH or Opcode2=POP or Opcode2=RET
or Opcode2=SYS or Opcode2=RETI) else
true when(Opcode3=CALL or Opcode3=RET or Opcode3=PUSH
or Opcode3=SYS or Opcode3=RETI or Opcode3=LDMD or Opcode3 = STMD or Opcode3 = WPAD) else
true when(Opcode4=SYS or Opcode4=RETI or Opcode4 = STMD) else
false;
--The state machine that is CPU
CPU_State_Machine: process (clk, rst)
begin
if rst='1' then
update <= S1;
stage1 <= S1;
stage2 <= S1;
stage3 <= S1;
stage4 <= S1;
rcount <= x"00000000";
lcount <= x"00000000";
scount <= x"00000000";
h0 <= H0_INIT;
h1 <= H1_INIT;
h2 <= H2_INIT;
h3 <= H3_INIT;
h4 <= H4_INIT;
h5 <= H5_INIT;
h6 <= H6_INIT;
h7 <= H7_INIT;
wva <= H0_INIT;
wvb <= H1_INIT;
wvc <= H2_INIT;
wvd <= H3_INIT;
wve <= H4_INIT;
wvf <= H5_INIT;
wvg <= H6_INIT;
wvh <= H7_INIT;
t1_val <= X"0000000000000000";
t2_val <= X"0000000000000000";
PC <= x"00000000"; --initialize PC
SP <= x"000FF7FF"; --initialize SP
IR2 <= x"00000000";
IR3 <= x"00000000";
IR4 <= x"00000000";
elsif clk'event and clk = '1' then
case update is
when S1 =>
update <= S2;
when S2 =>
if (stall or
(Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z='0') or
(Opcode2=JNS and S='1') or (Opcode2=JS and S='0') or
(Opcode2=JNV and V='1') or (Opcode2=JV and V='0') or
(Opcode2=JNC and C='1') or (Opcode2=JC and C='0') ) then
IR2 <= x"00000000"; --insert NOP
else
IR2 <= MEM_in;
end if;
IR3 <= IR2;
IR4 <= IR3;
update <= S1;
when others =>
null;
end case;
case stage1 is
when S1 =>
if (not stall) then
if(Opcode2=JMP or Opcode2=JNZ or Opcode2=JZ or Opcode2=JNS or
Opcode2=JS or Opcode2=JNV or Opcode2=JV or
Opcode2=JNC or Opcode2=JC) then
MAR <= x"000" & M2;
else
MAR <= std_logic_vector(PC);
end if;
end if;
stage1 <= S2;
when S2 =>
if (not stall) then
if (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= (x"000" & unsigned(M2))+1;
elsif ((Opcode2=JNZ and Z='1') or (Opcode2=JZ and Z = '0') or
(Opcode2=JNS and S = '1')or (Opcode2=JS and S = '0') or
(Opcode2=JNV and V = '1') or (Opcode2=JV and V = '0') or
(Opcode2=JNC and C = '1') or (Opcode2=JC and C = '0')) then
null;
else
PC <= PC + 1;
end if;
end if;
stage1 <= S1;
when others =>
null;
end case;
case stage2 is
when S1 =>
if (Opcode2=LDI) then
register_file(to_integer(unsigned(RX2)))<=(31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDH) then
register_file(to_integer(unsigned(RX2)))
<= I2 & register_file(to_integer(unsigned(RX2)))(15 downto 0);
--(31 downto 16)<= I2;
elsif (Opcode2=LDL) then
register_file(to_integer(unsigned(RX2)))
<= register_file(to_integer(unsigned(RX2)))(31 downto 16) & I2;
--(15 downto 0)<= I2;
elsif (Opcode2=MOV) then
register_file(to_integer(unsigned(RX2)))<=register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=ADD or Opcode2=SUB or Opcode2=MUL or Opcode2=CMP or
Opcode2=IAND or Opcode2=IOR or Opcode2=IXOR) then
operand1 <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=IROR) then
null;
elsif (Opcode2=ADI or Opcode2=CMPI) then
operand1 <= (31 downto 16=>I2(15)) & I2;
elsif (Opcode2=LDM or Opcode2 = LDMD) then
MAR <= x"000" & M2;
tmpxx <= std_logic_vector((unsigned(M2) + 1));
elsif (Opcode2=LDR) then
MAR <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=STM) then
MAR <= x"000" & M2; MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2=STMD) then
dvect(0) <= std_logic_vector(unsigned(wva) + unsigned(h0));
dvect(1) <= std_logic_vector(unsigned(wvb) + unsigned(h1));
dvect(2) <= std_logic_vector(unsigned(wvc) + unsigned(h2));
dvect(3) <= std_logic_vector(unsigned(wvd) + unsigned(h3));
dvect(4) <= std_logic_vector(unsigned(wve) + unsigned(h4));
dvect(5) <= std_logic_vector(unsigned(wvf) + unsigned(h5));
dvect(6) <= std_logic_vector(unsigned(wvg) + unsigned(h6));
dvect(7) <= std_logic_vector(unsigned(wvh) + unsigned(h7));
MAR <= x"000" & M2;
MDR_out <= dvect(to_integer(unsigned(scount)))(63 downto 32);
tmpyy <= x"000" & std_logic_vector(unsigned(M2) + 1);
elsif (Opcode2=STR) then
MAR <= register_file(to_integer(unsigned(RX2)));
MDR_out <= register_file(to_integer(unsigned(RY2)));
elsif (Opcode2=JMP or
(Opcode2=JNZ and Z='0') or (Opcode2=JZ and Z='1') or
(Opcode2=JNS and S='0') or (Opcode2=JS and S='1') or
(Opcode2=JNV and V='0') or (Opcode2=JV and V='1') or
(Opcode2=JNC and C='0') or (Opcode2=JC and C='1') ) then
PC <= x"000" & unsigned(M2);
elsif (Opcode2=CALL or Opcode2=PUSH or Opcode2=SYS) then
SP <= SP + 1;
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MAR <= std_logic_vector(SP);
elsif (Opcode2 = WPAD) then
if (to_integer(unsigned(rcount)) < 1) then
h0 <= H0_INIT;
h1 <= H1_INIT;
h2 <= H2_INIT;
h3 <= H3_INIT;
h4 <= H4_INIT;
h5 <= H5_INIT;
h6 <= H6_INIT;
h7 <= H7_INIT;
wva <= H0_INIT;
wvb <= H1_INIT;
wvc <= H2_INIT;
wvd <= H3_INIT;
wve <= H4_INIT;
wvf <= H5_INIT;
wvg <= H6_INIT;
wvh <= H7_INIT;
end if;
if (to_integer(unsigned(rcount)) < 16) then
wout <= std_logic_vector(mvect(to_integer(unsigned(rcount))));
else
wout <= std_Logic_vector(
unsigned(unsigned(rotate_right(unsigned(mvect(14)),19)) xor unsigned(rotate_right(unsigned(mvect(14)),61)) xor unsigned(shift_right(unsigned(mvect(14)),6))) +
unsigned(mvect(9)) +
unsigned(unsigned(rotate_right(unsigned(mvect(1)),1)) xor unsigned(rotate_right(unsigned(mvect(1)),8)) xor unsigned(shift_right(unsigned(mvect(1)),7))) +
unsigned(mvect(0)));
end if;
end if;
stage2 <= S2;
when S2 =>
if (Opcode2=ADD or Opcode2=SUB or Opcode2=IROR or Opcode2=IAND or
Opcode2=MUL or Opcode2=IOR or Opcode2=IXOR or Opcode2=ADI) then
register_file(to_integer(unsigned(RX2))) <= ALU_out;
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC
elsif (Opcode2=CMP or Opcode2=CMPI) then
Z <= zero; S <= ALU_out(31); V <= overflow; C <= carry; --update CC only
elsif (Opcode2=LDM or Opcode2=LDR or Opcode2 = LDMD) then
MDR_in <= MEM_in;
elsif (Opcode2=STM or Opcode2=STR) then
null;
elsif(Opcode2=STMD) then
register_file(to_integer(unsigned(RX3))) <= MAR;
elsif (Opcode2=CALL or Opcode2=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= std_logic_vector(PC);
elsif (Opcode2=RET or Opcode2=RETI or Opcode2=POP) then
MDR_in <= MEM_IN; SP <= SP - 1;
elsif (Opcode2=PUSH) then
MAR <= std_logic_vector(SP);
MDR_out <= register_file(to_integer(unsigned(RX2)));
elsif (Opcode2 = WPAD) then
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(to_integer(unsigned(rcount)))) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
end if;
stage2 <= S1;
when others =>
null;
end case;
case stage3 is
when S1 =>
if (Opcode3=LDM or Opcode3=LDR) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3=LDMD) then
mvect(to_integer(unsigned(lcount)))(63 downto 32) <= MDR_in;
MAR <= x"000" & tmpxx;
register_file(to_integer(unsigned(RX3))) <= std_logic_vector(lcount);
elsif (Opcode3=STM or Opcode3=STR) then
null;
elsif (Opcode3 = STMD) then
register_file(to_integer(unsigned(RY3))) <= tmpyy;
elsif (Opcode3=CALL) then
PC <= x"000" & unsigned(M3);
elsif (Opcode3=POP) then
register_file(to_integer(unsigned(RX3))) <= MDR_in;
elsif (Opcode3=RET) then
PC <= unsigned(MDR_in);
elsif (Opcode3=RETI) then
PSW <= MDR_in; MAR <= std_logic_vector(SP);
elsif (Opcode3=PUSH) then
null;
elsif (Opcode3=SYS) then
SP <= SP + 1;
elsif(Opcode3 = WPAD) then
if (to_integer(unsigned(rcount)) < 16) then
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
rcount <= std_logic_vector((unsigned(rcount)+1));
else
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
mvect(0) <= mvect(1);
mvect(1) <= mvect(2);
mvect(2) <= mvect(3);
mvect(3) <= mvect(4);
mvect(4) <= mvect(5);
mvect(5) <= mvect(6);
mvect(6) <= mvect(7);
mvect(7) <= (mvect(8));
mvect(8) <= (mvect(9));
mvect(9) <= (mvect(10));
mvect(10) <= (mvect(11));
mvect(11) <= (mvect(12));
mvect(12) <= (mvect(13));
mvect(13) <= (mvect(14));
mvect(14) <= (mvect(15));
mvect(15) <= wout;
rcount <= std_logic_vector((unsigned(rcount)+1));
end if;
end if;
stage3 <= S2;
when S2 =>
if (Opcode3=RETI) then
MDR_in <= MEM_IN; sp <= sp - 1;
elsif (Opcode3=SYS) then
MAR <= std_logic_vector(SP);
MDR_out <= PSW;
elsif(Opcode3 = LDMD) then
MDR_in <= MEM_in;
elsif(Opcode3 = STMD) then
MAR <= tmpyy;
MDR_out <= dvect(to_integer(unsigned(scount)))(31 downto 0);
elsif (Opcode3 = WPAD) then
if (to_integer(unsigned(rcount)) < 1) then
h0 <= H0_INIT;
h1 <= H1_INIT;
h2 <= H2_INIT;
h3 <= H3_INIT;
h4 <= H4_INIT;
h5 <= H5_INIT;
h6 <= H6_INIT;
h7 <= H7_INIT;
wva <= H0_INIT;
wvb <= H1_INIT;
wvc <= H2_INIT;
wvd <= H3_INIT;
wve <= H4_INIT;
wvf <= H5_INIT;
wvg <= H6_INIT;
wvh <= H7_INIT;
end if;
if (to_integer(unsigned(rcount)) < 16) then
wout <= std_logic_vector(mvect(to_integer(unsigned(rcount))));
else
wout <= std_Logic_vector(
unsigned(unsigned(rotate_right(unsigned(mvect(14)),19)) xor unsigned(rotate_right(unsigned(mvect(14)),61)) xor unsigned(shift_right(unsigned(mvect(14)),6))) +
unsigned(mvect(9)) +
unsigned(unsigned(rotate_right(unsigned(mvect(1)),1)) xor unsigned(rotate_right(unsigned(mvect(1)),8)) xor unsigned(shift_right(unsigned(mvect(1)),7))) +
unsigned(mvect(0)));
end if;
end if;
stage3 <= S1;
when others =>
null;
end case;
case stage4 is
when S1 =>
if (Opcode4=RETI) then
PC <= unsigned(MDR_in);
elsif (Opcode4=SYS) then
PC <= X"000FFC0"&unsigned(IR4(3 downto 0));
elsif (Opcode4 = LDMD) then
mvect(to_integer(unsigned(lcount)))(31 downto 0) <= MDR_in;
elsif (Opcode4 = STMD) then
null;
elsif (Opcode4 = WPAD) then
t1_val <= std_logic_vector(
(unsigned(wvh) +
(unsigned(rotate_right(unsigned(wve), 14)) xor unsigned(rotate_right(unsigned(wve), 18)) xor unsigned(rotate_right(unsigned(wve), 41))) +
((unsigned(wve) and unsigned(wvf)) xor (not(unsigned(wve)) and unsigned(wvg))) +
(unsigned(K_TABLE(to_integer(unsigned(rcount)))) + unsigned(wout))
));
t2_val <= std_logic_vector(
(unsigned(rotate_right(unsigned(wva), 28)) xor unsigned(rotate_right(unsigned(wva), 34)) xor unsigned(rotate_right(unsigned(wva), 39))) +
(((unsigned(wva)) and (unsigned(wvb))) xor ((unsigned(wva)) and (unsigned(wvc))) xor ((unsigned(wvb)) and (unsigned(wvc))))
);
else stage4 <= S2;
end if;
stage4 <= S2;
when S2 =>
if (Opcode4 = LDMD) then
lcount <= std_logic_vector(unsigned(lcount)+1);
elsif (Opcode4 = STMD) then
if (to_integer(unsigned(scount)) = 7) then
scount <= x"00000000";
else
scount <= std_logic_vector(unsigned(scount) + 1);
end if;
elsif(Opcode4 = WPAD) then
if (to_integer(unsigned(rcount)) < 16) then
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
rcount <= std_logic_vector((unsigned(rcount)+1));
else
wvh <= wvg;
wvg <= wvf;
wvf <= wve;
wve <= std_logic_vector(unsigned(wvd) + unsigned(t1_val));
wvd <= wvc;
wvc <= wvb;
wvb <= wva;
wva <= std_logic_vector(unsigned(t1_val) + unsigned(t2_val));
mvect(0) <= mvect(1);
mvect(1) <= mvect(2);
mvect(2) <= mvect(3);
mvect(3) <= mvect(4);
mvect(4) <= mvect(5);
mvect(5) <= mvect(6);
mvect(6) <= mvect(7);
mvect(7) <= (mvect(8));
mvect(8) <= (mvect(9));
mvect(9) <= (mvect(10));
mvect(10) <= (mvect(11));
mvect(11) <= (mvect(12));
mvect(12) <= (mvect(13));
mvect(13) <= (mvect(14));
mvect(14) <= (mvect(15));
mvect(15) <= wout;
rcount <= std_logic_vector((unsigned(rcount)+1));
end if;
end if;
stage4 <= S1;
when others =>
null;
end case;
end if;
end process;
--------------------ALU----------------------------
Rhody_ALU: entity work.alu port map(
alu_op => IR2(28 downto 26),
operand0 => operand0,
operand1 => operand1,
n => IR2(4 downto 0),
alu_out => ALU_out,
carry => carry,
overflow => overflow);
zero <= '1' when alu_out = X"00000000" else '0';
operand0 <= register_file(to_integer(unsigned(RX2)));
-----------------------------------------------------
end Structural;
|
gpl-3.0
|
CprE488/Final
|
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/vhdl/crc_calc.vhd
|
1
|
7094
|
-- *********************************************************************
-- Copyright 2008, Cypress Semiconductor Corporation.
--
-- This software is owned by Cypress Semiconductor Corporation (Cypress)
-- and is protected by United States copyright laws and international
-- treaty provisions. Therefore, you must treat this software like any
-- other copyrighted material (e.g., book, or musical recording), with
-- the exception that one copy may be made for personal use or
-- evaluation. Reproduction, modification, translation, compilation, or
-- representation of this software in any other form (e.g., paper,
-- magnetic, optical, silicon, etc.) is prohibited without the express
-- written permission of Cypress.
--
-- Disclaimer: Cypress makes no warranty of any kind, express or
-- implied, with regard to this material, including, but not limited to,
-- the implied warranties of merchantability and fitness for a particular
-- purpose. Cypress reserves the right to make changes without further
-- notice to the materials described herein. Cypress does not assume any
-- liability arising out of the application or use of any product or
-- circuit described herein. Cypress' products described herein are not
-- authorized for use as components in life-support devices.
--
-- This software is protected by and subject to worldwide patent
-- coverage, including U.S. and foreign patents. Use may be limited by
-- and subject to the Cypress Software License Agreement.
--
-- *********************************************************************
-- Author : $Author: fvk $ @ cypress.com
-- Department : MPD_BE
-- Date : $Date: 2010-04-14 14:16:04 +0200 (Wed, 14 Apr 2010) $
-- Revision : $Revision: 189 $
-- *********************************************************************
-- Description
--
-- *********************************************************************
-------------
-- LIBRARY --
-------------
--common:
---------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_signed.all;
-- CRC Tool by Easics
library work;
use work.all;
use work.PCK_CRC10_D10.all;
use work.PCK_CRC8_D8.all;
--use work.app_pack.all;
-----------------------
-- ENTITY DEFINITION --
-----------------------
entity crc_calc is
generic (
DATAWIDTH : integer ;
POLYNOMIAL : std_logic_vector := "11001001111";
USE_CRC_TOOL : boolean := TRUE
);
port ( -- System
-- APP_CFG_REG : in AppCfgRegTp;
INITVALUE : in std_logic;
CLOCK : in std_logic;
RESET : in std_logic;
-- Data input
INIT : in std_logic;
DATA_IN : in std_logic_vector(DATAWIDTH-1 downto 0);
CRC_OUT : out std_logic_vector(DATAWIDTH-1 downto 0)
);
end;
---------------------------
-- BEHAVIOUR DESCRIPTION --
---------------------------
architecture rtl of crc_calc is
----------------------------
-- COMPONENTS DEFINITIONS --
----------------------------
-- Xilinx components
-- none
-- user components
-- none
-------------------------------
-- TYPE & SIGNAL DEFINITIONS --
-------------------------------
type temptp is array (DATAWIDTH downto 0) of std_logic_vector(DATAWIDTH downto 0);
signal CRC_own : std_logic_vector(DATAWIDTH-1 downto 0);
signal CRC_tool : unsigned(DATAWIDTH-1 downto 0);
signal tmparray : temptp;
--------------------
-- MAIN BEHAVIOUR --
--------------------
begin
CRC_OUT <= std_logic_vector(CRC_tool(DATAWIDTH-1 downto 0)) when (USE_CRC_TOOL = TRUE) else CRC_own;
CRCModule:process(CLOCK, RESET)
variable CRC_own_i : std_logic_vector(DATAWIDTH downto 0);
variable temp : std_logic_vector(DATAWIDTH downto 0);
begin
if (RESET ='1') then
CRC_own_i := (others => '1');
CRC_tool <= (others => '1');
CRC_own <= (others => '1');
--
elsif (CLOCK'event and CLOCK = '1') then
--DATA_IN_delay1 <= DATA_IN;
--DATA_IN_delay2 <= DATA_IN_delay1;
-- if (INIT='1' and APP_CFG_REG.sysmode(7) = '0') then
if (INIT='1' and INITVALUE = '0') then
CRC_tool <= (others => '1'); --CRC tool by easics
CRC_own <= (others => '1'); --own implementation
CRC_own_i := (others => '1');
-- elsif ( INIT='1' and APP_CFG_REG.sysmode(7) = '1') then
elsif ( INIT='1' and INITVALUE = '1') then
CRC_tool <= (others => '0'); --CRC tool by easics
CRC_own <= (others => '0'); --own implementation
CRC_own_i := (others => '0');
else
CRC_own_i(DATAWIDTH-1 downto 0) := DATA_IN xor CRC_own_i(DATAWIDTH downto 1);
CRC_own_i(DATAWIDTH) := '0';
for i in (DATAWIDTH) downto 0 loop
if (CRC_own_i(CRC_own_i'high) = '1') then
temp := (CRC_own_i xor POLYNOMIAL);
CRC_own_i := (temp(DATAWIDTH-1 downto 0) & '0');
tmparray(DATAWIDTH-i) <= temp;
else
CRC_own_i := (CRC_own_i(DATAWIDTH-1 downto 0) & '0');
end if;
end loop;
if (DATAWIDTH = 10) then
CRC_tool <= nextCRC10_D10(unsigned(DATA_IN),unsigned(CRC_tool)); --CRC tool by easics
else
CRC_tool <= nextCRC8_D8(unsigned(DATA_IN),unsigned(CRC_tool)); --CRC tool by easics
end if;
CRC_own <= CRC_own_i(DATAWIDTH downto 1); --own implementation
end if;
end if;
end process;
end rtl;
|
gpl-3.0
|
CprE488/Final
|
system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd
|
2
|
15657
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd
--
-- Description:
-- Used for protocol control on write and read interface stimulus and status generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pkg.ALL;
ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS
GENERIC(
AXI_CHANNEL : STRING :="NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_pc_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL state : STD_LOGIC := '0';
SIGNAL wr_control : STD_LOGIC := '0';
SIGNAL rd_control : STD_LOGIC := '0';
SIGNAL stop_on_err : STD_LOGIC := '0';
SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
SIGNAL sim_done_i : STD_LOGIC := '0';
SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL reset_en_i : STD_LOGIC := '0';
SIGNAL state_d1 : STD_LOGIC := '0';
SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
BEGIN
status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & '0';
STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0';
prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
SIM_DONE <= sim_done_i;
rdw_gt_wrw <= (OTHERS => '1');
wrw_gt_rdw <= (OTHERS => '1');
PROCESS(RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(prc_re_i = '1') THEN
rd_activ_cont <= rd_activ_cont + "1";
END IF;
END IF;
END PROCESS;
PROCESS(sim_done_i)
BEGIN
assert sim_done_i = '0'
report "Simulation Complete for:" & AXI_CHANNEL
severity note;
END PROCESS;
-----------------------------------------------------
-- SIM_DONE SIGNAL GENERATION
-----------------------------------------------------
PROCESS (RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
--sim_done_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
sim_done_i <= '1';
END IF;
END IF;
END PROCESS;
-- TB Timeout/Stop
fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0' AND state_d1 = '1') THEN
sim_stop_cntr <= sim_stop_cntr - "1";
END IF;
END IF;
END PROCESS;
END GENERATE fifo_tb_stop_run;
-- Stop when error found
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(sim_done_i = '0') THEN
status_d1_i <= status_i OR status_d1_i;
END IF;
IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
stop_on_err <= '1';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- CHECKS FOR FIFO
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
post_rst_dly_rd <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
post_rst_dly_wr <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
END IF;
END PROCESS;
-- FULL de-assert Counter
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_ds_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
full_ds_timeout <= full_ds_timeout + '1';
END IF;
ELSE
full_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- EMPTY deassert counter
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_ds_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
empty_ds_timeout <= empty_ds_timeout + '1';
END IF;
ELSE
empty_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- Full check signal generation
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_chk_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
full_chk_i <= '0';
ELSE
full_chk_i <= AND_REDUCE(full_as_timeout) OR
AND_REDUCE(full_ds_timeout);
END IF;
END IF;
END PROCESS;
-- Empty checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_chk_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
empty_chk_i <= '0';
ELSE
empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
AND_REDUCE(empty_ds_timeout);
END IF;
END IF;
END PROCESS;
fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
PRC_WR_EN <= prc_we_i AFTER 50 ns;
PRC_RD_EN <= prc_re_i AFTER 50 ns;
data_chk_i <= dout_chk;
END GENERATE fifo_d_chk;
-----------------------------------------------------
RESET_EN <= reset_en_i;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
state_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
state_d1 <= state;
END IF;
END PROCESS;
data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
-----------------------------------------------------
-- WR_EN GENERATION
-----------------------------------------------------
gen_rand_wr_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+1
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET_WR,
RANDOM_NUM => wr_en_gen,
ENABLE => '1'
);
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
ELSE
wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- WR_EN CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_cntr <= (OTHERS => '0');
wr_control <= '1';
full_as_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(wr_en_i = '1') THEN
wr_cntr <= wr_cntr + "1";
END IF;
full_as_timeout <= (OTHERS => '0');
ELSE
wr_cntr <= (OTHERS => '0');
IF(rd_en_i = '0') THEN
IF(wr_en_i = '1') THEN
full_as_timeout <= full_as_timeout + "1";
END IF;
ELSE
full_as_timeout <= (OTHERS => '0');
END IF;
END IF;
wr_control <= NOT wr_cntr(wr_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN GENERATION
-----------------------------------------------------
gen_rand_rd_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET_RD,
RANDOM_NUM => rd_en_gen,
ENABLE => '1'
);
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_en_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
ELSE
rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN CONTROL
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_cntr <= (OTHERS => '0');
rd_control <= '1';
empty_as_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(rd_en_i = '1') THEN
rd_cntr <= rd_cntr + "1";
END IF;
empty_as_timeout <= (OTHERS => '0');
ELSE
rd_cntr <= (OTHERS => '0');
IF(wr_en_i = '0') THEN
IF(rd_en_i = '1') THEN
empty_as_timeout <= empty_as_timeout + "1";
END IF;
ELSE
empty_as_timeout <= (OTHERS => '0');
END IF;
END IF;
rd_control <= NOT rd_cntr(rd_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- STIMULUS CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
state <= '0';
reset_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
CASE state IS
WHEN '0' =>
IF(FULL = '1' AND EMPTY = '0') THEN
state <= '1';
reset_en_i <= '0';
END IF;
WHEN '1' =>
IF(EMPTY = '1' AND FULL = '0') THEN
state <= '0';
reset_en_i <= '1';
END IF;
WHEN OTHERS => state <= state;
END CASE;
END IF;
END PROCESS;
END GENERATE data_fifo_en;
END ARCHITECTURE;
|
gpl-3.0
|
CprE488/Final
|
repository/ProcessorIPLib/pcores/axi_tpg_v2_00_a/hdl/vhdl/user_logic.vhd
|
1
|
20629
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Oct 22 10:34:41 2007 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 8;
C_FAMILY : string := "virtex5";
C_Chroma_Format : integer := 0 -- 0 = RGB444; 1 = YCbCr422
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
clk : in STD_LOGIC;
rst : in STD_LOGIC;
vsync_in : in std_logic;
hsync_in : in std_logic;
vblank_in : in std_logic;
hblank_in : in std_logic;
de_in : in std_logic;
red_in : in std_logic_vector(7 downto 0);
green_in : in std_logic_vector(7 downto 0);
blue_in : in std_logic_vector(7 downto 0);
vsync_out : out std_logic;
hsync_out : out std_logic;
vblank_out : out std_logic;
hblank_out : out std_logic;
de_out : out std_logic;
red_out : out std_logic_vector(7 downto 0);
green_out : out std_logic_vector(7 downto 0);
blue_out : out std_logic_vector(7 downto 0);
ZP_debug : out std_logic_vector(57 downto 0);
TPG_debug : out std_logic_vector(38 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
signal Control_reg : std_logic_vector(31 downto 0);
signal Motion_reg : std_logic_vector(31 downto 0);
signal XHairs_reg : std_logic_vector(31 downto 0);
signal FrameSize_reg : std_logic_vector(31 downto 0);
signal ZPlateHDelta_reg : std_logic_vector(31 downto 0);
signal ZPlateVDelta_reg : std_logic_vector(31 downto 0);
signal BoxSize_reg : std_logic_vector(31 downto 0);
signal BoxColour_reg : std_logic_vector(31 downto 0);
signal not_tpg_hsync_out : std_logic;
signal not_tpg_vsync_out : std_logic;
------------------------------------------
signal slv_reg_write_sel : std_logic_vector(0 to (C_NUM_REG-1));
signal slv_reg_read_sel : std_logic_vector(0 to (C_NUM_REG-1));
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
type slv_array1 is array (natural range <>) of std_logic_vector(C_SLV_DWIDTH-1 downto 0);
type slv_array2 is array (natural range <>) of std_logic_vector(C_SLV_DWIDTH-1 downto 0);
type slv_array_ce is array (natural range <>) of std_logic_vector(0 to C_NUM_REG-1);
type slv_array_be is array (natural range <>) of std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
constant slv_mask : slv_array2(0 to C_NUM_REG-1) := (
x"00000fff", --00 0
x"000001ff", --04 1
x"0fff0fff", --08 2
x"0fff0fff", --0c 3
x"ffffffff", --10 4
x"ffffffff", --14 5
x"00000fff", --18 6
x"00ffffff" --1c 7
);
signal slv_reg : slv_array2((C_NUM_REG-1) downto 0);
signal le_slv_reg : slv_array1(C_NUM_REG-1 downto 0);
signal slv_reg_stat : slv_array2(C_NUM_REG-1 downto 0);
signal le_slv_reg_stat : slv_array1(C_NUM_REG-1 downto 0);
signal Bus2IP_Data_sync : slv_array2(1 downto 0);
signal slv_ip2bus_data_sync : slv_array2(1 downto 0);
signal Bus2IP_WrCE_sync : slv_array_ce(1 downto 0);
signal Bus2IP_RdCE_sync : slv_array_ce(1 downto 0);
signal Bus2IP_BE_sync : slv_array_be(1 downto 0);
signal Bus2IP_Reset_sync : std_logic_vector(1 downto 0);
signal reset_core : std_logic;
signal slv_write_ack_sync : std_logic_vector(1 downto 0);
signal slv_read_ack_sync : std_logic_vector(1 downto 0);
constant read_only_reg : std_logic_vector((C_NUM_REG-1) downto 0) := "00000000";
-- JLH: Signals used to created single beat acknowledge
signal rdack_d : std_logic := '0';
signal slv_read_ack_end : std_logic;
signal wrack_d : std_logic := '0';
signal slv_write_ack_end : std_logic;
signal RdCE_or : std_logic_vector(C_NUM_REG downto 0);
signal WrCE_or : std_logic_vector(C_NUM_REG downto 0);
attribute SYN_PRESERVE : boolean;
attribute KEEP : boolean;
attribute SYN_KEEP : boolean;
-- CW
attribute SYN_PRESERVE of Bus2IP_Data_sync : signal is TRUE;
attribute KEEP of Bus2IP_Data_sync : signal is TRUE;
attribute SYN_KEEP of Bus2IP_Data_sync : signal is TRUE;
attribute SYN_PRESERVE of slv_ip2bus_data_sync : signal is TRUE;
attribute KEEP of slv_ip2bus_data_sync : signal is TRUE;
attribute SYN_KEEP of slv_ip2bus_data_sync : signal is TRUE;
attribute SYN_PRESERVE of Bus2IP_WrCE_sync: signal is TRUE;
attribute KEEP of Bus2IP_WrCE_sync: signal is TRUE;
attribute SYN_KEEP of Bus2IP_WrCE_sync: signal is TRUE;
attribute SYN_PRESERVE of Bus2IP_RdCE_sync : signal is TRUE;
attribute KEEP of Bus2IP_RdCE_sync : signal is TRUE;
attribute SYN_KEEP of Bus2IP_RdCE_sync : signal is TRUE;
attribute SYN_PRESERVE of Bus2IP_BE_sync : signal is TRUE;
attribute KEEP of Bus2IP_BE_sync : signal is TRUE;
attribute SYN_KEEP of Bus2IP_BE_sync : signal is TRUE;
attribute SYN_PRESERVE of slv_write_ack_sync : signal is TRUE;
attribute KEEP of slv_write_ack_sync : signal is TRUE;
attribute SYN_KEEP of slv_write_ack_sync : signal is TRUE;
attribute SYN_PRESERVE of slv_read_ack_sync : signal is TRUE;
attribute KEEP of slv_read_ack_sync : signal is TRUE;
attribute SYN_KEEP of slv_read_ack_sync : signal is TRUE;
attribute SYN_PRESERVE of Bus2IP_Reset_sync : signal is TRUE;
attribute KEEP of Bus2IP_Reset_sync : signal is TRUE;
attribute SYN_KEEP of Bus2IP_Reset_sync : signal is TRUE;
attribute KEEP of slv_reg_read_sel : signal is TRUE;
attribute KEEP of slv_reg_write_sel : signal is TRUE;
attribute KEEP of Bus2IP_RdCE : signal is TRUE;
attribute KEEP of Bus2IP_WrCE : signal is TRUE;
-- end CW
begin
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
--- slv_reg_write_sel <= Bus2IP_WrCE(0 to 7);
--- slv_reg_read_sel <= Bus2IP_RdCE(0 to 7);
--- slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7);
--- slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7);
-- JLH: Variable input OR gates for ack end generation
RdCE_or(0)<='0';
WrCE_or(0)<='0';
GEN_OR: for i in 0 to C_NUM_REG-1 generate
RdCE_or(i+1)<=Bus2IP_RdCE(i) or RdCE_or(i);
WrCE_or(i+1)<=Bus2IP_WrCE(i) or WrCE_or(i);
end generate;
slv_read_ack_end <= RdCE_or(C_NUM_REG);
slv_write_ack_end <= WrCE_or(C_NUM_REG);
---- Including sync registers
slv_reg_write_sel <= Bus2IP_WrCE_sync(Bus2IP_WrCE_sync'high);
slv_write_ack <= '0' when(Bus2IP_WrCE_sync(Bus2IP_WrCE_sync'high) = 0) else '1';
slv_reg_read_sel <= Bus2IP_RdCE_sync(Bus2IP_RdCE_sync'high);
slv_read_ack <= '0' when(Bus2IP_RdCE_sync(Bus2IP_RdCE_sync'high) = 0) else '1';
reset_core <= Bus2IP_Reset_sync(Bus2IP_Reset_sync'high);
-- signal synchronization to clk domain
process(clk) is
begin
if (clk'event) and (clk = '1') then
Bus2IP_Data_sync <= Bus2IP_Data_sync(Bus2IP_Data_sync'high-1 downto 0) & Bus2IP_Data;
Bus2IP_WrCE_sync <= Bus2IP_WrCE_sync(Bus2IP_WrCE_sync'high-1 downto 0) & Bus2IP_WrCE;
Bus2IP_RdCE_sync <= Bus2IP_RdCE_sync(Bus2IP_RdCE_sync'high-1 downto 0) & Bus2IP_RdCE;
Bus2IP_BE_sync <= Bus2IP_BE_sync(Bus2IP_BE_sync'high-1 downto 0) & Bus2IP_BE;
Bus2IP_Reset_sync <= Bus2IP_Reset_sync(Bus2IP_Reset_sync'high-1 downto 0) & Bus2IP_Reset;
end if;
end process;
-- signal synchronization to Bus2IP_Clk domain
process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
slv_ip2bus_data_sync <= slv_ip2bus_data_sync(slv_ip2bus_data_sync'high-1 downto 0) & slv_ip2bus_data;
slv_write_ack_sync <= slv_write_ack_sync(slv_write_ack_sync'high-1 downto 0) & slv_write_ack;
slv_read_ack_sync <= slv_read_ack_sync(slv_read_ack_sync'high-1 downto 0) & slv_read_ack;
if Bus2IP_Reset = '1' then -- remove and set attribute to not use SRL16
rdack_d <= '0';
wrack_d <= '0';
else
-- JLH: Create single beat read acknowledge.
if (rdack_d = '1') then
rdack_d <= '0';
elsif (slv_read_ack_end = '1') then
rdack_d <= slv_read_ack_sync(slv_read_ack_sync'high);
end if;
-- JLH: Create single beat write acknowledge.
if (wrack_d = '1') then
wrack_d <= '0';
elsif (slv_write_ack_end = '1') then
wrack_d <= slv_write_ack_sync(slv_write_ack_sync'high);
end if;
end if;
end if;
end process;
SLAVE_REG_WRITE_PROC2 : process(clk) is
begin
if clk'event and clk = '1' then
if reset_core = '1' then
for i in 0 to C_NUM_REG-1 loop
if (read_only_reg(i) = '0') then
slv_reg(i) <= (others => '0');
end if;
end loop;
else
for i in 0 to C_NUM_REG-1 loop
if(slv_reg_write_sel(i) = '1') then
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE_sync(Bus2IP_BE_sync'high)(byte_index) = '1' ) then
if (read_only_reg(i) = '0') then
-- slv_reg(i)(byte_index*8 to byte_index*8+7) <= Bus2IP_Data_sync(Bus2IP_Data_sync'high)(byte_index*8 to byte_index*8+7);
slv_reg(i)(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data_sync(Bus2IP_Data_sync'high)(byte_index*8+7 downto byte_index*8);
end if;
end if;
end loop;
end if;
end loop;
end if;
end if;
end process SLAVE_REG_WRITE_PROC2;
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg) is
begin
slv_ip2bus_data <= (others => '0');
for i in 0 to C_NUM_REG-1 loop
if(slv_reg_read_sel(i) = '1') then
for j in 0 to C_SLV_DWIDTH-1 loop
if (slv_mask(i)(j) = '1') then
slv_ip2bus_data(j) <= slv_reg(i)(j);
else
slv_ip2bus_data(j) <= slv_reg_stat(i)(j);
end if;
end loop;
end if;
end loop;
end process SLAVE_REG_READ_PROC;
GEN_REGS: for i in 0 to C_NUM_REG-1 generate
le_slv_reg(i) <= slv_reg(i);
slv_reg_stat(i) <= le_slv_reg_stat(i);
end generate GEN_REGS;
tpg_u1 : entity work.tpg_core
generic map
(
C_FAMILY => C_FAMILY,
C_Chroma_Format => C_Chroma_Format
)
port map
(
clk => clk,
rst => rst,
vsync_in => vsync_in,
hsync_in => hsync_in,
vblank_in => vblank_in,
hblank_in => hblank_in,
CbCrPolarity => le_slv_reg(0)(5),
VSyncPolarity => le_slv_reg(0)(10),
HSyncPolarity => le_slv_reg(0)(11),
VBlankPolarity => le_slv_reg(0)(12),
HBlankPolarity => le_slv_reg(0)(13),
de_in => de_in,
red_in => red_in,
green_in => green_in,
blue_in => blue_in,
PatternSel => le_slv_reg(0)(3 downto 0),
Motion => le_slv_reg(1)(0),
Motion_speed => le_slv_reg(1)(8 downto 1),
EnableXHairs => le_slv_reg(0)(4),
ComponentMask => le_slv_reg(0)(8 downto 6),
EnableBox => le_slv_reg(0)(9),
XHairsV => le_slv_reg(2)(11 downto 0),
XHairsH => le_slv_reg(2)(27 downto 16),
active_line_length => le_slv_reg(3)(11 downto 0),
active_frame_height => le_slv_reg(3)(27 downto 16),
ZPlateHDeltaStart => le_slv_reg(4)(31 downto 16),
ZPlateHDelta2 => le_slv_reg(4)(15 downto 0),
ZPlateVDeltaStart => le_slv_reg(5)(31 downto 16),
ZPlateVDelta2 => le_slv_reg(5)(15 downto 0),
BoxSize => le_slv_reg(6)(11 downto 0),
BoxColour => le_slv_reg(7)(23 downto 0),
vsync_out => vsync_out,
hsync_out => hsync_out,
vblank_out => vblank_out,
hblank_out => hblank_out,
de_out => de_out,
red_out => red_out,
green_out => green_out,
blue_out => blue_out,
ZP_debug => ZP_debug,
TPG_debug => TPG_debug
);
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
-- IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0');
-- IP2Bus_WrAck <= slv_write_ack;
-- IP2Bus_RdAck <= slv_read_ack;
-- IP2Bus_Error <= '0';
---- Including sync registers
-- JLH: Modified to use single beat ack signals wrack_d, rdack_d
IP2Bus_Data <= slv_ip2bus_data_sync(slv_ip2bus_data_sync'high);
IP2Bus_WrAck <= wrack_d;--slv_write_ack_sync(slv_write_ack_sync'high);
IP2Bus_RdAck <= rdack_d; -- slv_read_ack_sync(slv_read_ack_sync'high);
IP2Bus_Error <= '0';
end IMP;
|
gpl-3.0
|
CprE488/Final
|
system/hdl/system_v_tc_vid_out_0_wrapper.vhd
|
1
|
10199
|
-------------------------------------------------------------------------------
-- system_v_tc_vid_out_0_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library v_tc_v5_01_a;
use v_tc_v5_01_a.all;
entity system_v_tc_vid_out_0_wrapper is
port (
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_aclken : in std_logic;
s_axi_awaddr : in std_logic_vector(8 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(31 downto 0);
s_axi_wstrb : in std_logic_vector(3 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(8 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(31 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic;
irq : out std_logic;
intc_if : out std_logic_vector(31 downto 0);
clk : in std_logic;
resetn : in std_logic;
clken : in std_logic;
det_clken : in std_logic;
gen_clken : in std_logic;
fsync_in : in std_logic;
vblank_in : in std_logic;
vsync_in : in std_logic;
hblank_in : in std_logic;
hsync_in : in std_logic;
active_video_in : in std_logic;
active_chroma_in : in std_logic;
vblank_out : out std_logic;
vsync_out : out std_logic;
hblank_out : out std_logic;
hsync_out : out std_logic;
active_video_out : out std_logic;
active_chroma_out : out std_logic;
fsync_out : out std_logic_vector(0 to 0)
);
attribute x_core_info : STRING;
attribute x_core_info of system_v_tc_vid_out_0_wrapper : entity is "v_tc_v5_01_a";
end system_v_tc_vid_out_0_wrapper;
architecture STRUCTURE of system_v_tc_vid_out_0_wrapper is
component v_tc is
generic (
C_HAS_AXI4_LITE : INTEGER;
C_HAS_INTC_IF : INTEGER;
C_GEN_AUTO_SWITCH : integer;
C_MAX_PIXELS : integer;
C_MAX_LINES : integer;
C_NUM_FSYNCS : integer;
C_DETECT_EN : integer;
C_GENERATE_EN : integer;
C_DET_HSYNC_EN : integer;
C_DET_VSYNC_EN : integer;
C_DET_HBLANK_EN : integer;
C_DET_VBLANK_EN : integer;
C_DET_AVIDEO_EN : integer;
C_DET_ACHROMA_EN : integer;
C_GEN_HSYNC_EN : integer;
C_GEN_VSYNC_EN : integer;
C_GEN_HBLANK_EN : integer;
C_GEN_VBLANK_EN : integer;
C_GEN_AVIDEO_EN : integer;
C_GEN_ACHROMA_EN : integer;
C_GEN_VIDEO_FORMAT : INTEGER;
C_GEN_CPARITY : integer;
C_SYNC_EN : integer;
C_GEN_VBLANK_POLARITY : integer;
C_GEN_HBLANK_POLARITY : integer;
C_GEN_VSYNC_POLARITY : integer;
C_GEN_HSYNC_POLARITY : integer;
C_GEN_AVIDEO_POLARITY : integer;
C_GEN_ACHROMA_POLARITY : integer;
C_GEN_VACTIVE_SIZE : integer;
C_GEN_HACTIVE_SIZE : integer;
C_GEN_HFRAME_SIZE : integer;
C_GEN_F0_VFRAME_SIZE : integer;
C_GEN_HSYNC_START : integer;
C_GEN_HSYNC_END : integer;
C_GEN_F0_VBLANK_HSTART : integer;
C_GEN_F0_VBLANK_HEND : integer;
C_GEN_F0_VSYNC_VSTART : integer;
C_GEN_F0_VSYNC_VEND : integer;
C_GEN_F0_VSYNC_HSTART : integer;
C_GEN_F0_VSYNC_HEND : integer;
C_FSYNC_HSTART0 : integer;
C_FSYNC_VSTART0 : integer;
C_FSYNC_HSTART1 : integer;
C_FSYNC_VSTART1 : integer;
C_FSYNC_HSTART2 : integer;
C_FSYNC_VSTART2 : integer;
C_FSYNC_HSTART3 : integer;
C_FSYNC_VSTART3 : integer;
C_FSYNC_HSTART4 : integer;
C_FSYNC_VSTART4 : integer;
C_FSYNC_HSTART5 : integer;
C_FSYNC_VSTART5 : integer;
C_FSYNC_HSTART6 : integer;
C_FSYNC_VSTART6 : integer;
C_FSYNC_HSTART7 : integer;
C_FSYNC_VSTART7 : integer;
C_FSYNC_HSTART8 : integer;
C_FSYNC_VSTART8 : integer;
C_FSYNC_HSTART9 : integer;
C_FSYNC_VSTART9 : integer;
C_FSYNC_HSTART10 : integer;
C_FSYNC_VSTART10 : integer;
C_FSYNC_HSTART11 : integer;
C_FSYNC_VSTART11 : integer;
C_FSYNC_HSTART12 : integer;
C_FSYNC_VSTART12 : integer;
C_FSYNC_HSTART13 : integer;
C_FSYNC_VSTART13 : integer;
C_FSYNC_HSTART14 : integer;
C_FSYNC_VSTART14 : integer;
C_FSYNC_HSTART15 : integer;
C_FSYNC_VSTART15 : integer;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_CLK_FREQ_HZ : INTEGER;
C_FAMILY : STRING
);
port (
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_aclken : in std_logic;
s_axi_awaddr : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0);
s_axi_wstrb : in std_logic_vector(((C_S_AXI_DATA_WIDTH/8)-1) downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic;
irq : out std_logic;
intc_if : out std_logic_vector(31 downto 0);
clk : in std_logic;
resetn : in std_logic;
clken : in std_logic;
det_clken : in std_logic;
gen_clken : in std_logic;
fsync_in : in std_logic;
vblank_in : in std_logic;
vsync_in : in std_logic;
hblank_in : in std_logic;
hsync_in : in std_logic;
active_video_in : in std_logic;
active_chroma_in : in std_logic;
vblank_out : out std_logic;
vsync_out : out std_logic;
hblank_out : out std_logic;
hsync_out : out std_logic;
active_video_out : out std_logic;
active_chroma_out : out std_logic;
fsync_out : out std_logic_vector(C_NUM_FSYNCS-1 to 0)
);
end component;
begin
v_tc_vid_out_0 : v_tc
generic map (
C_HAS_AXI4_LITE => 1,
C_HAS_INTC_IF => 0,
C_GEN_AUTO_SWITCH => 1,
C_MAX_PIXELS => 4096,
C_MAX_LINES => 4096,
C_NUM_FSYNCS => 1,
C_DETECT_EN => 0,
C_GENERATE_EN => 1,
C_DET_HSYNC_EN => 1,
C_DET_VSYNC_EN => 1,
C_DET_HBLANK_EN => 1,
C_DET_VBLANK_EN => 1,
C_DET_AVIDEO_EN => 1,
C_DET_ACHROMA_EN => 0,
C_GEN_HSYNC_EN => 1,
C_GEN_VSYNC_EN => 1,
C_GEN_HBLANK_EN => 1,
C_GEN_VBLANK_EN => 1,
C_GEN_AVIDEO_EN => 1,
C_GEN_ACHROMA_EN => 0,
C_GEN_VIDEO_FORMAT => 0,
C_GEN_CPARITY => 0,
C_SYNC_EN => 1,
C_GEN_VBLANK_POLARITY => 1,
C_GEN_HBLANK_POLARITY => 1,
C_GEN_VSYNC_POLARITY => 1,
C_GEN_HSYNC_POLARITY => 1,
C_GEN_AVIDEO_POLARITY => 1,
C_GEN_ACHROMA_POLARITY => 1,
C_GEN_VACTIVE_SIZE => 720,
C_GEN_HACTIVE_SIZE => 1280,
C_GEN_HFRAME_SIZE => 1650,
C_GEN_F0_VFRAME_SIZE => 750,
C_GEN_HSYNC_START => 1390,
C_GEN_HSYNC_END => 1430,
C_GEN_F0_VBLANK_HSTART => 1280,
C_GEN_F0_VBLANK_HEND => 1280,
C_GEN_F0_VSYNC_VSTART => 724,
C_GEN_F0_VSYNC_VEND => 729,
C_GEN_F0_VSYNC_HSTART => 1280,
C_GEN_F0_VSYNC_HEND => 1280,
C_FSYNC_HSTART0 => 0,
C_FSYNC_VSTART0 => 0,
C_FSYNC_HSTART1 => 0,
C_FSYNC_VSTART1 => 0,
C_FSYNC_HSTART2 => 0,
C_FSYNC_VSTART2 => 0,
C_FSYNC_HSTART3 => 0,
C_FSYNC_VSTART3 => 0,
C_FSYNC_HSTART4 => 0,
C_FSYNC_VSTART4 => 0,
C_FSYNC_HSTART5 => 0,
C_FSYNC_VSTART5 => 0,
C_FSYNC_HSTART6 => 0,
C_FSYNC_VSTART6 => 0,
C_FSYNC_HSTART7 => 0,
C_FSYNC_VSTART7 => 0,
C_FSYNC_HSTART8 => 0,
C_FSYNC_VSTART8 => 0,
C_FSYNC_HSTART9 => 0,
C_FSYNC_VSTART9 => 0,
C_FSYNC_HSTART10 => 0,
C_FSYNC_VSTART10 => 0,
C_FSYNC_HSTART11 => 0,
C_FSYNC_VSTART11 => 0,
C_FSYNC_HSTART12 => 0,
C_FSYNC_VSTART12 => 0,
C_FSYNC_HSTART13 => 0,
C_FSYNC_VSTART13 => 0,
C_FSYNC_HSTART14 => 0,
C_FSYNC_VSTART14 => 0,
C_FSYNC_HSTART15 => 0,
C_FSYNC_VSTART15 => 0,
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_CLK_FREQ_HZ => 100000000,
C_FAMILY => "zynq"
)
port map (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_aclken => s_axi_aclken,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
irq => irq,
intc_if => intc_if,
clk => clk,
resetn => resetn,
clken => clken,
det_clken => det_clken,
gen_clken => gen_clken,
fsync_in => fsync_in,
vblank_in => vblank_in,
vsync_in => vsync_in,
hblank_in => hblank_in,
hsync_in => hsync_in,
active_video_in => active_video_in,
active_chroma_in => active_chroma_in,
vblank_out => vblank_out,
vsync_out => vsync_out,
hblank_out => hblank_out,
hsync_out => hsync_out,
active_video_out => active_video_out,
active_chroma_out => active_chroma_out,
fsync_out => fsync_out
);
end architecture STRUCTURE;
|
gpl-3.0
|
CprE488/Final
|
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/pulse_regen_k7/simulation/fg_tb_pkg.vhd
|
1
|
11733
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fg_tb_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for fifo_generator_v8.4 core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT fg_tb_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT fg_tb_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT pulse_regen_k7_top IS
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
VALID : OUT std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(1-1 DOWNTO 0);
DOUT : OUT std_logic_vector(1-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END fg_tb_pkg;
PACKAGE BODY fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END fg_tb_pkg;
|
gpl-3.0
|
CprE488/Final
|
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_3/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_synth.vhd
|
1
|
11115
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_synth.vhd
--
-- Description:
-- This is the demo testbench for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_unsigned.ALL;
USE IEEE.STD_LOGIC_arith.ALL;
USE ieee.numeric_std.ALL;
USE ieee.STD_LOGIC_misc.ALL;
LIBRARY std;
USE std.textio.ALL;
LIBRARY work;
USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_pkg.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE simulation_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_synth IS
-- FIFO interface signal declarations
SIGNAL clk_i : STD_LOGIC;
SIGNAL data_count : STD_LOGIC_VECTOR(7-1 DOWNTO 0);
SIGNAL wr_ack : STD_LOGIC;
SIGNAL valid : STD_LOGIC;
SIGNAL almost_empty : STD_LOGIC;
SIGNAL srst : STD_LOGIC;
SIGNAL wr_en : STD_LOGIC;
SIGNAL rd_en : STD_LOGIC;
SIGNAL din : STD_LOGIC_VECTOR(74-1 DOWNTO 0);
SIGNAL dout : STD_LOGIC_VECTOR(74-1 DOWNTO 0);
SIGNAL full : STD_LOGIC;
SIGNAL empty : STD_LOGIC;
-- TB Signals
SIGNAL wr_data : STD_LOGIC_VECTOR(74-1 DOWNTO 0);
SIGNAL dout_i : STD_LOGIC_VECTOR(74-1 DOWNTO 0);
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL full_i : STD_LOGIC := '0';
SIGNAL empty_i : STD_LOGIC := '0';
SIGNAL almost_full_i : STD_LOGIC := '0';
SIGNAL almost_empty_i : STD_LOGIC := '0';
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL dout_chk_i : STD_LOGIC := '0';
SIGNAL rst_int_rd : STD_LOGIC := '0';
SIGNAL rst_int_wr : STD_LOGIC := '0';
SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL rst_s_wr3 : STD_LOGIC := '0';
SIGNAL rst_s_rd : STD_LOGIC := '0';
SIGNAL reset_en : STD_LOGIC := '0';
SIGNAL rst_async_rd1 : STD_LOGIC := '0';
SIGNAL rst_async_rd2 : STD_LOGIC := '0';
SIGNAL rst_async_rd3 : STD_LOGIC := '0';
SIGNAL rst_sync_rd1 : STD_LOGIC := '0';
SIGNAL rst_sync_rd2 : STD_LOGIC := '0';
SIGNAL rst_sync_rd3 : STD_LOGIC := '0';
BEGIN
---- Reset generation logic -----
rst_int_wr <= rst_async_rd3 OR rst_s_rd;
rst_int_rd <= rst_async_rd3 OR rst_s_rd;
--Testbench reset synchronization
PROCESS(clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_rd1 <= '1';
rst_async_rd2 <= '1';
rst_async_rd3 <= '1';
ELSIF(clk_i'event AND clk_i='1') THEN
rst_async_rd1 <= RESET;
rst_async_rd2 <= rst_async_rd1;
rst_async_rd3 <= rst_async_rd2;
END IF;
END PROCESS;
--Synchronous reset generation for FIFO core
PROCESS(clk_i)
BEGIN
IF(clk_i'event AND clk_i='1') THEN
rst_sync_rd1 <= RESET;
rst_sync_rd2 <= rst_sync_rd1;
rst_sync_rd3 <= rst_sync_rd2;
END IF;
END PROCESS;
--Soft reset for core and testbench
PROCESS(clk_i)
BEGIN
IF(clk_i'event AND clk_i='1') THEN
rst_gen_rd <= rst_gen_rd + "1";
IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
rst_s_rd <= '1';
assert false
report "Reset applied..Memory Collision checks are not valid"
severity note;
ELSE
IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
rst_s_rd <= '0';
assert false
report "Reset removed..Memory Collision checks are valid"
severity note;
END IF;
END IF;
END IF;
END PROCESS;
------------------
---- Clock buffers for testbench ----
clk_i <= CLK;
------------------
srst <= rst_sync_rd3 OR rst_s_rd AFTER 100 ns;
din <= wr_data;
dout_i <= dout;
wr_en <= wr_en_i;
rd_en <= rd_en_i;
full_i <= full;
empty_i <= empty;
almost_empty_i <= almost_empty;
fg_dg_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_dgen
GENERIC MAP (
C_DIN_WIDTH => 74,
C_DOUT_WIDTH => 74,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP ( -- Write Port
RESET => rst_int_wr,
WR_CLK => clk_i,
PRC_WR_EN => prc_we_i,
FULL => full_i,
WR_EN => wr_en_i,
WR_DATA => wr_data
);
fg_dv_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_dverif
GENERIC MAP (
C_DOUT_WIDTH => 74,
C_DIN_WIDTH => 74,
C_USE_EMBEDDED_REG => 1,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP(
RESET => rst_int_rd,
RD_CLK => clk_i,
PRC_RD_EN => prc_re_i,
RD_EN => rd_en_i,
EMPTY => empty_i,
DATA_OUT => dout_i,
DOUT_CHK => dout_chk_i
);
fg_pc_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_pctrl
GENERIC MAP (
AXI_CHANNEL => "Native",
C_APPLICATION_TYPE => 0,
C_DOUT_WIDTH => 74,
C_DIN_WIDTH => 74,
C_WR_PNTR_WIDTH => 7,
C_RD_PNTR_WIDTH => 7,
C_CH_TYPE => 0,
FREEZEON_ERROR => FREEZEON_ERROR,
TB_SEED => TB_SEED,
TB_STOP_CNT => TB_STOP_CNT
)
PORT MAP(
RESET_WR => rst_int_wr,
RESET_RD => rst_int_rd,
RESET_EN => reset_en,
WR_CLK => clk_i,
RD_CLK => clk_i,
PRC_WR_EN => prc_we_i,
PRC_RD_EN => prc_re_i,
FULL => full_i,
ALMOST_FULL => almost_full_i,
ALMOST_EMPTY => almost_empty_i,
DOUT_CHK => dout_chk_i,
EMPTY => empty_i,
DATA_IN => wr_data,
DATA_OUT => dout,
SIM_DONE => SIM_DONE,
STATUS => STATUS
);
system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_3_3_exdes
PORT MAP (
CLK => clk_i,
DATA_COUNT => data_count,
WR_ACK => wr_ack,
VALID => valid,
ALMOST_EMPTY => almost_empty,
SRST => srst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
END ARCHITECTURE;
|
gpl-3.0
|
CprE488/Final
|
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/vhdl/pulse_regen.vhd
|
1
|
4151
|
library ieee;
use ieee.std_logic_1164.all;
entity pulse_regen is
generic
(
C_FAMILY : string := "kintex7"
);
port
(
rst : IN std_logic;
clk1 : IN std_logic;
pulse1 : IN std_logic;
clk2 : IN std_logic;
pulse2 : OUT std_logic
);
end entity pulse_regen;
architecture rtl of pulse_regen is
--
-- Device specific FIFOs
--
component pulse_regen_s6
port (
rst : IN std_logic;
wr_clk : IN std_logic;
wr_en : IN std_logic;
din : IN std_logic_VECTOR(0 downto 0);
rd_clk : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(0 downto 0);
valid : OUT std_logic;
empty : OUT std_logic;
full : OUT std_logic
);
end component;
component pulse_regen_v6
port (
rst : IN std_logic;
wr_clk : IN std_logic;
wr_en : IN std_logic;
din : IN std_logic_VECTOR(0 downto 0);
rd_clk : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(0 downto 0);
valid : OUT std_logic;
empty : OUT std_logic;
full : OUT std_logic
);
end component;
component pulse_regen_k7
port (
rst : IN std_logic;
wr_clk : IN std_logic;
wr_en : IN std_logic;
din : IN std_logic_VECTOR(0 downto 0);
rd_clk : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(0 downto 0);
valid : OUT std_logic;
empty : OUT std_logic;
full : OUT std_logic
);
end component;
begin
S6_GEN : if (C_FAMILY = "spartan6") generate
pulse_regen_s6_l : pulse_regen_s6
port map (
rst => rst,
wr_clk => clk1,
wr_en => pulse1,
din => (others => '0'),
full => open,
rd_clk => clk2,
rd_en => '1',
dout => open,
valid => pulse2,
empty => open
);
end generate S6_GEN;
V6_GEN : if (C_FAMILY = "virtex6") generate
pulse_regen_v6_l : pulse_regen_v6
port map (
rst => rst,
wr_clk => clk1,
wr_en => pulse1,
din => (others => '0'),
full => open,
rd_clk => clk2,
rd_en => '1',
dout => open,
valid => pulse2,
empty => open
);
end generate V6_GEN;
K7_GEN : if (C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate
pulse_regen_k7_l : pulse_regen_k7
port map (
rst => rst,
wr_clk => clk1,
wr_en => pulse1,
din => (others => '0'),
full => open,
rd_clk => clk2,
rd_en => '1',
dout => open,
valid => pulse2,
empty => open
);
end generate K7_GEN;
end rtl;
|
gpl-3.0
|
victor1994y/BipedRobot_byFPGA
|
Project_BipedRobot.srcs/sources_1/ip/vio_0/vio_0_stub.vhdl
|
1
|
1425
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (win64) Build 1412921 Wed Nov 18 09:43:45 MST 2015
-- Date : Thu Jul 20 11:47:27 2017
-- Host : ACER-BLUES running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- d:/Design_Project/E_elements/Project_BipedRobot/Project_BipedRobot.srcs/sources_1/ip/vio_0/vio_0_stub.vhdl
-- Design : vio_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vio_0 is
Port (
clk : in STD_LOGIC;
probe_out0 : out STD_LOGIC_VECTOR ( 0 to 0 );
probe_out1 : out STD_LOGIC_VECTOR ( 0 to 0 );
probe_out2 : out STD_LOGIC_VECTOR ( 0 to 0 );
probe_out3 : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end vio_0;
architecture stub of vio_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,probe_out0[0:0],probe_out1[0:0],probe_out2[0:0],probe_out3[0:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "vio,Vivado 2015.4";
begin
end;
|
gpl-3.0
|
gau-veldt/InsideTheBox
|
Progress_2017_12_21/vic_ii.vhd
|
1
|
33498
|
----------------------------------------------------------------------------------
--
-- VIC II simulator
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity vic_ii is
port (
-- register access
rga : in std_logic_vector(5 downto 0);
rgdi : in std_logic_vector(7 downto 0);
rgdo : out std_logic_vector(7 downto 0);
r1w0 : in std_logic;
-- video access
va : out std_logic_vector(13 downto 0);
vd : in std_logic_vector(7 downto 0);
cd : in std_logic_vector(3 downto 0);
-- bus mastering
cpu_clk : out std_logic; -- 4 MHz CPU clock
cpu_ben : out std_logic; -- 1=CPU on buses
vic_ben : out std_logic; -- 1=VIC on buses
bus_ph0 : out std_logic; -- master PH0 clock
bus_ph1 : out std_logic; -- master PH1 clock
bus_ph2 : out std_logic; -- master PH2 clock
res0 : in std_logic; -- reset (low)
-- external signals
clk20_ph1 : in std_logic;
clk20_ph2 : in std_logic;
vhs : out std_logic;
vvs : out std_logic;
vr : out std_logic_vector(4 downto 0);
vg : out std_logic_vector(5 downto 0);
vb : out std_logic_vector(4 downto 0)
);
end vic_ii;
architecture vic_ii_impl of vic_ii is
attribute ram_style : string;
attribute gated_clock : string;
attribute fsm_encoding : string;
--attribute gated_clock of clk20_ph1 : signal is "true";
--attribute gated_clock of clk20_ph2 : signal is "true";
subtype sl is std_logic;
subtype pair is std_logic_vector(1 downto 0);
subtype slv3 is std_logic_vector(2 downto 0);
subtype nybble is std_logic_vector(3 downto 0);
subtype slv6 is std_logic_vector(5 downto 0);
subtype u6 is unsigned(5 downto 0);
subtype byte is std_logic_vector(7 downto 0);
subtype u9 is unsigned(8 downto 0);
subtype slv9 is std_logic_vector(8 downto 0);
subtype word is std_logic_vector(15 downto 0);
subtype cgptr is std_logic_vector(11 downto 0);
subtype dword is std_logic_vector(31 downto 0);
subtype ubyte is unsigned(7 downto 0);
subtype u16 is unsigned(15 downto 0);
subtype long is unsigned(31 downto 0);
subtype v_addr is std_logic_vector(13 downto 0);
subtype c_addr is std_logic_vector(9 downto 0);
subtype r_addr is std_logic_vector(5 downto 0);
type vregs is array(46 downto 0) of byte;
signal vic_regs : vregs := (
others => x"00"
);
attribute ram_style of vic_regs : signal is "registers";
alias vic_M0X : byte is vic_regs( 0);
alias vic_M0X8 : sl is vic_regs(16)(0);
alias vic_M1X : byte is vic_regs( 1);
alias vic_M1X8 : sl is vic_regs(16)(1);
alias vic_M2X : byte is vic_regs( 2);
alias vic_M2X8 : sl is vic_regs(16)(2);
alias vic_M3X : byte is vic_regs( 3);
alias vic_M3X8 : sl is vic_regs(16)(3);
alias vic_M4X : byte is vic_regs( 4);
alias vic_M4X8 : sl is vic_regs(16)(4);
alias vic_M5X : byte is vic_regs( 5);
alias vic_M5X8 : sl is vic_regs(16)(5);
alias vic_M6X : byte is vic_regs( 6);
alias vic_M6X8 : sl is vic_regs(16)(6);
alias vic_M7X : byte is vic_regs( 7);
alias vic_M7X8 : sl is vic_regs(16)(7);
alias vic_M0Y : byte is vic_regs( 8);
alias vic_M1Y : byte is vic_regs( 9);
alias vic_M2Y : byte is vic_regs(10);
alias vic_M3Y : byte is vic_regs(11);
alias vic_M4Y : byte is vic_regs(12);
alias vic_M5Y : byte is vic_regs(13);
alias vic_M6Y : byte is vic_regs(14);
alias vic_M7Y : byte is vic_regs(15);
alias vic_YSCROLL : slv3 is vic_regs(17)(2 downto 0);
alias vic_RSEL : sl is vic_regs(17)(3);
alias vic_DEN : sl is vic_regs(17)(4);
alias vic_BMM : sl is vic_regs(17)(5);
alias vic_ECM : sl is vic_regs(17)(6);
alias vic_RST8 : sl is vic_regs(17)(7);
alias vic_RASTER : byte is vic_regs(18);
alias vic_LPX : byte is vic_regs(19);
alias vic_LPY : byte is vic_regs(20);
alias vic_M0E : sl is vic_regs(21)(0);
alias vic_M1E : sl is vic_regs(21)(1);
alias vic_M2E : sl is vic_regs(21)(2);
alias vic_M3E : sl is vic_regs(21)(3);
alias vic_M4E : sl is vic_regs(21)(4);
alias vic_M5E : sl is vic_regs(21)(5);
alias vic_M6E : sl is vic_regs(21)(6);
alias vic_M7E : sl is vic_regs(21)(7);
alias vic_XSCROLL : slv3 is vic_regs(22)(2 downto 0);
alias vic_CSEL : sl is vic_regs(22)(3);
alias vic_MCM : sl is vic_regs(22)(4);
alias vic_RES : sl is vic_regs(22)(5);
alias vic_M0YE : sl is vic_regs(23)(0);
alias vic_M1YE : sl is vic_regs(23)(1);
alias vic_M2YE : sl is vic_regs(23)(2);
alias vic_M3YE : sl is vic_regs(23)(3);
alias vic_M4YE : sl is vic_regs(23)(4);
alias vic_M5YE : sl is vic_regs(23)(5);
alias vic_M6YE : sl is vic_regs(23)(6);
alias vic_M7YE : sl is vic_regs(23)(7);
alias vic_CB : slv3 is vic_regs(24)(3 downto 1);
alias vic_VM : nybble is vic_regs(24)(7 downto 4);
alias vic_IRQ : sl is vic_regs(25)(7);
alias vic_ILP : sl is vic_regs(25)(3);
alias vic_IMMC : sl is vic_regs(25)(2);
alias vic_IMBC : sl is vic_regs(25)(1);
alias vic_IRST : sl is vic_regs(25)(0);
alias vic_ELP : sl is vic_regs(26)(3);
alias vic_EMMC : sl is vic_regs(26)(2);
alias vic_EMBC : sl is vic_regs(26)(1);
alias vic_ERST : sl is vic_regs(26)(0);
alias vic_M0DP : sl is vic_regs(27)(0);
alias vic_M1DP : sl is vic_regs(27)(1);
alias vic_M2DP : sl is vic_regs(27)(2);
alias vic_M3DP : sl is vic_regs(27)(3);
alias vic_M4DP : sl is vic_regs(27)(4);
alias vic_M5DP : sl is vic_regs(27)(5);
alias vic_M6DP : sl is vic_regs(27)(6);
alias vic_M7DP : sl is vic_regs(27)(7);
alias vic_M0MC : sl is vic_regs(28)(0);
alias vic_M1MC : sl is vic_regs(28)(1);
alias vic_M2MC : sl is vic_regs(28)(2);
alias vic_M3MC : sl is vic_regs(28)(3);
alias vic_M4MC : sl is vic_regs(28)(4);
alias vic_M5MC : sl is vic_regs(28)(5);
alias vic_M6MC : sl is vic_regs(28)(6);
alias vic_M7MC : sl is vic_regs(28)(7);
alias vic_M0XE : sl is vic_regs(29)(0);
alias vic_M1XE : sl is vic_regs(29)(1);
alias vic_M2XE : sl is vic_regs(29)(2);
alias vic_M3XE : sl is vic_regs(29)(3);
alias vic_M4XE : sl is vic_regs(29)(4);
alias vic_M5XE : sl is vic_regs(29)(5);
alias vic_M6XE : sl is vic_regs(29)(6);
alias vic_M7XE : sl is vic_regs(29)(7);
alias vic_M0M : sl is vic_regs(30)(0);
alias vic_M1M : sl is vic_regs(30)(1);
alias vic_M2M : sl is vic_regs(30)(2);
alias vic_M3M : sl is vic_regs(30)(3);
alias vic_M4M : sl is vic_regs(30)(4);
alias vic_M5M : sl is vic_regs(30)(5);
alias vic_M6M : sl is vic_regs(30)(6);
alias vic_M7M : sl is vic_regs(30)(7);
alias vic_M0D : sl is vic_regs(31)(0);
alias vic_M1D : sl is vic_regs(31)(1);
alias vic_M2D : sl is vic_regs(31)(2);
alias vic_M3D : sl is vic_regs(31)(3);
alias vic_M4D : sl is vic_regs(31)(4);
alias vic_M5D : sl is vic_regs(31)(5);
alias vic_M6D : sl is vic_regs(31)(6);
alias vic_M7D : sl is vic_regs(31)(7);
alias vic_EC : nybble is vic_regs(32)(3 downto 0);
alias vic_B0C : nybble is vic_regs(33)(3 downto 0);
alias vic_B1C : nybble is vic_regs(34)(3 downto 0);
alias vic_B2C : nybble is vic_regs(35)(3 downto 0);
alias vic_B3C : nybble is vic_regs(36)(3 downto 0);
alias vic_MM0 : nybble is vic_regs(37)(3 downto 0);
alias vic_MM1 : nybble is vic_regs(38)(3 downto 0);
alias vic_M0C : nybble is vic_regs(39)(3 downto 0);
alias vic_M1C : nybble is vic_regs(40)(3 downto 0);
alias vic_M2C : nybble is vic_regs(41)(3 downto 0);
alias vic_M3C : nybble is vic_regs(42)(3 downto 0);
alias vic_M4C : nybble is vic_regs(43)(3 downto 0);
alias vic_M5C : nybble is vic_regs(44)(3 downto 0);
alias vic_M6C : nybble is vic_regs(45)(3 downto 0);
alias vic_M7C : nybble is vic_regs(46)(3 downto 0);
type clist is array(15 downto 0) of byte;
constant vc_red : clist := (
0=>x"00", 1=>x"FF", 2=>x"a1", 3=>x"6a", 4=>x"a2", 5=>x"5c", 6=>x"50", 7=>x"cb",
8=>x"a3", 9=>x"6e", 10=>x"cc", 11=>x"63", 12=>x"8b", 13=>x"9b", 14=>x"8a", 15=>x"af"
);
constant vc_green : clist := (
0=>x"00", 1=>x"FF", 2=>x"4d", 3=>x"c1", 4=>x"57", 5=>x"ad", 6=>x"44", 7=>x"d6",
8=>x"68", 9=>x"53", 10=>x"7f", 11=>x"63", 12=>x"8b", 13=>x"e3", 14=>x"7f", 15=>x"af"
);
constant vc_blue : clist := (
0=>x"00", 1=>x"FF", 2=>x"43", 3=>x"c8", 4=>x"a5", 5=>x"5f", 6=>x"9c", 7=>x"89",
8=>x"3a", 9=>x"0b", 10=>x"76", 11=>x"63", 12=>x"8b", 13=>x"9d", 14=>x"cd", 15=>x"af"
);
function vcolor_r(color : nybble) return byte is
begin return vc_red(to_integer(unsigned(color))); end vcolor_r;
function vcolor_g(color : nybble) return byte is
begin return vc_green(to_integer(unsigned(color))); end vcolor_g;
function vcolor_b(color : nybble) return byte is
begin return vc_blue(to_integer(unsigned(color))); end vcolor_b;
function count3(org: slv3) return slv3 is
begin
case org is
when "000" => return "001";
when "001" => return "010";
when "010" => return "011";
when "011" => return "100";
when "100" => return "101";
when "101" => return "110";
when "110" => return "111";
when "111" => return "000";
when others => return "000";
end case;
end count3;
function clk20ph_count(org: u6) return u6 is
begin
case org is
when "000000" => return "000001";
when "000001" => return "000010";
when "000010" => return "000011";
when "000011" => return "000100";
when "000100" => return "000101";
when "000101" => return "000110";
when "000110" => return "000111";
when "000111" => return "001000";
when "001000" => return "001001";
when "001001" => return "010000";
when "010000" => return "010001";
when "010001" => return "010010";
when "010010" => return "010011";
when "010011" => return "010100";
when "010100" => return "010101";
when "010101" => return "010110";
when "010110" => return "010111";
when "010111" => return "011000";
when "011000" => return "011001";
when "011001" => return "100000";
when "100000" => return "100001";
when "100001" => return "100010";
when "100010" => return "100011";
when "100011" => return "100100";
when "100100" => return "100101";
when "100101" => return "100110";
when "100110" => return "100111";
when "100111" => return "101000";
when "101000" => return "101001";
when "101001" => return "110000";
when "110000" => return "110001";
when "110001" => return "110010";
when "110010" => return "110011";
when "110011" => return "110100";
when "110100" => return "110101";
when "110101" => return "110110";
when "110110" => return "110111";
when "110111" => return "111000";
when "111000" => return "111001";
when "111001" => return "000000";
when others => return "000000";
end case;
end clk20ph_count;
signal clk20_12 : std_logic;
signal clk20ph : u6 := "001001";
attribute fsm_encoding of clk20ph : signal is "sequential";
signal clk20stg : pair;
signal clk20tik : nybble := "0000";
signal cpuclk : std_logic;
signal ph0 : std_logic;
signal ph1 : std_logic;
signal ph2 : std_logic;
signal cpu_slice : std_logic;
signal vic_slice : std_logic;
signal rapos_V : u16 := "0000000000000000";
signal rapos_H : u16 := "0000000000000000";
signal vis_V : u16;
signal vis_H : u16;
signal win_V : u16;
signal win_H : u16;
signal regras_v : u9;
signal vbdr : std_logic;
signal hbdr : std_logic;
signal bdr : std_logic;
signal en_V : std_logic;
signal en_H : std_logic;
signal en : std_logic;
signal cell_h : ubyte;
signal cell_v : ubyte;
signal cell_ph : ubyte;
signal cell_pv : ubyte;
signal rg_o : byte;
signal rg_i : byte;
function d_to_slv(arg : long) return dword is
begin
return dword(arg);
end d_to_slv;
-- line pixel/color registers
subtype slin is std_logic_vector(23 downto 0);
subtype sptr_t is std_logic_vector(13 downto 0);
type cline is array(39 downto 0) of nybble;
type bline is array(39 downto 0) of byte;
type sptr is array(7 downto 0) of sptr_t;
type sdata is array(7 downto 0) of slin;
signal line_pix : std_logic_vector(319 downto 0) := (others => '0'); -- pixels
signal line_c : cline := (others => x"f"); -- color
signal line_b : bline := (others => x"ff"); -- block (character)
signal line_sprs : sdata := (others => x"000000"); -- sprites
signal spr_ptr : sptr := (others => "00000000000000");
function u8toi(src: ubyte) return integer is
begin
return to_integer(unsigned(src));
end u8toi;
function ratoi(src: slv6) return integer is
begin
return to_integer(unsigned(src));
end ratoi;
function pxtoi(src: slv9) return integer is
begin
return to_integer(unsigned(src));
end pxtoi;
function inc6(src: slv6) return slv6 is
variable conv : unsigned(5 downto 0);
begin
conv := unsigned(src) + 1;
return slv6(conv);
end inc6;
function cpu_read(cbus,cr1w0 : std_logic) return boolean is
begin
case cbus and cr1w0 is
when '1' => return true;
when others => return false;
end case;
end;
function cpu_write(cbus,cr1w0 : std_logic) return boolean is
begin
case cbus and (not cr1w0) is
when '1' => return true;
when others => return false;
end case;
end;
function reg_in_range(rega: slv6) return boolean is
begin
case rega is
when "000000" => return true;
when "000001" => return true;
when "000010" => return true;
when "000011" => return true;
when "000100" => return true;
when "000101" => return true;
when "000110" => return true;
when "000111" => return true;
when "001000" => return true;
when "001001" => return true;
when "001010" => return true;
when "001011" => return true;
when "001100" => return true;
when "001101" => return true;
when "001110" => return true;
when "001111" => return true;
when "010000" => return true;
when "010001" => return true;
when "010010" => return true;
when "010011" => return true;
when "010100" => return true;
when "010101" => return true;
when "010110" => return true;
when "010111" => return true;
when "011000" => return true;
when "011001" => return true;
when "011010" => return true;
when "011011" => return true;
when "011100" => return true;
when "011101" => return true;
when "011110" => return true;
when "011111" => return true;
when "100000" => return true;
when "100001" => return true;
when "100010" => return true;
when "100011" => return true;
when "100100" => return true;
when "100101" => return true;
when "100110" => return true;
when "100111" => return true;
when "101000" => return true;
when "101001" => return true;
when "101010" => return true;
when "101011" => return true;
when "101100" => return true;
when "101101" => return true;
when "101110" => return true;
when others => return false;
end case;
end reg_in_range;
type fstg_t is (
get_idle,
get_m_ptrs,
get_m_data,
get_c_ptrs,
get_c_data
);
signal fetch_stg : fstg_t := get_idle;
signal fetch_m : slv3 := "000";
signal fetch_n : slv6 := "000000";
signal fetch_p : u16;
--
-- "unused vic register bits yield 1 on reading"
--
impure function vic_regs_masked(reg: integer) return byte is
variable tbyt : byte;
begin
case reg is
when 0 => tbyt:= ( vic_regs( 0));
when 1 => tbyt:= ( vic_regs( 1));
when 2 => tbyt:= ( vic_regs( 2));
when 3 => tbyt:= ( vic_regs( 3));
when 4 => tbyt:= ( vic_regs( 4));
when 5 => tbyt:= ( vic_regs( 5));
when 6 => tbyt:= ( vic_regs( 6));
when 7 => tbyt:= ( vic_regs( 7));
when 8 => tbyt:= ( vic_regs( 8));
when 9 => tbyt:= ( vic_regs( 9));
when 10 => tbyt:= ( vic_regs(10));
when 11 => tbyt:= ( vic_regs(11));
when 12 => tbyt:= ( vic_regs(12));
when 13 => tbyt:= ( vic_regs(13));
when 14 => tbyt:= ( vic_regs(14));
when 15 => tbyt:= ( vic_regs(15));
when 16 => tbyt:= ( vic_regs(16));
when 17 => tbyt:= ( regras_v( 8) & vic_regs(17)(6 downto 0));
when 18 => tbyt:= ( byte(regras_v(7 downto 0)));
when 19 => tbyt:= ( vic_regs(19));
when 20 => tbyt:= ( vic_regs(20));
when 21 => tbyt:= ( vic_regs(21));
when 22 => tbyt:= ("11" & vic_regs(22)(5 downto 0));
when 23 => tbyt:= ( vic_regs(23));
when 24 => tbyt:= ( vic_regs(24)(7 downto 1) & '1');
when 25 => tbyt:= ( vic_regs(25)(7) & "111" & vic_regs(25)(3 downto 0));
when 26 => tbyt:= ("1111" & vic_regs(26)(3 downto 0));
when 27 => tbyt:= ( vic_regs(27));
when 28 => tbyt:= ( vic_regs(28));
when 29 => tbyt:= ( vic_regs(29));
when 30 => tbyt:= ( vic_regs(30));
when 31 => tbyt:= ( vic_regs(31));
when 32 => tbyt:= ("1111" & vic_regs(32)(3 downto 0));
when 33 => tbyt:= ("1111" & vic_regs(33)(3 downto 0));
when 34 => tbyt:= ("1111" & vic_regs(34)(3 downto 0));
when 35 => tbyt:= ("1111" & vic_regs(35)(3 downto 0));
when 36 => tbyt:= ("1111" & vic_regs(36)(3 downto 0));
when 37 => tbyt:= ("1111" & vic_regs(37)(3 downto 0));
when 38 => tbyt:= ("1111" & vic_regs(38)(3 downto 0));
when 39 => tbyt:= ("1111" & vic_regs(39)(3 downto 0));
when 40 => tbyt:= ("1111" & vic_regs(40)(3 downto 0));
when 41 => tbyt:= ("1111" & vic_regs(41)(3 downto 0));
when 42 => tbyt:= ("1111" & vic_regs(42)(3 downto 0));
when 43 => tbyt:= ("1111" & vic_regs(43)(3 downto 0));
when 44 => tbyt:= ("1111" & vic_regs(44)(3 downto 0));
when 45 => tbyt:= ("1111" & vic_regs(45)(3 downto 0));
when 46 => tbyt:= ("1111" & vic_regs(46)(3 downto 0));
when others => tbyt:= "11111111";
end case;
return tbyt;
end vic_regs_masked;
function valid_cell_x(xc : slv6) return boolean is
begin
case xc is
when "101000" => return false;
when "101001" => return false;
when "101010" => return false;
when "101011" => return false;
when "101100" => return false;
when "101101" => return false;
when "101110" => return false;
when "101111" => return false;
when "110000" => return false;
when "110001" => return false;
when "110010" => return false;
when "110011" => return false;
when "110100" => return false;
when "110101" => return false;
when "110110" => return false;
when "110111" => return false;
when "111000" => return false;
when "111001" => return false;
when "111010" => return false;
when "111011" => return false;
when "111100" => return false;
when "111101" => return false;
when "111110" => return false;
when "111111" => return false;
when others => return true;
end case;
end valid_cell_x;
begin
clock20ph: process(clk20_ph1,clk20_ph2,clk20ph,rapos_V,rapos_H,res0) is
variable raV : u16;
variable raH : u16;
begin
raV := rapos_V;
raH := rapos_H;
if (res0 = '0') then
--clk20ph <= "001001";
rapos_V <= "0000000000000000";
rapos_H <= "0000000000000000";
else
if (falling_edge(clk20_ph2)) then
--if (rising_edge(clk20_ph2)) then
--clk20ph <= clk20ph_count(clk20ph);
raV := rapos_V;
raH := raH + 1;
if (raH >= 528) then
raH := "0000000000000000";
raV := raV + 1;
if (raV >= 628) then
raV := "0000000000000000";
end if;
end if;
rapos_V <= raV;
rapos_H <= raH;
end if;
--if (falling_edge(clk20_ph1)) then
--if (rising_edge(clk20_ph1)) then
--clk20ph <= clk20ph_count(clk20ph);
--end if;
end if;
end process clock20ph;
clk20_12 <= clk20_ph1 or clk20_ph2;
cpu_clkcnt: process(clk20_12,clk20ph) is
begin
if (res0 = '0') then
clk20ph <= "001001";
elsif (falling_edge(clk20_12)) then
clk20ph <= clk20ph_count(clk20ph);
end if;
end process cpu_clkcnt;
cpu_clocks: process(clk20_ph1,clk20_ph2,clk20ph) is
variable sigs : nybble;
begin
case clk20ph is
when "110001" => sigs := "1110";
when "110010" => sigs := "1000";
when "110011" => sigs := "1100";
when "110100" => sigs := "1000";
when "110101" => sigs := "0101";
when "110110" => sigs := "0000";
when "110111" => sigs := "0100";
when "111000" => sigs := "0000";
when others => sigs := "0000";
end case;
if (rising_edge(clk20_ph1) or rising_edge(clk20_ph2)) then
ph0 <= sigs(3);
cpuclk <= sigs(2);
ph1 <= sigs(1);
ph2 <= sigs(0);
--case clk20ph is
-- when "110001" => cpuclk <= '1';
-- when "110011" => cpuclk <= '1';
-- when "110101" => cpuclk <= '1';
-- when "110111" => cpuclk <= '1';
-- when others => cpuclk <= '0';
--end case;
--case clk20ph is
-- when "110001" => ph0 <= '1';
-- when "110010" => ph0 <= '1';
-- when "110011" => ph0 <= '1';
-- when "110100" => ph0 <= '1';
-- when others => ph0 <= '0';
--end case;
--case clk20ph is
-- when "110001" => ph1 <= '1';
-- when others => ph1 <= '0';
--end case;
--case clk20ph is
-- when "110101" => ph2 <= '1';
-- when others => ph2 <= '0';
--end case;
end if;
end process cpu_clocks;
cpu_clk <= cpuclk;
bus_ph0 <= ph0;
bus_ph1 <= ph1;
bus_ph2 <= ph2;
clk20stg <= pair(clk20ph(5 downto 4));
cpu_slice <= clk20stg(1) and clk20stg(0); -- when 1 it's CPU's turn on bus
vic_slice <= clk20stg(1) nand clk20stg(0); -- when 1 it's VIC's turn on bus
cpu_ben <= cpu_slice;
vic_ben <= vic_slice;
poscalc: process(clk20_ph2, rapos_V, rapos_H) is
begin
vis_V <= rapos_V - 5;
--win_V <= rapos_V - 105;
case vic_YSCROLL is
when "000" => win_V <= rapos_V - 99;
when "001" => win_V <= rapos_V - 101;
when "010" => win_V <= rapos_V - 103;
when "011" => win_V <= rapos_V - 105;
when "100" => win_V <= rapos_V - 107;
when "101" => win_V <= rapos_V - 109;
when "110" => win_V <= rapos_V - 111;
when others => win_V <= rapos_V - 113;
end case;
vis_H <= rapos_H - 84;
--win_H <= rapos_H - 124;
case vic_XSCROLL is
when "000" => win_H <= rapos_H - 125;
when "001" => win_H <= rapos_H - 126;
when "010" => win_H <= rapos_H - 127;
when "011" => win_H <= rapos_H - 128;
when "100" => win_H <= rapos_H - 129;
when "101" => win_H <= rapos_H - 130;
when "110" => win_H <= rapos_H - 131;
when others => win_H <= rapos_H - 132;
end case;
end process poscalc;
calc_rg_raster: process(clk20_ph1,clk20_ph2,vis_V) is
-- calculate the raster for the system to read
begin
if rising_edge(clk20_ph1) or rising_edge(clk20_ph2) then
if (vis_V <= x"03ff") then
regras_v <= vis_v(9 downto 1);
end if;
end if;
end process calc_rg_raster;
cell_H <= ubyte(win_H(10 downto 3));
cell_V <= ubyte(win_V(11 downto 4)); -- ignoring bit 0 (so odd lines repeat the even lines)
cell_PH <= ubyte("00000" & win_H(2 downto 0));
cell_PV <= ubyte("00000" & win_V(3 downto 1)); -- ignoring bit 0 (so odd lines repeat the even lines)
hb_calc: process(clk20_ph2, rapos_H, vic_CSEL) is
begin
if (falling_edge(clk20_ph2)) then
if (vic_CSEL='1' and ((rapos_H < 124) or (rapos_H > 443))) then
hbdr <= '1';
elsif (vic_CSEL='0' and ((rapos_H < 131) or (rapos_H > 434))) then
hbdr <= '1';
else
hbdr <= '0';
end if;
end if;
end process hb_calc;
vb_calc: process(clk20_ph2, rapos_V, vic_RSEL) is
begin
if (falling_edge(clk20_ph2)) then
if (vic_RSEL='1' and ((rapos_V < 105) or (rapos_V > 504))) then
vbdr <= '1';
elsif (vic_RSEL='0' and ((rapos_V < 113) or (rapos_V > 496))) then
vbdr <= '1';
else
vbdr <= '0';
end if;
end if;
end process vb_calc;
eh_calc: process(clk20_ph2, rapos_H) is
begin
if (falling_edge(clk20_ph2)) then
if ((rapos_H < 84) or (rapos_H > 483)) then
en_H <= '0';
else
en_H <= '1';
end if;
end if;
end process eh_calc;
ev_calc: process(clk20_ph2, rapos_V) is
begin
if (falling_edge(clk20_ph2)) then
if ((rapos_V < 5) or (rapos_V > 604)) then
en_V <= '0';
else
en_V <= '1';
end if;
end if;
end process ev_calc;
bdr <= vbdr or hbdr;
en <= en_V and en_H;
hsync: process(rapos_H) is
begin
if (rapos_H < 64) then
vhs <= '1';
else
vhs <= '0';
end if;
end process hsync;
vsync: process(rapos_V) is
begin
if (rapos_V < 4) then
vvs <= '1';
else
vvs <= '0';
end if;
end process vsync;
rgdo <= rg_o;
rg_i <= rgdi;
vreg_rd: process(ph2,cpu_slice,rga,vic_regs,r1w0) is
begin
if (rising_edge(ph2)) then
if (cpu_read(cpu_slice,r1w0)) then
-- reading register
if (reg_in_range(rga)) then
--rg_o <= vic_regs(ratoi(rga));
rg_o <= vic_regs_masked(ratoi(rga));
else
rg_o <= x"FF";
end if;
end if;
end if;
end process vreg_rd;
vreg_wr: process(ph2,cpu_slice,rga,r1w0,rg_i) is
begin
if (falling_edge(ph2)) then
if (cpu_write(cpu_slice,r1w0) and reg_in_range(rga)) then
-- writing register
vic_regs(ratoi(rga)) <= rg_i;
end if;
end if;
end process vreg_wr;
fetching: process(clk20_ph1,clk20_ph2,vic_slice,fetch_stg,fetch_m,cell_PV,cd,vd,
win_v,res0,rapos_H,fetch_n,fetch_p,vic_VM,vic_CB,line_c,line_b) is
variable cur_stg : fstg_t;
variable cur_n : slv6;
begin
if (res0 = '0') then
fetch_stg <= get_idle;
else
if (vic_slice='1') then
if (rising_edge(clk20_ph1)) then
case fetch_stg is
when get_idle =>
va <= "11111111111111";
when get_m_ptrs =>
va <= vic_VM & c_addr(fetch_p(9 downto 0));
when get_m_data =>
va <= vic_CB & line_b(ratoi(fetch_n)) & slv3(cell_PV(2 downto 0));
when others =>
null;
end case;
end if;
if (falling_edge(clk20_ph2)) then
cur_stg := fetch_stg;
cur_n := fetch_n;
case cur_stg is
when get_idle =>
if (rapos_H < x"0018" and win_V < x"8000") then
if (win_V(3 downto 0) = "0000") then
fetch_stg <= get_m_ptrs;
fetch_m <= "000";
fetch_n <= "000000";
fetch_p <= (cell_V*40);
else
fetch_stg <= get_m_data;
fetch_m <= "000";
fetch_n <= "000000";
end if;
end if;
when get_m_ptrs =>
line_c(ratoi(cur_n)) <= cd;
line_b(ratoi(cur_n)) <= vd;
if (cur_n < "100111") then
fetch_n <= inc6(cur_n);
fetch_p <= fetch_p + 1;
else
fetch_n <= "000000";
fetch_stg <= get_m_data;
end if;
when get_m_data =>
line_pix(pxtoi(fetch_n & "000")) <= vd(0);
line_pix(pxtoi(fetch_n & "001")) <= vd(1);
line_pix(pxtoi(fetch_n & "010")) <= vd(2);
line_pix(pxtoi(fetch_n & "011")) <= vd(3);
line_pix(pxtoi(fetch_n & "100")) <= vd(4);
line_pix(pxtoi(fetch_n & "101")) <= vd(5);
line_pix(pxtoi(fetch_n & "110")) <= vd(6);
line_pix(pxtoi(fetch_n & "111")) <= vd(7);
if (fetch_n < "100111") then
fetch_n <= inc6(fetch_n);
else
fetch_n <= "000000";
fetch_stg <= get_idle;
end if;
when others =>
null;
end case;
end if;
end if;
end if;
end process fetching;
pixgen: process(en,bdr,vic_EC,win_H,cell_H,vic_B0C,clk20_ph1) is
variable cur_px_pos : slv9;
variable cur_cell_x : slv6;
begin
cur_px_pos := "000000000";
cur_cell_x := slv6(win_H(8 downto 3));
if (rising_edge(clk20_ph1)) then
if (en = '1') then
if (bdr = '1') then
vr <= vcolor_r(vic_EC)(7 downto 3);
vg <= vcolor_g(vic_EC)(7 downto 2);
vb <= vcolor_b(vic_EC)(7 downto 3);
else
cur_px_pos(8 downto 3) := cur_cell_x;
cur_px_pos(2 downto 0) := "111" xor slv3(win_H(2 downto 0));
if (not valid_cell_x(cur_cell_x)) then
vr <= vcolor_r(vic_B0C)(7 downto 3);
vg <= vcolor_g(vic_B0C)(7 downto 2);
vb <= vcolor_b(vic_B0C)(7 downto 3);
--vr <= vcolor_r(x"2")(7 downto 3); -- debug to catch out-of-range columns
--vg <= vcolor_g(x"2")(7 downto 2);
--vb <= vcolor_b(x"2")(7 downto 3);
elsif (line_pix(to_integer(unsigned(cur_px_pos))) = '1') then
vr <= vcolor_r(line_c(u8toi(cell_H)))(7 downto 3);
vg <= vcolor_g(line_c(u8toi(cell_H)))(7 downto 2);
vb <= vcolor_b(line_c(u8toi(cell_H)))(7 downto 3);
else
vr <= vcolor_r(vic_B0C)(7 downto 3);
vg <= vcolor_g(vic_B0C)(7 downto 2);
vb <= vcolor_b(vic_B0C)(7 downto 3);
end if;
end if;
else
vr <= "00000";
vg <= "000000";
vb <= "00000";
end if;
end if;
end process pixgen;
end vic_ii_impl;
|
gpl-3.0
|
gau-veldt/InsideTheBox
|
Progress_2017_12_08/vic_ii.vhd
|
2
|
28875
|
----------------------------------------------------------------------------------
--
-- VIC II simulator
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity vic_ii is
port (
-- register access
rga : in std_logic_vector(5 downto 0);
rgdi : in std_logic_vector(7 downto 0);
rgdo : out std_logic_vector(7 downto 0);
r1w0 : in std_logic;
-- video access
va : out std_logic_vector(13 downto 0);
vd : in std_logic_vector(7 downto 0);
cd : in std_logic_vector(3 downto 0);
-- bus mastering
cpu_clk : out std_logic; -- 4 MHz CPU clock
cpu_ben : out std_logic; -- 1=CPU on buses
vic_ben : out std_logic; -- 1=VIC on buses
bus_ph0 : out std_logic; -- master PH0 clock
bus_ph1 : out std_logic; -- master PH1 clock
bus_ph2 : out std_logic; -- master PH2 clock
res0 : in std_logic; -- reset (low)
-- external signals
clk20_ph1 : in std_logic;
clk20_ph2 : in std_logic;
vhs : out std_logic;
vvs : out std_logic;
vr : out std_logic_vector(4 downto 0);
vg : out std_logic_vector(5 downto 0);
vb : out std_logic_vector(4 downto 0)
);
end vic_ii;
architecture vic_ii_impl of vic_ii is
attribute ram_style : string;
attribute gated_clock : string;
--attribute gated_clock of clk20_ph1 : signal is "true";
--attribute gated_clock of clk20_ph2 : signal is "true";
subtype sl is std_logic;
subtype pair is std_logic_vector(1 downto 0);
subtype slv3 is std_logic_vector(2 downto 0);
subtype nybble is std_logic_vector(3 downto 0);
subtype slv6 is std_logic_vector(5 downto 0);
subtype u6 is unsigned(5 downto 0);
subtype byte is std_logic_vector(7 downto 0);
subtype slv9 is std_logic_vector(8 downto 0);
subtype word is std_logic_vector(15 downto 0);
subtype cgptr is std_logic_vector(11 downto 0);
subtype dword is std_logic_vector(31 downto 0);
subtype ubyte is unsigned(7 downto 0);
subtype u16 is unsigned(15 downto 0);
subtype long is unsigned(31 downto 0);
subtype v_addr is std_logic_vector(13 downto 0);
subtype c_addr is std_logic_vector(9 downto 0);
subtype r_addr is std_logic_vector(5 downto 0);
type vregs is array(46 downto 0) of byte;
signal vic_regs : vregs;
attribute ram_style of vic_regs : signal is "registers";
alias vic_M0X : byte is vic_regs( 0);
alias vic_M0X8 : sl is vic_regs(16)(0);
alias vic_M1X : byte is vic_regs( 1);
alias vic_M1X8 : sl is vic_regs(16)(1);
alias vic_M2X : byte is vic_regs( 2);
alias vic_M2X8 : sl is vic_regs(16)(2);
alias vic_M3X : byte is vic_regs( 3);
alias vic_M3X8 : sl is vic_regs(16)(3);
alias vic_M4X : byte is vic_regs( 4);
alias vic_M4X8 : sl is vic_regs(16)(4);
alias vic_M5X : byte is vic_regs( 5);
alias vic_M5X8 : sl is vic_regs(16)(5);
alias vic_M6X : byte is vic_regs( 6);
alias vic_M6X8 : sl is vic_regs(16)(6);
alias vic_M7X : byte is vic_regs( 7);
alias vic_M7X8 : sl is vic_regs(16)(7);
alias vic_M0Y : byte is vic_regs( 8);
alias vic_M1Y : byte is vic_regs( 9);
alias vic_M2Y : byte is vic_regs(10);
alias vic_M3Y : byte is vic_regs(11);
alias vic_M4Y : byte is vic_regs(12);
alias vic_M5Y : byte is vic_regs(13);
alias vic_M6Y : byte is vic_regs(14);
alias vic_M7Y : byte is vic_regs(15);
alias vic_YSCROLL : slv3 is vic_regs(17)(2 downto 0);
alias vic_RSEL : sl is vic_regs(17)(3);
alias vic_DEN : sl is vic_regs(17)(4);
alias vic_BMM : sl is vic_regs(17)(5);
alias vic_ECM : sl is vic_regs(17)(6);
alias vic_RST8 : sl is vic_regs(17)(7);
alias vic_RASTER : byte is vic_regs(18);
alias vic_LPX : byte is vic_regs(19);
alias vic_LPY : byte is vic_regs(20);
alias vic_M0E : sl is vic_regs(21)(0);
alias vic_M1E : sl is vic_regs(21)(1);
alias vic_M2E : sl is vic_regs(21)(2);
alias vic_M3E : sl is vic_regs(21)(3);
alias vic_M4E : sl is vic_regs(21)(4);
alias vic_M5E : sl is vic_regs(21)(5);
alias vic_M6E : sl is vic_regs(21)(6);
alias vic_M7E : sl is vic_regs(21)(7);
alias vic_XSCROLL : slv3 is vic_regs(22)(2 downto 0);
alias vic_CSEL : sl is vic_regs(22)(3);
alias vic_MCM : sl is vic_regs(22)(4);
alias vic_RES : sl is vic_regs(22)(5);
alias vic_M0YE : sl is vic_regs(23)(0);
alias vic_M1YE : sl is vic_regs(23)(1);
alias vic_M2YE : sl is vic_regs(23)(2);
alias vic_M3YE : sl is vic_regs(23)(3);
alias vic_M4YE : sl is vic_regs(23)(4);
alias vic_M5YE : sl is vic_regs(23)(5);
alias vic_M6YE : sl is vic_regs(23)(6);
alias vic_M7YE : sl is vic_regs(23)(7);
alias vic_CB : slv3 is vic_regs(24)(3 downto 1);
alias vic_VM : nybble is vic_regs(24)(7 downto 4);
alias vic_IRQ : sl is vic_regs(25)(7);
alias vic_ILP : sl is vic_regs(25)(3);
alias vic_IMMC : sl is vic_regs(25)(2);
alias vic_IMBC : sl is vic_regs(25)(1);
alias vic_IRST : sl is vic_regs(25)(0);
alias vic_ELP : sl is vic_regs(26)(3);
alias vic_EMMC : sl is vic_regs(26)(2);
alias vic_EMBC : sl is vic_regs(26)(1);
alias vic_ERST : sl is vic_regs(26)(0);
alias vic_M0DP : sl is vic_regs(27)(0);
alias vic_M1DP : sl is vic_regs(27)(1);
alias vic_M2DP : sl is vic_regs(27)(2);
alias vic_M3DP : sl is vic_regs(27)(3);
alias vic_M4DP : sl is vic_regs(27)(4);
alias vic_M5DP : sl is vic_regs(27)(5);
alias vic_M6DP : sl is vic_regs(27)(6);
alias vic_M7DP : sl is vic_regs(27)(7);
alias vic_M0MC : sl is vic_regs(28)(0);
alias vic_M1MC : sl is vic_regs(28)(1);
alias vic_M2MC : sl is vic_regs(28)(2);
alias vic_M3MC : sl is vic_regs(28)(3);
alias vic_M4MC : sl is vic_regs(28)(4);
alias vic_M5MC : sl is vic_regs(28)(5);
alias vic_M6MC : sl is vic_regs(28)(6);
alias vic_M7MC : sl is vic_regs(28)(7);
alias vic_M0XE : sl is vic_regs(29)(0);
alias vic_M1XE : sl is vic_regs(29)(1);
alias vic_M2XE : sl is vic_regs(29)(2);
alias vic_M3XE : sl is vic_regs(29)(3);
alias vic_M4XE : sl is vic_regs(29)(4);
alias vic_M5XE : sl is vic_regs(29)(5);
alias vic_M6XE : sl is vic_regs(29)(6);
alias vic_M7XE : sl is vic_regs(29)(7);
alias vic_M0M : sl is vic_regs(30)(0);
alias vic_M1M : sl is vic_regs(30)(1);
alias vic_M2M : sl is vic_regs(30)(2);
alias vic_M3M : sl is vic_regs(30)(3);
alias vic_M4M : sl is vic_regs(30)(4);
alias vic_M5M : sl is vic_regs(30)(5);
alias vic_M6M : sl is vic_regs(30)(6);
alias vic_M7M : sl is vic_regs(30)(7);
alias vic_M0D : sl is vic_regs(31)(0);
alias vic_M1D : sl is vic_regs(31)(1);
alias vic_M2D : sl is vic_regs(31)(2);
alias vic_M3D : sl is vic_regs(31)(3);
alias vic_M4D : sl is vic_regs(31)(4);
alias vic_M5D : sl is vic_regs(31)(5);
alias vic_M6D : sl is vic_regs(31)(6);
alias vic_M7D : sl is vic_regs(31)(7);
alias vic_EC : nybble is vic_regs(32)(3 downto 0);
alias vic_B0C : nybble is vic_regs(33)(3 downto 0);
alias vic_B1C : nybble is vic_regs(34)(3 downto 0);
alias vic_B2C : nybble is vic_regs(35)(3 downto 0);
alias vic_B3C : nybble is vic_regs(36)(3 downto 0);
alias vic_MM0 : nybble is vic_regs(37)(3 downto 0);
alias vic_MM1 : nybble is vic_regs(38)(3 downto 0);
alias vic_M0C : nybble is vic_regs(39)(3 downto 0);
alias vic_M1C : nybble is vic_regs(40)(3 downto 0);
alias vic_M2C : nybble is vic_regs(41)(3 downto 0);
alias vic_M3C : nybble is vic_regs(42)(3 downto 0);
alias vic_M4C : nybble is vic_regs(43)(3 downto 0);
alias vic_M5C : nybble is vic_regs(44)(3 downto 0);
alias vic_M6C : nybble is vic_regs(45)(3 downto 0);
alias vic_M7C : nybble is vic_regs(46)(3 downto 0);
type clist is array(15 downto 0) of byte;
constant vc_red : clist := (
0=>x"00", 1=>x"FF", 2=>x"a1", 3=>x"6a", 4=>x"a2", 5=>x"5c", 6=>x"50", 7=>x"cb",
8=>x"a3", 9=>x"6e", 10=>x"cc", 11=>x"63", 12=>x"8b", 13=>x"9b", 14=>x"8a", 15=>x"af"
);
constant vc_green : clist := (
0=>x"00", 1=>x"FF", 2=>x"4d", 3=>x"c1", 4=>x"57", 5=>x"ad", 6=>x"44", 7=>x"d6",
8=>x"68", 9=>x"53", 10=>x"7f", 11=>x"63", 12=>x"8b", 13=>x"e3", 14=>x"7f", 15=>x"af"
);
constant vc_blue : clist := (
0=>x"00", 1=>x"FF", 2=>x"43", 3=>x"c8", 4=>x"a5", 5=>x"5f", 6=>x"9c", 7=>x"89",
8=>x"3a", 9=>x"0b", 10=>x"76", 11=>x"63", 12=>x"8b", 13=>x"9d", 14=>x"cd", 15=>x"af"
);
function vcolor_r(color : nybble) return byte is
begin return vc_red(to_integer(unsigned(color))); end vcolor_r;
function vcolor_g(color : nybble) return byte is
begin return vc_green(to_integer(unsigned(color))); end vcolor_g;
function vcolor_b(color : nybble) return byte is
begin return vc_blue(to_integer(unsigned(color))); end vcolor_b;
function count3(org: slv3) return slv3 is
begin
case org is
when "000" => return "001";
when "001" => return "010";
when "010" => return "011";
when "011" => return "100";
when "100" => return "101";
when "101" => return "110";
when "110" => return "111";
when "111" => return "000";
when others => return "000";
end case;
end count3;
function clk20ph_count(org: u6) return u6 is
begin
case org is
when "000000" => return "000001";
when "000001" => return "000010";
when "000010" => return "000011";
when "000011" => return "000100";
when "000100" => return "000101";
when "000101" => return "000110";
when "000110" => return "000111";
when "000111" => return "001000";
when "001000" => return "001001";
when "001001" => return "010000";
when "010000" => return "010001";
when "010001" => return "010010";
when "010010" => return "010011";
when "010011" => return "010100";
when "010100" => return "010101";
when "010101" => return "010110";
when "010110" => return "010111";
when "010111" => return "011000";
when "011000" => return "011001";
when "011001" => return "100000";
when "100000" => return "100001";
when "100001" => return "100010";
when "100010" => return "100011";
when "100011" => return "100100";
when "100100" => return "100101";
when "100101" => return "100110";
when "100110" => return "100111";
when "100111" => return "101000";
when "101000" => return "101001";
when "101001" => return "110000";
when "110000" => return "110001";
when "110001" => return "110010";
when "110010" => return "110011";
when "110011" => return "110100";
when "110100" => return "110101";
when "110101" => return "110110";
when "110110" => return "110111";
when "110111" => return "111000";
when "111000" => return "111001";
when "111001" => return "000000";
when others => return "000000";
end case;
end clk20ph_count;
signal clk20ph : u6 := "001001";
signal clk20stg : pair;
signal clk20tik : nybble := "0000";
signal cpuclk : std_logic;
signal ph0 : std_logic;
signal ph1 : std_logic;
signal ph2 : std_logic;
signal cpu_slice : std_logic;
signal vic_slice : std_logic;
signal rapos_V : u16 := "0000000000000000";
signal rapos_H : u16 := "0000000000000000";
signal vis_V : u16;
signal vis_H : u16;
signal win_V : u16;
signal win_H : u16;
signal vbdr : std_logic;
signal hbdr : std_logic;
signal bdr : std_logic;
signal en_V : std_logic;
signal en_H : std_logic;
signal en : std_logic;
signal cell_h : ubyte;
signal cell_v : ubyte;
signal cell_ph : ubyte;
signal cell_pv : ubyte;
signal rg_o : byte;
signal rg_i : byte;
function d_to_slv(arg : long) return dword is
begin
return dword(arg);
end d_to_slv;
-- line pixel/color registers
subtype slin is std_logic_vector(23 downto 0);
subtype sptr_t is std_logic_vector(13 downto 0);
type cline is array(39 downto 0) of nybble;
type bline is array(39 downto 0) of byte;
type sptr is array(7 downto 0) of sptr_t;
type sdata is array(7 downto 0) of slin;
signal line_pix : std_logic_vector(319 downto 0) := (others => '0'); -- pixels
signal line_c : cline := (others => x"f"); -- color
signal line_b : bline := (others => x"ff"); -- block (character)
signal line_sprs : sdata := (others => x"000000"); -- sprites
signal spr_ptr : sptr := (others => "00000000000000");
function u8toi(src: ubyte) return integer is
begin
return to_integer(unsigned(src));
end u8toi;
function ratoi(src: slv6) return integer is
begin
return to_integer(unsigned(src));
end ratoi;
function pxtoi(src: slv9) return integer is
begin
return to_integer(unsigned(src));
end pxtoi;
function inc6(src: slv6) return slv6 is
variable conv : unsigned(5 downto 0);
begin
conv := unsigned(src) + 1;
return slv6(conv);
end inc6;
function cpu_read(cbus,cr1w0 : std_logic) return boolean is
begin
case cbus and cr1w0 is
when '1' => return true;
when others => return false;
end case;
end;
function cpu_write(cbus,cr1w0 : std_logic) return boolean is
begin
case cbus and (not cr1w0) is
when '1' => return true;
when others => return false;
end case;
end;
function reg_in_range(rega: slv6) return boolean is
begin
case rega is
when "000000" => return true;
when "000001" => return true;
when "000010" => return true;
when "000011" => return true;
when "000100" => return true;
when "000101" => return true;
when "000110" => return true;
when "000111" => return true;
when "001000" => return true;
when "001001" => return true;
when "001010" => return true;
when "001011" => return true;
when "001100" => return true;
when "001101" => return true;
when "001110" => return true;
when "001111" => return true;
when "010000" => return true;
when "010001" => return true;
when "010010" => return true;
when "010011" => return true;
when "010100" => return true;
when "010101" => return true;
when "010110" => return true;
when "010111" => return true;
when "011000" => return true;
when "011001" => return true;
when "011010" => return true;
when "011011" => return true;
when "011100" => return true;
when "011101" => return true;
when "011110" => return true;
when "011111" => return true;
when "100000" => return true;
when "100001" => return true;
when "100010" => return true;
when "100011" => return true;
when "100100" => return true;
when "100101" => return true;
when "100110" => return true;
when "100111" => return true;
when "101000" => return true;
when "101001" => return true;
when "101010" => return true;
when "101011" => return true;
when "101100" => return true;
when "101101" => return true;
when "101110" => return true;
when others => return false;
end case;
end reg_in_range;
type fstg_t is (
get_idle,
get_m_ptrs,
get_m_data,
get_c_ptrs,
get_c_data
);
signal fetch_stg : fstg_t := get_idle;
signal fetch_m : slv3 := "000";
signal fetch_n : slv6 := "000000";
signal fetch_p : u16;
--
-- "unused vic register bits yield 1 on reading"
--
impure function vic_regs_masked(reg: integer) return byte is
begin
case reg is
when 22 => return ("11" & vic_regs(reg)(5 downto 0));
when 24 => return (vic_regs(reg)(7 downto 1) & '1');
when 25 => return (vic_regs(reg)(7) & "111" & vic_regs(reg)(3 downto 0));
when 26 => return ("1111" & vic_regs(reg)(3 downto 0));
when 32 => return ("1111" & vic_regs(reg)(3 downto 0));
when 33 => return ("1111" & vic_regs(reg)(3 downto 0));
when 34 => return ("1111" & vic_regs(reg)(3 downto 0));
when 35 => return ("1111" & vic_regs(reg)(3 downto 0));
when 36 => return ("1111" & vic_regs(reg)(3 downto 0));
when 37 => return ("1111" & vic_regs(reg)(3 downto 0));
when 38 => return ("1111" & vic_regs(reg)(3 downto 0));
when 39 => return ("1111" & vic_regs(reg)(3 downto 0));
when 40 => return ("1111" & vic_regs(reg)(3 downto 0));
when 41 => return ("1111" & vic_regs(reg)(3 downto 0));
when 42 => return ("1111" & vic_regs(reg)(3 downto 0));
when 43 => return ("1111" & vic_regs(reg)(3 downto 0));
when 44 => return ("1111" & vic_regs(reg)(3 downto 0));
when 45 => return ("1111" & vic_regs(reg)(3 downto 0));
when 46 => return ("1111" & vic_regs(reg)(3 downto 0));
when others => return vic_regs(reg);
end case;
end vic_regs_masked;
begin
clock20ph: process(clk20_ph1,clk20_ph2,clk20ph,rapos_V,rapos_H,res0) is
variable raV : u16;
variable raH : u16;
begin
raV := rapos_V;
raH := rapos_H;
if (res0 = '0') then
clk20ph <= "001001";
rapos_V <= "0000000000000000";
rapos_H <= "0000000000000000";
else
if (falling_edge(clk20_ph2)) then
--if (rising_edge(clk20_ph2)) then
clk20ph <= clk20ph_count(clk20ph);
raV := rapos_V;
raH := raH + 1;
if (raH >= 528) then
raH := "0000000000000000";
raV := raV + 1;
if (raV >= 628) then
raV := "0000000000000000";
end if;
end if;
rapos_V <= raV;
rapos_H <= raH;
end if;
if (falling_edge(clk20_ph1)) then
--if (rising_edge(clk20_ph1)) then
clk20ph <= clk20ph_count(clk20ph);
end if;
end if;
end process clock20ph;
cpu_clocks: process(clk20_ph1,clk20_ph2,clk20ph) is
begin
if (rising_edge(clk20_ph1) or rising_edge(clk20_ph2)) then
case clk20ph is
when "110001" => cpuclk <= '1';
when "110011" => cpuclk <= '1';
when "110101" => cpuclk <= '1';
when "110111" => cpuclk <= '1';
when others => cpuclk <= '0';
end case;
case clk20ph is
when "110001" => ph0 <= '1';
when "110010" => ph0 <= '1';
when "110011" => ph0 <= '1';
when "110100" => ph0 <= '1';
when others => ph0 <= '0';
end case;
case clk20ph is
when "110001" => ph1 <= '1';
when others => ph1 <= '0';
end case;
case clk20ph is
when "110101" => ph2 <= '1';
when others => ph2 <= '0';
end case;
end if;
end process cpu_clocks;
cpu_clk <= cpuclk;
bus_ph0 <= ph0;
bus_ph1 <= ph1;
bus_ph2 <= ph2;
clk20stg <= pair(clk20ph(5 downto 4));
cpu_slice <= clk20stg(1) and clk20stg(0); -- when 1 it's CPU's turn on bus
vic_slice <= clk20stg(1) nand clk20stg(0); -- when 1 it's VIC's turn on bus
cpu_ben <= cpu_slice;
vic_ben <= vic_slice;
poscalc: process(clk20_ph2, rapos_V, rapos_H) is
begin
vis_V <= rapos_V - 5;
--win_V <= rapos_V - 105;
case vic_YSCROLL is
when "000" => win_V <= rapos_V - 99;
when "001" => win_V <= rapos_V - 101;
when "010" => win_V <= rapos_V - 103;
when "011" => win_V <= rapos_V - 105;
when "100" => win_V <= rapos_V - 107;
when "101" => win_V <= rapos_V - 109;
when "110" => win_V <= rapos_V - 111;
when others => win_V <= rapos_V - 113;
end case;
vis_H <= rapos_H - 84;
--win_H <= rapos_H - 124;
case vic_XSCROLL is
when "000" => win_H <= rapos_H - 125;
when "001" => win_H <= rapos_H - 126;
when "010" => win_H <= rapos_H - 127;
when "011" => win_H <= rapos_H - 128;
when "100" => win_H <= rapos_H - 129;
when "101" => win_H <= rapos_H - 130;
when "110" => win_H <= rapos_H - 131;
when others => win_H <= rapos_H - 132;
end case;
end process poscalc;
cell_H <= ubyte(win_H(10 downto 3));
cell_V <= ubyte(win_V(11 downto 4)); -- ignoring bit 0 (so odd lines repeat the even lines)
cell_PH <= ubyte("00000" & win_H(2 downto 0));
cell_PV <= ubyte("00000" & win_V(3 downto 1)); -- ignoring bit 0 (so odd lines repeat the even lines)
hb_calc: process(clk20_ph2, rapos_H, vic_CSEL) is
begin
if (falling_edge(clk20_ph2)) then
if (vic_CSEL='1' and ((rapos_H < 124) or (rapos_H > 443))) then
hbdr <= '1';
elsif (vic_CSEL='0' and ((rapos_H < 131) or (rapos_H > 434))) then
hbdr <= '1';
else
hbdr <= '0';
end if;
end if;
end process hb_calc;
vb_calc: process(clk20_ph2, rapos_V, vic_RSEL) is
begin
if (falling_edge(clk20_ph2)) then
if (vic_RSEL='1' and ((rapos_V < 105) or (rapos_V > 504))) then
vbdr <= '1';
elsif (vic_RSEL='0' and ((rapos_V < 113) or (rapos_V > 496))) then
vbdr <= '1';
else
vbdr <= '0';
end if;
end if;
end process vb_calc;
eh_calc: process(clk20_ph2, rapos_H) is
begin
if (falling_edge(clk20_ph2)) then
if ((rapos_H < 84) or (rapos_H > 483)) then
en_H <= '0';
else
en_H <= '1';
end if;
end if;
end process eh_calc;
ev_calc: process(clk20_ph2, rapos_V) is
begin
if (falling_edge(clk20_ph2)) then
if ((rapos_V < 5) or (rapos_V > 604)) then
en_V <= '0';
else
en_V <= '1';
end if;
end if;
end process ev_calc;
bdr <= vbdr or hbdr;
en <= en_V and en_H;
hsync: process(rapos_H) is
begin
if (rapos_H < 64) then
vhs <= '1';
else
vhs <= '0';
end if;
end process hsync;
vsync: process(rapos_V) is
begin
if (rapos_V < 4) then
vvs <= '1';
else
vvs <= '0';
end if;
end process vsync;
rgdo <= rg_o;
rg_i <= rgdi;
vreg_rd: process(ph2,cpu_slice,rga,vic_regs,r1w0) is
begin
if (rising_edge(ph2)) then
if (cpu_read(cpu_slice,r1w0)) then
-- reading register
if (reg_in_range(rga)) then
--rg_o <= vic_regs(ratoi(rga));
rg_o <= vic_regs_masked(ratoi(rga));
else
rg_o <= x"FF";
end if;
end if;
end if;
end process vreg_rd;
vreg_wr: process(ph2,cpu_slice,rga,r1w0,rg_i) is
begin
if (falling_edge(ph2)) then
if (cpu_write(cpu_slice,r1w0) and reg_in_range(rga)) then
-- writing register
vic_regs(ratoi(rga)) <= rg_i;
end if;
end if;
end process vreg_wr;
fetching: process(clk20_ph1,clk20_ph2,vic_slice,fetch_stg,fetch_m,cell_PV,cd,vd,
win_v,res0,rapos_H,fetch_n,fetch_p,vic_VM,vic_CB,line_c,line_b) is
variable cur_stg : fstg_t;
variable cur_n : slv6;
begin
if (res0 = '0') then
fetch_stg <= get_idle;
else
if (vic_slice='1') then
if (rising_edge(clk20_ph1)) then
case fetch_stg is
when get_idle =>
va <= "11111111111111";
when get_m_ptrs =>
va <= vic_VM & c_addr(fetch_p(9 downto 0));
when get_m_data =>
va <= vic_CB & line_b(ratoi(fetch_n)) & slv3(cell_PV(2 downto 0));
when others =>
null;
end case;
end if;
if (falling_edge(clk20_ph2)) then
cur_stg := fetch_stg;
cur_n := fetch_n;
case cur_stg is
when get_idle =>
if (rapos_H < x"0018" and win_V < x"8000") then
if (win_V(3 downto 0) = "0000") then
fetch_stg <= get_m_ptrs;
fetch_m <= "000";
fetch_n <= "000000";
fetch_p <= (cell_V*40);
else
fetch_stg <= get_m_data;
fetch_m <= "000";
fetch_n <= "000000";
end if;
end if;
when get_m_ptrs =>
line_c(ratoi(cur_n)) <= cd;
line_b(ratoi(cur_n)) <= vd;
if (cur_n < "100111") then
fetch_n <= inc6(cur_n);
fetch_p <= fetch_p + 1;
else
fetch_n <= "000000";
fetch_stg <= get_m_data;
end if;
when get_m_data =>
line_pix(pxtoi(fetch_n & "000")) <= vd(0);
line_pix(pxtoi(fetch_n & "001")) <= vd(1);
line_pix(pxtoi(fetch_n & "010")) <= vd(2);
line_pix(pxtoi(fetch_n & "011")) <= vd(3);
line_pix(pxtoi(fetch_n & "100")) <= vd(4);
line_pix(pxtoi(fetch_n & "101")) <= vd(5);
line_pix(pxtoi(fetch_n & "110")) <= vd(6);
line_pix(pxtoi(fetch_n & "111")) <= vd(7);
if (fetch_n < "100111") then
fetch_n <= inc6(fetch_n);
else
fetch_n <= "000000";
fetch_stg <= get_idle;
end if;
when others =>
null;
end case;
end if;
end if;
end if;
end process fetching;
pixgen: process(en,bdr,vic_EC,win_H,cell_H,vic_B0C,clk20_ph1) is
variable cur_px_pos : slv9;
begin
cur_px_pos := "000000000";
if (rising_edge(clk20_ph1)) then
if (en = '1') then
if (bdr = '1') then
vr <= vcolor_r(vic_EC)(7 downto 3);
vg <= vcolor_g(vic_EC)(7 downto 2);
vb <= vcolor_b(vic_EC)(7 downto 3);
else
cur_px_pos(8 downto 3) := slv6(win_H(8 downto 3));
cur_px_pos(2 downto 0) := "111" xor slv3(win_H(2 downto 0));
if (line_pix(to_integer(unsigned(cur_px_pos))) = '1') then
vr <= vcolor_r(line_c(u8toi(cell_H)))(7 downto 3);
vg <= vcolor_g(line_c(u8toi(cell_H)))(7 downto 2);
vb <= vcolor_b(line_c(u8toi(cell_H)))(7 downto 3);
else
vr <= vcolor_r(vic_B0C)(7 downto 3);
vg <= vcolor_g(vic_B0C)(7 downto 2);
vb <= vcolor_b(vic_B0C)(7 downto 3);
end if;
end if;
else
vr <= "00000";
vg <= "000000";
vb <= "00000";
end if;
end if;
end process pixgen;
end vic_ii_impl;
|
gpl-3.0
|
forflo/yodl
|
vhdlpp/vhdl_testfiles/netlist_candidate_01.vhd
|
1
|
3624
|
-- this vhdl source resembles following verilog code
-- module ripplemod(a, b, cin, sum, cout);
-- input [7:0] a;
-- input [7:0] b;
-- input cin;
-- output [7:0]sum;
-- output cout;
-- wire[6:0] c;
--
-- fulladd a1(a[0],b[0],cin,sum[0],c[0]);
-- fulladd a2(a[1],b[1],c[0],sum[1],c[1]);
-- fulladd a3(a[2],b[2],c[1],sum[2],c[2]);
-- fulladd a4(a[3],b[3],c[2],sum[3],c[3]);
-- fulladd a5(a[4],b[4],c[3],sum[4],c[4]);
-- fulladd a6(a[5],b[5],c[4],sum[5],c[5]);
-- fulladd a7(a[6],b[6],c[5],sum[6],c[6]);
-- fulladd a8(a[7],b[7],c[6],sum[7],cout);
-- endmodule
--
-- module fulladd(a, b, cin, sum, cout);
-- input a; input b; input cin;
-- output sum; output cout;
--
-- assign sum=(a^b^cin);
-- assign cout=((a&b)|(b&cin)|(a&cin));
-- endmodule
--
-- which expands to:
--
-- sum(0) <= a(0) xor b(0) xor cin
-- c(0) <= (a(0) and b(0)) or (b(0) and cin) or (a(0) and cin);
--
-- sum(1) <= a(1) xor b(1) xor c(0)
-- c(1) <= (a(1) and b(1)) or (b(1) and c(0)) or (a(1) and c(0));
--
-- sum(2) <= a(2) xor b(2) xor c(1)
-- c(2) <= (a(2) and b(2)) or (b(2) and c(1)) or (a(2) and c(1));
--
-- sum(3) <= a(3) xor b(3) xor c(2)
-- c(3) <= (a(3) and b(3)) or (b(3) and c(2)) or (a(3) and c(2));
--
-- sum(4) <= a(4) xor b(4) xor c(3)
-- c(4) <= (a(4) and b(4)) or (b(4) and c(3)) or (a(4) and c(3));
--
-- sum(5) <= a(5) xor b(5) xor c(4)
-- c(5) <= (a(5) and b(5)) or (b(5) and c(4)) or (a(5) and c(4));
--
-- sum(6) <= a(6) xor b(6) xor c(5)
-- c(6) <= (a(6) and b(6)) or (b(6) and c(5)) or (a(6) and c(5));
--
-- sum(7) <= a(7) xor b(7) xor c(6)
-- cout <= (a(7) and b(7)) or (b(7) and c(6)) or (a(7) and c(6));
----
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity adder is
port(a : in std_logic_vector(7 downto 0);
b : in std_logic_vector(7 downto 0);
cin : in std_logic;
cout : out std_logic;
sum : out std_logic_vector(7 downto 0));
end adder;
architecture behv of adder is
signal wire : std_logic_vector(8 downto 0);
begin
wire(0) <= cin;
cout <= wire(8);
rippleCarry : for pos in 0 to 7 generate
sum(pos) <= a(pos) xor b(pos) xor wire(pos);
wire(pos + 1) <=
(a(pos) and b(pos)) or
(b(pos) and wire(pos)) or
(a(pos) and wire(pos));
end generate rippleCarry;
end behv;
----
-- for n = 1 this expands to:
----------------
-- library ieee;
-- use ieee.std_logic_1164.all;
-- use ieee.std_logic_arith.all;
-- use ieee.std_logic_unsigned.all;
--
-- entity adder is
-- port(A : in std_logic_vector(1 downto 0);
-- B : in std_logic_vector(1 downto 0);
-- cin : in std_logic;
-- cout : out std_logic;
-- sum : out std_logic_vector(1 downto 0));
-- end adder;
--
-- architecture behv of adder is
-- signal wire : std_logic_vector(2 downto 0);
-- begin
-- wire(0) <= cin;
-- cout <= wire(2);
--
-- rippleCarry : for pos in 0 to 1 generate
-- sum(pos) <= a(pos) xor b(pos) xor wire(pos);
-- wire(pos + 1) <=
-- (a(pos) and b(pos)) or
-- (b(pos) and wire(pos)) or
-- (a(pos) and wire(pos));
-- end generate rippleCarry;
--
-- -- which in turn expands to:
-- sum(0) <= a(0) xor b(0) xor wire(0);
-- wire(1) <= (a(0) and b(0)) or
-- (b(0) and wire(0)) or
-- (a(0) and wire(0));
--
-- sum(1) <= a(1) xor b(1) xor wire(1);
-- wire(2) <= (a(1) and b(1)) or
-- (b(1) and wire(1)) or
-- (a(1) and wire(1));
--
-- end behv;
----
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.