repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
forflo/yodl
|
vhdlpp/vhdl_testfiles/netlist_gen_simple02.vhd
|
1
|
637
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity adder is
port(A : in std_logic;
B : in std_logic;
carryIn : in std_logic;
carryOut : out std_logic;
fnord : out std_logic;
sum : out std_logic);
end adder;
architecture behv of adder is
begin
-- sum <= A xor B xor carryIn;
sum <= '0';
carryOut <= (a and b) or
(b and carryIn) or
(a and carryIn) >
(not ('0' < '1') =
not ('1' > '0'));
fnord <= ('1' or '0') and '1';
end behv;
|
gpl-3.0
|
forflo/yodl
|
vhdlpp/vhdl_testfiles/netlist_gen_dff_complex.vhd
|
1
|
765
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity adder is
port(A : in std_logic;
B : in std_logic;
clock : in std_logic);
end adder;
architecture behv of adder is
function rising_edge(c : in std_logic) return std_logic;
begin
process(A) is
begin
if rising_edge(clock) then
case "100" is
when "000" => A <= '0';
when "001" => A <= '1';
when "010" => A <= '1';
when "011" => B <= '1';
when "100" => A <= '0';
when "101" => A <= '1';
when "110" => A <= '1';
when "111" => A <= '1';
end case;
end if;
end process;
end behv;
|
gpl-3.0
|
forflo/yodl
|
vhdlpp/vhdl_testfiles/elsif_eliminator_nested_test.vhd
|
1
|
1045
|
-- FM. MA
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-- dummy entity
entity ent is
generic(n : natural := 2);
port(A : in std_logic_vector(n - 1 downto 0);
B : in std_logic_vector(n - 1 downto 0);
carry : out std_logic;
sum : out std_logic_vector(n - 1 downto 0));
end ent;
architecture beh of ent is
signal result : std_logic_vector(n downto 0);
begin
fooProc : process is
variable baz : natural := 4711;
begin
-- with else
if (3 = 3) then
baz := 3;
-- nested :3
if (3 = 3) then
baz := 3;
elsif (4 = 4) then
baz := 4;
elsif (5 = 5) then
baz := 5;
elsif (6 = 6) then
baz := 6;
end if;
elsif (4 = 4) then
baz := 4;
elsif (5 = 5) then
baz := 5;
elsif (6 = 6) then
baz := 6;
else
baz := 100000;
end if;
end process fooProc;
end beh;
|
gpl-3.0
|
forflo/yodl
|
vhdlpp/vhdl_testfiles/parser_test_operator_symbol.vhd
|
1
|
448
|
-- parser testfile
----
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dummy is
port (Cin, x, y : in std_logic;
s, Cout : out std_logic);
end dummy;
architecture a of dummy is
begin
-- of course, this makes no sense.
-- but according to p. 8.1 of VHDL-2008
-- this has to be (at least syntactically) possible
add : s <= "+" xor "*" xor "+";
end a;
|
gpl-3.0
|
alexkernphysiker/JPET-FPGA-parser
|
packet_simulation/devicefilter.vhd
|
1
|
1338
|
-- This source file was created for J-PET project in WFAIS (Jagiellonian University in Cracow)
-- License for distribution outside WFAIS UJ and J-PET project is GPL v 3
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity devicefilter is
port(
deviceID: in std_logic_vector(15 downto 0);
in_data: in std_logic;
clock: in std_logic;
channel_offset: out std_logic_vector(15 downto 0);
accepted: out std_logic
);
end devicefilter;
architecture Behavioral of devicefilter is
signal accept : std_logic:='0';
signal counter : integer:=0;
begin
check_device:process(in_data, clock)
begin
if rising_edge(clock) then
if in_data = '1' then
counter <= 4;
elsif accept = '1' then
accept <= '0';
elsif counter > 0 then
counter <= counter-1;
if counter = 0 then
accept <= '1';
end if;
end if;
end if;
end process check_device;
accept_device:process(accept, clock)
begin
if rising_edge(clock)then
accepted<=accept;
end if;
end process accept_device;
calculate_channel_offset:process(accept, clock)
begin
if rising_edge(clock) then
if accept='1' then
channel_offset<=deviceID;
end if;
end if;
end process calculate_channel_offset;
end Behavioral;
|
gpl-3.0
|
bruskajp/EE-316
|
Project2/Quartus_DE2Board/i2c_master_2.1.vhd
|
1
|
15693
|
--------------------------------------------------------------------------------
--
-- FileName: i2c_master.vhd
-- Dependencies: none
-- Design Software: Quartus II 64-bit Version 13.1 Build 162 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 11/1/2012 Scott Larson
-- Initial Public Release
-- Version 2.0 06/20/2014 Scott Larson
-- Added ability to interface with different slaves in the same transaction
-- Corrected ack_error bug where ack_error went 'Z' instead of '1' on error
-- Corrected timing of when ack_error signal clears
-- Version 2.1 10/21/2014 Scott Larson
-- Replaced gated clock with clock enable
-- Adjusted timing of SCL during start and stop conditions
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY i2c_master IS
GENERIC(
input_clk : INTEGER := 50_000_000; --input clock speed from user logic in Hz
bus_clk : INTEGER := 100_000); --speed the i2c bus (scl) will run at in Hz
PORT(
clk : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --active low reset
ena : IN STD_LOGIC; --latch in command
addr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); --address of target slave
rw : IN STD_LOGIC; --'0' is write, '1' is read
data_wr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --data to write to slave
busy : OUT STD_LOGIC; --indicates transaction in progress
data_rd : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --data read from slave
ack_error : BUFFER STD_LOGIC; --flag if improper acknowledge from slave
sda : INOUT STD_LOGIC; --serial data output of i2c bus
scl : INOUT STD_LOGIC);
END i2c_master;
ARCHITECTURE logic OF i2c_master IS
CONSTANT divider : INTEGER := (input_clk/bus_clk)/4; --number of clocks in 1/4 cycle of scl
TYPE machine IS(ready, start, command, slv_ack1, wr, rd, slv_ack2, mstr_ack, stop); --needed states
SIGNAL state : machine; --state machine
SIGNAL data_clk : STD_LOGIC; --data clock for sda
SIGNAL data_clk_prev : STD_LOGIC; --data clock during previous system clock
SIGNAL data_clk_m : STD_LOGIC; --data clock during previous system clock
SIGNAL scl_clk : STD_LOGIC; --constantly running internal scl
SIGNAL scl_ena : STD_LOGIC := '0'; --enables internal scl to output
SIGNAL sda_int : STD_LOGIC := '1'; --internal sda
SIGNAL sda_ena_n : STD_LOGIC; --enables internal sda to output
SIGNAL addr_rw : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in address and read/write
SIGNAL data_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); --latched in data to write to slave
SIGNAL data_rx : STD_LOGIC_VECTOR(7 DOWNTO 0); --data received from slave
SIGNAL bit_cnt : INTEGER RANGE 0 TO 7 := 7; --tracks bit number in transaction
SIGNAL stretch : STD_LOGIC := '0'; --identifies if slave is stretching scl
BEGIN
--generate the timing for the bus clock (scl_clk) and the data clock (data_clk)
PROCESS(clk, reset_n)
VARIABLE count : INTEGER RANGE 0 TO divider*4; --timing for clock generation
BEGIN
IF(reset_n = '0') THEN --reset asserted
stretch <= '0';
count := 0;
ELSIF(clk'EVENT AND clk = '1') THEN
data_clk_prev <= data_clk; --store previous value of data clock
IF(count = 499) THEN --end of timing cycle
count := 0; --reset timer
ELSIF(stretch = '0') THEN --clock stretching from slave not detected
count := count + 1; --continue clock generation timing
END IF;
CASE count IS
WHEN 0 TO 124 => --first 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '0';
WHEN 125 TO 249 => --second 1/4 cycle of clocking
scl_clk <= '0';
data_clk <= '1';
WHEN 250 TO 324 => --third 1/4 cycle of clocking
scl_clk <= '1'; --release scl
IF(scl = '0') THEN --detect if slave is stretching clock
stretch <= '1';
ELSE
stretch <= '0';
END IF;
data_clk <= '1';
WHEN OTHERS => --last 1/4 cycle of clocking
scl_clk <= '1';
data_clk <= '0';
END CASE;
END IF;
END PROCESS;
--state machine and writing to sda during scl low (data_clk rising edge)
PROCESS(clk, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset asserted
state <= ready; --return to initial state
busy <= '1'; --indicate not available
scl_ena <= '0'; --sets scl high impedance
sda_int <= '1'; --sets sda high impedance
ack_error <= '0'; --clear acknowledge error flag
bit_cnt <= 7; --restarts data bit counter
data_rd <= "00000000"; --clear data read port
ELSIF(clk'EVENT AND clk = '1') THEN
IF(data_clk = '1' AND data_clk_prev = '0') THEN --data clock rising edge
CASE state IS
WHEN ready => --idle state
IF(ena = '1') THEN --transaction requested
busy <= '1'; --flag busy
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
state <= start; --go to start bit
ELSE --remain idle
busy <= '0'; --unflag busy
state <= ready; --remain idle
END IF;
WHEN start => --start bit of transaction
busy <= '1'; --resume busy if continuous mode
sda_int <= addr_rw(bit_cnt); --set first address bit to bus
state <= command; --go to command
WHEN command => --address and command byte of transaction
IF(bit_cnt = 0) THEN --command transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
state <= slv_ack1; --go to slave acknowledge (command)
ELSE --next clock cycle of command state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= addr_rw(bit_cnt-1); --write address/command bit to bus
state <= command; --continue with command
END IF;
WHEN slv_ack1 => --slave acknowledge bit (command)
IF(addr_rw(0) = '0') THEN --write command
sda_int <= data_tx(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --read command
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
END IF;
WHEN wr => --write byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --write byte transmit finished
sda_int <= '1'; --release sda for slave acknowledge
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the slv_ack2 state
busy <= '0'; --continue is accepted (modified by CU)
state <= slv_ack2; --go to slave acknowledge (write)
ELSE --next clock cycle of write state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
sda_int <= data_tx(bit_cnt-1); --write next bit to bus
state <= wr; --continue writing
END IF;
WHEN rd => --read byte of transaction
busy <= '1'; --resume busy if continuous mode
IF(bit_cnt = 0) THEN --read byte receive finished
IF(ena = '1' AND addr_rw = addr & rw) THEN --continuing with another read at same address
sda_int <= '0'; --acknowledge the byte has been received
ELSE --stopping or continuing with a write
sda_int <= '1'; --send a no-acknowledge (before stop or repeated start)
END IF;
bit_cnt <= 7; --reset bit counter for "byte" states
-- added the following line to make sure busy = 0 in the mstr_ack state
busy <= '0'; --continue is accepted (modified by CU)
data_rd <= data_rx; --output received data
state <= mstr_ack; --go to master acknowledge
ELSE --next clock cycle of read state
bit_cnt <= bit_cnt - 1; --keep track of transaction bits
state <= rd; --continue reading
END IF;
WHEN slv_ack2 => --slave acknowledge bit (write)
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another write
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= data_wr(bit_cnt); --write first bit of data
state <= wr; --go to write byte
ELSE --continue transaction with a read or new slave
state <= start; --go to repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN mstr_ack => --master acknowledge bit after a read
IF(ena = '1') THEN --continue transaction
-- busy <= '0'; --continue is accepted (modified by CU)
addr_rw <= addr & rw; --collect requested slave address and command
data_tx <= data_wr; --collect requested data to write
IF(addr_rw = addr & rw) THEN --continue transaction with another read
busy <= '1'; --resume busy in the wr state (modified by CU)
sda_int <= '1'; --release sda from incoming data
state <= rd; --go to read byte
ELSE --continue transaction with a write or new slave
state <= start; --repeated start
END IF;
ELSE --complete transaction
busy <= '0'; --unflag busy (modified by CU)
sda_int <= '1'; --sets sda high impedance (modified by CU)
state <= stop; --go to stop bit
END IF;
WHEN stop => --stop bit of transaction
-- busy <= '0'; --unflag busy (modified by CU)
state <= ready; --go to idle state
END CASE;
ELSIF(data_clk = '0' AND data_clk_prev = '1') THEN --data clock falling edge
CASE state IS
WHEN start =>
IF(scl_ena = '0') THEN --starting new transaction
scl_ena <= '1'; --enable scl output
ack_error <= '0'; --reset acknowledge error output
END IF;
WHEN slv_ack1 => --receiving slave acknowledge (command)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN rd => --receiving slave data
data_rx(bit_cnt) <= sda; --receive current slave data bit
WHEN slv_ack2 => --receiving slave acknowledge (write)
IF(sda /= '0' OR ack_error = '1') THEN --no-acknowledge or previous no-acknowledge
ack_error <= '1'; --set error output if no-acknowledge
END IF;
WHEN stop =>
scl_ena <= '0'; --disable scl
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
END PROCESS;
--set sda output
data_clk_m <= data_clk_prev and data_clk; -- Modification added at CU
WITH state SELECT
sda_ena_n <= data_clk WHEN start, --generate start condition
NOT data_clk_m WHEN stop, --generate stop condition (modification added at CU)
sda_int WHEN OTHERS; --set to internal sda signal
--set scl and sda outputs
scl <= '0' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE 'Z';
sda <= '0' WHEN sda_ena_n = '0' ELSE 'Z';
-- Following two signals will be used for tristate obuft (did not work)
-- scl <= '1' WHEN (scl_ena = '1' AND scl_clk = '0') ELSE '0';
-- sda <= '1' WHEN sda_ena_n = '0' ELSE '0';
END logic;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Fault_Management/SHMU_prototype/version_1/TB_Package_32_bit.vhd
|
3
|
19373
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_misc.all;
package TB_Package is
function Header_gen(Packet_length, source, destination, packet_id: integer ) return std_logic_vector ;
function Body_gen(Packet_length, Data: integer ) return std_logic_vector ;
function Tail_gen(Packet_length, Data: integer ) return std_logic_vector ;
procedure gen_packet(Packet_length, source, destination, packet_id, initial_delay: in integer; finish_time: in time; signal clk: in std_logic; signal DCTS: in std_logic; signal RTS: out std_logic; signal port_in: out std_logic_vector);
procedure gen_random_packet(frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector);
procedure gen_bit_reversed_packet(frame_length, source, initial_delay, network_size, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector);
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic; signal CTS: out std_logic; signal DRTS: in std_logic; signal port_in: in std_logic_vector);
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer);
end TB_Package;
package body TB_Package is
constant Header_type : std_logic_vector := "001";
constant Body_type : std_logic_vector := "010";
constant Tail_type : std_logic_vector := "100";
function Header_gen(Packet_length, source, destination, packet_id: integer)
return std_logic_vector is
variable Header_flit: std_logic_vector (31 downto 0);
begin
Header_flit := Header_type & std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)) & XOR_REDUCE(Header_type &
std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)));
return Header_flit;
end Header_gen;
function Body_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Body_flit: std_logic_vector (31 downto 0);
begin
Body_flit := Body_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Body_type & std_logic_vector(to_unsigned(Data, 28)));
return Body_flit;
end Body_gen;
function Tail_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Tail_flit: std_logic_vector (31 downto 0);
begin
Tail_flit := Tail_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Tail_type & std_logic_vector(to_unsigned(Data, 28)));
return Tail_flit;
end Tail_gen;
procedure gen_packet(Packet_length, source, destination, packet_id, initial_delay: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- Packet_length of 3 means it has 1 header, 1 body and 1 tail. the number of body packets are equal to Packet_length-2
-- source: id of the source node
-- destination: id of the destination node
-- packet id: packet identification number! TODO: has to be implemented!
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
RTS <= '0';
if first_time = true then
for i in 0 to initial_delay loop
wait until clk'event and clk ='0';
end loop;
else
wait until clk'event and clk ='0';
end if;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination) & " with length: "& integer'image(Packet_length));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination, packet_id);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
port_in <= Tail_gen(Packet_length, 200);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
if now > finish_time then
wait;
end if;
end loop;
end gen_packet;
procedure gen_random_packet(frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- frame_length is inverse of PIR. with the unit of clock cycles. which means how many clock cycles per packet to
-- be injected. to build a true random traffic generator, we need to make a series of frames:
--
--
-- <--- Frame length-----> <--- Frame length-----> <--- Frame length----->
--
-- <-----> |<--------|///////|---->|<----|///////////|---->|<-|////|-------------->|
-- initial <-------> <----------> <------------->
-- delay frame Packet_size frame
-- initial end delay
-- delay
--
-- source: id of the source node
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable id_counter : integer:= 0;
variable frame_starting_delay, Packet_length, frame_ending_delay: integer := 0;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
-- generating the ID
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
-- generating the packet length
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
assert (3*Packet_length<=frame_length) report "packet_length "& integer'image(Packet_length)&" exceeds frame size "& integer'image(frame_length) severity failure;
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
RTS <= '0';
if first_time = true then
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
-- wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
first_time := false;
else
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
end if;
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " &
integer'image(destination_id) & " with length: "& integer'image(Packet_length)& " with id: "&integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_random_packet;
procedure gen_bit_reversed_packet(frame_length, source, initial_delay, network_size, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- frame_length is inverse of PIR. with the unit of clock cycles. which means how many clock cycles per packet to
-- be injected. to build a true random traffic generator, we need to make a series of frames:
--
--
-- <--- Frame length-----> <--- Frame length-----> <--- Frame length----->
--
-- <-----> |<--------|///////|---->|<----|///////////|---->|<-|////|-------------->|
-- initial <-------> <----------> <------------->
-- delay frame Packet_size frame
-- initial end delay
-- delay
--
-- source: id of the source node
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable id_counter : integer:= 0;
variable frame_starting_delay, Packet_length, frame_ending_delay: integer := 0;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
-- generating the ID
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
-- generating the packet length
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)/300)*frame_length);
if (Packet_length < min_packet_size) then
Packet_length := min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length := max_packet_size;
end if;
assert (3*Packet_length<=frame_length) report "packet_length "& integer'image(Packet_length)&" exceeds frame size "& integer'image(frame_length) severity failure;
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
RTS <= '0';
if first_time = true then
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
-- wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
first_time := false;
else
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
end if;
destination_id := to_integer(unsigned(not std_logic_vector(to_unsigned(source, network_size))));
if destination_id = source then
wait;
end if;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id);
report " frame_size: " & integer'image(frame_length) & " packet_length: " & integer'image(Packet_length) & "starting_delay: " & integer'image(frame_starting_delay) & " ending_delay: " & integer'image(frame_ending_delay);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " &
integer'image(destination_id) & " with length: "& integer'image(Packet_length)& " with id: "&integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_bit_reversed_packet;
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal CTS: out std_logic; signal DRTS: in std_logic; signal port_in: in std_logic_vector) is
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable source_node, destination_node, P_length, packet_id, counter: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "received.txt";
begin
while true loop
counter := 0;
CTS <= '0';
wait until DRTS'event and DRTS ='1';
wait until clk'event and clk ='1';
CTS <= '1';
wait until clk'event and clk ='1';
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001") then
counter := 1;
P_length := to_integer(unsigned(port_in(28 downto 17)));
destination_node := to_integer(unsigned(port_in(16 downto 13)));
source_node := to_integer(unsigned(port_in(12 downto 9)));
packet_id := to_integer(unsigned(port_in(8 downto 1)));
end if;
CTS <= '0';
while (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) /= "100") loop
wait until DRTS'event and DRTS ='1';
wait until clk'event and clk ='1';
CTS <= '1';
wait until clk'event and clk ='1';
counter := counter+1;
CTS <= '0';
end loop;
report "Packet received at " & time'image(now) & " From " & integer'image(source_node) & " to " & integer'image(destination_node) & " with length: "& integer'image(P_length) & " counter: "& integer'image(counter);
assert (P_length=counter) report "wrong packet size" severity failure;
assert (Node_ID=destination_node) report "wrong packet destination " severity failure;
write(LINEVARIABLE, "Packet received at " & time'image(now) & " From: " & integer'image(source_node) & " to: " & integer'image(destination_node) & " length: "& integer'image(P_length)& " id: "& integer'image(packet_id));
writeline(VEC_FILE, LINEVARIABLE);
end loop;
end get_packet;
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer) is
variable seed1 :positive := seed_1;
variable seed2 :positive := seed_2;
variable rand : real;
variable stuck: integer;
begin
sta_0 <= '0';
sta_1 <= '0';
while true loop
sta_0 <= '0';
sta_1 <= '0';
for I in 0 to delay loop
wait for 1 ns;
end loop;
uniform(seed1, seed2, rand);
address <= std_logic_vector(to_unsigned(integer(rand*31.0), 5));
uniform(seed1, seed2, rand);
stuck := integer(rand*11.0);
if stuck > 5 then
sta_0 <= '1';
sta_1 <= '0';
else
sta_0 <= '0';
sta_1 <= '1';
end if;
wait for 2 ns;
end loop;
end gen_fault;
end TB_Package;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Fault_Management/SHMU_prototype/version_2/TB_Package_32_bit.vhd
|
3
|
19373
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
use ieee.math_real.all;
use std.textio.all;
use ieee.std_logic_misc.all;
package TB_Package is
function Header_gen(Packet_length, source, destination, packet_id: integer ) return std_logic_vector ;
function Body_gen(Packet_length, Data: integer ) return std_logic_vector ;
function Tail_gen(Packet_length, Data: integer ) return std_logic_vector ;
procedure gen_packet(Packet_length, source, destination, packet_id, initial_delay: in integer; finish_time: in time; signal clk: in std_logic; signal DCTS: in std_logic; signal RTS: out std_logic; signal port_in: out std_logic_vector);
procedure gen_random_packet(frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector);
procedure gen_bit_reversed_packet(frame_length, source, initial_delay, network_size, min_packet_size, max_packet_size: in integer; finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector);
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic; signal CTS: out std_logic; signal DRTS: in std_logic; signal port_in: in std_logic_vector);
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer);
end TB_Package;
package body TB_Package is
constant Header_type : std_logic_vector := "001";
constant Body_type : std_logic_vector := "010";
constant Tail_type : std_logic_vector := "100";
function Header_gen(Packet_length, source, destination, packet_id: integer)
return std_logic_vector is
variable Header_flit: std_logic_vector (31 downto 0);
begin
Header_flit := Header_type & std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)) & XOR_REDUCE(Header_type &
std_logic_vector(to_unsigned(Packet_length, 12)) & std_logic_vector(to_unsigned(destination, 4)) &
std_logic_vector(to_unsigned(source, 4)) & std_logic_vector(to_unsigned(packet_id, 8)));
return Header_flit;
end Header_gen;
function Body_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Body_flit: std_logic_vector (31 downto 0);
begin
Body_flit := Body_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Body_type & std_logic_vector(to_unsigned(Data, 28)));
return Body_flit;
end Body_gen;
function Tail_gen(Packet_length, Data: integer)
return std_logic_vector is
variable Tail_flit: std_logic_vector (31 downto 0);
begin
Tail_flit := Tail_type & std_logic_vector(to_unsigned(Data, 28)) & XOR_REDUCE(Tail_type & std_logic_vector(to_unsigned(Data, 28)));
return Tail_flit;
end Tail_gen;
procedure gen_packet(Packet_length, source, destination, packet_id, initial_delay: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- Packet_length of 3 means it has 1 header, 1 body and 1 tail. the number of body packets are equal to Packet_length-2
-- source: id of the source node
-- destination: id of the destination node
-- packet id: packet identification number! TODO: has to be implemented!
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
RTS <= '0';
if first_time = true then
for i in 0 to initial_delay loop
wait until clk'event and clk ='0';
end loop;
else
wait until clk'event and clk ='0';
end if;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination) & " with length: "& integer'image(Packet_length));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination, packet_id);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
port_in <= Tail_gen(Packet_length, 200);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
if now > finish_time then
wait;
end if;
end loop;
end gen_packet;
procedure gen_random_packet(frame_length, source, initial_delay, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- frame_length is inverse of PIR. with the unit of clock cycles. which means how many clock cycles per packet to
-- be injected. to build a true random traffic generator, we need to make a series of frames:
--
--
-- <--- Frame length-----> <--- Frame length-----> <--- Frame length----->
--
-- <-----> |<--------|///////|---->|<----|///////////|---->|<-|////|-------------->|
-- initial <-------> <----------> <------------->
-- delay frame Packet_size frame
-- initial end delay
-- delay
--
-- source: id of the source node
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable id_counter : integer:= 0;
variable frame_starting_delay, Packet_length, frame_ending_delay: integer := 0;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
-- generating the ID
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
-- generating the packet length
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)*frame_length)/300);
if (Packet_length < min_packet_size) then
Packet_length:=min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length:=max_packet_size;
end if;
assert (3*Packet_length<=frame_length) report "packet_length "& integer'image(Packet_length)&" exceeds frame size "& integer'image(frame_length) severity failure;
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
RTS <= '0';
if first_time = true then
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
-- wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
first_time := false;
else
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
end if;
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
while (destination_id = source) loop
uniform(seed1, seed2, rand);
destination_id := integer(rand*3.0);
end loop;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " &
integer'image(destination_id) & " with length: "& integer'image(Packet_length)& " with id: "&integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_random_packet;
procedure gen_bit_reversed_packet(frame_length, source, initial_delay, network_size, min_packet_size, max_packet_size: in integer;
finish_time: in time; signal clk: in std_logic;
signal DCTS: in std_logic; signal RTS: out std_logic;
signal port_in: out std_logic_vector) is
-- frame_length is inverse of PIR. with the unit of clock cycles. which means how many clock cycles per packet to
-- be injected. to build a true random traffic generator, we need to make a series of frames:
--
--
-- <--- Frame length-----> <--- Frame length-----> <--- Frame length----->
--
-- <-----> |<--------|///////|---->|<----|///////////|---->|<-|////|-------------->|
-- initial <-------> <----------> <------------->
-- delay frame Packet_size frame
-- initial end delay
-- delay
--
-- source: id of the source node
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable seed1 :positive ;
variable seed2 :positive ;
variable rand : real ;
variable first_time :boolean := true;
variable id_counter : integer:= 0;
variable frame_starting_delay, Packet_length, frame_ending_delay: integer := 0;
variable destination_id: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "sent.txt";
begin
while true loop
-- generating the ID
id_counter := id_counter + 1;
if id_counter = 256 then
id_counter := 0;
end if;
-- generating the packet length
uniform(seed1, seed2, rand);
Packet_length := integer((integer(rand*100.0)/300)*frame_length);
if (Packet_length < min_packet_size) then
Packet_length := min_packet_size;
end if;
if (Packet_length > max_packet_size) then
Packet_length := max_packet_size;
end if;
assert (3*Packet_length<=frame_length) report "packet_length "& integer'image(Packet_length)&" exceeds frame size "& integer'image(frame_length) severity failure;
--generating the frame initial delay
uniform(seed1, seed2, rand);
frame_starting_delay := integer(((integer(rand*100.0)*(frame_length - 3*Packet_length)))/100);
--generating the frame ending delay
frame_ending_delay := frame_length - (3*Packet_length+frame_starting_delay);
RTS <= '0';
if first_time = true then
port_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX" ;
wait until clk'event and clk ='1';
for i in 0 to initial_delay loop
-- wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
first_time := false;
else
wait until clk'event and clk ='1';
for k in 0 to frame_starting_delay-1 loop
--wait until clk'event and clk ='0';
wait for 1 ns;
end loop;
end if;
destination_id := to_integer(unsigned(not std_logic_vector(to_unsigned(source, network_size))));
if destination_id = source then
wait;
end if;
--wait untill the falling edge of the clock to avoid race!
report "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " & integer'image(destination_id);
report " frame_size: " & integer'image(frame_length) & " packet_length: " & integer'image(Packet_length) & "starting_delay: " & integer'image(frame_starting_delay) & " ending_delay: " & integer'image(frame_ending_delay);
write(LINEVARIABLE, "Packet generated at " & time'image(now) & " From " & integer'image(source) & " to " &
integer'image(destination_id) & " with length: "& integer'image(Packet_length)& " with id: "&integer'image(id_counter));
writeline(VEC_FILE, LINEVARIABLE);
port_in <= Header_gen(Packet_length, source, destination_id, id_counter);
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
for I in 0 to Packet_length-3 loop
uniform(seed1, seed2, rand);
wait until clk'event and clk ='0';
port_in <= Body_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
end loop;
wait until clk'event and clk ='0';
uniform(seed1, seed2, rand);
port_in <= Tail_gen(Packet_length, integer(rand*1000.0));
wait until clk'event and clk ='1';
RTS <= '1';
wait until DCTS'event and DCTS ='1';
wait until clk'event and clk ='1';
RTS <= '0';
port_in <= "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ" ;
for l in 0 to frame_ending_delay-1 loop
wait for 1 ns;
end loop;
port_in <= "UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU" ;
if now > finish_time then
wait;
end if;
end loop;
end gen_bit_reversed_packet;
procedure get_packet(DATA_WIDTH, initial_delay, Node_ID: in integer; signal clk: in std_logic;
signal CTS: out std_logic; signal DRTS: in std_logic; signal port_in: in std_logic_vector) is
-- initial_delay: waits for this number of clock cycles before sending the packet!
variable source_node, destination_node, P_length, packet_id, counter: integer;
variable LINEVARIABLE : line;
file VEC_FILE : text is out "received.txt";
begin
while true loop
counter := 0;
CTS <= '0';
wait until DRTS'event and DRTS ='1';
wait until clk'event and clk ='1';
CTS <= '1';
wait until clk'event and clk ='1';
if (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001") then
counter := 1;
P_length := to_integer(unsigned(port_in(28 downto 17)));
destination_node := to_integer(unsigned(port_in(16 downto 13)));
source_node := to_integer(unsigned(port_in(12 downto 9)));
packet_id := to_integer(unsigned(port_in(8 downto 1)));
end if;
CTS <= '0';
while (port_in(DATA_WIDTH-1 downto DATA_WIDTH-3) /= "100") loop
wait until DRTS'event and DRTS ='1';
wait until clk'event and clk ='1';
CTS <= '1';
wait until clk'event and clk ='1';
counter := counter+1;
CTS <= '0';
end loop;
report "Packet received at " & time'image(now) & " From " & integer'image(source_node) & " to " & integer'image(destination_node) & " with length: "& integer'image(P_length) & " counter: "& integer'image(counter);
assert (P_length=counter) report "wrong packet size" severity failure;
assert (Node_ID=destination_node) report "wrong packet destination " severity failure;
write(LINEVARIABLE, "Packet received at " & time'image(now) & " From: " & integer'image(source_node) & " to: " & integer'image(destination_node) & " length: "& integer'image(P_length)& " id: "& integer'image(packet_id));
writeline(VEC_FILE, LINEVARIABLE);
end loop;
end get_packet;
procedure gen_fault(signal sta_0, sta_1: out std_logic; signal address: out std_logic_vector; delay, seed_1, seed_2: in integer) is
variable seed1 :positive := seed_1;
variable seed2 :positive := seed_2;
variable rand : real;
variable stuck: integer;
begin
sta_0 <= '0';
sta_1 <= '0';
while true loop
sta_0 <= '0';
sta_1 <= '0';
for I in 0 to delay loop
wait for 1 ns;
end loop;
uniform(seed1, seed2, rand);
address <= std_logic_vector(to_unsigned(integer(rand*31.0), 5));
uniform(seed1, seed2, rand);
stuck := integer(rand*11.0);
if stuck > 5 then
sta_0 <= '1';
sta_1 <= '0';
else
sta_0 <= '0';
sta_1 <= '1';
end if;
wait for 2 ns;
end loop;
end gen_fault;
end TB_Package;
|
gpl-3.0
|
Ana06/function-graphing-FPGA
|
trigo.vhd
|
2
|
16754
|
----------------------------------------------------------------------------------
-- Company: Nameless2
-- Engineer: Ana María Martínez Gómez, Aitor Alonso Lorenzo, Víctor Adolfo Gallego Alcalá
--
-- Create Date: 13:18:25 02/19/2014
-- Design Name:
-- Module Name: trigo - Behavioral
-- Project Name: Representación gráfica de funciones
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity trigo is
Port ( valor : in STD_LOGIC_VECTOR (20 downto 0);
sen : out STD_LOGIC_VECTOR (20 downto 0);
cos : out STD_LOGIC_VECTOR (20 downto 0));
end trigo;
architecture Behavioral of trigo is
begin
with valor select
sen <= "000000000000000000000" when "111111100000000000000",
"111111111111111111111" when "111111100010000000000",
"000000000000000000000" when "111111100100000000000",
"000000000000000000000" when "111111100110000000000",
"000000000000000000000" when "111111101000000000000",
"111111111111111111111" when "111111101010000000000",
"000000000000000000000" when "111111101100000000000",
"111111111111111111111" when "111111101110000000000",
"000000000000000000000" when "111111110000000000000",
"000000000010000000000" when "111111110001000000000",
"111111111111111111111" when "111111110010000000000",
"111111111110000000000" when "111111110011000000000",
"000000000000000000000" when "111111110100000000000",
"000000000010000000000" when "111111110101000000000",
"111111111111111111111" when "111111110110000000000",
"111111111110000000000" when "111111110111000000000",
"000000000000000000000" when "111111111000000000000",
"000000000001011010100" when "111111111000100000000",
"000000000010000000000" when "111111111001000000000",
"000000000001011010100" when "111111111001100000000",
"111111111111111111111" when "111111111010000000000",
"111111111110100101011" when "111111111010100000000",
"111111111110000000000" when "111111111011000000000",
"111111111110100101011" when "111111111011100000000",
"000000000000000000000" when "111111111100000000000",
"000000000000110000111" when "111111111100010000000",
"000000000001011010100" when "111111111100100000000",
"000000000001110110010" when "111111111100110000000",
"000000000010000000000" when "111111111101000000000",
"000000000001110110010" when "111111111101010000000",
"000000000001011010100" when "111111111101100000000",
"000000000000110000111" when "111111111101110000000",
"111111111111111111111" when "111111111110000000000",
"111111111111100111000" when "111111111110001000000",
"111111111111001111000" when "111111111110010000000",
"111111111110111000111" when "111111111110011000000",
"111111111110100101011" when "111111111110100000000",
"111111111110010101100" when "111111111110101000000",
"111111111110001001101" when "111111111110110000000",
"111111111110000010011" when "111111111110111000000",
"111111111110000000000" when "111111111111000000000",
"111111111110000010011" when "111111111111001000000",
"111111111110001001101" when "111111111111010000000",
"111111111110010101100" when "111111111111011000000",
"111111111110100101011" when "111111111111100000000",
"111111111110111000111" when "111111111111101000000",
"111111111111001111000" when "111111111111110000000",
"111111111111100111000" when "111111111111111000000",
"000000000000000000000" when "000000000000000000000",
"000000000000001100100" when "000000000000000100000",
"000000000000011000111" when "000000000000001000000",
"000000000000100101001" when "000000000000001100000",
"000000000000110000111" when "000000000000010000000",
"000000000000111100010" when "000000000000010100000",
"000000000001000111000" when "000000000000011000000",
"000000000001010001001" when "000000000000011100000",
"000000000001011010100" when "000000000000100000000",
"000000000001100010111" when "000000000000100100000",
"000000000001101010011" when "000000000000101000000",
"000000000001110000111" when "000000000000101100000",
"000000000001110110010" when "000000000000110000000",
"000000000001111010011" when "000000000000110100000",
"000000000001111101100" when "000000000000111000000",
"000000000001111111011" when "000000000000111100000",
"000000000010000000000" when "000000000001000000000",
"000000000001111111011" when "000000000001000100000",
"000000000001111101100" when "000000000001001000000",
"000000000001111010011" when "000000000001001100000",
"000000000001110110010" when "000000000001010000000",
"000000000001110000111" when "000000000001010100000",
"000000000001101010011" when "000000000001011000000",
"000000000001100010111" when "000000000001011100000",
"000000000001011010100" when "000000000001100000000",
"000000000001010001001" when "000000000001100100000",
"000000000001000111000" when "000000000001101000000",
"000000000000111100010" when "000000000001101100000",
"000000000000110000111" when "000000000001110000000",
"000000000000100101001" when "000000000001110100000",
"000000000000011000111" when "000000000001111000000",
"000000000000001100100" when "000000000001111100000",
"000000000000000000000" when "000000000010000000000",
"111111111111100111000" when "000000000010001000000",
"111111111111001111000" when "000000000010010000000",
"111111111110111000111" when "000000000010011000000",
"111111111110100101011" when "000000000010100000000",
"111111111110010101100" when "000000000010101000000",
"111111111110001001101" when "000000000010110000000",
"111111111110000010011" when "000000000010111000000",
"111111111110000000000" when "000000000011000000000",
"111111111110000010011" when "000000000011001000000",
"111111111110001001101" when "000000000011010000000",
"111111111110010101100" when "000000000011011000000",
"111111111110100101011" when "000000000011100000000",
"111111111110111000111" when "000000000011101000000",
"111111111111001111000" when "000000000011110000000",
"111111111111100111000" when "000000000011111000000",
"111111111111111111111" when "000000000100000000000",
"000000000000110000111" when "000000000100010000000",
"000000000001011010100" when "000000000100100000000",
"000000000001110110010" when "000000000100110000000",
"000000000010000000000" when "000000000101000000000",
"000000000001110110010" when "000000000101010000000",
"000000000001011010100" when "000000000101100000000",
"000000000000110000111" when "000000000101110000000",
"000000000000000000000" when "000000000110000000000",
"111111111111001111000" when "000000000110010000000",
"111111111110100101011" when "000000000110100000000",
"111111111110001001101" when "000000000110110000000",
"111111111110000000000" when "000000000111000000000",
"111111111110001001101" when "000000000111010000000",
"111111111110100101011" when "000000000111100000000",
"111111111111001111000" when "000000000111110000000",
"111111111111111111111" when "000000001000000000000",
"000000000001011010100" when "000000001000100000000",
"000000000010000000000" when "000000001001000000000",
"000000000001011010100" when "000000001001100000000",
"000000000000000000000" when "000000001010000000000",
"111111111110100101011" when "000000001010100000000",
"111111111110000000000" when "000000001011000000000",
"111111111110100101011" when "000000001011100000000",
"111111111111111111111" when "000000001100000000000",
"000000000001011010100" when "000000001100100000000",
"000000000010000000000" when "000000001101000000000",
"000000000001011010100" when "000000001101100000000",
"000000000000000000000" when "000000001110000000000",
"111111111110100101011" when "000000001110100000000",
"111111111110000000000" when "000000001111000000000",
"111111111110100101011" when "000000001111100000000",
"111111111111111111111" when "000000010000000000000",
"000000000010000000000" when "000000010001000000000",
"000000000000000000000" when "000000010010000000000",
"111111111110000000000" when "000000010011000000000",
"111111111111111111111" when "000000010100000000000",
"000000000010000000000" when "000000010101000000000",
"000000000000000000000" when "000000010110000000000",
"111111111110000000000" when "000000010111000000000",
"111111111111111111111" when "000000011000000000000",
"000000000010000000000" when "000000011001000000000",
"111111111111111111111" when "000000011010000000000",
"111111111110000000000" when "000000011011000000000",
"111111111111111111111" when "000000011100000000000",
"000000000010000000000" when "000000011101000000000",
"000000000000000000000" when "000000011110000000000",
"111111111110000000000" when "000000011111000000000",
"111111111111111111111" when "000000100000000000000",
"000000000000000000000" when others;
with valor select
cos <= "000000000010000000000" when "111111100000000000000",
"111111111110000000000" when "111111100010000000000",
"000000000010000000000" when "111111100100000000000",
"111111111110000000000" when "111111100110000000000",
"000000000010000000000" when "111111101000000000000",
"111111111110000000000" when "111111101010000000000",
"000000000010000000000" when "111111101100000000000",
"111111111110000000000" when "111111101110000000000",
"000000000010000000000" when "111111110000000000000",
"111111111111111111111" when "111111110001000000000",
"111111111110000000000" when "111111110010000000000",
"111111111111111111111" when "111111110011000000000",
"000000000010000000000" when "111111110100000000000",
"111111111111111111111" when "111111110101000000000",
"111111111110000000000" when "111111110110000000000",
"000000000000000000000" when "111111110111000000000",
"000000000010000000000" when "111111111000000000000",
"000000000001011010100" when "111111111000100000000",
"111111111111111111111" when "111111111001000000000",
"111111111110100101011" when "111111111001100000000",
"111111111110000000000" when "111111111010000000000",
"111111111110100101011" when "111111111010100000000",
"000000000000000000000" when "111111111011000000000",
"000000000001011010100" when "111111111011100000000",
"000000000010000000000" when "111111111100000000000",
"000000000001110110010" when "111111111100010000000",
"000000000001011010100" when "111111111100100000000",
"000000000000110000111" when "111111111100110000000",
"111111111111111111111" when "111111111101000000000",
"111111111111001111000" when "111111111101010000000",
"111111111110100101011" when "111111111101100000000",
"111111111110001001101" when "111111111101110000000",
"111111111110000000000" when "111111111110000000000",
"111111111110000010011" when "111111111110001000000",
"111111111110001001101" when "111111111110010000000",
"111111111110010101100" when "111111111110011000000",
"111111111110100101011" when "111111111110100000000",
"111111111110111000111" when "111111111110101000000",
"111111111111001111000" when "111111111110110000000",
"111111111111100111000" when "111111111110111000000",
"000000000000000000000" when "111111111111000000000",
"000000000000011000111" when "111111111111001000000",
"000000000000110000111" when "111111111111010000000",
"000000000001000111000" when "111111111111011000000",
"000000000001011010100" when "111111111111100000000",
"000000000001101010011" when "111111111111101000000",
"000000000001110110010" when "111111111111110000000",
"000000000001111101100" when "111111111111111000000",
"000000000010000000000" when "000000000000000000000",
"000000000001111111011" when "000000000000000100000",
"000000000001111101100" when "000000000000001000000",
"000000000001111010011" when "000000000000001100000",
"000000000001110110010" when "000000000000010000000",
"000000000001110000111" when "000000000000010100000",
"000000000001101010011" when "000000000000011000000",
"000000000001100010111" when "000000000000011100000",
"000000000001011010100" when "000000000000100000000",
"000000000001010001001" when "000000000000100100000",
"000000000001000111000" when "000000000000101000000",
"000000000000111100010" when "000000000000101100000",
"000000000000110000111" when "000000000000110000000",
"000000000000100101001" when "000000000000110100000",
"000000000000011000111" when "000000000000111000000",
"000000000000001100100" when "000000000000111100000",
"000000000000000000000" when "000000000001000000000",
"111111111111110011011" when "000000000001000100000",
"111111111111100111000" when "000000000001001000000",
"111111111111011010110" when "000000000001001100000",
"111111111111001111000" when "000000000001010000000",
"111111111111000011101" when "000000000001010100000",
"111111111110111000111" when "000000000001011000000",
"111111111110101110110" when "000000000001011100000",
"111111111110100101011" when "000000000001100000000",
"111111111110011101000" when "000000000001100100000",
"111111111110010101100" when "000000000001101000000",
"111111111110001111000" when "000000000001101100000",
"111111111110001001101" when "000000000001110000000",
"111111111110000101100" when "000000000001110100000",
"111111111110000010011" when "000000000001111000000",
"111111111110000000100" when "000000000001111100000",
"111111111110000000000" when "000000000010000000000",
"111111111110000010011" when "000000000010001000000",
"111111111110001001101" when "000000000010010000000",
"111111111110010101100" when "000000000010011000000",
"111111111110100101011" when "000000000010100000000",
"111111111110111000111" when "000000000010101000000",
"111111111111001111000" when "000000000010110000000",
"111111111111100111000" when "000000000010111000000",
"111111111111111111111" when "000000000011000000000",
"000000000000011000111" when "000000000011001000000",
"000000000000110000111" when "000000000011010000000",
"000000000001000111000" when "000000000011011000000",
"000000000001011010100" when "000000000011100000000",
"000000000001101010011" when "000000000011101000000",
"000000000001110110010" when "000000000011110000000",
"000000000001111101100" when "000000000011111000000",
"000000000010000000000" when "000000000100000000000",
"000000000001110110010" when "000000000100010000000",
"000000000001011010100" when "000000000100100000000",
"000000000000110000111" when "000000000100110000000",
"000000000000000000000" when "000000000101000000000",
"111111111111001111000" when "000000000101010000000",
"111111111110100101011" when "000000000101100000000",
"111111111110001001101" when "000000000101110000000",
"111111111110000000000" when "000000000110000000000",
"111111111110001001101" when "000000000110010000000",
"111111111110100101011" when "000000000110100000000",
"111111111111001111000" when "000000000110110000000",
"111111111111111111111" when "000000000111000000000",
"000000000000110000111" when "000000000111010000000",
"000000000001011010100" when "000000000111100000000",
"000000000001110110010" when "000000000111110000000",
"000000000010000000000" when "000000001000000000000",
"000000000001011010100" when "000000001000100000000",
"000000000000000000000" when "000000001001000000000",
"111111111110100101011" when "000000001001100000000",
"111111111110000000000" when "000000001010000000000",
"111111111110100101011" when "000000001010100000000",
"111111111111111111111" when "000000001011000000000",
"000000000001011010100" when "000000001011100000000",
"000000000010000000000" when "000000001100000000000",
"000000000001011010100" when "000000001100100000000",
"111111111111111111111" when "000000001101000000000",
"111111111110100101011" when "000000001101100000000",
"111111111110000000000" when "000000001110000000000",
"111111111110100101011" when "000000001110100000000",
"111111111111111111111" when "000000001111000000000",
"000000000001011010100" when "000000001111100000000",
"000000000010000000000" when "000000010000000000000",
"111111111111111111111" when "000000010001000000000",
"111111111110000000000" when "000000010010000000000",
"111111111111111111111" when "000000010011000000000",
"000000000010000000000" when "000000010100000000000",
"111111111111111111111" when "000000010101000000000",
"111111111110000000000" when "000000010110000000000",
"111111111111111111111" when "000000010111000000000",
"000000000010000000000" when "000000011000000000000",
"111111111111111111111" when "000000011001000000000",
"111111111110000000000" when "000000011010000000000",
"111111111111111111111" when "000000011011000000000",
"000000000010000000000" when "000000011100000000000",
"111111111111111111111" when "000000011101000000000",
"111111111110000000000" when "000000011110000000000",
"111111111111111111111" when "000000011111000000000",
"000000000010000000000" when "000000100000000000000",
"000000000000000000000" when others;
end Behavioral;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Hand_Shaking/Hand_Shaking_FC/Router_32_bit_parity_with_dominant_checkers.vhd
|
1
|
70643
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router_parity is
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 5;
Rxy_rst : integer := 60;
Cx_rst : integer := 15;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
DCTS_N, DCTS_E, DCTS_w, DCTS_S, DCTS_L: in std_logic;
DRTS_N, DRTS_E, DRTS_W, DRTS_S, DRTS_L: in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_N, RTS_E, RTS_W, RTS_S, RTS_L: out std_logic;
CTS_N, CTS_E, CTS_w, CTS_S, CTS_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
fault_out_N, fault_out_E, fault_out_W, fault_out_S, fault_out_L:out std_logic
);
end router_parity;
architecture behavior of router_parity is
COMPONENT parity_checker is
generic(DATA_WIDTH : integer := 32);
port(
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
DRTS: in std_logic;
fault_out: out std_logic
);
end COMPONENT;
COMPONENT FIFO
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
DRTS: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
CTS: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end COMPONENT;
COMPONENT Arbiter
port ( reset: in std_logic;
clk: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules
DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking)
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
Xbar_sel : out std_logic_vector(4 downto 0); -- select lines for XBAR
RTS: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
--err_East_Req_E,
--err_West_Req_W,
--err_South_Req_S,
err_IDLE_Req_N,
err_Local_Req_N,
--err_North_Req_E,
--err_East_Req_W,
--err_West_Req_S,
err_South_Req_L,
--err_IDLE_Req_E,
--err_Local_Req_E,
--err_North_Req_W,
--err_East_Req_S,
err_West_Req_L,
err_South_Req_N,
--err_IDLE_Req_W,
--err_Local_Req_W,
--err_North_Req_S,
err_East_Req_L,
err_West_Req_N,
--err_South_Req_E,
--err_IDLE_Req_S,
--err_Local_Req_S,
--err_North_Req_L,
err_East_Req_N,
--err_West_Req_E,
--err_South_Req_W,
err_next_state_onehot,
err_state_in_onehot,
--err_DCTS_RTS_FF_state_Grant_L,
--err_DCTS_RTS_FF_state_Grant_N,
--err_DCTS_RTS_FF_state_Grant_E,
--err_DCTS_RTS_FF_state_Grant_W,
--err_DCTS_RTS_FF_state_Grant_S,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
--err_state_local_xbar_sel : out std_logic
);
end COMPONENT;
COMPONENT LBDR is
generic (
cur_addr_rst: integer := 5;
Rxy_rst: integer := 60;
Cx_rst: integer := 15;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic;
-- Checker outputs
--err_header_not_empty_Requests_in_onehot,
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in : out std_logic
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic;
signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic;
signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic;
signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic;
signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic;
signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic;
signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic;
signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic;
signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic;
signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic;
signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic;
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
-- Signals related to Checkers
-- LBDR Checkers signals
-- North
signal N_err_header_empty_Requests_FF_Requests_in,
N_err_tail_Requests_in_all_zero,
N_err_header_tail_Requests_FF_Requests_in,
N_err_dst_addr_cur_addr_N1,
N_err_dst_addr_cur_addr_not_N1,
N_err_dst_addr_cur_addr_E1,
N_err_dst_addr_cur_addr_not_E1,
N_err_dst_addr_cur_addr_W1,
N_err_dst_addr_cur_addr_not_W1,
N_err_dst_addr_cur_addr_S1,
N_err_dst_addr_cur_addr_not_S1,
N_err_dst_addr_cur_addr_not_Req_L_in,
N_err_dst_addr_cur_addr_Req_L_in,
N_err_header_not_empty_Req_N_in,
N_err_header_not_empty_Req_E_in,
N_err_header_not_empty_Req_W_in,
N_err_header_not_empty_Req_S_in : std_logic;
-- East
signal E_err_header_empty_Requests_FF_Requests_in,
E_err_tail_Requests_in_all_zero,
E_err_header_tail_Requests_FF_Requests_in,
E_err_dst_addr_cur_addr_N1,
E_err_dst_addr_cur_addr_not_N1,
E_err_dst_addr_cur_addr_E1,
E_err_dst_addr_cur_addr_not_E1,
E_err_dst_addr_cur_addr_W1,
E_err_dst_addr_cur_addr_not_W1,
E_err_dst_addr_cur_addr_S1,
E_err_dst_addr_cur_addr_not_S1,
E_err_dst_addr_cur_addr_not_Req_L_in,
E_err_dst_addr_cur_addr_Req_L_in,
E_err_header_not_empty_Req_N_in,
E_err_header_not_empty_Req_E_in,
E_err_header_not_empty_Req_W_in,
E_err_header_not_empty_Req_S_in : std_logic;
-- West
signal W_err_header_empty_Requests_FF_Requests_in,
W_err_tail_Requests_in_all_zero,
W_err_header_tail_Requests_FF_Requests_in,
W_err_dst_addr_cur_addr_N1,
W_err_dst_addr_cur_addr_not_N1,
W_err_dst_addr_cur_addr_E1,
W_err_dst_addr_cur_addr_not_E1,
W_err_dst_addr_cur_addr_W1,
W_err_dst_addr_cur_addr_not_W1,
W_err_dst_addr_cur_addr_S1,
W_err_dst_addr_cur_addr_not_S1,
W_err_dst_addr_cur_addr_not_Req_L_in,
W_err_dst_addr_cur_addr_Req_L_in,
W_err_header_not_empty_Req_N_in,
W_err_header_not_empty_Req_E_in,
W_err_header_not_empty_Req_W_in,
W_err_header_not_empty_Req_S_in : std_logic;
-- South
signal S_err_header_empty_Requests_FF_Requests_in,
S_err_tail_Requests_in_all_zero,
S_err_header_tail_Requests_FF_Requests_in,
S_err_dst_addr_cur_addr_N1,
S_err_dst_addr_cur_addr_not_N1,
S_err_dst_addr_cur_addr_E1,
S_err_dst_addr_cur_addr_not_E1,
S_err_dst_addr_cur_addr_W1,
S_err_dst_addr_cur_addr_not_W1,
S_err_dst_addr_cur_addr_S1,
S_err_dst_addr_cur_addr_not_S1,
S_err_dst_addr_cur_addr_not_Req_L_in,
S_err_dst_addr_cur_addr_Req_L_in,
S_err_header_not_empty_Req_N_in,
S_err_header_not_empty_Req_E_in,
S_err_header_not_empty_Req_W_in,
S_err_header_not_empty_Req_S_in : std_logic;
-- Local
signal L_err_header_empty_Requests_FF_Requests_in,
L_err_tail_Requests_in_all_zero,
L_err_header_tail_Requests_FF_Requests_in,
L_err_dst_addr_cur_addr_N1,
L_err_dst_addr_cur_addr_not_N1,
L_err_dst_addr_cur_addr_E1,
L_err_dst_addr_cur_addr_not_E1,
L_err_dst_addr_cur_addr_W1,
L_err_dst_addr_cur_addr_not_W1,
L_err_dst_addr_cur_addr_S1,
L_err_dst_addr_cur_addr_not_S1,
L_err_dst_addr_cur_addr_not_Req_L_in,
L_err_dst_addr_cur_addr_Req_L_in,
L_err_header_not_empty_Req_N_in,
L_err_header_not_empty_Req_E_in,
L_err_header_not_empty_Req_W_in,
L_err_header_not_empty_Req_S_in : std_logic;
-- Arbiter Checkers signals
-- North
signal N_err_state_IDLE_xbar,
N_err_state_not_IDLE_xbar,
N_err_state_IDLE_RTS_FF_in,
N_err_state_not_IDLE_RTS_FF_RTS_FF_in,
N_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
N_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
N_err_RTS_FF_not_DCTS_state_state_in,
N_err_not_RTS_FF_state_in_next_state,
N_err_RTS_FF_DCTS_state_in_next_state,
N_err_not_DCTS_Grants,
N_err_DCTS_not_RTS_FF_Grants,
N_err_DCTS_RTS_FF_IDLE_Grants,
N_err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
N_err_Requests_next_state_IDLE,
N_err_IDLE_Req_L,
N_err_Local_Req_L,
N_err_North_Req_N,
N_err_IDLE_Req_N,
N_err_Local_Req_N,
N_err_South_Req_L,
N_err_West_Req_L,
N_err_South_Req_N,
N_err_East_Req_L,
N_err_West_Req_N,
N_err_East_Req_N,
N_err_next_state_onehot,
N_err_state_in_onehot,
N_err_state_north_xbar_sel,
N_err_state_east_xbar_sel,
N_err_state_west_xbar_sel,
N_err_state_south_xbar_sel : std_logic;
-- East
signal E_err_state_IDLE_xbar,
E_err_state_not_IDLE_xbar,
E_err_state_IDLE_RTS_FF_in,
E_err_state_not_IDLE_RTS_FF_RTS_FF_in,
E_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
E_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
E_err_RTS_FF_not_DCTS_state_state_in,
E_err_not_RTS_FF_state_in_next_state,
E_err_RTS_FF_DCTS_state_in_next_state,
E_err_not_DCTS_Grants,
E_err_DCTS_not_RTS_FF_Grants,
E_err_DCTS_RTS_FF_IDLE_Grants,
E_err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
E_err_Requests_next_state_IDLE,
E_err_IDLE_Req_L,
E_err_Local_Req_L,
E_err_North_Req_N,
E_err_IDLE_Req_N,
E_err_Local_Req_N,
E_err_South_Req_L,
E_err_West_Req_L,
E_err_South_Req_N,
E_err_East_Req_L,
E_err_West_Req_N,
E_err_East_Req_N,
E_err_next_state_onehot,
E_err_state_in_onehot,
E_err_state_north_xbar_sel,
E_err_state_east_xbar_sel,
E_err_state_west_xbar_sel,
E_err_state_south_xbar_sel : std_logic;
-- West
signal W_err_state_IDLE_xbar,
W_err_state_not_IDLE_xbar,
W_err_state_IDLE_RTS_FF_in,
W_err_state_not_IDLE_RTS_FF_RTS_FF_in,
W_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
W_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
W_err_RTS_FF_not_DCTS_state_state_in,
W_err_not_RTS_FF_state_in_next_state,
W_err_RTS_FF_DCTS_state_in_next_state,
W_err_not_DCTS_Grants,
W_err_DCTS_not_RTS_FF_Grants,
W_err_DCTS_RTS_FF_IDLE_Grants,
W_err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
W_err_Requests_next_state_IDLE,
W_err_IDLE_Req_L,
W_err_Local_Req_L,
W_err_North_Req_N,
W_err_IDLE_Req_N,
W_err_Local_Req_N,
W_err_South_Req_L,
W_err_West_Req_L,
W_err_South_Req_N,
W_err_East_Req_L,
W_err_West_Req_N,
W_err_East_Req_N,
W_err_next_state_onehot,
W_err_state_in_onehot,
W_err_state_north_xbar_sel,
W_err_state_east_xbar_sel,
W_err_state_west_xbar_sel,
W_err_state_south_xbar_sel : std_logic;
-- South
signal S_err_state_IDLE_xbar,
S_err_state_not_IDLE_xbar,
S_err_state_IDLE_RTS_FF_in,
S_err_state_not_IDLE_RTS_FF_RTS_FF_in,
S_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
S_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
S_err_RTS_FF_not_DCTS_state_state_in,
S_err_not_RTS_FF_state_in_next_state,
S_err_RTS_FF_DCTS_state_in_next_state,
S_err_not_DCTS_Grants,
S_err_DCTS_not_RTS_FF_Grants,
S_err_DCTS_RTS_FF_IDLE_Grants,
S_err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
S_err_Requests_next_state_IDLE,
S_err_IDLE_Req_L,
S_err_Local_Req_L,
S_err_North_Req_N,
S_err_IDLE_Req_N,
S_err_Local_Req_N,
S_err_South_Req_L,
S_err_West_Req_L,
S_err_South_Req_N,
S_err_East_Req_L,
S_err_West_Req_N,
S_err_East_Req_N,
S_err_next_state_onehot,
S_err_state_in_onehot,
S_err_state_north_xbar_sel,
S_err_state_east_xbar_sel,
S_err_state_west_xbar_sel,
S_err_state_south_xbar_sel : std_logic;
-- Local
signal L_err_state_IDLE_xbar,
L_err_state_not_IDLE_xbar,
L_err_state_IDLE_RTS_FF_in,
L_err_state_not_IDLE_RTS_FF_RTS_FF_in,
L_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
L_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
L_err_RTS_FF_not_DCTS_state_state_in,
L_err_not_RTS_FF_state_in_next_state,
L_err_RTS_FF_DCTS_state_in_next_state,
L_err_not_DCTS_Grants,
L_err_DCTS_not_RTS_FF_Grants,
L_err_DCTS_RTS_FF_IDLE_Grants,
L_err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
L_err_Requests_next_state_IDLE,
L_err_IDLE_Req_L,
L_err_Local_Req_L,
L_err_North_Req_N,
L_err_IDLE_Req_N,
L_err_Local_Req_N,
L_err_South_Req_L,
L_err_West_Req_L,
L_err_South_Req_N,
L_err_East_Req_L,
L_err_West_Req_N,
L_err_East_Req_N,
L_err_next_state_onehot,
L_err_state_in_onehot,
L_err_state_north_xbar_sel,
L_err_state_east_xbar_sel,
L_err_state_west_xbar_sel,
L_err_state_south_xbar_sel : std_logic;
-- FIFO Control Part Checkers signals
-- North
signal N_err_write_en_write_pointer,
N_err_not_write_en_write_pointer,
N_err_read_pointer_write_pointer_not_empty,
N_err_read_pointer_write_pointer_empty,
N_err_read_pointer_write_pointer_not_full,
N_err_read_pointer_write_pointer_full,
N_err_read_pointer_increment,
N_err_read_pointer_not_increment,
N_err_write_en,
N_err_not_CTS_in,
N_err_read_en_mismatch : std_logic;
-- East
signal E_err_write_en_write_pointer,
E_err_not_write_en_write_pointer,
E_err_read_pointer_write_pointer_not_empty,
E_err_read_pointer_write_pointer_empty,
E_err_read_pointer_write_pointer_not_full,
E_err_read_pointer_write_pointer_full,
E_err_read_pointer_increment,
E_err_read_pointer_not_increment,
E_err_write_en,
E_err_not_CTS_in,
E_err_read_en_mismatch : std_logic;
-- West
signal W_err_write_en_write_pointer,
W_err_not_write_en_write_pointer,
W_err_read_pointer_write_pointer_not_empty,
W_err_read_pointer_write_pointer_empty,
W_err_read_pointer_write_pointer_not_full,
W_err_read_pointer_write_pointer_full,
W_err_read_pointer_increment,
W_err_read_pointer_not_increment,
W_err_write_en,
W_err_not_CTS_in,
W_err_read_en_mismatch : std_logic;
-- South
signal S_err_write_en_write_pointer,
S_err_not_write_en_write_pointer,
S_err_read_pointer_write_pointer_not_empty,
S_err_read_pointer_write_pointer_empty,
S_err_read_pointer_write_pointer_not_full,
S_err_read_pointer_write_pointer_full,
S_err_read_pointer_increment,
S_err_read_pointer_not_increment,
S_err_write_en,
S_err_not_CTS_in,
S_err_read_en_mismatch : std_logic;
-- Local
signal L_err_write_en_write_pointer,
L_err_not_write_en_write_pointer,
L_err_read_pointer_write_pointer_not_empty,
L_err_read_pointer_write_pointer_empty,
L_err_read_pointer_write_pointer_not_full,
L_err_read_pointer_write_pointer_full,
L_err_read_pointer_increment,
L_err_read_pointer_not_increment,
L_err_write_en,
L_err_not_CTS_in,
L_err_read_en_mismatch : std_logic;
-- Error Signals for each module (ORed combination of checker outputs)
signal N_LBDR_checkers_output,
E_LBDR_checkers_output,
W_LBDR_checkers_output,
S_LBDR_checkers_output,
L_LBDR_checkers_output: std_logic;
signal N_Arbiter_checkers_output,
E_Arbiter_checkers_output,
W_Arbiter_checkers_output,
S_Arbiter_checkers_output,
L_Arbiter_checkers_output: std_logic;
signal N_FIFO_control_part_checkers_output,
E_FIFO_control_part_checkers_output,
W_FIFO_control_part_checkers_output,
S_FIFO_control_part_checkers_output,
L_FIFO_control_part_checkers_output: std_logic;
begin
-- OR of checker outputs for each module (corresponding to each direction)
-- This is used for feeding the checker outputs to shift registers (later)
-- LBDR
N_LBDR_checkers_output <= N_err_header_empty_Requests_FF_Requests_in or
N_err_tail_Requests_in_all_zero or
N_err_header_tail_Requests_FF_Requests_in or
N_err_dst_addr_cur_addr_N1 or
N_err_dst_addr_cur_addr_not_N1 or
N_err_dst_addr_cur_addr_E1 or
N_err_dst_addr_cur_addr_not_E1 or
N_err_dst_addr_cur_addr_W1 or
N_err_dst_addr_cur_addr_not_W1 or
N_err_dst_addr_cur_addr_S1 or
N_err_dst_addr_cur_addr_not_S1 or
N_err_dst_addr_cur_addr_not_Req_L_in or
N_err_dst_addr_cur_addr_Req_L_in or
N_err_header_not_empty_Req_N_in or
N_err_header_not_empty_Req_E_in or
N_err_header_not_empty_Req_W_in or
N_err_header_not_empty_Req_S_in;
E_LBDR_checkers_output <= E_err_header_empty_Requests_FF_Requests_in or
E_err_tail_Requests_in_all_zero or
E_err_header_tail_Requests_FF_Requests_in or
E_err_dst_addr_cur_addr_N1 or
E_err_dst_addr_cur_addr_not_N1 or
E_err_dst_addr_cur_addr_E1 or
E_err_dst_addr_cur_addr_not_E1 or
E_err_dst_addr_cur_addr_W1 or
E_err_dst_addr_cur_addr_not_W1 or
E_err_dst_addr_cur_addr_S1 or
E_err_dst_addr_cur_addr_not_S1 or
E_err_dst_addr_cur_addr_not_Req_L_in or
E_err_dst_addr_cur_addr_Req_L_in or
E_err_header_not_empty_Req_N_in or
E_err_header_not_empty_Req_E_in or
E_err_header_not_empty_Req_W_in or
E_err_header_not_empty_Req_S_in;
W_LBDR_checkers_output <= W_err_header_empty_Requests_FF_Requests_in or
W_err_tail_Requests_in_all_zero or
W_err_header_tail_Requests_FF_Requests_in or
W_err_dst_addr_cur_addr_N1 or
W_err_dst_addr_cur_addr_not_N1 or
W_err_dst_addr_cur_addr_E1 or
W_err_dst_addr_cur_addr_not_E1 or
W_err_dst_addr_cur_addr_W1 or
W_err_dst_addr_cur_addr_not_W1 or
W_err_dst_addr_cur_addr_S1 or
W_err_dst_addr_cur_addr_not_S1 or
W_err_dst_addr_cur_addr_not_Req_L_in or
W_err_dst_addr_cur_addr_Req_L_in or
W_err_header_not_empty_Req_N_in or
W_err_header_not_empty_Req_E_in or
W_err_header_not_empty_Req_W_in or
W_err_header_not_empty_Req_S_in;
S_LBDR_checkers_output <= S_err_header_empty_Requests_FF_Requests_in or
S_err_tail_Requests_in_all_zero or
S_err_header_tail_Requests_FF_Requests_in or
S_err_dst_addr_cur_addr_N1 or
S_err_dst_addr_cur_addr_not_N1 or
S_err_dst_addr_cur_addr_E1 or
S_err_dst_addr_cur_addr_not_E1 or
S_err_dst_addr_cur_addr_W1 or
S_err_dst_addr_cur_addr_not_W1 or
S_err_dst_addr_cur_addr_S1 or
S_err_dst_addr_cur_addr_not_S1 or
S_err_dst_addr_cur_addr_not_Req_L_in or
S_err_dst_addr_cur_addr_Req_L_in or
S_err_header_not_empty_Req_N_in or
S_err_header_not_empty_Req_E_in or
S_err_header_not_empty_Req_W_in or
S_err_header_not_empty_Req_S_in;
L_LBDR_checkers_output <= L_err_header_empty_Requests_FF_Requests_in or
L_err_tail_Requests_in_all_zero or
L_err_header_tail_Requests_FF_Requests_in or
L_err_dst_addr_cur_addr_N1 or
L_err_dst_addr_cur_addr_not_N1 or
L_err_dst_addr_cur_addr_E1 or
L_err_dst_addr_cur_addr_not_E1 or
L_err_dst_addr_cur_addr_W1 or
L_err_dst_addr_cur_addr_not_W1 or
L_err_dst_addr_cur_addr_S1 or
L_err_dst_addr_cur_addr_not_S1 or
L_err_dst_addr_cur_addr_not_Req_L_in or
L_err_dst_addr_cur_addr_Req_L_in or
L_err_header_not_empty_Req_N_in or
L_err_header_not_empty_Req_E_in or
L_err_header_not_empty_Req_W_in or
L_err_header_not_empty_Req_S_in;
-- Arbiter
N_Arbiter_checkers_output <= N_err_state_IDLE_xbar or
N_err_state_not_IDLE_xbar or
N_err_state_IDLE_RTS_FF_in or
N_err_state_not_IDLE_RTS_FF_RTS_FF_in or
N_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in or
N_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in or
N_err_RTS_FF_not_DCTS_state_state_in or
N_err_not_RTS_FF_state_in_next_state or
N_err_RTS_FF_DCTS_state_in_next_state or
N_err_not_DCTS_Grants or
N_err_DCTS_not_RTS_FF_Grants or
N_err_DCTS_RTS_FF_IDLE_Grants or
N_err_DCTS_RTS_FF_not_IDLE_Grants_onehot or
N_err_Requests_next_state_IDLE or
N_err_IDLE_Req_L or
N_err_Local_Req_L or
N_err_North_Req_N or
N_err_IDLE_Req_N or
N_err_Local_Req_N or
N_err_South_Req_L or
N_err_West_Req_L or
N_err_South_Req_N or
N_err_East_Req_L or
N_err_West_Req_N or
N_err_East_Req_N or
N_err_next_state_onehot or
N_err_state_in_onehot or
N_err_state_north_xbar_sel or
N_err_state_east_xbar_sel or
N_err_state_west_xbar_sel or
N_err_state_south_xbar_sel;
E_Arbiter_checkers_output <= E_err_state_IDLE_xbar or
E_err_state_not_IDLE_xbar or
E_err_state_IDLE_RTS_FF_in or
E_err_state_not_IDLE_RTS_FF_RTS_FF_in or
E_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in or
E_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in or
E_err_RTS_FF_not_DCTS_state_state_in or
E_err_not_RTS_FF_state_in_next_state or
E_err_RTS_FF_DCTS_state_in_next_state or
E_err_not_DCTS_Grants or
E_err_DCTS_not_RTS_FF_Grants or
E_err_DCTS_RTS_FF_IDLE_Grants or
E_err_DCTS_RTS_FF_not_IDLE_Grants_onehot or
E_err_Requests_next_state_IDLE or
E_err_IDLE_Req_L or
E_err_Local_Req_L or
E_err_North_Req_N or
E_err_IDLE_Req_N or
E_err_Local_Req_N or
E_err_South_Req_L or
E_err_West_Req_L or
E_err_South_Req_N or
E_err_East_Req_L or
E_err_West_Req_N or
E_err_East_Req_N or
E_err_next_state_onehot or
E_err_state_in_onehot or
E_err_state_north_xbar_sel or
E_err_state_east_xbar_sel or
E_err_state_west_xbar_sel or
E_err_state_south_xbar_sel;
W_Arbiter_checkers_output <= W_err_state_IDLE_xbar or
W_err_state_not_IDLE_xbar or
W_err_state_IDLE_RTS_FF_in or
W_err_state_not_IDLE_RTS_FF_RTS_FF_in or
W_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in or
W_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in or
W_err_RTS_FF_not_DCTS_state_state_in or
W_err_not_RTS_FF_state_in_next_state or
W_err_RTS_FF_DCTS_state_in_next_state or
W_err_not_DCTS_Grants or
W_err_DCTS_not_RTS_FF_Grants or
W_err_DCTS_RTS_FF_IDLE_Grants or
W_err_DCTS_RTS_FF_not_IDLE_Grants_onehot or
W_err_Requests_next_state_IDLE or
W_err_IDLE_Req_L or
W_err_Local_Req_L or
W_err_North_Req_N or
W_err_IDLE_Req_N or
W_err_Local_Req_N or
W_err_South_Req_L or
W_err_West_Req_L or
W_err_South_Req_N or
W_err_East_Req_L or
W_err_West_Req_N or
W_err_East_Req_N or
W_err_next_state_onehot or
W_err_state_in_onehot or
W_err_state_north_xbar_sel or
W_err_state_east_xbar_sel or
W_err_state_west_xbar_sel or
W_err_state_south_xbar_sel;
S_Arbiter_checkers_output <= S_err_state_IDLE_xbar or
S_err_state_not_IDLE_xbar or
S_err_state_IDLE_RTS_FF_in or
S_err_state_not_IDLE_RTS_FF_RTS_FF_in or
S_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in or
S_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in or
S_err_RTS_FF_not_DCTS_state_state_in or
S_err_not_RTS_FF_state_in_next_state or
S_err_RTS_FF_DCTS_state_in_next_state or
S_err_not_DCTS_Grants or
S_err_DCTS_not_RTS_FF_Grants or
S_err_DCTS_RTS_FF_IDLE_Grants or
S_err_DCTS_RTS_FF_not_IDLE_Grants_onehot or
S_err_Requests_next_state_IDLE or
S_err_IDLE_Req_L or
S_err_Local_Req_L or
S_err_North_Req_N or
S_err_IDLE_Req_N or
S_err_Local_Req_N or
S_err_South_Req_L or
S_err_West_Req_L or
S_err_South_Req_N or
S_err_East_Req_L or
S_err_West_Req_N or
S_err_East_Req_N or
S_err_next_state_onehot or
S_err_state_in_onehot or
S_err_state_north_xbar_sel or
S_err_state_east_xbar_sel or
S_err_state_west_xbar_sel or
S_err_state_south_xbar_sel;
L_Arbiter_checkers_output <= L_err_state_IDLE_xbar or
L_err_state_not_IDLE_xbar or
L_err_state_IDLE_RTS_FF_in or
L_err_state_not_IDLE_RTS_FF_RTS_FF_in or
L_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in or
L_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in or
L_err_RTS_FF_not_DCTS_state_state_in or
L_err_not_RTS_FF_state_in_next_state or
L_err_RTS_FF_DCTS_state_in_next_state or
L_err_not_DCTS_Grants or
L_err_DCTS_not_RTS_FF_Grants or
L_err_DCTS_RTS_FF_IDLE_Grants or
L_err_DCTS_RTS_FF_not_IDLE_Grants_onehot or
L_err_Requests_next_state_IDLE or
L_err_IDLE_Req_L or
L_err_Local_Req_L or
L_err_North_Req_N or
L_err_IDLE_Req_N or
L_err_Local_Req_N or
L_err_South_Req_L or
L_err_West_Req_L or
L_err_South_Req_N or
L_err_East_Req_L or
L_err_West_Req_N or
L_err_East_Req_N or
L_err_next_state_onehot or
L_err_state_in_onehot or
L_err_state_north_xbar_sel or
L_err_state_east_xbar_sel or
L_err_state_west_xbar_sel or
L_err_state_south_xbar_sel;
-- FIFO Control Part
N_FIFO_control_part_checkers_output <= N_err_write_en_write_pointer or
N_err_not_write_en_write_pointer or
N_err_read_pointer_write_pointer_not_empty or
N_err_read_pointer_write_pointer_empty or
N_err_read_pointer_write_pointer_not_full or
N_err_read_pointer_write_pointer_full or
N_err_read_pointer_increment or
N_err_read_pointer_not_increment or
N_err_write_en or
N_err_not_CTS_in or
N_err_read_en_mismatch;
E_FIFO_control_part_checkers_output <= E_err_write_en_write_pointer or
E_err_not_write_en_write_pointer or
E_err_read_pointer_write_pointer_not_empty or
E_err_read_pointer_write_pointer_empty or
E_err_read_pointer_write_pointer_not_full or
E_err_read_pointer_write_pointer_full or
E_err_read_pointer_increment or
E_err_read_pointer_not_increment or
E_err_write_en or
E_err_not_CTS_in or
E_err_read_en_mismatch;
W_FIFO_control_part_checkers_output <= W_err_write_en_write_pointer or
W_err_not_write_en_write_pointer or
W_err_read_pointer_write_pointer_not_empty or
W_err_read_pointer_write_pointer_empty or
W_err_read_pointer_write_pointer_not_full or
W_err_read_pointer_write_pointer_full or
W_err_read_pointer_increment or
W_err_read_pointer_not_increment or
W_err_write_en or
W_err_not_CTS_in or
W_err_read_en_mismatch;
S_FIFO_control_part_checkers_output <= S_err_write_en_write_pointer or
S_err_not_write_en_write_pointer or
S_err_read_pointer_write_pointer_not_empty or
S_err_read_pointer_write_pointer_empty or
S_err_read_pointer_write_pointer_not_full or
S_err_read_pointer_write_pointer_full or
S_err_read_pointer_increment or
S_err_read_pointer_not_increment or
S_err_write_en or
S_err_not_CTS_in or
S_err_read_en_mismatch;
L_FIFO_control_part_checkers_output <= L_err_write_en_write_pointer or
L_err_not_write_en_write_pointer or
L_err_read_pointer_write_pointer_not_empty or
L_err_read_pointer_write_pointer_empty or
L_err_read_pointer_write_pointer_not_full or
L_err_read_pointer_write_pointer_full or
L_err_read_pointer_increment or
L_err_read_pointer_not_increment or
L_err_write_en or
L_err_not_CTS_in or
L_err_read_en_mismatch;
------------------------------------------------------------------------------------------------------------------------------
-- block diagram of one channel
--
-- .____________grant_________
-- | ▲
-- | _______ __|_______
-- | | | | |
-- | | LBDR |---req--->| Arbiter | <--handshake-->
-- | |_______| |__________| signals
-- | ▲ |
-- __▼___ | flit ___▼__
-- RX ----->| | | type | |
-- <-handshake->| FIFO |---o------------->| |-----> TX
-- signals |______| ------>| |
-- ------>| XBAR |
-- ------>| |
-- ------>| |
-- |______|
--
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the parity_checkers
PC_N: parity_checker generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(RX => RX_N, DRTS =>DRTS_N, fault_out => fault_out_N);
PC_E: parity_checker generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(RX => RX_E, DRTS =>DRTS_E, fault_out => fault_out_E);
PC_W: parity_checker generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(RX => RX_W, DRTS =>DRTS_W, fault_out => fault_out_W);
PC_S: parity_checker generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(RX => RX_S, DRTS =>DRTS_S, fault_out => fault_out_S);
PC_L: parity_checker generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(RX => RX_L, DRTS =>DRTS_L, fault_out => fault_out_L);
-- all the FIFOs
FIFO_N: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, RX => RX_N, DRTS => DRTS_N,
read_en_N => '0', read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN,
CTS => CTS_N, empty_out => empty_N, Data_out => FIFO_D_out_N,
err_write_en_write_pointer => N_err_write_en_write_pointer,
err_not_write_en_write_pointer => N_err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => N_err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => N_err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => N_err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => N_err_read_pointer_write_pointer_full,
err_read_pointer_increment => N_err_read_pointer_increment,
err_read_pointer_not_increment => N_err_read_pointer_not_increment,
err_write_en => N_err_write_en,
err_not_CTS_in => N_err_not_CTS_in,
err_read_en_mismatch => N_err_read_en_mismatch
);
FIFO_E: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, RX => RX_E, DRTS => DRTS_E,
read_en_N => Grant_NE, read_en_E =>'0', read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE,
CTS => CTS_E, empty_out => empty_E, Data_out => FIFO_D_out_E,
err_write_en_write_pointer => E_err_write_en_write_pointer,
err_not_write_en_write_pointer => E_err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => E_err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => E_err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => E_err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => E_err_read_pointer_write_pointer_full,
err_read_pointer_increment => E_err_read_pointer_increment,
err_read_pointer_not_increment => E_err_read_pointer_not_increment,
err_write_en => E_err_write_en,
err_not_CTS_in => E_err_not_CTS_in,
err_read_en_mismatch => E_err_read_en_mismatch
);
FIFO_W: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, RX => RX_W, DRTS => DRTS_W,
read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>'0', read_en_S =>Grant_SW, read_en_L =>Grant_LW,
CTS => CTS_W, empty_out => empty_W, Data_out => FIFO_D_out_W,
err_write_en_write_pointer => W_err_write_en_write_pointer,
err_not_write_en_write_pointer => W_err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => W_err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => W_err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => W_err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => W_err_read_pointer_write_pointer_full,
err_read_pointer_increment => W_err_read_pointer_increment,
err_read_pointer_not_increment => W_err_read_pointer_not_increment,
err_write_en => W_err_write_en,
err_not_CTS_in => W_err_not_CTS_in,
err_read_en_mismatch => W_err_read_en_mismatch
);
FIFO_S: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, RX => RX_S, DRTS => DRTS_S,
read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>'0', read_en_L =>Grant_LS,
CTS => CTS_S, empty_out => empty_S, Data_out => FIFO_D_out_S,
err_write_en_write_pointer => S_err_write_en_write_pointer,
err_not_write_en_write_pointer => S_err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => S_err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => S_err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => S_err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => S_err_read_pointer_write_pointer_full,
err_read_pointer_increment => S_err_read_pointer_increment,
err_read_pointer_not_increment => S_err_read_pointer_not_increment,
err_write_en => S_err_write_en,
err_not_CTS_in => S_err_not_CTS_in,
err_read_en_mismatch => S_err_read_en_mismatch
);
FIFO_L: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, RX => RX_L, DRTS => DRTS_L,
read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>'0',
CTS => CTS_L, empty_out => empty_L, Data_out => FIFO_D_out_L,
err_write_en_write_pointer => L_err_write_en_write_pointer,
err_not_write_en_write_pointer => L_err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => L_err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => L_err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => L_err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => L_err_read_pointer_write_pointer_full,
err_read_pointer_increment => L_err_read_pointer_increment,
err_read_pointer_not_increment => L_err_read_pointer_not_increment,
err_write_en => L_err_write_en,
err_not_CTS_in => L_err_not_CTS_in,
err_read_en_mismatch => L_err_read_en_mismatch
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the LBDRs
LBDR_N: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_N, flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL,
err_header_empty_Requests_FF_Requests_in => N_err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => N_err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => N_err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => N_err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => N_err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => N_err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => N_err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => N_err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => N_err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => N_err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => N_err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => N_err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => N_err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => N_err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in => N_err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => N_err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => N_err_header_not_empty_Req_S_in
);
LBDR_E: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_E, flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL,
err_header_empty_Requests_FF_Requests_in => E_err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => E_err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => E_err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => E_err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => E_err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => E_err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => E_err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => E_err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => E_err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => E_err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => E_err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => E_err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => E_err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => E_err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in => E_err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => E_err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => E_err_header_not_empty_Req_S_in
);
LBDR_W: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_W, flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL,
err_header_empty_Requests_FF_Requests_in => W_err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => W_err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => W_err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => W_err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => W_err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => W_err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => W_err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => W_err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => W_err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => W_err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => W_err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => W_err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => W_err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => W_err_header_not_empty_Req_n_in,
err_header_not_empty_Req_E_in => W_err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => W_err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => W_err_header_not_empty_Req_S_in
);
LBDR_S: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_S, flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL,
err_header_empty_Requests_FF_Requests_in => S_err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => S_err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => S_err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => S_err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => S_err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => S_err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => S_err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => S_err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => S_err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => S_err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => S_err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => S_err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => S_err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => S_err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in => S_err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => S_err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => S_err_header_not_empty_Req_S_in
);
LBDR_L: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_L, flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL,
err_header_empty_Requests_FF_Requests_in => L_err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => L_err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => L_err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => L_err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => L_err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => L_err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => L_err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => L_err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => L_err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => L_err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => L_err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => L_err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => L_err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => L_err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in => L_err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => L_err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => L_err_header_not_empty_Req_S_in
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Arbiters
Arbiter_N: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => '0' , Req_E => Req_EN, Req_W => Req_WN, Req_S => Req_SN, Req_L => Req_LN,
DCTS => DCTS_N, Grant_N => Grant_NN, Grant_E => Grant_NE, Grant_W => Grant_NW, Grant_S => Grant_NS, Grant_L => Grant_NL,
Xbar_sel => Xbar_sel_N,
RTS => RTS_N,
err_state_IDLE_xbar => N_err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => N_err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => N_err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => N_err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => N_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => N_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => N_err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => N_err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => N_err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => N_err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => N_err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => N_err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => N_err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => N_err_Requests_next_state_IDLE ,
err_IDLE_Req_L => N_err_IDLE_Req_L ,
err_Local_Req_L => N_err_Local_Req_L ,
err_North_Req_N => N_err_North_Req_N ,
err_IDLE_Req_N => N_err_IDLE_Req_N ,
err_Local_Req_N => N_err_Local_Req_N ,
err_South_Req_L => N_err_South_Req_L ,
err_West_Req_L => N_err_West_Req_L ,
err_South_Req_N => N_err_South_Req_N ,
err_East_Req_L => N_err_East_Req_L ,
err_West_Req_N => N_err_West_Req_N ,
err_East_Req_N => N_err_East_Req_N ,
err_next_state_onehot => N_err_next_state_onehot ,
err_state_in_onehot => N_err_state_in_onehot ,
err_state_north_xbar_sel => N_err_state_north_xbar_sel ,
err_state_east_xbar_sel => N_err_state_east_xbar_sel ,
err_state_west_xbar_sel => N_err_state_west_xbar_sel ,
err_state_south_xbar_sel => N_err_state_south_xbar_sel
);
Arbiter_E: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => Req_NE , Req_E => '0', Req_W => Req_WE, Req_S => Req_SE, Req_L => Req_LE,
DCTS => DCTS_E, Grant_N => Grant_EN, Grant_E => Grant_EE, Grant_W => Grant_EW, Grant_S => Grant_ES, Grant_L => Grant_EL,
Xbar_sel => Xbar_sel_E,
RTS => RTS_E,
err_state_IDLE_xbar => E_err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => E_err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => E_err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => E_err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => E_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => E_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => E_err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => E_err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => E_err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => E_err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => E_err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => E_err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => E_err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => E_err_Requests_next_state_IDLE ,
err_IDLE_Req_L => E_err_IDLE_Req_L ,
err_Local_Req_L => E_err_Local_Req_L ,
err_North_Req_N => E_err_North_Req_N ,
err_IDLE_Req_N => E_err_IDLE_Req_N ,
err_Local_Req_N => E_err_Local_Req_N ,
err_South_Req_L => E_err_South_Req_L ,
err_West_Req_L => E_err_West_Req_L ,
err_South_Req_N => E_err_South_Req_N ,
err_East_Req_L => E_err_East_Req_L ,
err_West_Req_N => E_err_West_Req_N ,
err_East_Req_N => E_err_East_Req_N ,
err_next_state_onehot => E_err_next_state_onehot ,
err_state_in_onehot => E_err_state_in_onehot ,
err_state_north_xbar_sel => E_err_state_north_xbar_sel ,
err_state_east_xbar_sel => E_err_state_east_xbar_sel ,
err_state_west_xbar_sel => E_err_state_west_xbar_sel ,
err_state_south_xbar_sel => E_err_state_south_xbar_sel
);
Arbiter_W: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => Req_NW , Req_E => Req_EW, Req_W => '0', Req_S => Req_SW, Req_L => Req_LW,
DCTS => DCTS_W, Grant_N => Grant_WN, Grant_E => Grant_WE, Grant_W => Grant_WW, Grant_S => Grant_WS, Grant_L => Grant_WL,
Xbar_sel => Xbar_sel_W,
RTS => RTS_W,
err_state_IDLE_xbar => W_err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => W_err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => W_err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => W_err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => W_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => W_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => W_err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => W_err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => W_err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => W_err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => W_err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => W_err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => W_err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => W_err_Requests_next_state_IDLE ,
err_IDLE_Req_L => W_err_IDLE_Req_L ,
err_Local_Req_L => W_err_Local_Req_L ,
err_North_Req_N => W_err_North_Req_N ,
err_IDLE_Req_N => W_err_IDLE_Req_N ,
err_Local_Req_N => W_err_Local_Req_N ,
err_South_Req_L => W_err_South_Req_L ,
err_West_Req_L => W_err_West_Req_L ,
err_South_Req_N => W_err_South_Req_N ,
err_East_Req_L => W_err_East_Req_L ,
err_West_Req_N => W_err_West_Req_N ,
err_East_Req_N => W_err_East_Req_N ,
err_next_state_onehot => W_err_next_state_onehot ,
err_state_in_onehot => W_err_state_in_onehot ,
err_state_north_xbar_sel => W_err_state_north_xbar_sel ,
err_state_east_xbar_sel => W_err_state_east_xbar_sel ,
err_state_west_xbar_sel => W_err_state_west_xbar_sel ,
err_state_south_xbar_sel => W_err_state_south_xbar_sel
);
Arbiter_S: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => Req_NS , Req_E => Req_ES, Req_W => Req_WS, Req_S => '0', Req_L => Req_LS,
DCTS => DCTS_S, Grant_N => Grant_SN, Grant_E => Grant_SE, Grant_W => Grant_SW, Grant_S => Grant_SS, Grant_L => Grant_SL,
Xbar_sel => Xbar_sel_S,
RTS => RTS_S,
err_state_IDLE_xbar => S_err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => S_err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => S_err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => S_err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => S_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => S_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => S_err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => S_err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => S_err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => S_err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => S_err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => S_err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => S_err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => S_err_Requests_next_state_IDLE ,
err_IDLE_Req_L => S_err_IDLE_Req_L ,
err_Local_Req_L => S_err_Local_Req_L ,
err_North_Req_N => S_err_North_Req_N ,
err_IDLE_Req_N => S_err_IDLE_Req_N ,
err_Local_Req_N => S_err_Local_Req_N ,
err_South_Req_L => S_err_South_Req_L ,
err_West_Req_L => S_err_West_Req_L ,
err_South_Req_N => S_err_South_Req_N ,
err_East_Req_L => S_err_East_Req_L ,
err_West_Req_N => S_err_West_Req_N ,
err_East_Req_N => S_err_East_Req_N ,
err_next_state_onehot => S_err_next_state_onehot ,
err_state_in_onehot => S_err_state_in_onehot ,
err_state_north_xbar_sel => S_err_state_north_xbar_sel ,
err_state_east_xbar_sel => S_err_state_east_xbar_sel ,
err_state_west_xbar_sel => S_err_state_west_xbar_sel ,
err_state_south_xbar_sel => S_err_state_south_xbar_sel
);
Arbiter_L: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => Req_NL , Req_E => Req_EL, Req_W => Req_WL, Req_S => Req_SL, Req_L => '0',
DCTS => DCTS_L, Grant_N => Grant_LN, Grant_E => Grant_LE, Grant_W => Grant_LW, Grant_S => Grant_LS, Grant_L => Grant_LL,
Xbar_sel => Xbar_sel_L,
RTS => RTS_L,
err_state_IDLE_xbar => L_err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => L_err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => L_err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => L_err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => L_err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => L_err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => L_err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => L_err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => L_err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => L_err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => L_err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => L_err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => L_err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => L_err_Requests_next_state_IDLE ,
err_IDLE_Req_L => L_err_IDLE_Req_L ,
err_Local_Req_L => L_err_Local_Req_L ,
err_North_Req_N => L_err_North_Req_N ,
err_IDLE_Req_N => L_err_IDLE_Req_N ,
err_Local_Req_N => L_err_Local_Req_N ,
err_South_Req_L => L_err_South_Req_L ,
err_West_Req_L => L_err_West_Req_L ,
err_South_Req_N => L_err_South_Req_N ,
err_East_Req_L => L_err_East_Req_L ,
err_West_Req_N => L_err_West_Req_N ,
err_East_Req_N => L_err_East_Req_N ,
err_next_state_onehot => L_err_next_state_onehot ,
err_state_in_onehot => L_err_state_in_onehot ,
err_state_north_xbar_sel => L_err_state_north_xbar_sel ,
err_state_east_xbar_sel => L_err_state_east_xbar_sel ,
err_state_west_xbar_sel => L_err_state_west_xbar_sel ,
err_state_south_xbar_sel => L_err_state_south_xbar_sel
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbars
XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_N, Data_out=> TX_N);
XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_E, Data_out=> TX_E);
XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_W, Data_out=> TX_W);
XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_S, Data_out=> TX_S);
XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_L, Data_out=> TX_L);
end;
|
gpl-3.0
|
bruskajp/EE-316
|
Project4/Vivado_NexysBoard/craddockEE316/craddockEE316.srcs/sources_1/imports/testFolder/UART.vhd
|
1
|
12579
|
---- UART code taken from http://www.bealto.com/fpga-uart.html
-- -- Eric Bainville
-- -- Mar 2013
--library IEEE;
--use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library work;
--use work.math_real.all;
--entity basic_uart is
-- generic (
-- DIVISOR: natural := 54 -- DIVISOR = 100,000,000 / (16 x BAUD_RATE)
-- -- 2400 -> 2604
-- -- 9600 -> 651
-- -- 115200 -> 54
-- -- 1562500 -> 4
-- -- 2083333 -> 3
-- );
-- port (
-- clk: in std_logic; -- clock
-- reset: in std_logic; -- reset
-- -- Client interface
-- rx_data: out std_logic_vector(7 downto 0); -- received byte
-- rx_enable: out std_logic; -- validates received byte (1 system clock spike)
-- tx_data: in std_logic_vector(7 downto 0); -- byte to send
-- tx_enable: in std_logic; -- validates byte to send if tx_ready is '1'
-- tx_ready: out std_logic; -- if '1', we can send a new byte, otherwise we won't take it
-- -- Physical interface
-- rx: in std_logic;
-- tx: out std_logic
-- );
--end basic_uart;
--architecture Behavioral of basic_uart is
-- constant COUNTER_BITS : natural := integer(ceil(log(2,real(DIVISOR))));
-- type fsm_state_t is (idle, active); -- common to both RX and TX FSM
-- type rx_state_t is
-- record
-- fsm_state: fsm_state_t; -- FSM state
-- counter: std_logic_vector(3 downto 0); -- tick count
-- bits: std_logic_vector(7 downto 0); -- received bits
-- nbits: std_logic_vector(3 downto 0); -- number of received bits (includes start bit)
-- enable: std_logic; -- signal we received a new byte
-- end record;
-- type tx_state_t is
-- record
-- fsm_state: fsm_state_t; -- FSM state
-- counter: std_logic_vector(3 downto 0); -- tick count
-- bits: std_logic_vector(8 downto 0); -- bits to emit, includes start bit
-- nbits: std_logic_vector(3 downto 0); -- number of bits left to send
-- ready: std_logic; -- signal we are accepting a new byte
-- end record;
-- signal rx_state,rx_state_next: rx_state_t;
-- signal tx_state,tx_state_next: tx_state_t;
-- signal sample: std_logic; -- 1 clk spike at 16x baud rate
-- signal sample_counter: std_logic_vector(COUNTER_BITS-1 downto 0); -- should fit values in 0..DIVISOR-1
--begin
-- -- sample signal at 16x baud rate, 1 CLK spikes
-- sample_process: process (clk,reset) is
-- begin
-- if reset = '1' then
-- sample_counter <= (others => '0');
-- sample <= '0';
-- elsif rising_edge(clk) then
-- if sample_counter = DIVISOR-1 then
-- sample <= '1';
-- sample_counter <= (others => '0');
-- else
-- sample <= '0';
-- sample_counter <= sample_counter + 1;
-- end if;
-- end if;
-- end process;
-- -- RX, TX state registers update at each CLK, and RESET
-- reg_process: process (clk,reset) is
-- begin
-- if reset = '1' then
-- rx_state.fsm_state <= idle;
-- rx_state.bits <= (others => '0');
-- rx_state.nbits <= (others => '0');
-- rx_state.enable <= '0';
-- tx_state.fsm_state <= idle;
-- tx_state.bits <= (others => '1');
-- tx_state.nbits <= (others => '0');
-- tx_state.ready <= '1';
-- elsif rising_edge(clk) then
-- rx_state <= rx_state_next;
-- tx_state <= tx_state_next;
-- end if;
-- end process;
-- -- RX FSM
-- rx_process: process (rx_state,sample,rx) is
-- begin
-- case rx_state.fsm_state is
-- when idle =>
-- rx_state_next.counter <= (others => '0');
-- rx_state_next.bits <= (others => '0');
-- rx_state_next.nbits <= (others => '0');
-- rx_state_next.enable <= '0';
-- if rx = '0' then
-- -- start a new byte
-- rx_state_next.fsm_state <= active;
-- else
-- -- keep idle
-- rx_state_next.fsm_state <= idle;
-- end if;
-- when active =>
-- rx_state_next <= rx_state;
-- if sample = '1' then
-- if rx_state.counter = 8 then
-- -- sample next RX bit (at the middle of the counter cycle)
-- if rx_state.nbits = 9 then
-- rx_state_next.fsm_state <= idle; -- back to idle state to wait for next start bit
-- rx_state_next.enable <= rx; -- OK if stop bit is '1'
-- else
-- rx_state_next.bits <= rx & rx_state.bits(7 downto 1);
-- rx_state_next.nbits <= rx_state.nbits + 1;
-- end if;
-- end if;
-- rx_state_next.counter <= rx_state.counter + 1;
-- end if;
-- end case;
-- end process;
-- -- RX output
-- rx_output: process (rx_state) is
-- begin
-- rx_enable <= rx_state.enable;
-- rx_data <= rx_state.bits;
-- end process;
-- -- TX FSM
-- tx_process: process (tx_state,sample,tx_enable,tx_data) is
-- begin
-- case tx_state.fsm_state is
-- when idle =>
-- if tx_enable = '1' then
-- -- start a new bit
-- tx_state_next.bits <= tx_data & '0'; -- data & start
-- tx_state_next.nbits <= "0000" + 10; -- send 10 bits (includes '1' stop bit)
-- tx_state_next.counter <= (others => '0');
-- tx_state_next.fsm_state <= active;
-- tx_state_next.ready <= '0';
-- else
-- -- keep idle
-- tx_state_next.bits <= (others => '1');
-- tx_state_next.nbits <= (others => '0');
-- tx_state_next.counter <= (others => '0');
-- tx_state_next.fsm_state <= idle;
-- tx_state_next.ready <= '1';
-- end if;
-- when active =>
-- tx_state_next <= tx_state;
-- if sample = '1' then
-- if tx_state.counter = 15 then
-- -- send next bit
-- if tx_state.nbits = 0 then
-- -- turn idle
-- tx_state_next.bits <= (others => '1');
-- tx_state_next.nbits <= (others => '0');
-- tx_state_next.counter <= (others => '0');
-- tx_state_next.fsm_state <= idle;
-- tx_state_next.ready <= '1';
-- else
-- tx_state_next.bits <= '1' & tx_state.bits(8 downto 1);
-- tx_state_next.nbits <= tx_state.nbits - 1;
-- end if;
-- end if;
-- tx_state_next.counter <= tx_state.counter + 1;
-- end if;
-- end case;
-- end process;
-- -- TX output
-- tx_output: process (tx_state) is
-- begin
-- tx_ready <= tx_state.ready;
-- tx <= tx_state.bits(0);
-- end process;
--end Behavioral;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity uart is
port (
reset :in std_logic;
txclk :in std_logic;
ld_tx_data :in std_logic;
tx_data :in std_logic_vector (7 downto 0);
tx_enable :in std_logic;
selCheck :in std_logic_vector(1 downto 0);
tx_out :out std_logic;
tx_empty :out std_logic;
rxclk :in std_logic;
uld_rx_data :in std_logic;
rx_data :out std_logic_vector (7 downto 0);
rx_enable :in std_logic;
rx_in :in std_logic;
rx_empty :out std_logic
);
end entity;
architecture rtl of uart is
-- Internal Variables
signal tx_reg :std_logic_vector (7 downto 0);
signal tx_over_run :std_logic;
signal tx_cnt :std_logic_vector (3 downto 0);
signal rx_reg :std_logic_vector (7 downto 0);
signal rx_sample_cnt :std_logic_vector (3 downto 0);
signal rx_cnt :std_logic_vector (3 downto 0);
signal rx_frame_err :std_logic;
signal rx_over_run :std_logic;
signal rx_d1 :std_logic;
signal rx_d2 :std_logic;
signal rx_busy :std_logic;
signal rx_is_empty :std_logic;
signal tx_is_empty :std_logic;
begin
-- UART RX Logic
process (rxclk, reset,selCheck) begin
if (reset = '1') then
rx_reg <= (others=>'0');
rx_data <= (others=>'0');
rx_sample_cnt <= (others=>'0');
rx_cnt <= (others=>'0');
rx_frame_err <= '0';
rx_over_run <= '0';
rx_is_empty <= '1';
rx_d1 <= '1';
rx_d2 <= '1';
rx_busy <= '0';
elsif (rising_edge(rxclk)) then
-- Synchronize the asynch signal
rx_d1 <= rx_in;
rx_d2 <= rx_d1;
-- Uload the rx data
if (uld_rx_data = '1') then
rx_data <= rx_reg;
rx_is_empty <= '1';
end if;
if uld_rx_data = '1' and selCheck /= "00" and rx_is_empty = '1' then
rx_data <= "00000000";
end if;
-- Receive data only when rx is enabled
if (rx_enable = '1') then
-- Check if just received start of frame
if (rx_busy = '0' and rx_d2 = '0') then
rx_busy <= '1';
rx_sample_cnt <= X"1";
rx_cnt <= X"0";
end if;
-- Start of frame detected, Proceed with rest of data
if (rx_busy = '1') then
rx_sample_cnt <= rx_sample_cnt + 1;
-- Logic to sample at middle of data
if (rx_sample_cnt = 7) then
if ((rx_d2 = '1') and (rx_cnt = 0)) then
rx_busy <= '0';
else
rx_cnt <= rx_cnt + 1;
-- Start storing the rx data
if (rx_cnt > 0 and rx_cnt < 9) then
rx_reg(conv_integer(rx_cnt) - 1) <= rx_d2;
end if;
if (rx_cnt = 9) then
rx_busy <= '0';
-- Check if End of frame received correctly
if (rx_d2 = '0') then
rx_frame_err <= '1';
else
rx_is_empty <= '0';
rx_frame_err <= '0';
-- Check if last rx data was not unloaded,
if (rx_is_empty = '1') then
rx_over_run <= '0';
else
rx_over_run <= '1';
end if;
end if;
end if;
end if;
end if;
end if;
end if;
if (rx_enable = '0') then
rx_busy <= '0';
end if;
end if;
end process;
rx_empty <= rx_is_empty;
-- UART TX Logic
process (txclk, reset) begin
if (reset = '1') then
tx_reg <= (others=>'0');
tx_is_empty <= '1';
tx_over_run <= '0';
tx_out <= '0';
tx_cnt <= (others=>'0');
elsif (rising_edge(txclk)) then
if (ld_tx_data = '1') then
if (tx_is_empty = '0') then
tx_over_run <= '0';
else
tx_reg <= tx_data;
tx_is_empty <= '0';
end if;
end if;
if (tx_enable = '1' and tx_is_empty = '0') then
tx_cnt <= tx_cnt + 1;
if (tx_cnt = 0) then
tx_out <= '0';
end if;
if (tx_cnt > 0 and tx_cnt < 9) then
tx_out <= tx_reg(conv_integer(tx_cnt) -1);
end if;
if (tx_cnt = 9) then
tx_out <= '1';
tx_cnt <= X"0";
tx_is_empty <= '1';
end if;
end if;
if (tx_enable = '0') then
tx_cnt <= X"0";
end if;
end if;
end process;
-- process(uld_rx_data)
-- begin
-- if uld_rx_data = '1' and selCheck /= "00" and rx_is_empty = '1' then
-- rx_data <= "00000000";
-- end if;
-- end process;
-- tx_empty <= tx_is_empty;
end architecture;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Fault_Management/SHMU_prototype/version_2/xbar.vhd
|
4
|
1004
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
entity XBAR is
generic (
DATA_WIDTH: integer := 8
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end;
architecture behavior of XBAR is
begin
process(sel, North_in, East_in, West_in, South_in, Local_in) begin
case(sel) is
when "00001" =>
Data_out <= North_in;
when "00010" =>
Data_out <= East_in;
when "00100" =>
Data_out <= West_in;
when "01000" =>
Data_out <= South_in;
when others =>
Data_out <= Local_in;
end case;
end process;
end;
|
gpl-3.0
|
JarrettR/FPGA-Cryptoparty
|
FPGA/hdl/sim_prf.vhd
|
1
|
4587
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/26/2016 11:44:06 PM
-- Design Name:
-- Module Name: sim_prf - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.sha1_pkg.all;
entity sim_prf is
end sim_prf;
architecture Behavioral of sim_prf is
component prf_main is
port(
clk_i : in std_ulogic;
rst_i : in std_ulogic;
load_i : in std_ulogic;
pmk_i : in w_input;
anonce_dat : in nonce_data;
cnonce_dat : in nonce_data;
amac_dat : in mac_data;
cmac_dat : in mac_data;
ptk_dat_o : out ptk_data;
ptk_valid_o : out std_ulogic
);
end component;
signal valid : std_ulogic;
signal load : std_ulogic := '0';
signal clk_i : std_ulogic := '0';
signal rst_i : std_ulogic := '0';
signal pmk : w_input;
signal anonce : nonce_data;
signal cnonce : nonce_data;
signal amac_dat : mac_data;
signal cmac_dat : mac_data;
signal ptk : ptk_data;
--pmk: 5df920b5481ed70538dd5fd02423d7e2522205feeebb974cad08a52b5613ede2
--a: 5061697277697365206b657920657870616e73696f6e
--b: 000b86c2a4850013ce5598efae12a150652e9bc22063720c5081e9eb74077fb19fffe871dc4ca1e6f448af85e8dfa16b8769957d8249a4ec68d2b7641d3782162ef0dc37b014cc48343e8dd2
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f8361
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345
--r: 5e9805e89cb0e84b45e5f9e4a1a80d9d9958c24e2b5ca71661334a890814f53e1d035e8beb4f83611dc93e2657cecf69a3651bc4fca5880ce9081345c5411d489313b29e4aaf287d5231a342b777a67a
--ptk: 5e9805e89cb0e84b45e5f9e4a1a80d9d
signal i: integer range 0 to 65535;
constant clock_period : time := 1 ns;
begin
prf: prf_main port map (clk_i,rst_i,load,pmk,anonce,cnonce,amac_dat,cmac_dat,ptk,valid);
stim_proc: process
begin
rst_i <= '0';
i <= 0;
load <= '0';
--Ordinally will come from PBKDF2
--5df920b5481ed70538dd5fd02423d7e2 522205feeebb974cad08a52b5613ede2
pmk <= (X"5df920b5",X"481ed705",X"38dd5fd0",X"2423d7e2",
X"522205fe",X"eebb974c",X"ad08a52b",X"5613ede2",
others=>(X"00000000"));
--b = min(apMac, cMac) + max(apMac, cMac) + min(apNonce, cNonce) + max(apNonce, cNonce)
--We're assuming that min/max will be calculated host-side
--Comes directly from handshake on host
--000b86c2a485
amac_dat <= (X"00",X"0b",X"86",X"c2",X"a4",X"85");
--0013ce5598ef
cmac_dat <= (X"00",X"13",X"ce",X"55",X"98",X"ef");
--ae12a150652e9bc22063720c5081e9eb 74077fb19fffe871dc4ca1e6f448af85
anonce <= (X"ae",X"12",X"a1",X"50",X"65",X"2e",X"9b",X"c2",X"20",X"63",X"72",X"0c",X"50",X"81",X"e9",X"eb",
X"74",X"07",X"7f",X"b1",X"9f",X"ff",X"e8",X"71",X"dc",X"4c",X"a1",X"e6",X"f4",X"48",X"af",X"85");
--e8dfa16b8769957d8249a4ec68d2b764 1d3782162ef0dc37b014cc48343e8dd2
cnonce <= (X"e8",X"df",X"a1",X"6b",X"87",X"69",X"95",X"7d",X"82",X"49",X"a4",X"ec",X"68",X"d2",X"b7",X"64",
X"1d",X"37",X"82",X"16",X"2e",X"f0",X"dc",X"37",X"b0",X"14",X"cc",X"48",X"34",X"3e",X"8d",X"d2");
wait until rising_edge(clk_i);
rst_i <= '1';
wait until rising_edge(clk_i);
rst_i <= '0';
wait until rising_edge(clk_i);
load <= '1';
wait until rising_edge(clk_i);
load <= '0';
wait until rising_edge(clk_i);
while valid = '0' loop
i <= i + 1;
wait until rising_edge(clk_i);
end loop;
wait;
end process;
clock_process: process
begin
clk_i <= '0';
wait for clock_period/2;
clk_i <= '1';
wait for clock_period/2;
end process;
end Behavioral;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Immortal_Chip/Router_32_bit.vhd
|
1
|
12178
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router is
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 5;
Rxy_rst : integer := 60;
Cx_rst : integer := 15;
NoC_size: integer := 4
);
port ( reset, clk: in std_logic;
DCTS_N, DCTS_E, DCTS_w, DCTS_S, DCTS_L: in std_logic;
DRTS_N, DRTS_E, DRTS_W, DRTS_S, DRTS_L: in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_N, RTS_E, RTS_W, RTS_S, RTS_L: out std_logic;
CTS_N, CTS_E, CTS_w, CTS_S, CTS_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end router;
architecture behavior of router is
COMPONENT router_channel is
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 5;
Rxy_rst : integer := 60;
Cx_rst : integer := 15;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
DCTS : in std_logic;
DRTS : in std_logic;
RTS : out std_logic;
CTS : out std_logic;
flit_type : in std_logic_vector(2 downto 0);
destination_address : in std_logic_vector(NoC_size-1 downto 0);
Grant_N_in , Grant_E_in , Grant_W_in , Grant_S_in , Grant_L_in : in std_logic;
Grant_N_out, Grant_E_out, Grant_W_out, Grant_S_out, Grant_L_out: out std_logic;
Req_N_in , Req_E_in , Req_W_in , Req_S_in , Req_L_in :in std_logic;
Req_N_out , Req_E_out, Req_W_out, Req_S_out, Req_L_out:out std_logic;
read_pointer_out, write_pointer_out: out std_logic_vector(3 downto 0);
write_en_out :out std_logic;
Xbar_sel: out std_logic_vector(4 downto 0)
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
COMPONENT FIFO_data_path is
generic (
DATA_WIDTH: integer := 32
);
port (
reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
read_pointer, write_pointer: in std_logic_vector(3 downto 0);
write_en : in std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
signal write_pointer_out_N, write_pointer_out_E, write_pointer_out_W, write_pointer_out_S, write_pointer_out_L: std_logic_vector(3 downto 0);
signal read_pointer_out_N, read_pointer_out_E, read_pointer_out_W, read_pointer_out_S, read_pointer_out_L: std_logic_vector(3 downto 0);
signal write_en_out_N, write_en_out_E, write_en_out_W, write_en_out_S, write_en_out_L: std_logic;
signal Grant_N_N, Grant_N_E, Grant_N_W, Grant_N_S, Grant_N_L: std_logic;
signal Grant_E_N, Grant_E_E, Grant_E_W, Grant_E_S, Grant_E_L: std_logic;
signal Grant_W_N, Grant_W_E, Grant_W_W, Grant_W_S, Grant_W_L: std_logic;
signal Grant_S_N, Grant_S_E, Grant_S_W, Grant_S_S, Grant_S_L: std_logic;
signal Grant_L_N, Grant_L_E, Grant_L_W, Grant_L_S, Grant_L_L: std_logic;
signal Req_N_N, Req_E_N, Req_W_N, Req_S_N, Req_L_N: std_logic;
signal Req_N_E, Req_E_E, Req_W_E, Req_S_E, Req_L_E: std_logic;
signal Req_N_W, Req_E_W, Req_W_W, Req_S_W, Req_L_W: std_logic;
signal Req_N_S, Req_E_S, Req_W_S, Req_S_S, Req_L_S: std_logic;
signal Req_N_L, Req_E_L, Req_W_L, Req_S_L, Req_L_L: std_logic;
begin
Channel_N: router_channel generic map (DATA_WIDTH => DATA_WIDTH, current_address =>current_address, Rxy_rst =>Rxy_rst, Cx_rst =>Cx_rst, NoC_size => NoC_size)
port map (reset=> reset, clk => clk, DCTS => DCTS_N, DRTS => DRTS_N, RTS=>RTS_N, CTS=>CTS_N, flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), destination_address=> FIFO_D_out_N(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19),
Grant_N_in => '0' , Grant_E_in => Grant_E_N , Grant_W_in => Grant_W_N , Grant_S_in => Grant_S_N , Grant_L_in => Grant_L_N , Grant_N_out => Grant_N_N, Grant_E_out => Grant_N_E, Grant_W_out => Grant_N_W, Grant_S_out => Grant_N_S, Grant_L_out => Grant_N_L,
Req_N_in => '0' , Req_E_in => Req_E_N , Req_W_in => Req_W_N , Req_S_in => Req_S_N , Req_L_in => Req_L_N , Req_N_out => Req_N_N , Req_E_out => Req_N_E , Req_W_out => Req_N_W , Req_S_out => Req_N_S , Req_L_out => Req_N_L ,
read_pointer_out => read_pointer_out_N, write_pointer_out => write_pointer_out_N, write_en_out=>write_en_out_N, Xbar_sel=>Xbar_sel_N);
Channel_E: router_channel generic map (DATA_WIDTH => DATA_WIDTH, current_address =>current_address, Rxy_rst =>Rxy_rst, Cx_rst =>Cx_rst, NoC_size => NoC_size)
port map (reset=> reset, clk => clk, DCTS => DCTS_E, DRTS => DRTS_E, RTS=>RTS_E, CTS=>CTS_E, flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), destination_address=> FIFO_D_out_E(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19),
Grant_N_in => Grant_N_E , Grant_E_in => '0' , Grant_W_in => Grant_W_E , Grant_S_in => Grant_S_E , Grant_L_in => Grant_L_E , Grant_N_out => Grant_E_N, Grant_E_out => Grant_E_E, Grant_W_out => Grant_E_W, Grant_S_out => Grant_E_S, Grant_L_out => Grant_E_L,
Req_N_in => Req_N_E , Req_E_in => '0' , Req_W_in => Req_W_E , Req_S_in => Req_S_E , Req_L_in => Req_L_E , Req_N_out => Req_E_N , Req_E_out => Req_E_E , Req_W_out => Req_E_W , Req_S_out => Req_E_S , Req_L_out => Req_E_L ,
read_pointer_out => read_pointer_out_E, write_pointer_out => write_pointer_out_E, write_en_out=>write_en_out_E, Xbar_sel=>Xbar_sel_E);
Channel_W: router_channel generic map (DATA_WIDTH => DATA_WIDTH, current_address =>current_address, Rxy_rst =>Rxy_rst, Cx_rst =>Cx_rst, NoC_size => NoC_size)
port map (reset=> reset, clk => clk, DCTS => DCTS_W, DRTS => DRTS_W, RTS=>RTS_W, CTS=>CTS_W, flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), destination_address=> FIFO_D_out_W(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19),
Grant_N_in => Grant_N_W , Grant_E_in => Grant_E_W , Grant_W_in => '0' , Grant_S_in => Grant_S_W , Grant_L_in => Grant_L_W , Grant_N_out => Grant_W_N, Grant_E_out => Grant_W_E, Grant_W_out => Grant_W_W, Grant_S_out => Grant_W_S, Grant_L_out => Grant_W_L,
Req_N_in => Req_N_W , Req_E_in => Req_E_W , Req_W_in => '0' , Req_S_in => Req_S_W , Req_L_in => Req_L_W , Req_N_out => Req_W_N , Req_E_out => Req_W_E , Req_W_out => Req_W_W , Req_S_out => Req_W_S , Req_L_out => Req_W_L ,
read_pointer_out => read_pointer_out_W, write_pointer_out => write_pointer_out_W, write_en_out=>write_en_out_W, Xbar_sel=>Xbar_sel_W);
Channel_S: router_channel generic map (DATA_WIDTH => DATA_WIDTH, current_address =>current_address, Rxy_rst =>Rxy_rst, Cx_rst =>Cx_rst, NoC_size => NoC_size)
port map (reset=> reset, clk => clk, DCTS => DCTS_S, DRTS => DRTS_S, RTS=>RTS_S, CTS=>CTS_S, flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), destination_address=> FIFO_D_out_S(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19),
Grant_N_in => Grant_N_S , Grant_E_in => Grant_E_S , Grant_W_in => Grant_W_S , Grant_S_in => '0' , Grant_L_in => Grant_L_S , Grant_N_out => Grant_S_N, Grant_E_out => Grant_S_E, Grant_W_out => Grant_S_W, Grant_S_out => Grant_S_S, Grant_L_out => Grant_S_L,
Req_N_in => Req_N_S , Req_E_in => Req_E_S , Req_W_in => Req_W_S , Req_S_in => '0' , Req_L_in => Req_L_S , Req_N_out => Req_S_N , Req_E_out => Req_S_E , Req_W_out => Req_S_W , Req_S_out => Req_S_S , Req_L_out => Req_S_L ,
read_pointer_out => read_pointer_out_S, write_pointer_out => write_pointer_out_S, write_en_out=>write_en_out_S, Xbar_sel=>Xbar_sel_S);
Channel_L: router_channel generic map (DATA_WIDTH => DATA_WIDTH, current_address =>current_address, Rxy_rst =>Rxy_rst, Cx_rst =>Cx_rst, NoC_size => NoC_size)
port map (reset=> reset, clk => clk, DCTS => DCTS_L, DRTS => DRTS_L, RTS=>RTS_L, CTS=>CTS_L, flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), destination_address=> FIFO_D_out_L(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19),
Grant_N_in => Grant_N_L , Grant_E_in => Grant_E_L , Grant_W_in => Grant_W_L , Grant_S_in => Grant_S_L , Grant_L_in => '0' , Grant_N_out => Grant_L_N, Grant_E_out => Grant_L_E, Grant_W_out => Grant_L_W, Grant_S_out => Grant_L_S, Grant_L_out => Grant_L_L,
Req_N_in => Req_N_L , Req_E_in => Req_E_L , Req_W_in => Req_W_L , Req_S_in => Req_S_L , Req_L_in => '0' , Req_N_out => Req_L_N , Req_E_out => Req_L_E , Req_W_out => Req_L_W , Req_S_out => Req_L_S , Req_L_out => Req_L_L ,
read_pointer_out => read_pointer_out_L, write_pointer_out => write_pointer_out_L, write_en_out=>write_en_out_L, Xbar_sel=>Xbar_sel_L);
xbar_N: XBAR generic map(DATA_WIDTH => DATA_WIDTH) port map (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in=> FIFO_D_out_W, South_in=> FIFO_D_out_S, Local_in=> FIFO_D_out_L, sel=>Xbar_sel_N, Data_out=> TX_N);
xbar_E: XBAR generic map(DATA_WIDTH => DATA_WIDTH) port map (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in=> FIFO_D_out_W, South_in=> FIFO_D_out_S, Local_in=> FIFO_D_out_L, sel=>Xbar_sel_E, Data_out=> TX_E);
xbar_W: XBAR generic map(DATA_WIDTH => DATA_WIDTH) port map (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in=> FIFO_D_out_W, South_in=> FIFO_D_out_S, Local_in=> FIFO_D_out_L, sel=>Xbar_sel_W, Data_out=> TX_W);
xbar_S: XBAR generic map(DATA_WIDTH => DATA_WIDTH) port map (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in=> FIFO_D_out_W, South_in=> FIFO_D_out_S, Local_in=> FIFO_D_out_L, sel=>Xbar_sel_S, Data_out=> TX_S);
xbar_L: XBAR generic map(DATA_WIDTH => DATA_WIDTH) port map (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in=> FIFO_D_out_W, South_in=> FIFO_D_out_S, Local_in=> FIFO_D_out_L, sel=>Xbar_sel_L, Data_out=> TX_L);
FIFO_N: FIFO_data_path generic map(DATA_WIDTH => DATA_WIDTH) port map(clk => clk, reset => reset, RX => RX_N, read_pointer => read_pointer_out_N, write_pointer => write_pointer_out_N, write_en => write_en_out_N, Data_out => FIFO_D_out_N);
FIFO_E: FIFO_data_path generic map(DATA_WIDTH => DATA_WIDTH) port map(clk => clk, reset => reset, RX => RX_E, read_pointer => read_pointer_out_E, write_pointer => write_pointer_out_E, write_en => write_en_out_E, Data_out => FIFO_D_out_E);
FIFO_W: FIFO_data_path generic map(DATA_WIDTH => DATA_WIDTH) port map(clk => clk, reset => reset, RX => RX_W, read_pointer => read_pointer_out_W, write_pointer => write_pointer_out_W, write_en => write_en_out_W, Data_out => FIFO_D_out_W);
FIFO_S: FIFO_data_path generic map(DATA_WIDTH => DATA_WIDTH) port map(clk => clk, reset => reset, RX => RX_S, read_pointer => read_pointer_out_S, write_pointer => write_pointer_out_S, write_en => write_en_out_S, Data_out => FIFO_D_out_S);
FIFO_L: FIFO_data_path generic map(DATA_WIDTH => DATA_WIDTH) port map(clk => clk, reset => reset, RX => RX_L, read_pointer => read_pointer_out_L, write_pointer => write_pointer_out_L, write_en => write_en_out_L, Data_out => FIFO_D_out_L);
end;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Credit_Based/Credit_Based_FC/FIFO_one_hot_credit_based.vhd
|
5
|
5364
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end FIFO_credit_based;
architecture behavior of FIFO_credit_based is
signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0);
signal full, empty: std_logic;
signal read_en, write_en: std_logic;
signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0);
begin
--------------------------------------------------------------------------------------------
-- block diagram of the FIFO!
--------------------------------------------------------------------------------------------
-- circular buffer structure
-- <--- WriteP
-- ---------------------------------
-- | 3 | 2 | 1 | 0 |
-- ---------------------------------
-- <--- readP
--------------------------------------------------------------------------------------------
process (clk, reset)begin
if reset = '0' then
read_pointer <= "0001";
write_pointer <= "0001";
FIFO_MEM_1 <= (others=>'0');
FIFO_MEM_2 <= (others=>'0');
FIFO_MEM_3 <= (others=>'0');
FIFO_MEM_4 <= (others=>'0');
credit_out <= '0';
elsif clk'event and clk = '1' then
write_pointer <= write_pointer_in;
read_pointer <= read_pointer_in;
credit_out <= '0';
if write_en = '1' then
--write into the memory
FIFO_MEM_1 <= FIFO_MEM_1_in;
FIFO_MEM_2 <= FIFO_MEM_2_in;
FIFO_MEM_3 <= FIFO_MEM_3_in;
FIFO_MEM_4 <= FIFO_MEM_4_in;
end if;
if read_en = '1' then
credit_out <= '1';
end if;
end if;
end process;
-- anything below here is pure combinational
-- combinatorial part
process(RX, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
end process;
process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin
case( read_pointer ) is
when "0001" => Data_out <= FIFO_MEM_1;
when "0010" => Data_out <= FIFO_MEM_2;
when "0100" => Data_out <= FIFO_MEM_3;
when "1000" => Data_out <= FIFO_MEM_4;
when others => Data_out <= FIFO_MEM_1;
end case ;
end process;
read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty;
empty_out <= empty;
process(write_en, write_pointer)begin
if write_en = '1'then
write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3);
else
write_pointer_in <= write_pointer;
end if;
end process;
process(read_en, empty, read_pointer)begin
if (read_en = '1' and empty = '0') then
read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3);
else
read_pointer_in <= read_pointer;
end if;
end process;
process(full, valid_in) begin
if valid_in = '1' and full ='0' then
write_en <= '1';
else
write_en <= '0';
end if;
end process;
process(write_pointer, read_pointer) begin
if read_pointer = write_pointer then
empty <= '1';
else
empty <= '0';
end if;
-- if write_pointer = read_pointer>>1 then
if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then
full <= '1';
else
full <= '0';
end if;
end process;
end;
|
gpl-3.0
|
bruskajp/EE-316
|
Project4/Vivado_NexysBoard/craddockEE316/craddockEE316.srcs/sources_1/imports/testFolder/clk1Mhz.vhd
|
1
|
1730
|
LIBRARY ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY clk1Mhz IS
PORT (
SIGNAL samplingFreq : INOUT std_logic:= '1';
signal baudRate : INOUT std_logic:= '1';
signal rx_clk : inout std_logic:= '1';
signal tx_clk : inout std_logic:= '1';
SIGNAL iCLK : IN std_logic);
END clk1Mhz;
ARCHITECTURE Arch OF clk1Mhz IS
SIGNAL DIV : std_logic_vector (25 DOWNTO 0):="00"&X"000000";
SIGNAL DIV2 : std_logic_vector (25 DOWNTO 0):="00"&X"000000";
SIGNAL DIV3 : std_logic_vector (25 DOWNTO 0):="00"&X"000000";
SIGNAL DIV4 : std_logic_vector (25 DOWNTO 0):="00"&X"000000";
BEGIN
PROCESS(iCLK) -- 11.52 kHz clock
BEGIN
IF rising_edge(iCLK) THEN
IF DIV >= 4341 THEN
DIV <= "00"&X"000000";
baudRate <= NOT baudRate;
ELSE
DIV <= DIV + '1';
END IF;
END IF;
END PROCESS;
PROCESS(iCLK) -- 1 MHz clock
BEGIN
IF rising_edge(iCLK) THEN
IF DIV2 >= 49 THEN
DIV2 <= "00"&X"000000";
samplingFreq <= NOT samplingFreq;
ELSE
DIV2 <= DIV2 + '1';
END IF;
END IF;
END PROCESS;
PROCESS(iCLK) -- 1 MHz clock
BEGIN
IF rising_edge(iCLK) THEN -- 11.52kHz*16
IF DIV3 >= 272 THEN
DIV3 <= "00"&X"000000";
rx_clk <= NOT rx_clk;
ELSE
DIV3 <= DIV3 + '1';
END IF;
END IF;
END PROCESS;
process(iCLK)
begin
IF rising_edge(iCLK) THEN -- 115.20k*10
IF DIV4 >= 435 THEN
DIV4 <= "00"&X"000000";
tx_clk <= NOT tx_clk;
ELSE
DIV4 <= DIV4 + '1';
END IF;
END IF;
END PROCESS;
END Arch;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Hand_Shaking/Checkers/Modules_with_checkers_integrated/Dominant_checkers/Arbiter_one_hot_with_dominant_checkers/Arbiter_one_hot_with_checkers.vhd
|
2
|
16687
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Arbiter is
port ( reset: in std_logic;
clk: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules
DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking)
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
Xbar_sel : out std_logic_vector(4 downto 0); -- select lines for XBAR
RTS: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
--err_East_Req_E,
--err_West_Req_W,
--err_South_Req_S,
err_IDLE_Req_N,
err_Local_Req_N,
--err_North_Req_E,
--err_East_Req_W,
--err_West_Req_S,
err_South_Req_L,
--err_IDLE_Req_E,
--err_Local_Req_E,
--err_North_Req_W,
--err_East_Req_S,
err_West_Req_L,
err_South_Req_N,
--err_IDLE_Req_W,
--err_Local_Req_W,
--err_North_Req_S,
err_East_Req_L,
err_West_Req_N,
--err_South_Req_E,
--err_IDLE_Req_S,
--err_Local_Req_S,
--err_North_Req_L,
err_East_Req_N,
--err_West_Req_E,
--err_South_Req_W,
err_next_state_onehot,
err_state_in_onehot,
--err_DCTS_RTS_FF_state_Grant_L,
--err_DCTS_RTS_FF_state_Grant_N,
--err_DCTS_RTS_FF_state_Grant_E,
--err_DCTS_RTS_FF_state_Grant_W,
--err_DCTS_RTS_FF_state_Grant_S,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
--err_state_local_xbar_sel : out std_logic
);
end;
architecture behavior of Arbiter is
-- next
-- Arbiter router or NI
-- ------------------------------------- ----
-- from LBDR ---> |Req(s) RTS | -----> |DRTS
-- To FIFO <--- |Grant(s) DCTS| <----- |CTS
-- to XBAR <--- |Xbar_sel | |
-- ------------------------------------- ----
--------------------------------------------------------------------------------------------
-- an example of a request/grant + handshake process with next router or NI
--CLK _|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|_|'|__
-- Req _____|'''''''''''''''''''''''''''''''''''''''''''|________
-- _________ ___________________ _______ _______ _______ ____
-- TX _________X_______HEADER______X_Body__X_Body__X__Tail_X____
-- Grant _________________________|'''|___|'''|___|'''|____________
-- RTs _________|'''''''''''''''''''|___|'''''''|___|'''''''|____
-- DCTS _________________________|'''|_______|'''|_______|'''|____
-- |<---------clear----------->|
-- | to send |
--------------------------------------------------------------------------------------------
-- TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SUBTYPE STATE_TYPE IS STD_LOGIC_VECTOR (5 downto 0);
CONSTANT IDLE: STATE_TYPE := "000001";
CONSTANT Local: STATE_TYPE := "000010";
CONSTANT North: STATE_TYPE := "000100";
CONSTANT East: STATE_TYPE := "001000";
CONSTANT West: STATE_TYPE := "010000";
CONSTANT South: STATE_TYPE := "100000";
SIGNAL state, state_in, next_state : STATE_TYPE := IDLE;
SIGNAL RTS_FF, RTS_FF_in: std_logic;
signal Grant_N_sig, Grant_E_sig, Grant_W_sig, Grant_S_sig, Grant_L_sig: std_logic;
signal Xbar_sel_sig: std_logic_vector(4 downto 0);
component Arbiter_checkers is
port (
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic;
DCTS: in std_logic;
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L: in std_logic;
Xbar_sel : in std_logic_vector(4 downto 0);
state: in std_logic_vector (5 downto 0);
state_in: in std_logic_vector (5 downto 0);
next_state_out: in std_logic_vector (5 downto 0);
RTS_FF: in std_logic;
RTS_FF_in: in std_logic;
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
--err_East_Req_E,
--err_West_Req_W,
--err_South_Req_S,
err_IDLE_Req_N,
err_Local_Req_N,
--err_North_Req_E,
--err_East_Req_W,
--err_West_Req_S,
err_South_Req_L,
--err_IDLE_Req_E,
--err_Local_Req_E,
--err_North_Req_W,
--err_East_Req_S,
err_West_Req_L,
err_South_Req_N,
--err_IDLE_Req_W,
--err_Local_Req_W,
--err_North_Req_S,
err_East_Req_L,
err_West_Req_N,
--err_South_Req_E,
--err_IDLE_Req_S,
--err_Local_Req_S,
--err_North_Req_L,
err_East_Req_N,
--err_West_Req_E,
--err_South_Req_W,
err_next_state_onehot,
err_state_in_onehot,
--err_DCTS_RTS_FF_state_Grant_L,
--err_DCTS_RTS_FF_state_Grant_N,
--err_DCTS_RTS_FF_state_Grant_E,
--err_DCTS_RTS_FF_state_Grant_W,
--err_DCTS_RTS_FF_state_Grant_S,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
--err_state_local_xbar_sel : out std_logic
);
end component;
begin
-- Arbiter checkers instantiation
ARBITERCHECKERS: Arbiter_checkers port map (
Req_N => Req_N,
Req_E => Req_E,
Req_W => Req_W,
Req_S => Req_S,
Req_L => Req_L,
DCTS => DCTS,
Grant_N => Grant_N_sig,
Grant_E => Grant_E_sig,
Grant_W => Grant_W_sig,
Grant_S => Grant_S_sig,
Grant_L => Grant_L_sig,
Xbar_sel=>Xbar_sel_sig,
state => state,
state_in => state_in,
next_state_out => next_state,
RTS_FF => RTS_FF,
RTS_FF_in => RTS_FF_in,
err_state_IDLE_xbar => err_state_IDLE_xbar,
err_state_not_IDLE_xbar => err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in => err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in => err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in => err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state => err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state => err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants => err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants => err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants => err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE => err_Requests_next_state_IDLE,
err_IDLE_Req_L => err_IDLE_Req_L,
err_Local_Req_L => err_Local_Req_L,
err_North_Req_N => err_North_Req_N,
err_IDLE_Req_N => err_IDLE_Req_N,
err_Local_Req_N => err_Local_Req_N,
err_South_Req_L => err_South_Req_L,
err_West_Req_L => err_West_Req_L,
err_South_Req_N => err_South_Req_N,
err_East_Req_L => err_East_Req_L,
err_West_Req_N => err_West_Req_N,
err_East_Req_N => err_East_Req_N,
err_next_state_onehot => err_next_state_onehot,
err_state_in_onehot => err_state_in_onehot,
err_state_north_xbar_sel => err_state_north_xbar_sel,
err_state_east_xbar_sel => err_state_east_xbar_sel,
err_state_west_xbar_sel => err_state_west_xbar_sel,
err_state_south_xbar_sel => err_state_south_xbar_sel
);
-- process for updating the state of arbiter's FSM, also setting RTS based on the state (if Grant is given or not)
process(clk, reset)begin
if reset = '0' then
state<=IDLE;
RTS_FF <= '0';
elsif clk'event and clk = '1' then
-- no grant given yet, it might be that there is no request to
-- arbiter or request is there, but the next router's/NI's FIFO is full
state <= state_in;
RTS_FF <= RTS_FF_in;
end if;
end process;
-- anything below here is pure combinational
RTS <= RTS_FF;
-- Becuase of checkers we did this!
Grant_N <= Grant_N_sig;
Grant_E <= Grant_E_sig;
Grant_W <= Grant_W_sig;
Grant_S <= Grant_S_sig;
Grant_L <= Grant_L_sig;
Xbar_sel <= Xbar_sel_sig;
process(RTS_FF, DCTS, state, next_state)begin
if RTS_FF = '1' and DCTS = '0' then
state_in <= state;
else
state_in <= next_state;
end if;
end process;
process(state, RTS_FF, DCTS)begin
if state = IDLE then
RTS_FF_in <= '0';
-- if there was a grant given to one of the inputs,
-- tell the next router/NI that the output data is valid
else
if RTS_FF = '1' and DCTS = '1' then
RTS_FF_in <= '0';
else
RTS_FF_in <= '1';
end if;
end if ;
end process;
-- sets the grants using round robin
-- the order is L --> N --> E --> W --> S and then back to L
process(state, Req_N, Req_E, Req_W, Req_S, Req_L, DCTS, RTS_FF)begin
Grant_N_sig <= '0';
Grant_E_sig <= '0';
Grant_W_sig <= '0';
Grant_S_sig <= '0';
Grant_L_sig <= '0';
Xbar_sel_sig <= "00000";
case(state) is
when IDLE =>
Xbar_sel_sig <= "00000";
If Req_L = '1' then
next_state <= Local;
elsif Req_N = '1' then
next_state <= North;
elsif Req_E = '1' then
next_state <= East;
elsif Req_W = '1' then
next_state <= West;
elsif Req_S = '1' then
next_state <= South;
else
next_state <= IDLE;
end if;
when North =>
Grant_N_sig <= DCTS and RTS_FF ;
Xbar_sel_sig <= "00001";
If Req_N = '1' then
next_state <= North;
elsif Req_E = '1' then
next_state <= East;
elsif Req_W = '1' then
next_state <= West;
elsif Req_S = '1' then
next_state <= South;
elsif Req_L = '1' then
next_state <= Local;
else
next_state <= IDLE;
end if;
when East =>
Grant_E_sig <= DCTS and RTS_FF;
Xbar_sel_sig <= "00010";
If Req_E = '1' then
next_state <= East;
elsif Req_W = '1' then
next_state <= West;
elsif Req_S = '1' then
next_state <= South;
elsif Req_L = '1' then
next_state <= Local;
elsif Req_N = '1' then
next_state <= North;
else
next_state <= IDLE;
end if;
when West =>
Grant_W_sig <= DCTS and RTS_FF;
Xbar_sel_sig <= "00100";
If Req_W = '1' then
next_state <= West;
elsif Req_S = '1' then
next_state <= South;
elsif Req_L = '1' then
next_state <= Local;
elsif Req_N = '1' then
next_state <= North;
elsif Req_E = '1' then
next_state <= East;
else
next_state <= IDLE;
end if;
when South =>
Grant_S_sig <= DCTS and RTS_FF;
Xbar_sel_sig <= "01000";
If Req_S = '1' then
next_state <= South;
elsif Req_L = '1' then
next_state <= Local;
elsif Req_N = '1' then
next_state <= North;
elsif Req_E = '1' then
next_state <= East;
elsif Req_W = '1' then
next_state <= West;
else
next_state <= IDLE;
end if;
when others => -- Local
Grant_L_sig <= DCTS and RTS_FF;
Xbar_sel_sig <= "10000";
If Req_L = '1' then
next_state <= Local;
elsif Req_N = '1' then
next_state <= North;
elsif Req_E = '1' then
next_state <= East;
elsif Req_W = '1' then
next_state <= West;
elsif Req_S = '1' then
next_state <= South;
else
next_state <= IDLE;
end if;
end case ;
end process;
end;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Immortal_Chip/tb_network_rand_2x2.vhd
|
1
|
3363
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x:2
-- network size y:2
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.TB_Package.all;
USE ieee.numeric_std.ALL;
use IEEE.math_real."ceil";
use IEEE.math_real."log2";
entity tb_network_2x2 is
end tb_network_2x2;
architecture behavior of tb_network_2x2 is
-- Declaring network component
component network_2x2 is
generic (DATA_WIDTH: integer := 32);
port (reset: in std_logic;
clk: in std_logic;
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_L_0, CTS_L_0: out std_logic;
DRTS_L_0, DCTS_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_L_1, CTS_L_1: out std_logic;
DRTS_L_1, DCTS_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_L_2, CTS_L_2: out std_logic;
DRTS_L_2, DCTS_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
RTS_L_3, CTS_L_3: out std_logic;
DRTS_L_3, DCTS_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
-- generating bulk signals...
signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0);
signal RTS_L_0, DRTS_L_0, CTS_L_0, DCTS_L_0: std_logic;
--------------
signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0);
signal RTS_L_1, DRTS_L_1, CTS_L_1, DCTS_L_1: std_logic;
--------------
signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0);
signal RTS_L_2, DRTS_L_2, CTS_L_2, DCTS_L_2: std_logic;
--------------
signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0);
signal RTS_L_3, DRTS_L_3, CTS_L_3, DCTS_L_3: std_logic;
--------------
constant clk_period : time := 1 ns;
signal reset,clk: std_logic :='0';
begin
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
reset <= '1' after 1 ns;
-- instantiating the network
NoC: network_2x2 generic map (DATA_WIDTH => 32)
PORT MAP (reset, clk,
RX_L_0, RTS_L_0, CTS_L_0, DRTS_L_0, DCTS_L_0, TX_L_0,
RX_L_1, RTS_L_1, CTS_L_1, DRTS_L_1, DCTS_L_1, TX_L_1,
RX_L_2, RTS_L_2, CTS_L_2, DRTS_L_2, DCTS_L_2, TX_L_2,
RX_L_3, RTS_L_3, CTS_L_3, DRTS_L_3, DCTS_L_3, TX_L_3);
-- connecting the packet generators
gen_random_packet(100, 0, 41, 8, 8, 10000 ns, clk, CTS_L_0, DRTS_L_0, RX_L_0);
gen_random_packet(100, 1, 9, 8, 8, 10000 ns, clk, CTS_L_1, DRTS_L_1, RX_L_1);
gen_random_packet(100, 2, 32, 8, 8, 10000 ns, clk, CTS_L_2, DRTS_L_2, RX_L_2);
gen_random_packet(100, 3, 47, 8, 8, 10000 ns, clk, CTS_L_3, DRTS_L_3, RX_L_3);
-- connecting the packet receivers
-- Arguments are:
-- data_width, inital delay, node_id, clk, DCTS, RTS, TX
get_packet(32, 5, 0, clk, DCTS_L_0, RTS_L_0, TX_L_0);
get_packet(32, 5, 1, clk, DCTS_L_1, RTS_L_1, TX_L_1);
get_packet(32, 5, 2, clk, DCTS_L_2, RTS_L_2, TX_L_2);
get_packet(32, 5, 3, clk, DCTS_L_3, RTS_L_3, TX_L_3);
end;
|
gpl-3.0
|
bruskajp/EE-316
|
Project2/Vivado_NexysBoard/project_2b/project_2b.srcs/sources_1/imports/Downloads/univ_bin_counter.vhd
|
1
|
1772
|
-- Source: http://academic.csuohio.edu/chu_p/rtl/fpga_vhdl.html
-- Listing 4.10
-- modified: added port "clk_en", Sept 5, 2013
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity univ_bin_counter is
generic(N: integer := 8);
port(
clk, reset : in std_logic;
syn_clr, load, en, up : in std_logic;
clk_en : in std_logic ;
d : in std_logic_vector(N-1 downto 0);
max : in unsigned(N-1 downto 0);
min : in unsigned(N-1 downto 0);
q : out std_logic_vector(N-1 downto 0)
);
end univ_bin_counter;
architecture arch of univ_bin_counter is
signal r_reg : unsigned(N-1 downto 0) := min;
signal r_next : unsigned(N-1 downto 0);
signal max_tick : std_logic;
signal min_tick : std_logic;
begin
-- register
process(clk,reset,clk_en,syn_clr,min,r_next)
begin
if (reset='1' or syn_clr = '1') then
r_reg <= min;
elsif rising_edge(clk) and clk_en = '1' then
r_reg <= r_next;
end if;
end process;
process (en,up,r_reg,min,max)
begin
if (en = '1') then
if (up = '1') then
if (r_reg = max) then
r_next <= min;
elsif (r_reg /= max) then
r_next <= r_reg +1;
end if;
elsif (up = '0') then
if (r_reg = min) then
r_next <= max;
elsif (r_reg /= min) then
r_next <= r_reg -1;
end if;
end if;
elsif (en = '0') then
r_next <= r_reg;
end if;
end process;
q <= std_logic_vector(r_reg);
end arch;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Fault_Management/Error_Detection_Correction/hamming_decoder.vhd
|
1
|
5641
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.std_logic_misc.all;
Entity hamming_decoder is
port
(hamming_in : in std_logic_vector(38 downto 0); --d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 --d26 d27 p0 p1 p2 p3 p4 p5 p6
dataout : out std_logic_vector(31 downto 0); --d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 --d26 d27
s_err_corr : out std_logic; --diagnostic outputs
d_err_det : out std_logic; --diagnostic outputs
no_error : out std_logic); --diagnostic outputs
end hamming_decoder;
architecture beh OF hamming_decoder is
Signal syndrome : std_logic_vector (6 downto 0);
begin
syndrome(0) <= hamming_in(0) xor hamming_in(1) xor hamming_in(3) xor hamming_in(4) xor hamming_in(6) xor
hamming_in(8) xor hamming_in(10) xor hamming_in(11) xor hamming_in(13) xor hamming_in(15) xor
hamming_in(17) xor hamming_in(19) xor hamming_in(21) xor hamming_in(23) xor hamming_in(25) xor hamming_in(26) xor hamming_in(28) xor hamming_in(30) xor hamming_in(32);
syndrome(1) <= hamming_in(0) xor hamming_in(2) xor hamming_in(3) xor hamming_in(5) xor hamming_in(6) xor
hamming_in(9) xor hamming_in(10) xor hamming_in(12) xor hamming_in(13) xor hamming_in(16) xor
hamming_in(17) xor hamming_in(20) xor hamming_in(21) xor hamming_in(24) xor hamming_in(25) xor hamming_in(27) xor hamming_in(28) xor hamming_in(31) xor hamming_in(33);
syndrome(2) <= XOR_REDUCE(hamming_in(3 downto 1)) xor XOR_REDUCE(hamming_in(10 downto 7)) xor XOR_REDUCE(hamming_in(17 downto 14)) xor
XOR_REDUCE(hamming_in(25 downto 22)) xor XOR_REDUCE(hamming_in(31 downto 29)) xor hamming_in(34);
syndrome(3) <= XOR_REDUCE(hamming_in(10 downto 4)) xor XOR_REDUCE(hamming_in(25 downto 18)) xor hamming_in(35);
syndrome(4) <= XOR_REDUCE(hamming_in(25 downto 11)) xor hamming_in(36);
syndrome(5) <= XOR_REDUCE(hamming_in(31 downto 26)) xor hamming_in(37);
syndrome(6) <= XOR_REDUCE(hamming_in(38 downto 0));
PROCESS(hamming_in, syndrome)
BEGIN
if syndrome(6) = '0' then
s_err_corr <= '0';
if (syndrome = "0000000") then -------no errors
no_error <= '1';
d_err_det <= '0';
dataout <= hamming_in(31 downto 0);
else -- (syndrome(5 downto 0) /= "000000")
no_error <= '0';
d_err_det <= '1';
dataout <= (others=> '0');
end if;
else -----------------------------------------------single bit error syndrome(6) = '1'
no_error <= '0';
d_err_det <= '0';
s_err_corr <= '1';
dataout <= hamming_in(31 downto 0); -- to cover all the bits
Case syndrome(5 downto 0) is
when "000000"|"000001"|"000010"|"000100"|"001000"|"010000"|"100000" => ------ this implies the error is only in parity bits, not data.
dataout <= hamming_in(31 downto 0);
when "000011" => dataout(0) <= not hamming_in(0);
when "000101" => dataout(1) <= not hamming_in(1);
when "000110" => dataout(2) <= not hamming_in(2);
when "000111" => dataout(3) <= not hamming_in(3);
when "001001" => dataout(4) <= not hamming_in(4);
when "001010" => dataout(5) <= not hamming_in(5);
when "001011" => dataout(6) <= not hamming_in(6);
when "001100" => dataout(7) <= not hamming_in(7);
when "001101" => dataout(8) <= not hamming_in(8);
when "001110" => dataout(9) <= not hamming_in(9);
when "001111" => dataout(10) <= not hamming_in(10);
when "010001" => dataout(11) <= not hamming_in(11);
when "010010" => dataout(12) <= not hamming_in(12);
when "010011" => dataout(13) <= not hamming_in(13);
when "010100" => dataout(14) <= not hamming_in(14);
when "010101" => dataout(15) <= not hamming_in(15);
when "010110" => dataout(16) <= not hamming_in(16);
when "010111" => dataout(17) <= not hamming_in(17);
when "011000" => dataout(18) <= not hamming_in(18);
when "011001" => dataout(19) <= not hamming_in(19);
when "011010" => dataout(20) <= not hamming_in(20);
when "011011" => dataout(21) <= not hamming_in(21);
when "011100" => dataout(22) <= not hamming_in(22);
when "011101" => dataout(23) <= not hamming_in(23);
when "011110" => dataout(24) <= not hamming_in(24);
when "011111" => dataout(25) <= not hamming_in(25);
when "100001" => dataout(26) <= not hamming_in(26);
when "100010" => dataout(27) <= not hamming_in(27);
when "100011" => dataout(28) <= not hamming_in(28);
when "100100" => dataout(29) <= not hamming_in(29);
when "100101" => dataout(30) <= not hamming_in(30);
when "100110" => dataout(31) <= not hamming_in(31);
when others=> dataout <= (others=> '0');
END Case;
END if;
END process;
END beh;
|
gpl-3.0
|
bruskajp/EE-316
|
Project1/sram_controller.vhd
|
1
|
2345
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sram_controller is
port
(
r_w : in std_logic;
clk : in std_logic;
addr : in std_logic_vector (17 downto 0);
r_w_en : in std_logic;
data_in : in std_logic_vector (15 downto 0);
data_out : out std_logic_vector (15 downto 0);
r_w_status : out std_logic;
sram_addr : out std_logic_vector (17 downto 0);
sram_i_o : inout std_logic_vector (15 downto 0);
sram_n_we : out std_logic;
sram_n_oe : out std_logic;
sram_n_ce : out std_logic;
sram_n_ub : out std_logic;
sram_n_lb : out std_logic
);
end sram_controller;
architecture behavior of sram_controller is
signal buf_data_in : std_logic_vector (15 downto 0);
signal buf_r_w : std_logic := '0';
signal buf_r_w_en : std_logic := '0';
signal flag_r_w_en : std_logic := '0';
type state_type is (s0, s1, s2);
signal state : state_type := s0;
begin
sram_n_ce <= '0';
sram_n_ub <= '0';
sram_n_lb <= '0';
process (clk)
begin
if rising_edge(clk) then
if buf_r_w = '1' then
sram_i_o <= buf_data_in;
else
sram_i_o <= "ZZZZZZZZZZZZZZZZ";
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
sram_addr <= addr;
buf_data_in <= data_in;
if (buf_r_w = '0') then
data_out <= sram_i_o;
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
buf_r_w_en <= r_w_en;
if buf_r_w_en = '0' and r_w_en = '1' then
flag_r_w_en <= '1';
else
flag_r_w_en <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
case state is
when s0 =>
r_w_status <= '0';
buf_r_w <= r_w;
sram_n_oe <= '1';
sram_n_we <= '1';
if flag_r_w_en = '1' then
state <= s1;
else
state <= s0;
end if;
when s1 =>
r_w_status <= '1';
if (buf_r_w = '0') then
sram_n_oe <= '0';
else
sram_n_we <= '0';
end if;
state <= s2;
when s2 =>
r_w_status <= '1';
if (buf_r_w = '0') then
sram_n_oe <= '0';
else
sram_n_we <= '0';
end if;
state <= s0;
end case;
end if;
end process;
end behavior;
|
gpl-3.0
|
JarrettR/FPGA-Cryptoparty
|
FPGA/hdl/ipcore_dir/fx2_fifo/simulation/fx2_fifo_synth.vhd
|
1
|
10955
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fx2_fifo_synth.vhd
--
-- Description:
-- This is the demo testbench for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_unsigned.ALL;
USE IEEE.STD_LOGIC_arith.ALL;
USE ieee.numeric_std.ALL;
USE ieee.STD_LOGIC_misc.ALL;
LIBRARY std;
USE std.textio.ALL;
LIBRARY work;
USE work.fx2_fifo_pkg.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY fx2_fifo_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE simulation_arch OF fx2_fifo_synth IS
-- FIFO interface signal declarations
SIGNAL wr_clk_i : STD_LOGIC;
SIGNAL rd_clk_i : STD_LOGIC;
SIGNAL rst : STD_LOGIC;
SIGNAL wr_en : STD_LOGIC;
SIGNAL rd_en : STD_LOGIC;
SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL dout : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL full : STD_LOGIC;
SIGNAL empty : STD_LOGIC;
-- TB Signals
SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL dout_i : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL full_i : STD_LOGIC := '0';
SIGNAL empty_i : STD_LOGIC := '0';
SIGNAL almost_full_i : STD_LOGIC := '0';
SIGNAL almost_empty_i : STD_LOGIC := '0';
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL dout_chk_i : STD_LOGIC := '0';
SIGNAL rst_int_rd : STD_LOGIC := '0';
SIGNAL rst_int_wr : STD_LOGIC := '0';
SIGNAL rst_s_wr1 : STD_LOGIC := '0';
SIGNAL rst_s_wr2 : STD_LOGIC := '0';
SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL rst_s_wr3 : STD_LOGIC := '0';
SIGNAL rst_s_rd : STD_LOGIC := '0';
SIGNAL reset_en : STD_LOGIC := '0';
SIGNAL rst_async_wr1 : STD_LOGIC := '0';
SIGNAL rst_async_wr2 : STD_LOGIC := '0';
SIGNAL rst_async_wr3 : STD_LOGIC := '0';
SIGNAL rst_async_rd1 : STD_LOGIC := '0';
SIGNAL rst_async_rd2 : STD_LOGIC := '0';
SIGNAL rst_async_rd3 : STD_LOGIC := '0';
BEGIN
---- Reset generation logic -----
rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
rst_int_rd <= rst_async_rd3 OR rst_s_rd;
--Testbench reset synchronization
PROCESS(rd_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_rd1 <= '1';
rst_async_rd2 <= '1';
rst_async_rd3 <= '1';
ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_async_rd1 <= RESET;
rst_async_rd2 <= rst_async_rd1;
rst_async_rd3 <= rst_async_rd2;
END IF;
END PROCESS;
PROCESS(wr_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_wr1 <= '1';
rst_async_wr2 <= '1';
rst_async_wr3 <= '1';
ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_async_wr1 <= RESET;
rst_async_wr2 <= rst_async_wr1;
rst_async_wr3 <= rst_async_wr2;
END IF;
END PROCESS;
--Soft reset for core and testbench
PROCESS(rd_clk_i)
BEGIN
IF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_gen_rd <= rst_gen_rd + "1";
IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
rst_s_rd <= '1';
assert false
report "Reset applied..Memory Collision checks are not valid"
severity note;
ELSE
IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
rst_s_rd <= '0';
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(wr_clk_i)
BEGIN
IF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_s_wr1 <= rst_s_rd;
rst_s_wr2 <= rst_s_wr1;
rst_s_wr3 <= rst_s_wr2;
IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
assert false
report "Reset removed..Memory Collision checks are valid"
severity note;
END IF;
END IF;
END PROCESS;
------------------
---- Clock buffers for testbench ----
wr_clk_i <= WR_CLK;
rd_clk_i <= RD_CLK;
------------------
rst <= RESET OR rst_s_rd AFTER 12 ns;
din <= wr_data;
dout_i <= dout;
wr_en <= wr_en_i;
rd_en <= rd_en_i;
full_i <= full;
empty_i <= empty;
fg_dg_nv: fx2_fifo_dgen
GENERIC MAP (
C_DIN_WIDTH => 8,
C_DOUT_WIDTH => 8,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP ( -- Write Port
RESET => rst_int_wr,
WR_CLK => wr_clk_i,
PRC_WR_EN => prc_we_i,
FULL => full_i,
WR_EN => wr_en_i,
WR_DATA => wr_data
);
fg_dv_nv: fx2_fifo_dverif
GENERIC MAP (
C_DOUT_WIDTH => 8,
C_DIN_WIDTH => 8,
C_USE_EMBEDDED_REG => 0,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP(
RESET => rst_int_rd,
RD_CLK => rd_clk_i,
PRC_RD_EN => prc_re_i,
RD_EN => rd_en_i,
EMPTY => empty_i,
DATA_OUT => dout_i,
DOUT_CHK => dout_chk_i
);
fg_pc_nv: fx2_fifo_pctrl
GENERIC MAP (
AXI_CHANNEL => "Native",
C_APPLICATION_TYPE => 0,
C_DOUT_WIDTH => 8,
C_DIN_WIDTH => 8,
C_WR_PNTR_WIDTH => 9,
C_RD_PNTR_WIDTH => 9,
C_CH_TYPE => 0,
FREEZEON_ERROR => FREEZEON_ERROR,
TB_SEED => TB_SEED,
TB_STOP_CNT => TB_STOP_CNT
)
PORT MAP(
RESET_WR => rst_int_wr,
RESET_RD => rst_int_rd,
RESET_EN => reset_en,
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
PRC_WR_EN => prc_we_i,
PRC_RD_EN => prc_re_i,
FULL => full_i,
ALMOST_FULL => almost_full_i,
ALMOST_EMPTY => almost_empty_i,
DOUT_CHK => dout_chk_i,
EMPTY => empty_i,
DATA_IN => wr_data,
DATA_OUT => dout,
SIM_DONE => SIM_DONE,
STATUS => STATUS
);
fx2_fifo_inst : fx2_fifo_exdes
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
END ARCHITECTURE;
|
gpl-3.0
|
bruskajp/EE-316
|
Project1/display_driver.vhd
|
1
|
1819
|
-- Author: Zander Blasingame
-- Class: EE 316 Spring 2017
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity display_driver is
port (
keycode : in std_logic_vector(7 downto 0);
sram_address : in std_logic_vector(7 downto 0);
sram_data : in std_logic_vector(15 downto 0);
write_address : in std_logic;
is_programming : in std_logic;
clk : in std_logic;
address : out std_logic_vector(7 downto 0);
data : out std_logic_vector(15 downto 0)
);
end display_driver;
architecture behavior of display_driver is
-- Internal Register Signals
signal data_register : std_logic_vector(15 downto 0) := x"0000";
signal address_register : std_logic_vector(7 downto 0) := x"00";
begin
-- Demux for piping input into correct register
process(write_address, keycode)
begin
if keycode(7 downto 4) /= x"F" and rising_edge(clk) then
if write_address = '1' then
address_register(3 downto 0) <= keycode(3 downto 0);
address_register(7 downto 4) <= address_register(3 downto 0);
else
data_register(3 downto 0) <= keycode(3 downto 0);
data_register(7 downto 4) <= data_register(3 downto 0);
data_register(11 downto 8) <= data_register(7 downto 4);
data_register(15 downto 12) <= data_register(11 downto 8);
end if;
end if;
-- Reset registers in op mode
if is_programming = '0' then
address_register <= x"00";
data_register <= x"0000";
end if;
end process;
-- Mux for piping selecting data output stream
process(is_programming, address_register, data_register)
begin
if is_programming = '1' then
address <= address_register;
data <= data_register;
else
address <= sram_address;
data <= sram_data;
end if;
end process;
end behavior;
|
gpl-3.0
|
bruskajp/EE-316
|
Project2/Vivado_NexysBoard/project_2b/project_2b.srcs/sources_1/imports/Downloads/reset_delay.vhd
|
1
|
624
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.numeric_std.ALL;
use IEEE.STD_LOGIC_ARITH;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY Reset_Delay IS
PORT (
SIGNAL iCLK : IN std_logic;
SIGNAL oRESET : OUT std_logic
);
END Reset_Delay;
ARCHITECTURE Arch OF Reset_Delay IS
SIGNAL Cont : std_logic_vector(19 DOWNTO 0):=X"00000";
BEGIN
PROCESS
BEGIN
WAIT UNTIL rising_edge (iCLK);
IF Cont /= X"FFFFF" THEN
-- IF Cont /= X"0000F" THEN
Cont <= Cont + '1';
oRESET <= '1';
ELSE
oRESET <= '0';
END IF;
END PROCESS;
END Arch;
|
gpl-3.0
|
Ana06/function-graphing-FPGA
|
logaritmo.vhd
|
2
|
11477
|
----------------------------------------------------------------------------------
-- Company: Nameless2
-- Engineer: Ana María Martínez Gómez, Aitor Alonso Lorenzo, Víctor Adolfo Gallego Alcalá
--
-- Create Date: 16:20:31 02/20/2014
-- Design Name:
-- Module Name: logaritmo - Behavioral
-- Project Name: Representación gráfica de funciones
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity logaritmo is
Port ( valor : in STD_LOGIC_VECTOR (20 downto 0);
log : out STD_LOGIC_VECTOR (20 downto 0);
xlogmx : out STD_LOGIC_VECTOR (20 downto 0));
end logaritmo;
architecture Behavioral of logaritmo is
begin
with valor select
log <=
"111111111001000100011" when "000000000000000100000",
"111111111010011101000" when "000000000000001000000",
"111111111011010001000" when "000000000000001100000",
"111111111011110101110" when "000000000000010000000",
"111111111100010010011" when "000000000000010100000",
"111111111100101001101" when "000000000000011000000",
"111111111100111101011" when "000000000000011100000",
"111111111101001110100" when "000000000000100000000",
"111111111101011101101" when "000000000000100100000",
"111111111101101011000" when "000000000000101000000",
"111111111101110111010" when "000000000000101100000",
"111111111110000010011" when "000000000000110000000",
"111111111110001100101" when "000000000000110100000",
"111111111110010110001" when "000000000000111000000",
"111111111110011111000" when "000000000000111100000",
"111111111110100111010" when "000000000001000000000",
"111111111110101111000" when "000000000001000100000",
"111111111110110110010" when "000000000001001000000",
"111111111110111101010" when "000000000001001100000",
"111111111111000011110" when "000000000001010000000",
"111111111111001010000" when "000000000001010100000",
"111111111111010000000" when "000000000001011000000",
"111111111111010101101" when "000000000001011100000",
"111111111111011011001" when "000000000001100000000",
"111111111111100000011" when "000000000001100100000",
"111111111111100101011" when "000000000001101000000",
"111111111111101010010" when "000000000001101100000",
"111111111111101110111" when "000000000001110000000",
"111111111111110011011" when "000000000001110100000",
"111111111111110111101" when "000000000001111000000",
"111111111111111011111" when "000000000001111100000",
"000000000000000000000" when "000000000010000000000",
"000000000000000111110" when "000000000010001000000",
"000000000000001111000" when "000000000010010000000",
"000000000000010101111" when "000000000010011000000",
"000000000000011100100" when "000000000010100000000",
"000000000000100010110" when "000000000010101000000",
"000000000000101000110" when "000000000010110000000",
"000000000000101110011" when "000000000010111000000",
"000000000000110011111" when "000000000011000000000",
"000000000000111001000" when "000000000011001000000",
"000000000000111110001" when "000000000011010000000",
"000000000001000010111" when "000000000011011000000",
"000000000001000111101" when "000000000011100000000",
"000000000001001100000" when "000000000011101000000",
"000000000001010000011" when "000000000011110000000",
"000000000001010100101" when "000000000011111000000",
"000000000001011000101" when "000000000100000000000",
"000000000001100000011" when "000000000100010000000",
"000000000001100111110" when "000000000100100000000",
"000000000001101110101" when "000000000100110000000",
"000000000001110101010" when "000000000101000000000",
"000000000001111011100" when "000000000101010000000",
"000000000010000001011" when "000000000101100000000",
"000000000010000111001" when "000000000101110000000",
"000000000010001100100" when "000000000110000000000",
"000000000010010001110" when "000000000110010000000",
"000000000010010110110" when "000000000110100000000",
"000000000010011011101" when "000000000110110000000",
"000000000010100000010" when "000000000111000000000",
"000000000010100100110" when "000000000111010000000",
"000000000010101001001" when "000000000111100000000",
"000000000010101101011" when "000000000111110000000",
"000000000010110001011" when "000000001000000000000",
"000000000010111001001" when "000000001000100000000",
"000000000011000000100" when "000000001001000000000",
"000000000011000111011" when "000000001001100000000",
"000000000011001110000" when "000000001010000000000",
"000000000011010100010" when "000000001010100000000",
"000000000011011010001" when "000000001011000000000",
"000000000011011111111" when "000000001011100000000",
"000000000011100101010" when "000000001100000000000",
"000000000011101010100" when "000000001100100000000",
"000000000011101111100" when "000000001101000000000",
"000000000011110100011" when "000000001101100000000",
"000000000011111001000" when "000000001110000000000",
"000000000011111101100" when "000000001110100000000",
"000000000100000001111" when "000000001111000000000",
"000000000100000110000" when "000000001111100000000",
"000000000100001010001" when "000000010000000000000",
"000000000100010001111" when "000000010001000000000",
"000000000100011001001" when "000000010010000000000",
"000000000100100000001" when "000000010011000000000",
"000000000100100110101" when "000000010100000000000",
"000000000100101100111" when "000000010101000000000",
"000000000100110010111" when "000000010110000000000",
"000000000100111000100" when "000000010111000000000",
"000000000100111110000" when "000000011000000000000",
"000000000101000011010" when "000000011001000000000",
"000000000101001000010" when "000000011010000000000",
"000000000101001101001" when "000000011011000000000",
"000000000101010001110" when "000000011100000000000",
"000000000101010110010" when "000000011101000000000",
"000000000101011010101" when "000000011110000000000",
"000000000101011110110" when "000000011111000000000",
"000000000101100010111" when "000000100000000000000",
"000000000000000000000" when others;
with valor select
xlogmx <=
"111111111111101110001" when "000000000000000100000",
"111111111111100001110" when "000000000000001000000",
"111111111111010111100" when "000000000000001100000",
"111111111111001110101" when "000000000000010000000",
"111111111111000110110" when "000000000000010100000",
"111111111110111111110" when "000000000000011000000",
"111111111110111001011" when "000000000000011100000",
"111111111110110011101" when "000000000000100000000",
"111111111110101110010" when "000000000000100100000",
"111111111110101001011" when "000000000000101000000",
"111111111110100101000" when "000000000000101100000",
"111111111110100000111" when "000000000000110000000",
"111111111110011101001" when "000000000000110100000",
"111111111110011001101" when "000000000000111000000",
"111111111110010110100" when "000000000000111100000",
"111111111110010011101" when "000000000001000000000",
"111111111110010000111" when "000000000001000100000",
"111111111110001110100" when "000000000001001000000",
"111111111110001100011" when "000000000001001100000",
"111111111110001010011" when "000000000001010000000",
"111111111110001000100" when "000000000001010100000",
"111111111110000111000" when "000000000001011000000",
"111111111110000101100" when "000000000001011100000",
"111111111110000100011" when "000000000001100000000",
"111111111110000011010" when "000000000001100100000",
"111111111110000010011" when "000000000001101000000",
"111111111110000001101" when "000000000001101100000",
"111111111110000001000" when "000000000001110000000",
"111111111110000000100" when "000000000001110100000",
"111111111110000000010" when "000000000001111000000",
"111111111110000000000" when "000000000001111100000",
"111111111110000000000" when "000000000010000000000",
"111111111110000000001" when "000000000010001000000",
"111111111110000000111" when "000000000010010000000",
"111111111110000010000" when "000000000010011000000",
"111111111110000011101" when "000000000010100000000",
"111111111110000101101" when "000000000010101000000",
"111111111110001000000" when "000000000010110000000",
"111111111110001010110" when "000000000010111000000",
"111111111110001101110" when "000000000011000000000",
"111111111110010001010" when "000000000011001000000",
"111111111110010100111" when "000000000011010000000",
"111111111110011001000" when "000000000011011000000",
"111111111110011101010" when "000000000011100000000",
"111111111110100001111" when "000000000011101000000",
"111111111110100110110" when "000000000011110000000",
"111111111110101100000" when "000000000011111000000",
"111111111110110001011" when "000000000100000000000",
"111111111110111101000" when "000000000100010000000",
"111111111111001001100" when "000000000100100000000",
"111111111111010110111" when "000000000100110000000",
"111111111111100101001" when "000000000101000000000",
"111111111111110100010" when "000000000101010000000",
"000000000000000100000" when "000000000101100000000",
"000000000000010100101" when "000000000101110000000",
"000000000000100101110" when "000000000110000000000",
"000000000000110111110" when "000000000110010000000",
"000000000001001010010" when "000000000110100000000",
"000000000001011101011" when "000000000110110000000",
"000000000001110001001" when "000000000111000000000",
"000000000010000101100" when "000000000111010000000",
"000000000010011010011" when "000000000111100000000",
"000000000010101111110" when "000000000111110000000",
"000000000011000101110" when "000000001000000000000",
"000000000011110011000" when "000000001000100000000",
"000000000100100010010" when "000000001001000000000",
"000000000101010011010" when "000000001001100000000",
"000000000110000110000" when "000000001010000000000",
"000000000110111010010" when "000000001010100000000",
"000000000111110000001" when "000000001011000000000",
"000000001000100111011" when "000000001011100000000",
"000000001001100000000" when "000000001100000000000",
"000000001010011010000" when "000000001100100000000",
"000000001011010101010" when "000000001101000000000",
"000000001100010001110" when "000000001101100000000",
"000000001101001111100" when "000000001110000000000",
"000000001110001110010" when "000000001110100000000",
"000000001111001110010" when "000000001111000000000",
"000000010000001111010" when "000000001111100000000",
"000000010001010001010" when "000000010000000000000",
"000000010011011000011" when "000000010001000000000",
"000000010101100011001" when "000000010010000000000",
"000000010111110001100" when "000000010011000000000",
"000000011010000011010" when "000000010100000000000",
"000000011100011000001" when "000000010101000000000",
"000000011110110000001" when "000000010110000000000",
"000000100001001011001" when "000000010111000000000",
"000000100011101000110" when "000000011000000000000",
"000000100110001001001" when "000000011001000000000",
"000000101000101100000" when "000000011010000000000",
"000000101011010001011" when "000000011011000000000",
"000000101101111001001" when "000000011100000000000",
"000000110000100011001" when "000000011101000000000",
"000000110011001111011" when "000000011110000000000",
"000000110101111101110" when "000000011111000000000",
"000000111000101110010" when "000000100000000000000",
"000000000000000000000" when others;
end Behavioral;
|
gpl-3.0
|
Ana06/function-graphing-FPGA
|
kbdDataCtrl.vhd
|
2
|
4214
|
----------------------------------------------------------------------------------
-- Company:
-- EngINeer: Ali Diouri
--
-- Create Date: 20:59:21 05/03/2012
-- Design Name:
-- Module Name: KbdCore - Behavioral
-- Project Name: KbdDataCtrl
-- Target Devices:
-- Tool versions: XilINx ISE 14.4
-- Tool versions:
-- Description:
--
-- DepENDencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
ENTITY KbdDataCtrl IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
busyRx : IN STD_LOGIC;
busyTx : IN STD_LOGIC;
validDataKb : IN STD_LOGIC;
dataINIBuff : IN STD_LOGIC;
DataFromKb : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
DataFromIBuff : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
Tx_en : OUT STD_LOGIC;
Rx_en : OUT STD_LOGIC;
rd_en : OUT STD_LOGIC;
wr_en : OUT STD_LOGIC;
DataTokb : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
DataToOBuff : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END KbdDataCtrl;
ARCHITECTURE Behavioral OF KbdDataCtrl IS
SIGNAL ValidDataINIbuff : std_logic;
SIGNAL GetDataIBuff : std_logic;
SIGNAL StartTransmit : std_logic;
BEGIN
PROCESS(Rst,Clk)
BEGIN
IF(rst = '1') THEN
rd_en <= '0';
wr_en <= '0';
Tx_en <= '0';
Rx_en <= '0';
ValidDataINIbuff <= '0';
startTransmit <= '0';
GetDataIBuff <= '0';
DataToOBuff <= (OTHERS => '0');
DataTokb <= (OTHERS => '0');
ELSIF(clk = '1') and (clk'event) THEN
IF(busyRx = '0') and ( busyTx = '0') THEN
IF (startTransmit = '1') THEN
Tx_en <= '1';
Rx_en <= '0';
rd_en <= '0';
wr_en <= '0';
ValidDataINIbuff <= '0';
GetDataIBuff <= '0';
startTransmit <= '0';
ELSIF (GetDataIBuff = '1') THEN
dataToKb <= dataFromIBuff;
Tx_en <= '0';
Rx_en <= '0';
rd_en <= '0';
wr_en <= '0';
ValidDataINIbuff <= '0';
GetDataIBuff <= '0';
startTransmit <= '1';
ELSIF (ValidDataINIbuff = '1') THEN
Tx_en <= '0';
Rx_en <= '0';
rd_en <= '0';
wr_en <= '0';
ValidDataINIbuff <= '0';
GetDataIBuff <= '1';
startTransmit <= '0';
ELSIF(dataINIbuff = '0') THEN
rd_en <= '1';
wr_en <= '0';
Tx_en <= '0';
Rx_en <= '0';
ValidDataINIbuff <= '1';
GetDataIBuff <= '0';
startTransmit <= '0';
ELSE
rd_en <= '0';
wr_en <= '0';
Tx_en <= '0';
Rx_en <= '1';
startTransmit <= '0';
GetDataIBuff <= '0';
ValidDataINIbuff <= '0';
END IF;
ELSIF(busyTx = '1') THEN
wr_en <= '0';
rd_en <= '0';
ValidDataINIbuff <= '0';
startTransmit <= '0';
Tx_en <= '0';
Rx_en <= '0';
ELSIF(busyRx = '1') THEN
Tx_en <= '0';
Rx_en <= '1';
rd_en <= '0';
wr_en <= '0';
IF (validDataKb = '1') THEN
dataToOBuff <= dataFromKb;
wr_en <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END Behavioral;
|
gpl-3.0
|
bruskajp/EE-316
|
Project1/lcd_driver.vhd
|
1
|
8828
|
----------------------------------------------------------------------------------
-- Institution: Clarkson Univeristy
-- Engineers: Zander Blasingame and Brandon Norris
--
-- Create Date: 11/11/2016 21:06:23
-- Design Name:
-- Module Name: lcd_driver - Behavioral
-- Project Name:
-- Target Devices: Altera DE2
-- Tool Versions:
-- Description: Created for the final of EE 365, repurposed for EE 316.
-- Display Model:
--
-- | Mode Op/Prog State Reset/Fwd/Bckwd |
-- | Enable/Disable addr data |
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity lcd_driver is
Generic (
-- Input clk frequency is given as 50MHz
-- Internal clk frequency is 200Hz
-- Number picked such that T = 5 ms
constant cnt_max : integer := 83333--333
);
Port (
clk : in std_logic;
reset : in std_logic;
sys_fb : in std_logic;
sys_en : in std_logic;
sys_prog : in std_logic;
is_addr : in std_logic;
address : in std_logic_vector(7 downto 0);
data : in std_logic_vector(15 downto 0);
data_out : out std_logic_vector(7 downto 0);
enable_out : out std_logic;
mode_select_out : out std_logic
);
end lcd_driver;
architecture Behavioral of lcd_driver is
type word_mode is array (0 to 7) of std_logic_vector(7 downto 0);
-- Define signals here
signal lut_sel : integer range 0 to 47;
signal enable_sel : integer range 0 to 3;
signal clk_enable : std_logic := '1';
signal clk_cnt : integer range 0 to cnt_max;
signal sys_state_ascii : word_mode;
signal sys_mode_ascii : word_mode;
signal sys_en_ascii : word_mode;
-- Function to convert hex into ascii
function hex_to_ascii(hex_code : std_logic_vector(3 downto 0))
return std_logic_vector is
variable output : std_logic_vector(7 downto 0) := x"30";
begin
case hex_code is
when x"0" => output := x"30";
when x"1" => output := x"31";
when x"2" => output := x"32";
when x"3" => output := x"33";
when x"4" => output := x"34";
when x"5" => output := x"35";
when x"6" => output := x"36";
when x"7" => output := x"37";
when x"8" => output := x"38";
when x"9" => output := x"39";
when x"A" => output := x"41";
when x"B" => output := x"42";
when x"C" => output := x"43";
when x"D" => output := x"44";
when x"E" => output := x"45";
when x"F" => output := x"46";
when others => output := x"30";
end case;
return output;
end hex_to_ascii;
begin
-- Clock enabler
process(clk)
begin
if rising_edge(clk) then
if clk_cnt = cnt_max then
clk_cnt <= 0;
clk_enable <= '1';
else
clk_cnt <= clk_cnt + 1;
clk_enable <= '0';
end if;
end if;
end process;
-- enable_out selection clock
process(clk)
begin
if rising_edge(clk) and clk_enable = '1' then
if enable_sel = 3 then
enable_sel <= 1;
else
enable_sel <= enable_sel + 1;
end if;
end if;
end process;
-- data_out selection clock
process(clk)
begin
if rising_edge(clk) and clk_enable = '1' and enable_sel = 3 then
if lut_sel = 43 then
lut_sel <= 10;
else
lut_sel <= lut_sel + 1;
end if;
end if;
end process;
-- Mux for mode
process(sys_prog)
begin
if sys_prog = '0' then
sys_mode_ascii <= (x"4F", x"70", x"65", x"72", x"61", x"74", x"65", x"20"); -- Operate
else
sys_mode_ascii <= (x"50", x"72", x"6F", x"67", x"72", x"61", x"6D", x"20"); -- Program
end if;
end process;
-- Mux for state
process(reset, sys_fb)
begin
if reset = '1' then
sys_state_ascii <= (x"52", x"65", x"73", x"65", x"74", x"20", x"20", x"20"); -- Reset
else
if sys_fb = '1' then
sys_state_ascii <= (x"46", x"6F", x"72", x"77", x"61", x"72", x"64", x"20"); -- Forward
else
sys_state_ascii <= (x"42", x"61", x"63", x"6B", x"77", x"61", x"72", x"64"); -- Backward
end if;
end if;
end process;
-- Mux for sys enable ascii
process(sys_en, sys_prog, is_addr)
begin
if sys_prog = '0' then
if sys_en = '1' then
sys_en_ascii <= (x"45", x"6E", x"61", x"62", x"6C", x"65", x"20", x"20"); -- Enable
else
sys_en_ascii <= (x"44", x"69", x"73", x"61", x"62", x"6C", x"65", x"20"); -- Disable
end if;
else
if is_addr = '1' then
sys_en_ascii <= (x"41", x"64", x"64", x"72", x"65", x"73", x"73", x"20"); -- Address
else
sys_en_ascii <= (x"44", x"61", x"74", x"61", x"20", x"20", x"20", x"20"); -- Data
end if;
end if;
end process;
-- LUT for enable_out default 0
with enable_sel select enable_out <=
'1' when 0,
'0' when 1,
'1' when 2,
'0' when 3,
'0' when others;
-- LUT for data_out and mode_select_out default is #ff and 1 respectively
process(lut_sel)
begin
case lut_sel is
-- Initialize
when 0 => data_out <= x"38"; mode_select_out <= '0';
when 1 => data_out <= x"38"; mode_select_out <= '0';
when 2 => data_out <= x"38"; mode_select_out <= '0';
when 3 => data_out <= x"38"; mode_select_out <= '0';
when 4 => data_out <= x"38"; mode_select_out <= '0';
when 5 => data_out <= x"38"; mode_select_out <= '0';
when 6 => data_out <= x"01"; mode_select_out <= '0';
when 7 => data_out <= x"0C"; mode_select_out <= '0';
when 8 => data_out <= x"06"; mode_select_out <= '0';
when 9 => data_out <= x"80"; mode_select_out <= '0';
-- Op/Prog
when 10 => data_out <= sys_mode_ascii(0); mode_select_out <= '1';
when 11 => data_out <= sys_mode_ascii(1); mode_select_out <= '1';
when 12 => data_out <= sys_mode_ascii(2); mode_select_out <= '1';
when 13 => data_out <= sys_mode_ascii(3); mode_select_out <= '1';
when 14 => data_out <= sys_mode_ascii(4); mode_select_out <= '1';
when 15 => data_out <= sys_mode_ascii(5); mode_select_out <= '1';
when 16 => data_out <= sys_mode_ascii(6); mode_select_out <= '1';
when 17 => data_out <= sys_mode_ascii(7); mode_select_out <= '1';
-- System State
when 18 => data_out <= sys_state_ascii(0); mode_select_out <= '1';
when 19 => data_out <= sys_state_ascii(1); mode_select_out <= '1';
when 20 => data_out <= sys_state_ascii(2); mode_select_out <= '1';
when 21 => data_out <= sys_state_ascii(3); mode_select_out <= '1';
when 22 => data_out <= sys_state_ascii(4); mode_select_out <= '1';
when 23 => data_out <= sys_state_ascii(5); mode_select_out <= '1';
when 24 => data_out <= sys_state_ascii(6); mode_select_out <= '1';
when 25 => data_out <= sys_state_ascii(7); mode_select_out <= '1';
-- Newline
when 26 => data_out <= x"C0"; mode_select_out <= '0';
-- Enable / Disable
when 27 => data_out <= sys_en_ascii(0); mode_select_out <= '1';
when 28 => data_out <= sys_en_ascii(1); mode_select_out <= '1';
when 29 => data_out <= sys_en_ascii(2); mode_select_out <= '1';
when 30 => data_out <= sys_en_ascii(3); mode_select_out <= '1';
when 31 => data_out <= sys_en_ascii(4); mode_select_out <= '1';
when 32 => data_out <= sys_en_ascii(5); mode_select_out <= '1';
when 33 => data_out <= sys_en_ascii(6); mode_select_out <= '1';
when 34 => data_out <= sys_en_ascii(7); mode_select_out <= '1';
-- Address ex. x00
when 35 => data_out <= x"78"; mode_select_out <= '1';
when 36 => data_out <= hex_to_ascii(address(7 downto 4)); mode_select_out <= '1';
when 37 => data_out <= hex_to_ascii(address(3 downto 0)); mode_select_out <= '1';
-- Data ex. xA0A0
when 38 => data_out <= x"78"; mode_select_out <= '1';
when 39 => data_out <= hex_to_ascii(data(15 downto 12)); mode_select_out <= '1';
when 40 => data_out <= hex_to_ascii(data(11 downto 8)); mode_select_out <= '1';
when 41 => data_out <= hex_to_ascii(data(7 downto 4)); mode_select_out <= '1';
when 42 => data_out <= hex_to_ascii(data(3 downto 0)); mode_select_out <= '1';
-- Jump to first line
when 43 => data_out <= x"80"; mode_select_out <= '0';
-- Catch errors
when others => data_out <= x"FF"; mode_select_out <= '1';
end case;
end process;
end Behavioral;
|
gpl-3.0
|
bruskajp/EE-316
|
Project4/Vivado_NexysBoard/craddockEE316/craddockEE316.srcs/sources_1/ip/sig1dualRAM/misc/blk_mem_gen_v8_3.vhd
|
4
|
8325
|
library ieee;
use ieee.std_logic_1164.all;
entity blk_mem_gen_v8_3_3 is
generic (
C_FAMILY : string := "virtex7";
C_XDEVICEFAMILY : string := "virtex7";
C_ELABORATION_DIR : string := "";
C_INTERFACE_TYPE : integer := 0;
C_AXI_TYPE : integer := 1;
C_AXI_SLAVE_TYPE : integer := 0;
C_USE_BRAM_BLOCK : integer := 0;
C_ENABLE_32BIT_ADDRESS : integer := 0;
C_CTRL_ECC_ALGO : string := "ECCHSIAO32-7";
C_HAS_AXI_ID : integer := 0;
C_AXI_ID_WIDTH : integer := 4;
C_MEM_TYPE : integer := 2;
C_BYTE_SIZE : integer := 9;
C_ALGORITHM : integer := 0;
C_PRIM_TYPE : integer := 3;
C_LOAD_INIT_FILE : integer := 0;
C_INIT_FILE_NAME : string := "no_coe_file_loaded";
C_INIT_FILE : string := "no_mem_file_loaded";
C_USE_DEFAULT_DATA : integer := 0;
C_DEFAULT_DATA : string := "0";
C_HAS_RSTA : integer := 0;
C_RST_PRIORITY_A : string := "ce";
C_RSTRAM_A : integer := 0;
C_INITA_VAL : string := "0";
C_HAS_ENA : integer := 1;
C_HAS_REGCEA : integer := 0;
C_USE_BYTE_WEA : integer := 0;
C_WEA_WIDTH : integer := 1;
C_WRITE_MODE_A : string := "WRITE_FIRST";
C_WRITE_WIDTH_A : integer := 9;
C_READ_WIDTH_A : integer := 9;
C_WRITE_DEPTH_A : integer := 2048;
C_READ_DEPTH_A : integer := 2048;
C_ADDRA_WIDTH : integer := 11;
C_HAS_RSTB : integer := 0;
C_RST_PRIORITY_B : string := "ce";
C_RSTRAM_B : integer := 0;
C_INITB_VAL : string := "0";
C_HAS_ENB : integer := 1;
C_HAS_REGCEB : integer := 0;
C_USE_BYTE_WEB : integer := 0;
C_WEB_WIDTH : integer := 1;
C_WRITE_MODE_B : string := "WRITE_FIRST";
C_WRITE_WIDTH_B : integer := 9;
C_READ_WIDTH_B : integer := 9;
C_WRITE_DEPTH_B : integer := 2048;
C_READ_DEPTH_B : integer := 2048;
C_ADDRB_WIDTH : integer := 11;
C_HAS_MEM_OUTPUT_REGS_A : integer := 0;
C_HAS_MEM_OUTPUT_REGS_B : integer := 0;
C_HAS_MUX_OUTPUT_REGS_A : integer := 0;
C_HAS_MUX_OUTPUT_REGS_B : integer := 0;
C_MUX_PIPELINE_STAGES : integer := 0;
C_HAS_SOFTECC_INPUT_REGS_A : integer := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : integer := 0;
C_USE_SOFTECC : integer := 0;
C_USE_ECC : integer := 0;
C_EN_ECC_PIPE : integer := 0;
C_HAS_INJECTERR : integer := 0;
C_SIM_COLLISION_CHECK : string := "none";
C_COMMON_CLK : integer := 0;
C_DISABLE_WARN_BHV_COLL : integer := 0;
C_EN_SLEEP_PIN : integer := 0;
C_USE_URAM : integer := 0;
C_EN_RDADDRA_CHG : integer := 0;
C_EN_RDADDRB_CHG : integer := 0;
C_EN_DEEPSLEEP_PIN : integer := 0;
C_EN_SHUTDOWN_PIN : integer := 0;
C_EN_SAFETY_CKT : integer := 0;
C_DISABLE_WARN_BHV_RANGE : integer := 0;
C_COUNT_36K_BRAM : string := "";
C_COUNT_18K_BRAM : string := "";
C_EST_POWER_SUMMARY : string := ""
);
port (
clka : in std_logic := '0';
rsta : in std_logic := '0';
ena : in std_logic := '0';
regcea : in std_logic := '0';
wea : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
addra : in std_logic_vector(c_addra_width - 1 downto 0) := (others => '0');
dina : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
douta : out std_logic_vector(c_read_width_a - 1 downto 0);
clkb : in std_logic := '0';
rstb : in std_logic := '0';
enb : in std_logic := '0';
regceb : in std_logic := '0';
web : in std_logic_vector(c_web_width - 1 downto 0) := (others => '0');
addrb : in std_logic_vector(c_addrb_width - 1 downto 0) := (others => '0');
dinb : in std_logic_vector(c_write_width_b - 1 downto 0) := (others => '0');
doutb : out std_logic_vector(c_read_width_b - 1 downto 0);
injectsbiterr : in std_logic := '0';
injectdbiterr : in std_logic := '0';
eccpipece : in std_logic := '0';
sbiterr : out std_logic;
dbiterr : out std_logic;
rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0);
sleep : in std_logic := '0';
deepsleep : in std_logic := '0';
shutdown : in std_logic := '0';
rsta_busy : out std_logic;
rstb_busy : out std_logic;
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '0';
s_axi_awid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(7 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(c_write_width_a - 1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(c_wea_width - 1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic;
s_axi_bid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic := '0';
s_axi_arid : in std_logic_vector(c_axi_id_width - 1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(31 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(8 - 1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(2 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic;
s_axi_rid : out std_logic_vector(c_axi_id_width - 1 downto 0);
s_axi_rdata : out std_logic_vector(c_write_width_b - 1 downto 0);
s_axi_rresp : out std_logic_vector(2 - 1 downto 0);
s_axi_rlast : out std_logic;
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic := '0';
s_axi_injectsbiterr : in std_logic := '0';
s_axi_injectdbiterr : in std_logic := '0';
s_axi_sbiterr : out std_logic;
s_axi_dbiterr : out std_logic;
s_axi_rdaddrecc : out std_logic_vector(c_addrb_width - 1 downto 0)
);
end entity blk_mem_gen_v8_3_3;
architecture xilinx of blk_mem_gen_v8_3_3 is
begin
end
architecture xilinx;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Immortal_Chip/modules_with_fault_injectors/to_be_tested/Arbiter_one_hot_with_checkers_with_FI.vhd
|
1
|
19331
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Arbiter is
port ( reset: in std_logic;
clk: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules
DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking)
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
Xbar_sel : out std_logic_vector(4 downto 0); -- select lines for XBAR
RTS: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid
-- fault injector signals
shift: in std_logic;
fault_clk: in std_logic;
data_in_serial: in std_logic;
data_out_serial: out std_logic;
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
--err_East_Req_E,
--err_West_Req_W,
--err_South_Req_S,
err_IDLE_Req_N,
err_Local_Req_N,
--err_North_Req_E,
--err_East_Req_W,
--err_West_Req_S,
err_South_Req_L,
--err_IDLE_Req_E,
--err_Local_Req_E,
--err_North_Req_W,
--err_East_Req_S,
err_West_Req_L,
err_South_Req_N,
--err_IDLE_Req_W,
--err_Local_Req_W,
--err_North_Req_S,
err_East_Req_L,
err_West_Req_N,
--err_South_Req_E,
--err_IDLE_Req_S,
--err_Local_Req_S,
--err_North_Req_L,
err_East_Req_N,
--err_West_Req_E,
--err_South_Req_W,
err_next_state_onehot,
err_state_in_onehot,
--err_DCTS_RTS_FF_state_Grant_L,
--err_DCTS_RTS_FF_state_Grant_N,
--err_DCTS_RTS_FF_state_Grant_E,
--err_DCTS_RTS_FF_state_Grant_W,
--err_DCTS_RTS_FF_state_Grant_S,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
--err_state_local_xbar_sel : out std_logic
);
end;
architecture behavior of Arbiter is
-- TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SUBTYPE STATE_TYPE IS STD_LOGIC_VECTOR (5 downto 0);
CONSTANT IDLE: STATE_TYPE := "000001";
CONSTANT Local: STATE_TYPE := "000010";
CONSTANT North: STATE_TYPE := "000100";
CONSTANT East: STATE_TYPE := "001000";
CONSTANT West: STATE_TYPE := "010000";
CONSTANT South: STATE_TYPE := "100000";
SIGNAL state, state_in, next_state : STATE_TYPE := IDLE;
SIGNAL RTS_FF, RTS_FF_in: std_logic;
signal Grant_N_sig, Grant_E_sig, Grant_W_sig, Grant_S_sig, Grant_L_sig: std_logic;
signal Xbar_sel_sig: std_logic_vector(4 downto 0);
-- New signals used for integration of FI(s) in LBDR module
signal Req_N_faulty, Req_E_faulty, Req_W_faulty, Req_S_faulty, Req_L_faulty : std_logic;
signal DCTS_faulty : std_logic;
SIGNAL state_faulty, state_in_faulty, next_state_faulty : STATE_TYPE := IDLE;
SIGNAL RTS_FF_faulty, RTS_FF_in_faulty: std_logic;
signal Grant_N_sig_faulty, Grant_E_sig_faulty, Grant_W_sig_faulty, Grant_S_sig_faulty, Grant_L_sig_faulty: std_logic;
signal Xbar_sel_sig_faulty: std_logic_vector(4 downto 0);
component Arbiter_checkers is
port (
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic;
DCTS: in std_logic;
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L: in std_logic;
Xbar_sel : in std_logic_vector(4 downto 0);
state: in std_logic_vector (5 downto 0);
state_in: in std_logic_vector (5 downto 0);
next_state_out: in std_logic_vector (5 downto 0);
RTS_FF: in std_logic;
RTS_FF_in: in std_logic;
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
--err_East_Req_E,
--err_West_Req_W,
--err_South_Req_S,
err_IDLE_Req_N,
err_Local_Req_N,
--err_North_Req_E,
--err_East_Req_W,
--err_West_Req_S,
err_South_Req_L,
--err_IDLE_Req_E,
--err_Local_Req_E,
--err_North_Req_W,
--err_East_Req_S,
err_West_Req_L,
err_South_Req_N,
--err_IDLE_Req_W,
--err_Local_Req_W,
--err_North_Req_S,
err_East_Req_L,
err_West_Req_N,
--err_South_Req_E,
--err_IDLE_Req_S,
--err_Local_Req_S,
--err_North_Req_L,
err_East_Req_N,
--err_West_Req_E,
--err_South_Req_W,
err_next_state_onehot,
err_state_in_onehot,
--err_DCTS_RTS_FF_state_Grant_L,
--err_DCTS_RTS_FF_state_Grant_N,
--err_DCTS_RTS_FF_state_Grant_E,
--err_DCTS_RTS_FF_state_Grant_W,
--err_DCTS_RTS_FF_state_Grant_S,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
--err_state_local_xbar_sel : out std_logic
);
end component;
component fault_injector is
generic(DATA_WIDTH : integer := 32;
ADDRESS_WIDTH : integer := 5);
port(
data_in: in std_logic_vector (DATA_WIDTH-1 downto 0);
address: in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
sta_0: in std_logic;
sta_1: in std_logic;
data_out: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
component shift_register_serial_in is
generic (
REG_WIDTH: integer := 44
);
port (
clk, reset : in std_logic;
shift: in std_logic;
data_in_serial: in std_logic;
data_out_parallel: out std_logic_vector(REG_WIDTH-1 downto 0);
data_out_serial: out std_logic
);
end component;
signal FI_add_sta: std_logic_vector(43 downto 0); -- 36 bits for inputs and internal signals
-- 6 bits for fault injection location address (ceil of log2(36) = 6)
-- 2 bits for type of fault (SA0 or SA1)
signal non_faulty_signals: std_logic_vector (35 downto 0);
signal faulty_signals: std_logic_vector(35 downto 0); -- 36 bits for inputs, internal and output signals (with one fault injected in one of them)
begin
non_faulty_signals <= Req_N & Req_E & Req_W & Req_S & Req_L &
DCTS &
state & state_in & next_state &
RTS_FF & RTS_FF_in &
Grant_N_sig & Grant_E_sig & Grant_W_sig & Grant_S_sig & Grant_L_sig &
Xbar_sel_sig;
FI: fault_injector generic map(DATA_WIDTH => 36, ADDRESS_WIDTH => 6)
port map (data_in=> non_faulty_signals , address=> FI_add_sta(7 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals
);
-- Extracting faulty values for input, internal and output signals
Req_N_faulty <= faulty_signals(35);
Req_E_faulty <= faulty_signals(34);
Req_W_faulty <= faulty_signals(33);
Req_S_faulty <= faulty_signals(32);
Req_L_faulty <= faulty_signals(31);
DCTS_faulty <= faulty_signals(30);
state_faulty <= faulty_signals(29 downto 24);
state_in_faulty <= faulty_signals(23 downto 18);
next_state_faulty <= faulty_signals(17 downto 12);
RTS_FF_faulty <= faulty_signals(11);
RTS_FF_in_faulty <= faulty_signals(10);
Grant_N_sig_faulty <= faulty_signals(9);
Grant_E_sig_faulty <= faulty_signals(8);
Grant_W_sig_faulty <= faulty_signals(7);
Grant_S_sig_faulty <= faulty_signals(6);
Grant_L_sig_faulty <= faulty_signals(5);
Xbar_sel_sig_faulty <= faulty_signals(4 downto 0);
SR: shift_register_serial_in generic map(REG_WIDTH => 44)
port map( clk=> fault_clk, reset=>reset, shift=> shift,data_in_serial=> data_in_serial,
data_out_parallel=> FI_add_sta, data_out_serial=> data_out_serial
);
-- Arbiter checkers instantiation
ARBITERCHECKERS: Arbiter_checkers port map (
Req_N => Req_N,
Req_E => Req_E,
Req_W => Req_W,
Req_S => Req_S,
Req_L => Req_L,
DCTS => DCTS,
Grant_N => Grant_N_sig,
Grant_E => Grant_E_sig,
Grant_W => Grant_W_sig,
Grant_S => Grant_S_sig,
Grant_L => Grant_L_sig,
Xbar_sel=>Xbar_sel_sig,
state => state,
state_in => state_in,
next_state_out => next_state,
RTS_FF => RTS_FF,
RTS_FF_in => RTS_FF_in,
err_state_IDLE_xbar => err_state_IDLE_xbar,
err_state_not_IDLE_xbar => err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in => err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in => err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in => err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state => err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state => err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants => err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants => err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants => err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE => err_Requests_next_state_IDLE,
err_IDLE_Req_L => err_IDLE_Req_L,
err_Local_Req_L => err_Local_Req_L,
err_North_Req_N => err_North_Req_N,
err_IDLE_Req_N => err_IDLE_Req_N,
err_Local_Req_N => err_Local_Req_N,
err_South_Req_L => err_South_Req_L,
err_West_Req_L => err_West_Req_L,
err_South_Req_N => err_South_Req_N,
err_East_Req_L => err_East_Req_L,
err_West_Req_N => err_West_Req_N,
err_East_Req_N => err_East_Req_N,
err_next_state_onehot => err_next_state_onehot,
err_state_in_onehot => err_state_in_onehot,
err_state_north_xbar_sel => err_state_north_xbar_sel,
err_state_east_xbar_sel => err_state_east_xbar_sel,
err_state_west_xbar_sel => err_state_west_xbar_sel,
err_state_south_xbar_sel => err_state_south_xbar_sel
);
-- process for updating the state of arbiter's FSM, also setting RTS based on the state (if Grant is given or not)
process(clk, reset)begin
if reset = '0' then
state<=IDLE;
RTS_FF <= '0';
elsif clk'event and clk = '1' then
-- no grant given yet, it might be that there is no request to
-- arbiter or request is there, but the next router's/NI's FIFO is full
state <= state_in_faulty;
RTS_FF <= RTS_FF_in_faulty;
end if;
end process;
-- anything below here is pure combinational
RTS <= RTS_FF;
-- Becuase of checkers we did this!
Grant_N <= Grant_N_sig;
Grant_E <= Grant_E_sig;
Grant_W <= Grant_W_sig;
Grant_S <= Grant_S_sig;
Grant_L <= Grant_L_sig;
Xbar_sel <= Xbar_sel_sig;
process(RTS_FF_faulty, DCTS_faulty, state_faulty, next_state_faulty)begin
if RTS_FF_faulty = '1' and DCTS_faulty = '0' then
state_in <= state_faulty;
else
state_in <= next_state_faulty;
end if;
end process;
process(state_faulty, RTS_FF_faulty, DCTS_faulty)begin
if state_faulty = IDLE then
RTS_FF_in <= '0';
-- if there was a grant given to one of the inputs,
-- tell the next router/NI that the output data is valid
else
if RTS_FF_faulty = '1' and DCTS_faulty = '1' then
RTS_FF_in <= '0';
else
RTS_FF_in <= '1';
end if;
end if ;
end process;
-- sets the grants using round robin
-- the order is L --> N --> E --> W --> S and then back to L
process(state_faulty, Req_N_faulty, Req_E_faulty, Req_W_faulty, Req_S_faulty, Req_L_faulty, DCTS_faulty, RTS_FF_faulty)begin
Grant_N_sig <= '0';
Grant_E_sig <= '0';
Grant_W_sig <= '0';
Grant_S_sig <= '0';
Grant_L_sig <= '0';
Xbar_sel_sig <= "00000";
case(state_faulty) is
when IDLE =>
Xbar_sel_sig <= "00000";
If Req_L_faulty = '1' then
next_state <= Local;
elsif Req_N_faulty = '1' then
next_state <= North;
elsif Req_E_faulty = '1' then
next_state <= East;
elsif Req_W_faulty = '1' then
next_state <= West;
elsif Req_S_faulty = '1' then
next_state <= South;
else
next_state <= IDLE;
end if;
when North =>
Grant_N_sig <= DCTS_faulty and RTS_FF_faulty ;
Xbar_sel_sig <= "00001";
If Req_N_faulty = '1' then
next_state <= North;
elsif Req_E_faulty = '1' then
next_state <= East;
elsif Req_W_faulty = '1' then
next_state <= West;
elsif Req_S_faulty = '1' then
next_state <= South;
elsif Req_L_faulty = '1' then
next_state <= Local;
else
next_state <= IDLE;
end if;
when East =>
Grant_E_sig <= DCTS_faulty and RTS_FF_faulty;
Xbar_sel_sig <= "00010";
If Req_E_faulty = '1' then
next_state <= East;
elsif Req_W_faulty = '1' then
next_state <= West;
elsif Req_S_faulty = '1' then
next_state <= South;
elsif Req_L_faulty = '1' then
next_state <= Local;
elsif Req_N_faulty = '1' then
next_state <= North;
else
next_state <= IDLE;
end if;
when West =>
Grant_W_sig <= DCTS_faulty and RTS_FF_faulty;
Xbar_sel_sig <= "00100";
If Req_W_faulty = '1' then
next_state <= West;
elsif Req_S_faulty = '1' then
next_state <= South;
elsif Req_L_faulty = '1' then
next_state <= Local;
elsif Req_N_faulty = '1' then
next_state <= North;
elsif Req_E_faulty = '1' then
next_state <= East;
else
next_state <= IDLE;
end if;
when South =>
Grant_S_sig <= DCTS_faulty and RTS_FF_faulty;
Xbar_sel_sig <= "01000";
If Req_S_faulty = '1' then
next_state <= South;
elsif Req_L_faulty = '1' then
next_state <= Local;
elsif Req_N_faulty = '1' then
next_state <= North;
elsif Req_E_faulty = '1' then
next_state <= East;
elsif Req_W_faulty = '1' then
next_state <= West;
else
next_state <= IDLE;
end if;
when others => -- Local
Grant_L_sig <= DCTS_faulty and RTS_FF_faulty;
Xbar_sel_sig <= "10000";
If Req_L_faulty = '1' then
next_state <= Local;
elsif Req_N_faulty = '1' then
next_state <= North;
elsif Req_E_faulty = '1' then
next_state <= East;
elsif Req_W_faulty = '1' then
next_state <= West;
elsif Req_S_faulty = '1' then
next_state <= South;
else
next_state <= IDLE;
end if;
end case ;
end process;
end;
|
gpl-3.0
|
SoCdesign/EHA
|
RTL/Credit_Based/Credit_Based_FC/Router_32_bit_credit_based_parity.vhd
|
1
|
16138
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity router_credit_based_parity is
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 0;
Rxy_rst : integer := 60;
Cx_rst : integer := 10;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
RX_N, RX_E, RX_W, RX_S, RX_L : in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
valid_in_N, valid_in_E, valid_in_W, valid_in_S, valid_in_L : in std_logic;
valid_out_N, valid_out_E, valid_out_W, valid_out_S, valid_out_L : out std_logic;
credit_out_N, credit_out_E, credit_out_W, credit_out_S, credit_out_L: out std_logic;
TX_N, TX_E, TX_W, TX_S, TX_L: out std_logic_vector (DATA_WIDTH-1 downto 0);
faulty_packet_N, faulty_packet_E, faulty_packet_W, faulty_packet_S, faulty_packet_L:out std_logic;
healthy_packet_N, healthy_packet_E, healthy_packet_W, healthy_packet_S, healthy_packet_L:out std_logic
);
end router_credit_based_parity;
architecture behavior of router_credit_based_parity is
COMPONENT parity_checker_packet_detector is
generic(DATA_WIDTH : integer := 32
);
port(
reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
faulty_packet, healthy_packet: out std_logic
);
end COMPONENT;
COMPONENT FIFO_credit_based
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
COMPONENT allocator is
port ( reset: in std_logic;
clk: in std_logic;
-- flow control
credit_in_N, credit_in_E, credit_in_W, credit_in_S, credit_in_L: in std_logic;
req_N_N, req_N_E, req_N_W, req_N_S, req_N_L: in std_logic;
req_E_N, req_E_E, req_E_W, req_E_S, req_E_L: in std_logic;
req_W_N, req_W_E, req_W_W, req_W_S, req_W_L: in std_logic;
req_S_N, req_S_E, req_S_W, req_S_S, req_S_L: in std_logic;
req_L_N, req_L_E, req_L_W, req_L_S, req_L_L: in std_logic;
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
valid_N, valid_E, valid_W, valid_S, valid_L : out std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: out std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: out std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: out std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: out std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: out std_logic
);
end COMPONENT;
COMPONENT LBDR is
generic (
cur_addr_rst: integer := 0;
Rxy_rst: integer := 60;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic
);
end COMPONENT;
COMPONENT XBAR is
generic (
DATA_WIDTH: integer := 32
);
port (
North_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
East_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
West_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
South_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0);
sel: in std_logic_vector (4 downto 0);
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end COMPONENT;
signal FIFO_D_out_N, FIFO_D_out_E, FIFO_D_out_W, FIFO_D_out_S, FIFO_D_out_L: std_logic_vector(DATA_WIDTH-1 downto 0);
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal Grant_NN, Grant_NE, Grant_NW, Grant_NS, Grant_NL: std_logic;
signal Grant_EN, Grant_EE, Grant_EW, Grant_ES, Grant_EL: std_logic;
signal Grant_WN, Grant_WE, Grant_WW, Grant_WS, Grant_WL: std_logic;
signal Grant_SN, Grant_SE, Grant_SW, Grant_SS, Grant_SL: std_logic;
signal Grant_LN, Grant_LE, Grant_LW, Grant_LS, Grant_LL: std_logic;
signal Req_NN, Req_EN, Req_WN, Req_SN, Req_LN: std_logic;
signal Req_NE, Req_EE, Req_WE, Req_SE, Req_LE: std_logic;
signal Req_NW, Req_EW, Req_WW, Req_SW, Req_LW: std_logic;
signal Req_NS, Req_ES, Req_WS, Req_SS, Req_LS: std_logic;
signal Req_NL, Req_EL, Req_WL, Req_SL, Req_LL: std_logic;
signal empty_N, empty_E, empty_W, empty_S, empty_L: std_logic;
signal Xbar_sel_N, Xbar_sel_E, Xbar_sel_W, Xbar_sel_S, Xbar_sel_L: std_logic_vector(4 downto 0);
begin
-- all the parity_checkers
PC_N: parity_checker_packet_detector generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(reset => reset, clk => clk, RX => RX_N, valid_in =>valid_in_N, faulty_packet => faulty_packet_N , healthy_packet => healthy_packet_N);
PC_E: parity_checker_packet_detector generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(reset => reset, clk => clk, RX => RX_E, valid_in =>valid_in_E, faulty_packet => faulty_packet_E , healthy_packet => healthy_packet_E);
PC_W: parity_checker_packet_detector generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(reset => reset, clk => clk, RX => RX_W, valid_in =>valid_in_W, faulty_packet => faulty_packet_W , healthy_packet => healthy_packet_W);
PC_S: parity_checker_packet_detector generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(reset => reset, clk => clk, RX => RX_S, valid_in =>valid_in_S, faulty_packet => faulty_packet_S , healthy_packet => healthy_packet_S);
PC_L: parity_checker_packet_detector generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP(reset => reset, clk => clk, RX => RX_L, valid_in =>valid_in_L, faulty_packet => faulty_packet_L , healthy_packet => healthy_packet_L);
-- all the FIFOs
FIFO_N: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_N, valid_in => valid_in_N,
read_en_N => '0', read_en_E =>Grant_EN, read_en_W =>Grant_WN, read_en_S =>Grant_SN, read_en_L =>Grant_LN,
credit_out => credit_out_N, empty_out => empty_N, Data_out => FIFO_D_out_N);
FIFO_E: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_E, valid_in => valid_in_E,
read_en_N => Grant_NE, read_en_E =>'0', read_en_W =>Grant_WE, read_en_S =>Grant_SE, read_en_L =>Grant_LE,
credit_out => credit_out_E, empty_out => empty_E, Data_out => FIFO_D_out_E);
FIFO_W: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_W, valid_in => valid_in_W,
read_en_N => Grant_NW, read_en_E =>Grant_EW, read_en_W =>'0', read_en_S =>Grant_SW, read_en_L =>Grant_LW,
credit_out => credit_out_W, empty_out => empty_W, Data_out => FIFO_D_out_W);
FIFO_S: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_S, valid_in => valid_in_S,
read_en_N => Grant_NS, read_en_E =>Grant_ES, read_en_W =>Grant_WS, read_en_S =>'0', read_en_L =>Grant_LS,
credit_out => credit_out_S, empty_out => empty_S, Data_out => FIFO_D_out_S);
FIFO_L: FIFO_credit_based
generic map ( DATA_WIDTH => DATA_WIDTH)
port map ( reset => reset, clk => clk, RX => RX_L, valid_in => valid_in_L,
read_en_N => Grant_NL, read_en_E =>Grant_EL, read_en_W =>Grant_WL, read_en_S => Grant_SL, read_en_L =>'0',
credit_out => credit_out_L, empty_out => empty_L, Data_out => FIFO_D_out_L);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the LBDRs
LBDR_N: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_N, flit_type => FIFO_D_out_N(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_N(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
grant_N => '0', grant_E =>Grant_EN, grant_W => Grant_WN, grant_S=>Grant_SN, grant_L =>Grant_LN,
Req_N=> Req_NN, Req_E=>Req_NE, Req_W=>Req_NW, Req_S=>Req_NS, Req_L=>Req_NL);
LBDR_E: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_E, flit_type => FIFO_D_out_E(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_E(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
grant_N => Grant_NE, grant_E =>'0', grant_W => Grant_WE, grant_S=>Grant_SE, grant_L =>Grant_LE,
Req_N=> Req_EN, Req_E=>Req_EE, Req_W=>Req_EW, Req_S=>Req_ES, Req_L=>Req_EL);
LBDR_W: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_W, flit_type => FIFO_D_out_W(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_W(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
grant_N => Grant_NW, grant_E =>Grant_EW, grant_W =>'0' ,grant_S=>Grant_SW, grant_L =>Grant_LW,
Req_N=> Req_WN, Req_E=>Req_WE, Req_W=>Req_WW, Req_S=>Req_WS, Req_L=>Req_WL);
LBDR_S: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_S, flit_type => FIFO_D_out_S(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_S(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
grant_N => Grant_NS, grant_E =>Grant_ES, grant_W =>Grant_WS ,grant_S=>'0', grant_L =>Grant_LS,
Req_N=> Req_SN, Req_E=>Req_SE, Req_W=>Req_SW, Req_S=>Req_SS, Req_L=>Req_SL);
LBDR_L: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty_L, flit_type => FIFO_D_out_L(DATA_WIDTH-1 downto DATA_WIDTH-3), dst_addr=> FIFO_D_out_L(DATA_WIDTH-19+NoC_size-1 downto DATA_WIDTH-19) ,
grant_N => Grant_NL, grant_E =>Grant_EL, grant_W => Grant_WL,grant_S=>Grant_SL, grant_L =>'0',
Req_N=> Req_LN, Req_E=>Req_LE, Req_W=>Req_LW, Req_S=>Req_LS, Req_L=>Req_LL);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- switch allocator
allocator_unit: allocator port map ( reset => reset, clk => clk,
-- flow control
credit_in_N => credit_in_N, credit_in_E => credit_in_E, credit_in_W => credit_in_W, credit_in_S => credit_in_S, credit_in_L => credit_in_L,
-- requests from the LBDRS
req_N_N => '0', req_N_E => Req_NE, req_N_W => Req_NW, req_N_S => Req_NS, req_N_L => Req_NL,
req_E_N => Req_EN, req_E_E => '0', req_E_W => Req_EW, req_E_S => Req_ES, req_E_L => Req_EL,
req_W_N => Req_WN, req_W_E => Req_WE, req_W_W => '0', req_W_S => Req_WS, req_W_L => Req_WL,
req_S_N => Req_SN, req_S_E => Req_SE, req_S_W => Req_SW, req_S_S => '0', req_S_L => Req_SL,
req_L_N => Req_LN, req_L_E => Req_LE, req_L_W => Req_LW, req_L_S => Req_LS, req_L_L => '0',
empty_N => empty_N, empty_E => empty_E, empty_w => empty_W, empty_S => empty_S, empty_L => empty_L,
valid_N => valid_out_N, valid_E => valid_out_E, valid_W => valid_out_W, valid_S => valid_out_S, valid_L => valid_out_L,
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
grant_N_N => Grant_NN, grant_N_E => Grant_NE, grant_N_W => Grant_NW, grant_N_S => Grant_NS, grant_N_L => Grant_NL,
grant_E_N => Grant_EN, grant_E_E => Grant_EE, grant_E_W => Grant_EW, grant_E_S => Grant_ES, grant_E_L => Grant_EL,
grant_W_N => Grant_WN, grant_W_E => Grant_WE, grant_W_W => Grant_WW, grant_W_S => Grant_WS, grant_W_L => Grant_WL,
grant_S_N => Grant_SN, grant_S_E => Grant_SE, grant_S_W => Grant_SW, grant_S_S => Grant_SS, grant_S_L => Grant_SL,
grant_L_N => Grant_LN, grant_L_E => Grant_LE, grant_L_W => Grant_LW, grant_L_S => Grant_LS, grant_L_L => Grant_LL
);
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbar select_signals
Xbar_sel_N <= '0' & Grant_NE & Grant_NW & Grant_NS & Grant_NL;
Xbar_sel_E <= Grant_EN & '0' & Grant_EW & Grant_ES & Grant_EL;
Xbar_sel_W <= Grant_WN & Grant_WE & '0' & Grant_WS & Grant_WL;
Xbar_sel_S <= Grant_SN & Grant_SE & Grant_SW & '0' & Grant_SL;
Xbar_sel_L <= Grant_LN & Grant_LE & Grant_LW & Grant_LS & '0';
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------------
-- all the Xbars
XBAR_N: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_N, Data_out=> TX_N);
XBAR_E: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_E, Data_out=> TX_E);
XBAR_W: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_W, Data_out=> TX_W);
XBAR_S: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_S, Data_out=> TX_S);
XBAR_L: XBAR generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (North_in => FIFO_D_out_N, East_in => FIFO_D_out_E, West_in => FIFO_D_out_W, South_in => FIFO_D_out_S, Local_in => FIFO_D_out_L,
sel => Xbar_sel_L, Data_out=> TX_L);
end;
|
gpl-3.0
|
JarrettR/FPGA-Cryptoparty
|
FPGA/hdl/sha1_process_buffer.vhd
|
1
|
9744
|
--------------------------------------------------------------------------------
-- Final stage of SHA1 algorithm - process existing buffer and calc outputs
-- Copyright (C) 2016 Jarrett Rainier
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sha1_pkg.all;
entity sha1_process_buffer is
port(
clk_i : in std_ulogic;
rst_i : in std_ulogic;
dat_i : in w_full;
load_i : in std_ulogic;
new_i : in std_ulogic;
dat_w_i : in w_output;
dat_w_o : out w_output;
valid_o : out std_ulogic
);
end sha1_process_buffer;
architecture RTL of sha1_process_buffer is
signal w: w_full;
--signal w_con: w_full;
signal w_hold: w_full;
signal running: std_ulogic;
-- synthesis translate_off
signal test_word_1: std_ulogic_vector(0 to 31);
signal test_word_2: std_ulogic_vector(0 to 31);
signal test_word_3: std_ulogic_vector(0 to 31);
signal test_word_4: std_ulogic_vector(0 to 31);
signal test_word_5: std_ulogic_vector(0 to 31);
-- synthesis translate_on
signal i : integer range 0 to 79;
signal a: unsigned(0 to 31);
signal b: unsigned(0 to 31);
signal c: unsigned(0 to 31);
signal d: unsigned(0 to 31);
signal e: unsigned(0 to 31);
signal a_con: std_ulogic_vector(0 to 31);
signal b_con: std_ulogic_vector(0 to 31);
signal c_con: std_ulogic_vector(0 to 31);
signal d_con: std_ulogic_vector(0 to 31);
signal e_con: std_ulogic_vector(0 to 31);
--Algorithm variables
constant h0i : std_ulogic_vector(0 to 31) := X"67452301"; -- H0 (a)
constant h1i : std_ulogic_vector(0 to 31) := X"EFCDAB89"; -- H1 (b)
constant h2i : std_ulogic_vector(0 to 31) := X"98BADCFE"; -- H2 (c)
constant h3i : std_ulogic_vector(0 to 31) := X"10325476"; -- H3 (d)
constant h4i : std_ulogic_vector(0 to 31) := X"C3D2E1F0"; -- H4 (e)
constant k0 : std_ulogic_vector(0 to 31) := X"5A827999"; -- ( 0 <= t <= 19)
constant k1 : std_ulogic_vector(0 to 31) := X"6ED9EBA1"; -- (20 <= t <= 39)
constant k2 : std_ulogic_vector(0 to 31) := X"8F1BBCDC"; -- (40 <= t <= 59)
constant k3 : std_ulogic_vector(0 to 31) := X"CA62C1D6"; -- (60 <= t <= 79)
signal h0 : std_ulogic_vector(0 to 31) := h0i;
signal h1 : std_ulogic_vector(0 to 31) := h1i;
signal h2 : std_ulogic_vector(0 to 31) := h2i;
signal h3 : std_ulogic_vector(0 to 31) := h3i;
signal h4 : std_ulogic_vector(0 to 31) := h4i;
signal h0out : unsigned(0 to 31);
signal h1out : unsigned(0 to 31);
signal h2out : unsigned(0 to 31);
signal h3out : unsigned(0 to 31);
signal h4out : unsigned(0 to 31);
begin
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
if rst_i = '1' then
i <= 0;
--running <= '0';
--Todo: Reset input too, if needed
--for x in 0 to 79 loop
-- w_hold(x) <= "00000000000000000000000000000000";
--end loop;
h0 <= h0i;
h1 <= h1i;
h2 <= h2i;
h3 <= h3i;
h4 <= h4i;
else
if load_i = '1' then
if new_i = '1' then
h0 <= h0i;
h1 <= h1i;
h2 <= h2i;
h3 <= h3i;
h4 <= h4i;
a <= unsigned((h1i and h2i) or ((not h1i) and h3i)) +
rotate_left(unsigned(h0i), 5) +
unsigned(h4i) +
unsigned(dat_i(0)) +
unsigned(k0);
b <= unsigned(h0i);
c <= rotate_left(unsigned(h1i), 30);
d <= unsigned(h2i);
e <= unsigned(h3i);
else
h0 <= dat_w_i(0);
h1 <= dat_w_i(1);
h2 <= dat_w_i(2);
h3 <= dat_w_i(3);
h4 <= dat_w_i(4);
a <= unsigned((dat_w_i(1) and dat_w_i(2)) or ((not dat_w_i(1)) and dat_w_i(3))) +
rotate_left(unsigned(dat_w_i(0)), 5) +
unsigned(dat_w_i(4)) +
unsigned(dat_i(0)) +
unsigned(k0);
b <= unsigned(dat_w_i(0));
c <= rotate_left(unsigned(dat_w_i(1)), 30);
d <= unsigned(dat_w_i(2));
e <= unsigned(dat_w_i(3));
end if;
i <= 0;
else
--TEMP = S^5(A) + f(t;B,C,D) + E + W(t) + K(t);
--Alt: gotta be better way!
case i is
--f(t;B,C,D) = (B AND C) OR ((NOT B) AND D)
when 0 to 18 => a <= unsigned((b_con and c_con) or ((not b_con) and d_con)) +
rotate_left(unsigned(a_con), 5) +
unsigned(e_con) +
unsigned(w(i + 1)) +
unsigned(k0);
--f(t;B,C,D) = B XOR C XOR D
when 19 to 38 => a <= unsigned(b_con xor c_con xor d_con) +
rotate_left(unsigned(a_con), 5) +
unsigned(e_con) +
unsigned(w(i + 1)) +
unsigned(k1);
--f(t;B,C,D) = (B AND C) OR (B AND D) OR (C AND D)
when 39 to 58 => a <= unsigned((b_con and c_con) or (b_con and d_con) or (c_con and d_con)) +
rotate_left(unsigned(a_con), 5) +
unsigned(e_con) +
unsigned(w(i + 1)) +
unsigned(k2);
--f(t;B,C,D) = B XOR C XOR D
when 59 to 78 => a <= unsigned(b_con xor c_con xor d_con) +
rotate_left(unsigned(a_con), 5) +
unsigned(e_con) +
unsigned(w(i + 1)) +
unsigned(k3);
when 79 => a <= unsigned(b_con xor c_con xor d_con) +
rotate_left(unsigned(a_con), 5) +
unsigned(e_con) +
unsigned(w(i)) +
unsigned(k3);
end case;
--E = D; D = C; C = S^30(B); B = A; A = TEMP;
e <= unsigned(d_con);
d <= unsigned(c_con);
c <= rotate_left(unsigned(b_con), 30);
b <= unsigned(a_con);
i <= i + 1;
end if;
if i = 79 then
--i <= 0;
--Todo: AND 'running' signal with i = 79 to stop incorrect 'valid_o' outputs
valid_o <= '1';
h0out <= unsigned(h0) + a;
h1out <= unsigned(h1) + b;
h2out <= unsigned(h2) + c;
h3out <= unsigned(h3) + d;
h4out <= unsigned(h4) + e;
else
valid_o <= '0';
end if;
end if;
end if;
end process;
dat_w_o(0) <= std_ulogic_vector(h0out);
dat_w_o(1) <= std_ulogic_vector(h1out);
dat_w_o(2) <= std_ulogic_vector(h2out);
dat_w_o(3) <= std_ulogic_vector(h3out);
dat_w_o(4) <= std_ulogic_vector(h4out);
w <= dat_i;
--w_con <= w;
a_con <= std_ulogic_vector(a);
b_con <= std_ulogic_vector(b);
c_con <= std_ulogic_vector(c);
d_con <= std_ulogic_vector(d);
e_con <= std_ulogic_vector(e);
-- synthesis translate_off
test_word_1 <= w(0);
test_word_2 <= w(79);
test_word_3 <= h0;
test_word_4 <= std_ulogic_vector(h0out);
test_word_5 <= std_ulogic_vector(h1out);
-- synthesis translate_on
end RTL;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-2.04/2.04b/lightshow/fpga/lightshow.vhd
|
17
|
3116
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity lightshow is
port(
led1 : out std_logic_vector(9 downto 0); -- LED1 on debug board
led2 : out std_logic_vector(19 downto 0); -- LED2 + LED3 on debug board
sw : in std_logic_vector(3 downto 0);
fxclk : in std_logic
);
end lightshow;
--signal declaration
architecture RTL of lightshow is
type tPattern1 is array(9 downto 0) of integer range 0 to 255;
type tPattern2 is array(19 downto 0) of integer range 0 to 255;
signal pattern1 : tPattern1 := (0, 10, 41, 92, 163, 255, 163, 92, 41, 10); -- pattern for LED1
signal pattern20 : tPattern2 := (0, 1, 2, 9, 16, 25, 36, 49, 64, 81, 64, 49, 36, 25, 16, 9, 2, 1, 0, 0); -- 1st pattern for LED2
signal pattern21 : tPattern2 := (0, 19, 77, 174, 77, 19, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); -- 2nd pattern for LED2
signal pattern2 : tPattern2; -- pattern20 + pattern21
signal cnt1,cnt20, cnt21 : std_logic_vector(22 downto 0);
signal pwm_cnt : std_logic_vector(19 downto 0);
signal pwm_cnt8 : std_logic_vector(7 downto 0);
begin
pwm_cnt8 <= pwm_cnt(19 downto 12);
dp_fxclk: process(fxclk)
begin
if fxclk' event and fxclk = '1' then
-- pattern for led 1
if ( cnt1 >= conv_std_logic_vector(7200000,23) ) -- 1/1.5 Hz
then
if ( sw(0) = '1' )
then
pattern1(8 downto 0) <= pattern1(9 downto 1);
pattern1(9) <= pattern1(0);
else
pattern1(9 downto 1) <= pattern1(8 downto 0);
pattern1(0) <= pattern1(9);
end if;
cnt1 <= (others => '0');
else
cnt1 <= cnt1 + 1;
end if;
-- pattern for led 2
if ( ( cnt20 >= conv_std_logic_vector(4800000,23) ) or ( (sw(2)= '1') and (cnt20 >= conv_std_logic_vector(1600000,23)) ) ) -- SW1 off: 1/3Hz, SW1 on: 1Hz
then
pattern20(18 downto 0) <= pattern20(19 downto 1);
pattern20(19) <= pattern20(0);
cnt20 <= (others => '0');
else
cnt20 <= cnt20 + 1;
end if;
if ( ( cnt21 >= conv_std_logic_vector(2000000,23) ) or ( (sw(3)= '1') and (cnt21 >= conv_std_logic_vector(500000,23)) ) )
then
if ( sw(1) = '1' )
then
pattern21(18 downto 0) <= pattern21(19 downto 1);
pattern21(19) <= pattern21(0);
else
pattern21(19 downto 1) <= pattern21(18 downto 0);
pattern21(0) <= pattern21(19);
end if;
cnt21 <= (others => '0');
else
cnt21 <= cnt21 + 1;
end if;
for i in 0 to 19 loop
pattern2(i) <= pattern20(i) + pattern21(i);
end loop;
-- pwm
if ( pwm_cnt8 = conv_std_logic_vector(255,8) )
then
pwm_cnt <= ( others => '0' );
else
pwm_cnt <= pwm_cnt + 1;
end if;
-- led1
for i in 0 to 9 loop
if ( pwm_cnt8 < pattern1(i) )
then
led1(i) <= '1';
else
led1(i) <= '0';
end if;
end loop;
for i in 0 to 19 loop
if (pwm_cnt8 < pattern2(i) )
then
led2(i) <= '1';
else
led2(i) <= '0';
end if;
end loop;
end if;
end process dp_fxclk;
end RTL;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-2.16/2.16b/lightshow/fpga/lightshow.vhd
|
17
|
3116
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity lightshow is
port(
led1 : out std_logic_vector(9 downto 0); -- LED1 on debug board
led2 : out std_logic_vector(19 downto 0); -- LED2 + LED3 on debug board
sw : in std_logic_vector(3 downto 0);
fxclk : in std_logic
);
end lightshow;
--signal declaration
architecture RTL of lightshow is
type tPattern1 is array(9 downto 0) of integer range 0 to 255;
type tPattern2 is array(19 downto 0) of integer range 0 to 255;
signal pattern1 : tPattern1 := (0, 10, 41, 92, 163, 255, 163, 92, 41, 10); -- pattern for LED1
signal pattern20 : tPattern2 := (0, 1, 2, 9, 16, 25, 36, 49, 64, 81, 64, 49, 36, 25, 16, 9, 2, 1, 0, 0); -- 1st pattern for LED2
signal pattern21 : tPattern2 := (0, 19, 77, 174, 77, 19, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); -- 2nd pattern for LED2
signal pattern2 : tPattern2; -- pattern20 + pattern21
signal cnt1,cnt20, cnt21 : std_logic_vector(22 downto 0);
signal pwm_cnt : std_logic_vector(19 downto 0);
signal pwm_cnt8 : std_logic_vector(7 downto 0);
begin
pwm_cnt8 <= pwm_cnt(19 downto 12);
dp_fxclk: process(fxclk)
begin
if fxclk' event and fxclk = '1' then
-- pattern for led 1
if ( cnt1 >= conv_std_logic_vector(7200000,23) ) -- 1/1.5 Hz
then
if ( sw(0) = '1' )
then
pattern1(8 downto 0) <= pattern1(9 downto 1);
pattern1(9) <= pattern1(0);
else
pattern1(9 downto 1) <= pattern1(8 downto 0);
pattern1(0) <= pattern1(9);
end if;
cnt1 <= (others => '0');
else
cnt1 <= cnt1 + 1;
end if;
-- pattern for led 2
if ( ( cnt20 >= conv_std_logic_vector(4800000,23) ) or ( (sw(2)= '1') and (cnt20 >= conv_std_logic_vector(1600000,23)) ) ) -- SW1 off: 1/3Hz, SW1 on: 1Hz
then
pattern20(18 downto 0) <= pattern20(19 downto 1);
pattern20(19) <= pattern20(0);
cnt20 <= (others => '0');
else
cnt20 <= cnt20 + 1;
end if;
if ( ( cnt21 >= conv_std_logic_vector(2000000,23) ) or ( (sw(3)= '1') and (cnt21 >= conv_std_logic_vector(500000,23)) ) )
then
if ( sw(1) = '1' )
then
pattern21(18 downto 0) <= pattern21(19 downto 1);
pattern21(19) <= pattern21(0);
else
pattern21(19 downto 1) <= pattern21(18 downto 0);
pattern21(0) <= pattern21(19);
end if;
cnt21 <= (others => '0');
else
cnt21 <= cnt21 + 1;
end if;
for i in 0 to 19 loop
pattern2(i) <= pattern20(i) + pattern21(i);
end loop;
-- pwm
if ( pwm_cnt8 = conv_std_logic_vector(255,8) )
then
pwm_cnt <= ( others => '0' );
else
pwm_cnt <= pwm_cnt + 1;
end if;
-- led1
for i in 0 to 9 loop
if ( pwm_cnt8 < pattern1(i) )
then
led1(i) <= '1';
else
led1(i) <= '0';
end if;
end loop;
for i in 0 to 19 loop
if (pwm_cnt8 < pattern2(i) )
then
led2(i) <= '1';
else
led2(i) <= '0';
end if;
end loop;
end if;
end process dp_fxclk;
end RTL;
|
gpl-3.0
|
ibm2030/IBM2030
|
FMD2030_5-02A-B.vhd
|
1
|
9958
|
---------------------------------------------------------------------------
-- Copyright 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-02A-B.vhd
-- Creation Date:
-- Description:
-- X6,X7 assembly, ASCII latch, X6,X7 backup (5-02A), WX reg gating (5-02B)
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-09
-- Initial Release
-- Revision 1.1 2012-04-07
-- Enable MPX interruptions
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
ENTITY X6X7 IS
port
(
SALS : IN SALS_Bus; -- 01C
DECIMAL : IN STD_LOGIC; -- 06B
CONNECT : IN STD_LOGIC; -- 06B
N_CTRL_LM : IN STD_LOGIC; -- 06B
CTRL_N : IN STD_LOGIC; -- 06B
R_REG_0_BIT : IN STD_LOGIC; -- 06C
V67_00_OR_GM_WM : IN STD_LOGIC; -- 05A
STATUS_IN_LCHD : IN STD_LOGIC; -- 06A
OPNL_IN_LCHD : IN STD_LOGIC; -- 06A
CARRY_0_LCHD : IN STD_LOGIC; -- 06A
S_REG_1_OR_R_REG_2 : IN STD_LOGIC; -- 05A
S : IN STD_LOGIC_VECTOR(0 to 7); -- 07B
G : IN STD_LOGIC_VECTOR(0 to 7); -- 05C
TIMER_UPDATE : IN STD_LOGIC; -- 04C
EXTERNAL_INT : IN STD_LOGIC; -- 04C
MPX_INTERRUPT : IN STD_LOGIC; -- 08C
SX1_INTERRUPT : IN STD_LOGIC; -- 12D
SX2_INTERRUPT : IN STD_LOGIC; -- 14D
-- HSMPX : IN STD_LOGIC; -- XXXXX
I_WRAPPED_CPU : IN STD_LOGIC; -- 03B
TIMER_UPDATE_OR_EXT_INT : IN STD_LOGIC; -- 04C
U_WRAPPED_MPX : IN STD_LOGIC; -- 03B
H_REG_6_BIT : IN STD_LOGIC; -- 04C
ADDR_IN_LCHD : IN STD_LOGIC; -- 06A
SERV_IN_LCHD : IN STD_LOGIC; -- 06A
R_REG_VAL_DEC_DIG : IN STD_LOGIC; -- 05A
N1BC_OR_R1 : IN STD_LOGIC; -- 05A
Z_BUS_0 : IN STD_LOGIC; -- 06B
G_REG_1_OR_R_REG_3 : IN STD_LOGIC; -- 05A
GT_BU_ROSAR_TO_WX_REG : IN STD_LOGIC; -- 01B
H_REG_5_PWR : IN STD_LOGIC; -- 04C
MPX_SHARE_PULSE : IN STD_LOGIC; -- 03A
SX_CHAIN_PULSE : IN STD_LOGIC; -- 03A
MACH_RST_SW : IN STD_LOGIC; -- 03D
R_REG_4_BIT : IN STD_LOGIC; -- 06C
ANY_PRIORITY_PULSE : IN STD_LOGIC; -- 03A
-- Outputs
XOR_OR_OR : OUT STD_LOGIC; -- 03A,04A
INTERRUPT : OUT STD_LOGIC; -- 01B
GT_GWX_TO_WX_REG : OUT STD_LOGIC; -- 01B
GT_FWX_TO_WX_REG : OUT STD_LOGIC; -- 01B
USE_CA_BASIC_DECODER : OUT STD_LOGIC; -- 02B,01A,03C,04C,05C,07A,07C,10C
MPX_ROS_LCH : OUT STD_LOGIC; -- 08C
X6 : OUT STD_LOGIC;
X7 : OUT STD_LOGIC;
USE_ALT_CA_DECODER : OUT STD_LOGIC; -- 07C,04C,10C,07A,11C
GT_CA_TO_W_REG : OUT STD_LOGIC; -- 01B,07A
GT_UV_TO_WX_REG : OUT STD_LOGIC; -- 01B
DIAG_LATCH_RST : OUT STD_LOGIC; -- NEW
-- Debug
DEBUG : OUT STD_LOGIC;
-- Clocks
T1,T2,T3,T4 : IN STD_LOGIC;
clk : IN STD_LOGIC
);
END X6X7;
ARCHITECTURE FMD OF X6X7 IS
signal TEST_ASCII : STD_LOGIC;
signal TEST_INTRP : STD_LOGIC;
signal TEST_WRAP : STD_LOGIC;
signal GT_ASCII_LCH : STD_LOGIC;
signal GT_MPX_LCH : STD_LOGIC; -- Output of AA3E3
signal GT_SX_LCH : STD_LOGIC; -- Output of AA3L6
signal X6_MUX,X7_MUX : STD_LOGIC;
signal CA_TO_X7_DECO : STD_LOGIC;
signal X6_BRANCH,X7_BRANCH : STD_LOGIC;
signal SX_CH_ROAR_RESTORE : STD_LOGIC;
signal MPX_CH_ROAR_RESTORE : STD_LOGIC;
signal RESTORE_0 : STD_LOGIC; -- Output of AA3K5,FL0
signal ASCII_LCH : STD_LOGIC;
signal MPX_CH_X6,MPX_CH_X7 : STD_LOGIC;
signal SX_CH_X6,SX_CH_X7 : STD_LOGIC;
signal X6_DATA,X7_DATA : STD_LOGIC;
signal STORED_X6,STORED_X7 : STD_LOGIC;
signal sXOR_OR_OR : STD_LOGIC;
signal sINTERRUPT : STD_LOGIC;
signal sGT_GWX_TO_WX_REG : STD_LOGIC;
signal sGT_FWX_TO_WX_REG : STD_LOGIC;
signal sUSE_CA_BASIC_DECODER : STD_LOGIC;
signal sMPX_ROS_LCH : STD_LOGIC;
signal REST0_LCH_Set,REST0_LCH_Reset,SXREST_LCH_Set,SXREST_LCH_Reset,
MPXROS_LCH_Reset,MPXROS_LCH_Set,MPXREST_LCH_Set,MPXREST_LCH_Reset : STD_LOGIC;
BEGIN
-- Fig 5-02A
TEST_ASCII <= '1' when SALS.SALS_CK="1001" and SALS.SALS_AK='1' else '0'; -- AB3E7
TEST_INTRP <= '1' when SALS.SALS_CK="1010" and SALS.SALS_AK='1' else '0'; -- AB3E7
TEST_WRAP <= '1' when SALS.SALS_CK="0011" and SALS.SALS_AK='1' else '0'; -- AB3E6
DIAG_LATCH_RST <= '1' when SALS.SALS_CK="0000" and SALS.SALS_AK='1' and T1='1' else '0'; -- NEW!
sXOR_OR_OR <= DECIMAL and CONNECT and N_CTRL_LM; -- AB3D2
XOR_OR_OR <= sXOR_OR_OR;
GT_ASCII_LCH <= sXOR_OR_OR and CTRL_N and T2; -- AB3D2
DEBUG <= ASCII_LCH;
-- ?? Debug remove other interrupt sources
-- sINTERRUPT <= TIMER_UPDATE or EXTERNAL_INT or MPX_INTERRUPT or SX1_INTERRUPT or SX2_INTERRUPT; -- AA3K4
sINTERRUPT <= EXTERNAL_INT or MPX_INTERRUPT;
INTERRUPT <= sINTERRUPT;
with (SALS.SALS_CH) select X6_MUX <= -- AA3G5
'1' when "0001",
R_REG_0_BIT when "0010",
V67_00_OR_GM_WM when "0011",
STATUS_IN_LCHD when "0100",
OPNL_IN_LCHD when "0101",
CARRY_0_LCHD when "0110",
S(0) when "0111",
S_REG_1_OR_R_REG_2 when "1000",
S(2) when "1001",
S(4) when "1010",
S(6) when "1011",
G(0) when "1100",
G(2) when "1101",
G(4) when "1110",
G(6) when "1111",
'0' when others; -- 0000
with (SALS.SALS_CL) select X7_MUX <= -- AA3H5
'1' when "0001",
'1' when "0010", -- CL=0010 is CA>W ?? Needed otherwise CA>W always forces X7 to 0 ??
ADDR_IN_LCHD when "0011",
SERV_IN_LCHD when "0100",
R_REG_VAL_DEC_DIG when "0101",
N1BC_OR_R1 when "0110",
Z_BUS_0 when "0111",
G(7) when "1000",
S(3) when "1001",
S(5) when "1010",
S(7) when "1011",
G_REG_1_OR_R_REG_3 when "1100",
G(3) when "1101",
G(5) when "1110",
sINTERRUPT when "1111",
'0' when others; -- 0000
X6_BRANCH <= (not ASCII_LCH or not TEST_ASCII) and -- AA3K3
(not TIMER_UPDATE_OR_EXT_INT or not TEST_INTRP) and -- AA3K3
(not SX2_INTERRUPT or SX1_INTERRUPT or not TEST_INTRP) and -- AA3K4
(not I_WRAPPED_CPU or not TEST_WRAP) and -- AA3K3
X6_MUX;
X7_BRANCH <= (not TIMER_UPDATE_OR_EXT_INT or not TEST_INTRP) and -- AA3K3
(not SX1_INTERRUPT or not TEST_INTRP) and -- AA3B7
(not TEST_WRAP or not U_WRAPPED_MPX or not H_REG_6_BIT) and -- AA3J5
X7_MUX ;
-- and CA_TO_X7_DECO; ?? Removed as it forced X7 to 0 on CA>W ??
sGT_GWX_TO_WX_REG <= GT_BU_ROSAR_TO_WX_REG and H_REG_5_PWR; -- AA3L5
GT_GWX_TO_WX_REG <= sGT_GWX_TO_WX_REG;
sGT_FWX_TO_WX_REG <= GT_BU_ROSAR_TO_WX_REG and not H_REG_5_PWR; -- AA3C2
GT_FWX_TO_WX_REG <= sGT_FWX_TO_WX_REG;
sUSE_CA_BASIC_DECODER <= not SALS.SALS_AA;
USE_CA_BASIC_DECODER <= sUSE_CA_BASIC_DECODER;
REST0_LCH_Set <= T2 and sGT_GWX_TO_WX_REG;
REST0_LCH_Reset <= MACH_RST_SW or T1;
REST0_LCH: entity work.FLL port map(REST0_LCH_Set,REST0_LCH_Reset,RESTORE_0); -- AA3K5 Bit 0
SXREST_LCH_Set <= T4 and RESTORE_0;
SXREST_LCH_Reset <= MACH_RST_SW or T3;
SXREST_LCH: entity work.FLL port map(SXREST_LCH_Set,SXREST_LCH_Reset,SX_CH_ROAR_RESTORE); -- AA3K5 Bit 1
MPXROS_LCH_Set <= T2 and sGT_FWX_TO_WX_REG;
MPXROS_LCH_Reset <= MACH_RST_SW or T1;
MPXROS_LCH: entity work.FLL port map(MPXROS_LCH_Set,MPXROS_LCH_Reset,sMPX_ROS_LCH); -- AA3L2 Bit 2
MPX_ROS_LCH <= sMPX_ROS_LCH;
MPXREST_LCH_Set <= T4 and sMPX_ROS_LCH;
MPXREST_LCH_Reset <= MACH_RST_SW or T3;
MPXREST_LCH: entity work.FLL port map(MPXREST_LCH_Set,MPXREST_LCH_Reset,MPX_CH_ROAR_RESTORE); -- AA3L2 Bit 3
X6_DATA <= X6_BRANCH and not SX_CH_ROAR_RESTORE and not MPX_CH_ROAR_RESTORE; -- AA3L6
X7_DATA <= X7_BRANCH and not SX_CH_ROAR_RESTORE and not MPX_CH_ROAR_RESTORE; -- AA3L6
GT_MPX_LCH <= (MPX_SHARE_PULSE and T1) or MACH_RST_SW; -- AA3L4,AA3E3
GT_SX_LCH <= (SX_CHAIN_PULSE and T1) or MACH_RST_SW; -- AA3F3,AA3L6
-- ASCII latch plus X6,X7 storage for
ASC_LCH: entity work.PH port map(R_REG_4_BIT,GT_ASCII_LCH,ASCII_LCH); -- AA3L3
M7_LCH: entity work.PH port map(X7_DATA,GT_MPX_LCH,MPX_CH_X7); -- AA3L3
S7_LCH: entity work.PH port map(X7_DATA,GT_SX_LCH,SX_CH_X7); -- AA3L3
M6_LCH: entity work.PH port map(X6_DATA,GT_MPX_LCH,MPX_CH_X6); -- AA3L3
S6_LCH: entity work.PH port map(X6_DATA,GT_SX_LCH,SX_CH_X6); -- AA3L3
STORED_X6 <= (SX_CH_ROAR_RESTORE and SX_CH_X6) or (MPX_CH_ROAR_RESTORE and MPX_CH_X6); -- AA3K6
STORED_X7 <= (SX_CH_ROAR_RESTORE and SX_CH_X7) or (MPX_CH_ROAR_RESTORE and MPX_CH_X7); -- AA3K6
X6 <= X6_DATA or STORED_X6; -- Wire-AND of negated signals
X7 <= X7_DATA or STORED_X7; -- Wire-AND of negated signals
-- Page 5-02B
USE_ALT_CA_DECODER <= not sUSE_CA_BASIC_DECODER and not ANY_PRIORITY_PULSE; -- AB2F7 ??
CA_TO_X7_DECO <= '0' when SALS.SALS_CL="0010" else '1'; -- AA3H5
GT_CA_TO_W_REG <= not CA_TO_X7_DECO and not ANY_PRIORITY_PULSE; -- AA3L4,AA3G4
GT_UV_TO_WX_REG <= '1' when SALS.SALS_CK="0001" and SALS.SALS_AK='1' and ANY_PRIORITY_PULSE='0' else '0'; -- AB3E6,AB3B3
END FMD;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-1.15/1.15d/lightshow/fpga/lightshow.vhd
|
36
|
2235
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity lightshow is
port(
led : out std_logic_vector(11 downto 0);
CLK : in std_logic -- 32 MHz
);
end lightshow;
--signal declaration
architecture RTL of lightshow is
type tPattern is array(11 downto 0) of integer range 0 to 15;
signal pattern1 : tPattern := (0, 1, 2, 3, 4, 5, 6, 5, 4, 3, 2, 1);
signal pattern2 : tPattern := (6, 5, 4, 3, 2, 1, 0, 1, 2, 3, 4, 5);
signal pattern3 : tPattern := (0, 1, 4, 9, 4, 1, 0, 0, 0, 0, 0, 0);
type tXlatTable1 is array(0 to 12) of integer range 0 to 1023;
constant xt1 : tXlatTable1 := (0, 0, 1, 4, 13, 31, 64, 118, 202, 324, 493, 722, 1023);
type tXlatTable2 is array(0 to 9) of integer range 0 to 255;
--constant xt2 : tXlatTable2 := (0, 1, 11, 38, 90, 175, 303, 481, 718, 1023);
constant xt2 : tXlatTable2 := (0, 0, 3, 9, 22, 44, 76, 120, 179, 255);
signal cp1 : std_logic_vector(22 downto 0);
signal cp2 : std_logic_vector(22 downto 0);
signal cp3 : std_logic_vector(22 downto 0);
signal d : std_logic_vector(16 downto 0);
begin
dpCLK: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( cp1 = conv_std_logic_vector(3000000,23) )
then
pattern1(10 downto 0) <= pattern1(11 downto 1);
pattern1(11) <= pattern1(0);
cp1 <= (others => '0');
else
cp1 <= cp1 + 1;
end if;
if ( cp2 = conv_std_logic_vector(2200000,23) )
then
pattern2(10 downto 0) <= pattern2(11 downto 1);
pattern2(11) <= pattern2(0);
cp2 <= (others => '0');
else
cp2 <= cp2 + 1;
end if;
if ( cp3 = conv_std_logic_vector(1500000,23) )
then
pattern3(11 downto 1) <= pattern3(10 downto 0);
pattern3(0) <= pattern3(11);
cp3 <= (others => '0');
else
cp3 <= cp3 + 1;
end if;
if ( d = conv_std_logic_vector(1278*64-1,17) )
then
d <= (others => '0');
else
d <= d + 1;
end if;
for i in 0 to 11 loop
if ( d(16 downto 6) < conv_std_logic_vector( xt1(pattern1(i) + pattern2(i)) + xt2(pattern3(i)) ,11) )
then
led(i) <= '1';
else
led(i) <= '0';
end if;
end loop;
end if;
end process dpCLK;
end RTL;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-2.13/2.13c/ucecho/fpga/ucecho.vhd
|
7
|
636
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity ucecho is
port(
pd : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
fxclk : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
pb <= pb_buf;
dpUCECHO: process(fxclk)
begin
if fxclk' event and fxclk = '1' then
if ( pd >= 97 ) and ( pd <= 122)
then
pb_buf <= pd - 32;
else
pb_buf <= pd;
end if;
end if;
end process dpUCECHO;
end RTL;
|
gpl-3.0
|
ibm2030/IBM2030
|
FMD2030_5-07B2.vhd
|
1
|
6009
|
---------------------------------------------------------------------------
-- Copyright 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-07B2.vhd
-- Creation Date: 01/11/09
-- Description:
-- S Register
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-13
-- Initial Release
-- Revision 1.1 2012-04-07
-- Change GT_CS_OPT to level-triggered latch
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
-- use work.all;
ENTITY SReg IS
port
(
SA : IN STD_LOGIC; -- 01C
CS : IN STD_LOGIC_VECTOR(0 to 3); -- 01C
CD : IN STD_LOGIC_VECTOR(0 to 3); -- 01C
N_Z_BUS : IN STD_LOGIC_VECTOR(0 to 7);
Z_BUS0, CARRY_0, Z_BUS_HI_0, Z_BUS_LO_0 : IN STD_LOGIC; -- 06B
GT_CARRY_TO_S3 : IN STD_LOGIC;
S : OUT STD_LOGIC_VECTOR(0 to 7);
GT_Z_BUS_TO_S : OUT STD_LOGIC;
S_REG_RST : OUT STD_LOGIC;
CTRL_REG_RST : IN STD_LOGIC; -- 01C
MAN_STOR_PWR : IN STD_LOGIC; -- 03D
STORE_S_REG_RST : IN STD_LOGIC; -- 03D
E_SW_SEL_S : IN STD_LOGIC; -- 04C
MACH_RST_2C : IN STD_LOGIC; -- 06B
T_REQUEST : IN STD_LOGIC; -- 10BC6
FB_K_T2_PULSE : OUT STD_LOGIC;
CS_DECODE_X001 : OUT STD_LOGIC; -- 03C
BASIC_CS_0 : OUT STD_LOGIC; -- 03C
P1, T1, T2, T3, T4 : IN STD_LOGIC;
clk : IN STD_LOGIC
);
END SReg;
ARCHITECTURE FMD OF SReg IS
signal SETS, RESETS : STD_LOGIC_VECTOR(0 to 7);
signal CS_X000,CS_X001,CS_X010,CS_X011,CS_X100,CS_X101,CS_X110,CS_X111,CS_X01X,CS_X0X1,CS_0XXX,CS_1XXX : STD_LOGIC;
signal CD_0110 : STD_LOGIC;
signal GT_CS_OPT_DECODER, GT_CS_BASIC_DECODER : STD_LOGIC;
signal BASIC_NOT_CS_0, sBASIC_CS_0 : STD_LOGIC;
signal sGT_Z_BUS_TO_S : STD_LOGIC;
signal sS_REG_RST : STD_LOGIC;
signal GT_CS_OPT_Set,GT_CS_OPT_Reset : STD_LOGIC;
signal S_REG_Set,S_REG_Reset : STD_LOGIC_VECTOR(0 to 7);
BEGIN
-- Fig 5-07B
CS_X000 <= '1' when CS(1 to 3)="000" else '0';
CS_X001 <= '1' when CS(1 to 3)="001" else '0';
CS_DECODE_X001 <= CS_X001;
CS_X010 <= '1' when CS(1 to 3)="010" else '0';
CS_X011 <= '1' when CS(1 to 3)="011" else '0';
CS_X100 <= '1' when CS(1 to 3)="100" else '0';
CS_X101 <= '1' when CS(1 to 3)="101" else '0';
CS_X110 <= '1' when CS(1 to 3)="110" else '0';
CS_X111 <= '1' when CS(1 to 3)="111" else '0';
CS_X01X <= '1' when CS(1 to 2)="01" else '0';
CS_X0X1 <= '1' when CS(1)='0' and CS(3)='1' else '0';
CS_0XXX <= '1' when CS(0)='0' else '0';
CS_1XXX <= '1' when CS(0)='1' else '0';
GT_CS_OPT_Set <= SA and P1;
GT_CS_OPT_Reset <= CTRL_REG_RST or T1;
-- GT_CS_OPT: FLE port map(GT_CS_OPT_Set, GT_CS_OPT_Reset, clk, GT_CS_OPT_DECODER); -- AB3E5
GT_CS_OPT: entity work.FLL port map(S=>GT_CS_OPT_Set, R=>GT_CS_OPT_Reset, Q=>GT_CS_OPT_DECODER); -- AB3E5
GT_CS_BASIC_DECODER <= not GT_CS_OPT_DECODER; -- AB3E5
BASIC_NOT_CS_0 <= GT_CS_BASIC_DECODER and CS_0XXX; -- AA3L5 Could be" GT_CS_BASIC_DECODER and not CS(0)"
sBASIC_CS_0 <= GT_CS_BASIC_DECODER and CS_1XXX; -- AA3L5 Could be "GT_CS_BASIC_DECODER and CS(0)"
BASIC_CS_0 <= sBASIC_CS_0;
FB_K_T2_PULSE <= sBASIC_CS_0 and T2 and CS_X110; -- AA3F7, AA3E3
CD_0110 <= '1' when CD="0110" else '0'; -- AA3B7, AA3J6
sGT_Z_BUS_TO_S <= (CD_0110 and T4) or (MAN_STOR_PWR and E_SW_SEL_S) or MACH_RST_2C; -- AA3J6
GT_Z_BUS_TO_S <= sGT_Z_BUS_TO_S;
sS_REG_RST <= (CD_0110 and T3) or (STORE_S_REG_RST and E_SW_SEL_S) or MACH_RST_2C; -- AA3J6
S_REG_RST <= sS_REG_RST;
SETS(0) <= CS_X111 and BASIC_NOT_CS_0; -- AA3G7
SETS(1) <= T_REQUEST and CS_X101 and BASIC_NOT_CS_0; -- AA3G7
SETS(2) <= CS_X001 and not Z_BUS0 and sBASIC_CS_0; -- AA3H7
SETS(3) <= GT_CARRY_TO_S3 and CARRY_0; -- AA3H7
SETS(4) <= BASIC_NOT_CS_0 and CS_X01X and Z_BUS_HI_0; -- AA3J7
SETS(5) <= BASIC_NOT_CS_0 and CS_X0X1 and Z_BUS_LO_0; -- AA3J7
SETS(6) <= CS_X011 and sBASIC_CS_0; -- AA3K7
SETS(7) <= CS_X101 and sBASIC_CS_0; -- AA3K7
RESETS(0) <= CS_X110 and BASIC_NOT_CS_0; -- AA3G7
RESETS(1) <= CS_X101 and not T_REQUEST and BASIC_NOT_CS_0; -- AA3G7
RESETS(2) <= CS_X000 and sBASIC_CS_0; -- AA3H7
RESETS(3) <= not CARRY_0 and GT_CARRY_TO_S3; -- AA3H7
RESETS(4) <= (BASIC_NOT_CS_0 and not Z_BUS_HI_0 and CS_X01X) or (BASIC_NOT_CS_0 and CS_X100); -- AA3J7
RESETS(5) <= (BASIC_NOT_CS_0 and not Z_BUS_LO_0 and CS_X0X1) or (BASIC_NOT_CS_0 and CS_X100); -- AA3J7
RESETS(6) <= sBASIC_CS_0 and CS_X010; -- AA3K7
RESETS(7) <= sBASIC_CS_0 and CS_X100; -- AA3K7
S_REG_Set <= mux(sGT_Z_BUS_TO_S,not N_Z_BUS) or mux(T4,SETS); -- ?? "T4 and not T1" to prevent erroneous S4 value
S_REG_Reset <= (S'range=>sS_REG_RST) or mux(T4,RESETS); -- ?? "T4 and not T1" to prevent erroneous S4 value
S_REG: FLVL port map(S_REG_Set, S_REG_Reset, S); -- AA3G7, AA3H7, AA3J7, AA3K7
END FMD;
|
gpl-3.0
|
ibm2030/IBM2030
|
FMD2030_5-10C.vhd
|
1
|
17493
|
---------------------------------------------------------------------------
-- Copyright 2012 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-10C.vhd
-- Creation Date:
-- Description:
-- 1050 Typewriter Console data latches and gating
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2012-04-07
-- Initial release
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
use work.FLL;
ENTITY n1050_DATA IS
port
(
-- Inputs
E_SW_SEL_BUS : IN E_SW_BUS_Type; -- 04CE1
USE_MANUAL_DECODER : IN STD_LOGIC; -- 03DA3
USE_ALT_CA_DECODER : IN STD_LOGIC; -- 02BA3
USE_BASIC_CA_DECO : IN STD_LOGIC; -- 02AE6
GTD_CA_BITS : IN STD_LOGIC_VECTOR(0 to 3); -- 05CA2
XLATE_UC : IN STD_LOGIC; -- 09C
WR_LCH : IN STD_LOGIC; -- 09CD2 aka WRITE_LCH
RUN : IN STD_LOGIC; -- 09CE6
PROCEED_LCH : IN STD_LOGIC; -- 10BC3
-- TT4_POS_HOME_STT : IN STD_LOGIC; -- 10DD5
RD_OR_RD_INQ : IN STD_LOGIC; -- 09CC5
W_TIME, X_TIME, Y_TIME, Z_TIME : IN STD_LOGIC; -- 10AXX
Z_BUS : IN STD_LOGIC_VECTOR(0 to 8); -- 08BE3
CLOCK_1 : IN STD_LOGIC; -- 10AA5
PCH_1_CLUTCH : IN STD_LOGIC; -- 10DD5
GT_1050_BUS_OUT, GT_1050_TAGS_OUT : IN STD_LOGIC; -- 04CE6
n1050_OP_IN : IN STD_LOGIC; -- 10BC5
SET_SHIFT_LCH : IN STD_LOGIC; -- 09CD6
TA_REG_SET : IN STD_LOGIC; -- 10BB2
RST_ATTACH : IN STD_LOGIC; -- 10BC2
n1050_OPER : IN STD_LOGIC; -- 10DE4
READ_INQ : IN STD_LOGIC; -- 09CE6
RD_SHARE_REQ_LCH : IN STD_LOGIC; -- 09CC6
READ : IN STD_LOGIC; -- 09CE6
WRITE_MODE : IN STD_LOGIC; -- 09CFD2
RESTORE : IN STD_LOGIC; -- 10BD2
OUTPUT_SEL_AND_READY : IN STD_LOGIC; -- 10DD4
SHARE_REQ_RST : IN STD_LOGIC; -- 10BB6
n1050_RST_LCH : IN STD_LOGIC; -- 10BA3
RDR_1_CLUTCH : IN STD_LOGIC; -- 10DD5
UC_CHARACTER, LC_CHARACTER : IN STD_LOGIC; -- 09CD2
-- Z_BUS_0, Z_BUS_3 : IN STD_LOGIC; -- 06BDX
-- TT3_POS_1050_OPER : IN STD_LOGIC; -- 10DD4
TA_REG_POS_6_ATTN_RST : IN STD_LOGIC; -- 10BE3
PCH_BITS : IN STD_LOGIC_VECTOR(0 to 6);
-- CE controls
CE_GT_TA_OR_TE : IN STD_LOGIC;
CE_DATA_ENTER_GT : IN STD_LOGIC;
CE_TE_DECODE : IN STD_LOGIC;
CE_RUN_MODE : IN STD_LOGIC; -- 10DB3
n1050_CE_MODE : IN STD_LOGIC;
CE_BITS : IN STD_LOGIC_VECTOR(0 to 7); -- 10DA1
-- Outputs
A_REG_BUS : OUT STD_LOGIC_VECTOR(0 to 8); -- 07CA6
DATA_REG_BUS : OUT STD_LOGIC_VECTOR(0 to 7); -- 09C
TAGS_OUT : OUT STD_LOGIC_VECTOR(0 to 7); -- 10BB1 11AA2
NPL_BITS : OUT STD_LOGIC_VECTOR(0 to 7);
PTT_BITS : OUT STD_LOGIC_VECTOR(0 to 6); -- Output to printer ("RDR")
TE_LCH : OUT STD_LOGIC;
WR_SHARE_REQ : OUT STD_LOGIC; -- 10BD5
ALLOW_STROBE : OUT STD_LOGIC; -- 09CD4 09CE1
GT_WRITE_REG : OUT STD_LOGIC; -- 10DB4
FORCE_SHIFT_CHAR : OUT STD_LOGIC; -- 10DB4
FORCE_LC_SHIFT : OUT STD_LOGIC; -- 10DB4
SET_LOWER_CASE : OUT STD_LOGIC; -- 09CD4 09CB5
n1050_INTRV_REQ : OUT STD_LOGIC; -- 10BD4 04AA4
READY_SHARE : OUT STD_LOGIC; -- 10BD4 09CB4
TT5_POS_INTRV_REQ : OUT STD_LOGIC; -- 10DC4
-- Buses
TT_BUS: INOUT STD_LOGIC_VECTOR(0 to 7);
GTD_TT3: OUT STD_LOGIC;
DEBUG : INOUT DEBUG_BUS;
-- Clocks
T1,T2,T3,T4 : IN STD_LOGIC;
P1,P2,P3,P4 : IN STD_LOGIC
);
END n1050_DATA;
ARCHITECTURE FMD OF n1050_DATA IS
type ConversionAtoE is array(0 to 255) of STD_LOGIC_VECTOR(0 to 7);
signal ASCII_TO_EBCDIC : ConversionAtoE :=
(
character'Pos(cr) => "00010101",
character'Pos(lf) => "00100101",
character'Pos(' ') => "01000000",
character'Pos('.') => "01001011",
character'Pos('<') => "01001100",
character'Pos('(') => "01001101",
character'Pos('+') => "01001110",
character'Pos('&') => "01010000",
character'Pos('$') => "01011011",
character'Pos(')') => "01011101",
character'Pos(';') => "01011110",
character'Pos('-') => "01100000",
character'Pos('/') => "01100001",
character'Pos(',') => "01101011",
character'Pos('%') => "01101100",
character'Pos('>') => "01101110",
character'Pos('?') => "01101111",
character'Pos(':') => "01111010",
character'Pos('#') => "01111011",
character'Pos('@') => "01111100",
character'Pos('0') => "11110000", character'Pos('1') => "11110001", character'Pos('2') => "11110010",
character'Pos('3') => "11110011", character'Pos('4') => "11110100",
character'Pos('5') => "11110101", character'Pos('6') => "11110110", character'Pos('7') => "11110111",
character'Pos('8') => "11111000", character'Pos('9') => "11111001",
character'Pos('A') => "11000001", character'Pos('B') => "11000010", character'Pos('C') => "11000011",
character'Pos('D') => "11000100", character'Pos('E') => "11000101", character'Pos('F') => "11000110",
character'Pos('G') => "11000111", character'Pos('H') => "11001000", character'Pos('I') => "11001001",
character'Pos('J') => "11010001", character'Pos('K') => "11010010", character'Pos('L') => "11010011",
character'Pos('M') => "11010100", character'Pos('N') => "11010101", character'Pos('O') => "11010110",
character'Pos('P') => "11010111", character'Pos('Q') => "11011000", character'Pos('R') => "11011001",
character'Pos('S') => "11100010", character'Pos('T') => "11100011", character'Pos('U') => "11100100",
character'Pos('V') => "11100101", character'Pos('W') => "11100110", character'Pos('X') => "11100111",
character'Pos('Y') => "11101000", character'Pos('Z') => "11101001",
character'Pos('a') => "10000001", character'Pos('b') => "10000010", character'Pos('c') => "10000011",
character'Pos('d') => "10000100", character'Pos('e') => "10000101", character'Pos('f') => "10000110",
character'Pos('g') => "10000111", character'Pos('h') => "10001000", character'Pos('i') => "10001001",
character'Pos('j') => "10010001", character'Pos('k') => "10010010", character'Pos('l') => "10010011",
character'Pos('m') => "10010100", character'Pos('n') => "10010101", character'Pos('o') => "10010110",
character'Pos('p') => "10010111", character'Pos('q') => "10011000", character'Pos('r') => "10011001",
character'Pos('s') => "10100010", character'Pos('t') => "10100011", character'Pos('u') => "10100100",
character'Pos('v') => "10100101", character'Pos('w') => "10100110", character'Pos('x') => "10100111",
character'Pos('y') => "10101000", character'Pos('z') => "10101001",
others => "01101111");
type ConversionEtoA is array(0 to 255) of character;
signal EBCDIC_TO_ASCII : ConversionEtoA :=
(
2#00010101# => cr,
2#00100101# => lf,
2#01000000# => ' ',
2#01001011# => '.',
2#01001100# => '<',
2#01001101# => '(',
2#01001110# => '+',
2#01001111# => '|',
2#01010000# => '&',
2#01011010# => '!',
2#01011011# => '$',
2#01011100# => '*',
2#01011101# => ')',
2#01011110# => ';',
2#01011111# => '~',
2#01100000# => '-',
2#01100001# => '/',
2#01101011# => ',',
2#01101100# => '%',
2#01101101# => '_',
2#01101110# => '>',
2#01101111# => '?',
2#01111010# => ':',
2#01111011# => '#',
2#01111100# => '@',
2#01111101# => ''',
2#01111110# => '=',
2#01111111# => '"',
2#11110000# => '0', 2#11110001# => '1', 2#11110010# => '2', 2#11110011# => '3', 2#11110100# => '4',
2#11110101# => '5', 2#11110110# => '6', 2#11110111# => '7', 2#11111000# => '8', 2#11111001# => '9',
2#11000001# => 'A', 2#11000010# => 'B', 2#11000011# => 'C', 2#11000100# => 'D', 2#11000101# => 'E',
2#11000110# => 'F', 2#11000111# => 'G', 2#11001000# => 'H', 2#11001001# => 'I',
2#11010001# => 'J', 2#11010010# => 'K', 2#11010011# => 'L', 2#11010100# => 'M', 2#11010101# => 'N',
2#11010110# => 'O', 2#11010111# => 'P', 2#11011000# => 'Q', 2#11011001# => 'R',
2#11100010# => 'S', 2#11100011# => 'T', 2#11100100# => 'U', 2#11100101# => 'V', 2#11100110# => 'W',
2#11100111# => 'X', 2#11101000# => 'Y', 2#11101001# => 'Z',
2#10000001# => 'a', 2#10000010# => 'b', 2#10000011# => 'c', 2#10000100# => 'd', 2#10000101# => 'e',
2#10000110# => 'f', 2#10000111# => 'g', 2#10001000# => 'h', 2#10001001# => 'i',
2#10010001# => 'j', 2#10010010# => 'k', 2#10010011# => 'l', 2#10010100# => 'm', 2#10010101# => 'n',
2#10010110# => 'o', 2#10010111# => 'p', 2#10011000# => 'q', 2#10011001# => 'r',
2#10100010# => 's', 2#10100011# => 't', 2#10100100# => 'u', 2#10100101# => 'v', 2#10100110# => 'w',
2#10100111# => 'x', 2#10101000# => 'y', 2#10101001# => 'z',
others => '?');
signal sGT_1050_BUS_OUT, sGT_1050_TAGS_OUT : STD_LOGIC;
signal sSET_LOWER_CASE : STD_LOGIC;
signal sTE_LCH : STD_LOGIC;
signal sSET_LOW_CASE : STD_LOGIC;
signal sDATA_REG : STD_LOGIC_VECTOR(0 to 7);
signal sNPL_BITS : STD_LOGIC_VECTOR(0 to 7);
signal GT_1050_BUS_TO_A, GT_1050_TAGS_TO_A : STD_LOGIC;
signal sTAGS_OUT : STD_LOGIC_VECTOR(0 to 7);
signal DATA_REG_LATCH : STD_LOGIC;
signal DATA_REG_IN : STD_LOGIC_VECTOR(0 to 7);
signal TI_P_BIT : STD_LOGIC;
signal sPTT_BITS : STD_LOGIC_VECTOR(0 to 6);
signal sGTD_TT3 : STD_LOGIC;
signal CE_TE_LCH_SET : STD_LOGIC;
signal TE_LCH_SET, TE_LCH_RESET : STD_LOGIC;
signal sGT_WRITE_REG : STD_LOGIC;
signal WR_SHARE_REQ_SET, WR_SHARE_REQ_RESET,sWR_SHARE_REQ : STD_LOGIC;
signal ALLOW_STROBE_SET, ALLOW_STROBE_RESET, sALLOW_STROBE : STD_LOGIC;
signal SHIFT_SET, SHIFT_RESET : STD_LOGIC;
signal sSHIFT : STD_LOGIC := '0';
signal INTRV_REQ_SET, INTRV_REQ_RESET, sINTRV_REQ : STD_LOGIC;
signal n1050_INTRV_REQ_RESET : STD_LOGIC;
signal NOT_OPER_RESET : STD_LOGIC;
signal NOT_OPER : STD_LOGIC := '0';
signal RDY_SHARE_SET, RDY_SHARE_RESET, sRDY_SHARE : STD_LOGIC;
signal CancelCode : STD_LOGIC;
signal NOT_n1050_OPER : STD_LOGIC;
BEGIN
-- Fig 5-10C
GT_1050_BUS_TO_A <= (E_SW_SEL_BUS.TI_SEL and USE_MANUAL_DECODER) or
(USE_ALT_CA_DECODER and not GTD_CA_BITS(0) and GTD_CA_BITS(1) and GTD_CA_BITS(2) and GTD_CA_BITS(3)); -- AB3C7 AA=1 CA=0111
GT_1050_TAGS_TO_A <= (E_SW_SEL_BUS.TT_SEL and USE_MANUAL_DECODER) or
(USE_BASIC_CA_DECO and not GTD_CA_BITS(0) and not GTD_CA_BITS(1) and not GTD_CA_BITS(2) and GTD_CA_BITS(3)); -- AA2C6 AA=0 CA=0001
A_REG_BUS <= not(((sNPL_BITS & TI_P_BIT) and (0 to 8=>GT_1050_BUS_TO_A)) or ((TT_BUS & '0') and (0 to 8=>GT_1050_TAGS_TO_A))); -- AC2E2 - Note: Inverted
DATA_REG_PH: PHV8 port map(D=>DATA_REG_IN,L=>DATA_REG_LATCH,Q=>sDATA_REG); -- AC3B2
DATA_REG_BUS <= sDATA_REG;
DATA_REG_LATCH <= (CE_DATA_ENTER_GT and CE_TE_DECODE) or (RD_OR_RD_INQ and W_TIME) or (T3 and sGT_1050_BUS_OUT) or not RUN; -- AC3P5
TAGS_OUT <= DATA_REG_IN; -- ?
sGT_1050_BUS_OUT <= GT_1050_BUS_OUT; -- AC2D6
sGT_1050_TAGS_OUT <= GT_1050_TAGS_OUT; -- AC2M4
DATA_REG_IN <= (Z_BUS(0 to 7) and (0 to 7=>(sGT_1050_BUS_OUT or sGT_1050_TAGS_OUT)))
or (CE_BITS and (0 to 7=>CE_GT_TA_OR_TE))
or (('0' & PCH_BITS) and (0 to 7=>(CLOCK_1 and PCH_1_CLUTCH))); -- AC2B4 AC2H6 AC2M6 AC2M2
sGTD_TT3 <= TT_BUS(3) and n1050_CE_MODE; -- AC2H5 AC2L4
GTD_TT3 <= sGTD_TT3;
TT_BUS(7) <= EVENPARITY(sDATA_REG(1 to 7)) and WR_LCH and RUN and not TT_BUS(0); -- AC2E4 AC2J2
-- CancelCode <= '1' when sDATA_REG(1 to 7)="1100000" else '0'; -- DATA_REG=X1100000
CancelCode <= '1' when sDATA_REG(1 to 7)="0010101" else '0'; -- DATA_REG (ASCII) = 15 = ^U
TT_BUS(0) <= CancelCode and PROCEED_LCH and TT_BUS(4); -- AL2F5 AC2D6
-- The following converts the card code CBA8421 on the DATA_REG bus to EBCDIC
-- C P P P P
-- B 0 0 1 1
-- A 0 1 0 1
-- =====================
-- 0 =40 @=7C -=60 &=50
-- 1 1=F1 /=61 j=91 a=81
-- 2 2=F2 s=A2 k=92 b=82
-- 3 3=F3 t=A3 l=93 c=83
-- 4 4=F4 u=A4 m=94 d=84
-- 5 5=F5 v=A5 n=95 e=85
-- 6 6=F6 w=A6 o=96 f=86
-- 7 7=F7 x=A7 p=97 g=87
-- 8 8=F8 y=A8 q=98 h=88
-- 9 9=F9 z=A9 r=99 i=89
-- A 0=FA CAN
-- B #=7B ,=6B $=5B .=4B
-- C
-- D CR
-- E UC EOB LC
-- F
-- For the purposes of this project, this will convert ASCII on CBA8421 into EBCDIC in MPL
-- sNPL_BITS(0) <= 0; -- AC3J2
-- sNPL_BITS(1) <= 0; -- AC3J2
-- sNPL_BITS(2) <= 0; -- AC3K2
-- sNPL_BITS(3) <= 0; -- AC3H2
-- sNPL_BITS(4) <= 0; -- AC3H2
-- sNPL_BITS(5) <= 0; -- AC3K2
-- sNPL_BITS(6) <= 0; -- AC3J2
-- sNPL_BITS(7) <= 0; -- AC3J2
sNPL_BITS <= ASCII_TO_EBCDIC(Conv_Integer(sDATA_REG));
-- sNPL_BITS <= STD_LOGIC_VECTOR(to_unsigned(Conv_Integer(sDATA_REG),8)); -- * * Temporary debug - no translation
NPL_BITS <= sNPL_BITS;
TI_P_BIT <= EVENPARITY(sNPL_BITS(0 to 7)); -- AC2G4
-- The following converts EBCDIC on the DATA_REG bus to card code CBA8421
-- For the purposes of this project, this will convert EBCDIC in DATA_REG into ASCII in PTT
-- sPTT_BIT_C <= EVEN_PARITY(...); -- C AC3G4
-- sPTT_BIT_B <= 0; -- AC3H2
-- sPTT_BIT_A <= 0; -- AC3K2
-- sPTT_BIT_8 <= 0; -- AC3G2
-- sPTT_BIT_4 <= 0; -- AC3G2
-- sPTT_BIT_2 <= 0; -- AC3G2
-- sPTT_BIT_1 <= 0; -- AC3G2
sPTT_BITS <= STD_LOGIC_VECTOR(to_unsigned(Character'Pos(EBCDIC_TO_ASCII(Conv_Integer(sDATA_REG))),7));
PTT_BITS <= sPTT_BITS;
CE_TE_LCH_SET <= (CE_DATA_ENTER_GT and CE_TE_DECODE) and n1050_OP_IN and CLOCK_1; -- AC2D7 AC2L6 ?? Ignore NOT in AC2M4
TE_LCH_SET <= CE_TE_LCH_SET or (CE_RUN_MODE and CE_TE_DECODE) or (sGT_1050_BUS_OUT and T4); -- AC2J7
sGT_WRITE_REG <= (Z_TIME and sALLOW_STROBE and not sSHIFT); -- AC2C6
GT_WRITE_REG <= sGT_WRITE_REG; -- AC2M4 AC2H6
TE_LCH_RESET <= sSET_LOWER_CASE or sGT_WRITE_REG;
TE_LCH_FL: entity FLL port map(S=>TE_LCH_SET,R=>TE_LCH_RESET,Q=>sTE_LCH); -- AC2B6
TE_LCH <= sTE_LCH;
WR_SHARE_REQ_SET <= not n1050_RST_LCH and W_TIME and WR_LCH and not sTE_LCH;
WR_SHARE_REQ_RESET <= RST_ATTACH or SHARE_REQ_RST;
WR_SHARE_REQ_FL: entity FLL port map(S=>WR_SHARE_REQ_SET,R=>WR_SHARE_REQ_RESET,Q=>sWR_SHARE_REQ); -- AC2K5 AC2D6
WR_SHARE_REQ <= sWR_SHARE_REQ;
ALLOW_STROBE_SET <= RDR_1_CLUTCH and Y_TIME and sTE_LCH;
ALLOW_STROBE_RESET <= sSET_LOWER_CASE or (Y_TIME and not RDR_1_CLUTCH) or X_TIME;
ALLOW_STROBE_FL: entity FLL port map(S=>ALLOW_STROBE_SET,R=>ALLOW_STROBE_RESET,Q=>sALLOW_STROBE); -- AC2B6
ALLOW_STROBE <= sALLOW_STROBE;
SHIFT_SET <= (n1050_CE_MODE and SET_SHIFT_LCH) or (SET_SHIFT_LCH and sTE_LCH and Y_TIME);
SHIFT_RESET <= X_TIME or sSET_LOWER_CASE;
SHIFT_FL: entity FLL port map(S=>SHIFT_SET,R=>SHIFT_RESET,Q=>sSHIFT); -- AC2B6
FORCE_SHIFT_CHAR <= (UC_CHARACTER and Z_TIME and sSHIFT) or (sSHIFT and Z_TIME and LC_CHARACTER); -- AC2C6
FORCE_LC_SHIFT <= (sSHIFT and Z_TIME and LC_CHARACTER); -- AC2D6 ?? not?
sSET_LOWER_CASE <= TA_REG_SET or RST_ATTACH; -- AC2C6 AC2D6
SET_LOWER_CASE <= sSET_LOWER_CASE;
INTRV_REQ_SET <= (not n1050_OPER and READ_INQ and not RD_SHARE_REQ_LCH)
or (not RD_SHARE_REQ_LCH and READ and (not TT_BUS(1) or not TT_BUS(3))) -- AC2G6 AC2H5
or ( WRITE_MODE
and not RESTORE
and not Z_TIME
and not TA_REG_SET
and (not TT_BUS(3) or not OUTPUT_SEL_AND_READY)
and (not CE_DATA_ENTER_GT or not n1050_CE_MODE)); -- AC2E5 AC2K7
INTRV_REQ_RESET <= SHARE_REQ_RST or RST_ATTACH; -- AC2H5 AC2H3
INTRV_REQ_FL: entity FLL port map(S=>INTRV_REQ_SET,R=>INTRV_REQ_RESET,Q=>sINTRV_REQ); -- AC2G6 AC2H3
TT5_POS_INTRV_REQ <= sINTRV_REQ;
n1050_INTRV_REQ_RESET <= n1050_CE_MODE or (Z_BUS(0) and GT_1050_TAGS_OUT) or (GT_1050_TAGS_OUT and Z_BUS(3)) or RST_ATTACH or sRDY_SHARE;
n1050_INTRV_REQ_FL: entity FLL port map(S=>sINTRV_REQ,R=>n1050_INTRV_REQ_RESET,Q=>n1050_INTRV_REQ); -- AC2K3 AC2H4
NOT_OPER_RESET <= RUN or sRDY_SHARE;
NOT_n1050_OPER <= not n1050_OPER;
NOT_OPER_FL: entity FLL port map(S=>NOT_n1050_OPER,R=>NOT_OPER_RESET,Q=>NOT_OPER); -- AC2G5 ?? Set input inverted
RDY_SHARE_SET <= not sINTRV_REQ and TT_BUS(3) and NOT_OPER; -- AC2J7
RDY_SHARE_RESET <= INTRV_REQ_RESET or RUN or TA_REG_POS_6_ATTN_RST;
RDY_SHARE_FL: entity FLL port map(S=>RDY_SHARE_SET,R=>RDY_SHARE_RESET,Q=>sRDY_SHARE); -- AC2F6 AC2E5
READY_SHARE <= sRDY_SHARE;
with DEBUG.Selection select
DEBUG.Probe <=
sDATA_REG(0) when 0,
sDATA_REG(1) when 1,
sDATA_REG(2) when 2,
sDATA_REG(3) when 3,
sDATA_REG(4) when 4,
sDATA_REG(5) when 5,
sDATA_REG(6) when 6,
sDATA_REG(7) when 7,
sNPL_BITS(0) when 8,
sNPL_BITS(1) when 9,
sNPL_BITS(2) when 10,
sNPL_BITS(3) when 11,
sNPL_BITS(4) when 12,
sNPL_BITS(5) when 13,
sNPL_BITS(6) when 14,
sNPL_BITS(7) when 15;
END FMD;
|
gpl-3.0
|
ibm2030/IBM2030
|
FMD2030_5-05D.vhd
|
1
|
9144
|
---------------------------------------------------------------------------
-- Copyright 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-05D.vhd
-- Creation Date: 22:26:31 18/04/05
-- Description:
-- Read/Write Storage Clocks for 1st 32k
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-13
-- Initial Release
-- Revision 1.1 2012-04-07
-- Changed for 64k storage: START_1ST_32K triggered for 1st *and* 2nd 32k
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
ENTITY RWStgClk1st32k IS
port
(
-- Inputs
ALLOW_WRITE : IN STD_LOGIC; -- 03D
CPU_READ_PWR : IN STD_LOGIC; -- 04D
SEL_RD_CALL : IN STD_LOGIC; -- 12C
MAN_RD_CALL : IN STD_LOGIC; -- 03D
ROAR_RESTT_AND_STOR_BYPASS : IN STD_LOGIC; -- 04B
SEL_WR_CALL : IN STD_LOGIC; -- 12C
MAN_WR_CALL : IN STD_LOGIC; -- 03D
CPU_WRITE_PWR : IN STD_LOGIC; -- 04D
EARLY_LOCAL_STG : IN STD_LOGIC; -- 04D
EARLY_M_REG_0 : IN STD_LOGIC; -- 07B
M_REG_0 : IN STD_LOGIC; -- 07B
MACH_RST_SW : IN STD_LOGIC; -- 03D
-- Outputs
READ_CALL : OUT STD_LOGIC; -- 03A,03B
USE_LOCAL_MAIN_MEM : OUT STD_LOGIC; -- 06D
USE_MAIN_MEMORY : OUT STD_LOGIC; -- 06D
READ_ECHO_1, READ_ECHO_2 : OUT STD_LOGIC; -- 03D
DATA_READY_1, DATA_READY_2 : OUT STD_LOGIC; -- 03A 03B
WRITE_ECHO_1, WRITE_ECHO_2 : OUT STD_LOGIC; -- 03D
-- Debug
DEBUG1,DEBUG2,DEBUG3,DEBUG4 : OUT STD_LOGIC;
DEBUG : OUT STD_LOGIC;
DBG_TD1_1, DBG_TD1_2 : OUT STD_LOGIC_VECTOR(1 to 38);
DBG_RD_OR_WR_SET1,DBG_RD_OR_WR_RST1 : OUT STD_LOGIC;
-- Clocks
T1,T2,T3,T4 : IN STD_LOGIC;
CLK : IN STD_LOGIC -- 50MHz / 20ns
);
END RWStgClk1st32k;
ARCHITECTURE FMD OF RWStgClk1st32k IS
signal START_RD,START_WR : STD_LOGIC;
signal START_1ST_32K, START_2ND_32K : STD_LOGIC;
signal READ_CALL_TO_MEM,WRITE_CALL_TO_MEM : STD_LOGIC;
signal sREAD_CALL : STD_LOGIC;
signal sUSE_LOCAL_MAIN_MEM : STD_LOGIC;
signal USE_LOCAL_Set,USE_LOCAL_Reset : STD_LOGIC;
signal TD1 : STD_LOGIC_VECTOR(1 to 38) := (others=>'0'); -- 20ns steps 20 to 740ns
signal RD_OR_WR_RST1, RD_OR_WR_SET1, nRD_OR_WR_SET1, CTRL_R_WIDTH1, TD1IN : STD_LOGIC;
signal TD1_80, TD1_150, TD1_200, TD1_500, TD1_560, TD1_660, TD1_680, TD1_700 : STD_LOGIC;
signal RD_OR_WR_SET1_RESET, dRD_OR_WR_SET1_RESET, CTRL_R_WIDTH1_RESET : STD_LOGIC;
signal READ_ECHO_1_SET, READ_ECHO_1_RESET, READ_ECHO_2_RESET : STD_LOGIC;
signal WRITE_ECHO_1_SET : STD_LOGIC;
signal WRITE_ECHO_1_RESET : STD_LOGIC;
signal READ_RST_SET1, READ_RST_SET2 : STD_LOGIC;
signal READ_RST_RESET1, READ_RST_RESET2 : STD_LOGIC;
signal RD_RST_CTRL1 : STD_LOGIC;
signal WRITE_RST_SET1 : STD_LOGIC;
signal WRITE_RST_RESET1 : STD_LOGIC;
signal WR_RST_CTRL1 : STD_LOGIC;
signal SET_READ_LCHS1 : STD_LOGIC;
signal DATA_READY1_SET, DATA_READY1_RESET : STD_LOGIC;
signal SET_READ_LCHS1_RESET : STD_LOGIC;
signal dT1 : STD_LOGIC;
signal sDATA_READY_1 : STD_LOGIC;
BEGIN
-- Fig 5-05D
START_RD <= not ALLOW_WRITE and CPU_READ_PWR and T1; -- AA1K4
START_WR <= ALLOW_WRITE and CPU_WRITE_PWR and T1; -- AA1K4
sREAD_CALL <= START_RD or SEL_RD_CALL or MAN_RD_CALL; -- AA1J2
READ_CALL <= sREAD_CALL;
READ_CALL_TO_MEM <= sREAD_CALL and not ROAR_RESTT_AND_STOR_BYPASS; -- AA1J3,AA1C2
WRITE_CALL_TO_MEM <= (MAN_WR_CALL or SEL_WR_CALL or START_WR) and not ROAR_RESTT_AND_STOR_BYPASS; -- AA1J2,AA1J3
USE_LOCAL_Set <= EARLY_LOCAL_STG and READ_CALL_TO_MEM;
USE_LOCAL_Reset <= not EARLY_LOCAL_STG and READ_CALL_TO_MEM;
USE_LOCAL: entity work.FLL port map(USE_LOCAL_Set,USE_LOCAL_Reset,sUSE_LOCAL_MAIN_MEM); -- CB1E2
USE_LOCAL_MAIN_MEM <= sUSE_LOCAL_MAIN_MEM;
USE_MAIN_MEMORY <= not sUSE_LOCAL_MAIN_MEM; -- CB1H2
-- START_1ST_32K <= (not EARLY_M_REG_0 and READ_CALL_TO_MEM) or (READ_CALL_TO_MEM and EARLY_LOCAL_STG) or (not M_REG_0 and WRITE_CALL_TO_MEM) or (WRITE_CALL_TO_MEM and sUSE_LOCAL_MAIN_MEM); -- CB1E2
-- START_2ND_32K <= (READ_CALL_TO_MEM and EARLY_M_REG_0 and not sUSE_LOCAL_MAIN_MEM) or (WRITE_CALL_TO_MEM and M_REG_0 and not sUSE_LOCAL_MAIN_MEM); -- CB1E2
START_1ST_32K <= READ_CALL_TO_MEM or WRITE_CALL_TO_MEM; -- CB1E2 combined 1st & 2nd 32k
-- Generate timing signals relative to START_xxx_32K
-- READ_ECHO_n ON at 150ns OFF at 720ns (or MACH_RST_SW)
-- WRITE_ECHO_n ON at 150ns OFF at 720ns (or MACH_RST_SW)
-- DATA_READY_n ON at 640ns OFF at 700ns (or MACH_RST_SW)
-- First 32K
TD1_80 <= TD1(4); -- 80ns
TD1_150 <= TD1(8); -- 160ns
TD1_200 <= TD1(10); -- 200ns
TD1_500 <= TD1(25); -- 500ns
TD1_560 <= TD1(28); -- 560ns
TD1_660 <= TD1(33); -- 660ns
TD1_680 <= TD1(34); -- 680ns
TD1_700 <= TD1(35); -- 700ns
nRD_OR_WR_SET1 <= not RD_OR_WR_SET1;
RD_OR_WR_RST1_FL: entity work.FLL port map(TD1_80, nRD_OR_WR_SET1, RD_OR_WR_RST1);
RD_OR_WR_SET1_RESET <= RD_OR_WR_RST1 or MACH_RST_SW;
-- The delay is to prevent a combinatorial loop:
Delay_RD_OR_WR_SET1_RESET: AR port map (D=>RD_OR_WR_SET1_RESET, clk=>Clk, Q=>dRD_OR_WR_SET1_RESET);
RD_OR_WR_SET1_FL: entity work.FLL port map(START_1ST_32K, dRD_OR_WR_SET1_RESET, RD_OR_WR_SET1);
TD1IN <= not RD_OR_WR_RST1 and RD_OR_WR_SET1;
-- READ CLOCK 0
READ_ECHO_1_SET <= TD1_150 and SET_READ_LCHS1;
READ_ECHO_1_RESET <= MACH_RST_SW or (TD1_680 and RD_RST_CTRL1);
READ_ECHO_1_FL: entity work.FLL port map(READ_ECHO_1_SET, READ_ECHO_1_RESET, READ_ECHO_1); -- 150 to 680ns
-- READ CLOCK 4
DATA_READY1_SET <= TD1_560 and SET_READ_LCHS1;
DATA_READY1_RESET <= MACH_RST_SW or (TD1_660 and RD_RST_CTRL1);
DATA_READY1_FL: entity work.FLL port map(DATA_READY1_SET, DATA_READY1_RESET, sDATA_READY_1); -- 560 to 660ns
DATA_READY_1 <= sDATA_READY_1;
-- READ CLOCK 5
READ_RST_SET1 <= TD1_500 and SET_READ_LCHS1;
READ_RST_RESET1 <= MACH_RST_SW or TD1_700;
READ_RST1_FL: entity work.FLL port map(READ_RST_SET1, READ_RST_RESET1, RD_RST_CTRL1); -- 500 to 700ns
-- WRITE CLOCK 0
WRITE_ECHO_1_SET <= TD1_150 and not SET_READ_LCHS1;
WRITE_ECHO_1_RESET <= MACH_RST_SW or (TD1_680 and WR_RST_CTRL1);
WRITE_ECHO_1_FL: entity work.FLL port map(WRITE_ECHO_1_SET, WRITE_ECHO_1_RESET, WRITE_ECHO_1); -- 150 to 680ns
-- WRITE CLOCK 4
SET_READ_LCHS1_RESET <= MACH_RST_SW or WRITE_CALL_TO_MEM; -- ??
SET_READ_LCHS1_FL: entity work.FLL port map(READ_CALL_TO_MEM, SET_READ_LCHS1_RESET, SET_READ_LCHS1); -- RD CALL to WR CALL
-- WRITE CLOCK 5
WRITE_RST_SET1 <= TD1_500 and not SET_READ_LCHS1;
WRITE_RST_RESET1 <= MACH_RST_SW or TD1_150; -- 150ns or 1050ns or 1500ns?
WRITE_RST1_FL: entity work.FLL port map(WRITE_RST_SET1, WRITE_RST_RESET1, WR_RST_CTRL1); -- 500 to 700ns??
-- Second 32K
READ_ECHO_2 <= '0';
DATA_READY_2 <= '0';
WRITE_ECHO_2 <= '0';
-- Debug
DEBUG <= START_RD;
DBG_TD1_1 <= TD1;
DBG_RD_OR_WR_SET1 <= RD_OR_WR_SET1;
DBG_RD_OR_WR_RST1 <= RD_OR_WR_RST1;
delayLine: process(CLK)
begin
if (rising_edge(CLK)) then
TD1 <= TD1IN & TD1(1 to TD1'right-1);
end if;
end process;
-- Debug latch
R_DEBUG: process (clk,T1,TD1IN)
begin
if rising_edge(clk) then
if T1='1' and dT1='0' then
DEBUG1 <= '0'; -- Reset on rising edge of T1
else if (sDATA_READY_1 and T1)='1' then
DEBUG1 <= '1'; -- Set on any DATA_READY
end if;
end if;
if T1='1' and dT1='0' then
DEBUG2 <= '0'; -- Reset on rising edge of T1
else if (sDATA_READY_1 and T2)='1' then
DEBUG2 <= '1'; -- Set on any DATA_READY
end if;
end if;
if T1='1' and dT1='0' then
DEBUG3 <= '0'; -- Reset on rising edge of T1
else if (sDATA_READY_1 and T3)='1' then
DEBUG3 <= '1'; -- Set on any DATA_READY
end if;
end if;
if T1='1' and dT1='0' then
DEBUG4 <= '0'; -- Reset on rising edge of T1
else if (sDATA_READY_1 and T4)='1' then
DEBUG4 <= '1'; -- Set on any DATA_READY
end if;
end if;
dT1 <= T1;
end if;
end process;
END FMD;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-1.11/1.11a/ucecho/fpga/ucecho.vhd
|
42
|
580
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ucecho is
port(
pc : in unsigned(7 downto 0);
pb : out unsigned(7 downto 0);
CLK : in std_logic
);
end ucecho;
architecture RTL of ucecho is
--signal declaration
signal pb_buf : unsigned(7 downto 0);
begin
dpUCECHO: process(CLK)
begin
if CLK' event and CLK = '1' then
if ( pc >= 97 ) and ( pc <= 122)
then
pb_buf <= pc - 32;
else
pb_buf <= pc;
end if;
pb <= pb_buf;
end if;
end process dpUCECHO;
end RTL;
|
gpl-3.0
|
freecores/usb_fpga_1_15
|
examples/usb-fpga-2.04/2.04b/memtest/fpga/memtest.vhd
|
3
|
24037
|
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity memtest is
port(
FXCLK : in std_logic;
RESET_IN : in std_logic;
IFCLK : in std_logic;
-- FX2 FIFO
FD : out std_logic_vector(15 downto 0);
SLOE : out std_logic;
SLRD : out std_logic;
SLWR : out std_logic;
FIFOADR0 : out std_logic;
FIFOADR1 : out std_logic;
PKTEND : out std_logic;
FLAGB : in std_logic;
PA3 : in std_logic;
-- errors ...
LED1 : out std_logic_vector(9 downto 0);
-- DDR-SDRAM
mcb3_dram_dq : inout std_logic_vector(15 downto 0);
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_a : out std_logic_vector(12 downto 0);
mcb3_dram_ba : out std_logic_vector(1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end memtest;
architecture RTL of memtest is
component mem0
generic (
C3_P0_MASK_SIZE : integer := 4;
C3_P0_DATA_PORT_SIZE : integer := 32;
C3_P1_MASK_SIZE : integer := 4;
C3_P1_DATA_PORT_SIZE : integer := 32;
C3_MEMCLK_PERIOD : integer := 5000;
C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
C3_RST_ACT_LOW : integer := 0;
C3_CALIB_SOFT_IP : string := "FALSE";
C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
C3_NUM_DQ_PINS : integer := 16;
C3_MEM_ADDR_WIDTH : integer := 13;
C3_MEM_BANKADDR_WIDTH : integer := 2
);
port (
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_cke : out std_logic;
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_rzq : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_n : in std_logic;
c3_calib_done : out std_logic;
c3_clk0 : out std_logic;
c3_rst0 : out std_logic;
c3_p0_cmd_clk : in std_logic;
c3_p0_cmd_en : in std_logic;
c3_p0_cmd_instr : in std_logic_vector(2 downto 0);
c3_p0_cmd_bl : in std_logic_vector(5 downto 0);
c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p0_cmd_empty : out std_logic;
c3_p0_cmd_full : out std_logic;
c3_p0_wr_clk : in std_logic;
c3_p0_wr_en : in std_logic;
c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0);
c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_wr_full : out std_logic;
c3_p0_wr_empty : out std_logic;
c3_p0_wr_count : out std_logic_vector(6 downto 0);
c3_p0_wr_underrun : out std_logic;
c3_p0_wr_error : out std_logic;
c3_p0_rd_clk : in std_logic;
c3_p0_rd_en : in std_logic;
c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0);
c3_p0_rd_full : out std_logic;
c3_p0_rd_empty : out std_logic;
c3_p0_rd_count : out std_logic_vector(6 downto 0);
c3_p0_rd_overflow : out std_logic;
c3_p0_rd_error : out std_logic;
c3_p1_cmd_clk : in std_logic;
c3_p1_cmd_en : in std_logic;
c3_p1_cmd_instr : in std_logic_vector(2 downto 0);
c3_p1_cmd_bl : in std_logic_vector(5 downto 0);
c3_p1_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p1_cmd_empty : out std_logic;
c3_p1_cmd_full : out std_logic;
c3_p1_wr_clk : in std_logic;
c3_p1_wr_en : in std_logic;
c3_p1_wr_mask : in std_logic_vector(C3_P1_MASK_SIZE - 1 downto 0);
c3_p1_wr_data : in std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_wr_full : out std_logic;
c3_p1_wr_empty : out std_logic;
c3_p1_wr_count : out std_logic_vector(6 downto 0);
c3_p1_wr_underrun : out std_logic;
c3_p1_wr_error : out std_logic;
c3_p1_rd_clk : in std_logic;
c3_p1_rd_en : in std_logic;
c3_p1_rd_data : out std_logic_vector(C3_P1_DATA_PORT_SIZE - 1 downto 0);
c3_p1_rd_full : out std_logic;
c3_p1_rd_empty : out std_logic;
c3_p1_rd_count : out std_logic_vector(6 downto 0);
c3_p1_rd_overflow : out std_logic;
c3_p1_rd_error : out std_logic;
c3_p2_cmd_clk : in std_logic;
c3_p2_cmd_en : in std_logic;
c3_p2_cmd_instr : in std_logic_vector(2 downto 0);
c3_p2_cmd_bl : in std_logic_vector(5 downto 0);
c3_p2_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p2_cmd_empty : out std_logic;
c3_p2_cmd_full : out std_logic;
c3_p2_wr_clk : in std_logic;
c3_p2_wr_en : in std_logic;
c3_p2_wr_mask : in std_logic_vector(3 downto 0);
c3_p2_wr_data : in std_logic_vector(31 downto 0);
c3_p2_wr_full : out std_logic;
c3_p2_wr_empty : out std_logic;
c3_p2_wr_count : out std_logic_vector(6 downto 0);
c3_p2_wr_underrun : out std_logic;
c3_p2_wr_error : out std_logic;
c3_p3_cmd_clk : in std_logic;
c3_p3_cmd_en : in std_logic;
c3_p3_cmd_instr : in std_logic_vector(2 downto 0);
c3_p3_cmd_bl : in std_logic_vector(5 downto 0);
c3_p3_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p3_cmd_empty : out std_logic;
c3_p3_cmd_full : out std_logic;
c3_p3_rd_clk : in std_logic;
c3_p3_rd_en : in std_logic;
c3_p3_rd_data : out std_logic_vector(31 downto 0);
c3_p3_rd_full : out std_logic;
c3_p3_rd_empty : out std_logic;
c3_p3_rd_count : out std_logic_vector(6 downto 0);
c3_p3_rd_overflow : out std_logic;
c3_p3_rd_error : out std_logic;
c3_p4_cmd_clk : in std_logic;
c3_p4_cmd_en : in std_logic;
c3_p4_cmd_instr : in std_logic_vector(2 downto 0);
c3_p4_cmd_bl : in std_logic_vector(5 downto 0);
c3_p4_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p4_cmd_empty : out std_logic;
c3_p4_cmd_full : out std_logic;
c3_p4_wr_clk : in std_logic;
c3_p4_wr_en : in std_logic;
c3_p4_wr_mask : in std_logic_vector(3 downto 0);
c3_p4_wr_data : in std_logic_vector(31 downto 0);
c3_p4_wr_full : out std_logic;
c3_p4_wr_empty : out std_logic;
c3_p4_wr_count : out std_logic_vector(6 downto 0);
c3_p4_wr_underrun : out std_logic;
c3_p4_wr_error : out std_logic;
c3_p5_cmd_clk : in std_logic;
c3_p5_cmd_en : in std_logic;
c3_p5_cmd_instr : in std_logic_vector(2 downto 0);
c3_p5_cmd_bl : in std_logic_vector(5 downto 0);
c3_p5_cmd_byte_addr : in std_logic_vector(29 downto 0);
c3_p5_cmd_empty : out std_logic;
c3_p5_cmd_full : out std_logic;
c3_p5_rd_clk : in std_logic;
c3_p5_rd_en : in std_logic;
c3_p5_rd_data : out std_logic_vector(31 downto 0);
c3_p5_rd_full : out std_logic;
c3_p5_rd_empty : out std_logic;
c3_p5_rd_count : out std_logic_vector(6 downto 0);
c3_p5_rd_overflow : out std_logic;
c3_p5_rd_error : out std_logic
);
end component;
signal fxclk_buf : std_logic;
signal CLK : std_logic;
signal RESET0 : std_logic; -- released after dcm0 is ready
signal RESET : std_logic; -- released after MCB is ready
signal DCM0_LOCKED : std_logic;
--signal DCM0_CLK_VALID : std_logic;
----------------------------
-- test pattern generator --
----------------------------
signal GEN_CNT : std_logic_vector(29 downto 0);
signal GEN_PATTERN : std_logic_vector(29 downto 0);
signal FIFO_WORD : std_logic;
-----------------------
-- memory controller --
-----------------------
signal MEM_CLK : std_logic;
signal C3_CALIB_DONE : std_logic;
signal C3_RST0 : std_logic;
---------------
-- DRAM FIFO --
---------------
signal WR_CLK : std_logic;
signal WR_CMD_EN : std_logic_vector(2 downto 0);
type WR_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal WR_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal WR_ADDR : std_logic_vector(17 downto 0); -- in 256 bytes burst blocks
signal WR_EN : std_logic_vector(2 downto 0);
signal WR_EN_TMP : std_logic_vector(2 downto 0);
signal WR_DATA : std_logic_vector(31 downto 0);
signal WR_EMPTY : std_logic_vector(2 downto 0);
signal WR_UNDERRUN : std_logic_vector(2 downto 0);
signal WR_ERROR : std_logic_vector(2 downto 0);
type WR_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal WR_COUNT : WR_COUNT_ARRAY;
signal WR_PORT : std_logic_vector(1 downto 0);
signal RD_CLK : std_logic;
signal RD_CMD_EN : std_logic_vector(2 downto 0);
type RD_CMD_ADDR_ARRAY is array(2 downto 0) of std_logic_vector(29 downto 0);
signal RD_CMD_ADDR : WR_CMD_ADDR_ARRAY;
signal RD_ADDR : std_logic_vector(17 downto 0); -- in 256 bytes burst blocks
signal RD_EN : std_logic_vector(2 downto 0);
type RD_DATA_ARRAY is array(2 downto 0) of std_logic_vector(31 downto 0);
signal RD_DATA : RD_DATA_ARRAY;
signal RD_EMPTY : std_logic_vector(2 downto 0);
signal RD_OVERFLOW : std_logic_vector(2 downto 0);
signal RD_ERROR : std_logic_vector(2 downto 0);
signal RD_PORT : std_logic_vector(1 downto 0);
type RD_COUNT_ARRAY is array(2 downto 0) of std_logic_vector(6 downto 0);
signal RD_COUNT : RD_COUNT_ARRAY;
signal FD_TMP : std_logic_vector(15 downto 0);
signal RD_ADDR2 : std_logic_vector(17 downto 0); -- 256 bytes burst block currently beeing read
signal RD_ADDR2_BAK1 : std_logic_vector(17 downto 0); -- backup for synchronization
signal RD_ADDR2_BAK2 : std_logic_vector(17 downto 0); -- backup for synchronization
signal WR_ADDR2 : std_logic_vector(17 downto 0); -- 256 bytes burst block currently beeing written
signal WR_ADDR2_BAK1 : std_logic_vector(17 downto 0); -- backup for synchronization
signal WR_ADDR2_BAK2 : std_logic_vector(17 downto 0); -- backup for synchronization
signal RD_STOP : std_logic;
begin
clkin_buf : IBUFG
port map (
O => FXCLK_BUF,
I => FXCLK
);
dcm0 : DCM_CLKGEN
generic map (
CLKFX_DIVIDE => 6,
CLKFX_MULTIPLY => 25,
CLKFXDV_DIVIDE => 4,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 20.83333,
CLKFX_MD_MAX => 0.000
)
port map (
CLKIN => FXCLK_BUF,
CLKFX => MEM_CLK,
CLKFX180 => open,
CLKFXDV => CLK,
LOCKED => DCM0_LOCKED,
PROGDONE => open,
STATUS => open,
FREEZEDCM => '0',
PROGCLK => '0',
PROGDATA => '0',
PROGEN => '0',
RST => '0'
);
inst_mem0 : mem0 port map (
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs => mcb3_dram_dqs,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => mcb3_rzq,
c3_sys_clk => MEM_CLK,
c3_sys_rst_n => RESET0,
c3_clk0 => open,
c3_rst0 => C3_RST0,
c3_calib_done => C3_CALIB_DONE,
c3_p0_cmd_clk => WR_CLK,
c3_p0_cmd_en => WR_CMD_EN(0),
c3_p0_cmd_instr => "000",
c3_p0_cmd_bl => ( others => '1' ),
c3_p0_cmd_byte_addr => WR_CMD_ADDR(0),
c3_p0_cmd_empty => open,
c3_p0_cmd_full => open,
c3_p0_wr_clk => WR_CLK,
c3_p0_wr_en => WR_EN(0),
c3_p0_wr_mask => ( others => '0' ),
c3_p0_wr_data => WR_DATA,
c3_p0_wr_full => open,
c3_p0_wr_empty => WR_EMPTY(0),
c3_p0_wr_count => open,
c3_p0_wr_underrun => WR_UNDERRUN(0),
c3_p0_wr_error => WR_ERROR(0),
c3_p0_rd_clk => WR_CLK,
c3_p0_rd_en => '0',
c3_p0_rd_data => open,
c3_p0_rd_full => open,
c3_p0_rd_empty => open,
c3_p0_rd_count => open,
c3_p0_rd_overflow => open,
c3_p0_rd_error => open,
c3_p2_cmd_clk => WR_CLK,
c3_p2_cmd_en => WR_CMD_EN(1),
c3_p2_cmd_instr => "000",
c3_p2_cmd_bl => ( others => '1' ),
c3_p2_cmd_byte_addr => WR_CMD_ADDR(1),
c3_p2_cmd_empty => open,
c3_p2_cmd_full => open,
c3_p2_wr_clk => WR_CLK,
c3_p2_wr_en => WR_EN(1),
c3_p2_wr_mask => ( others => '0' ),
c3_p2_wr_data => WR_DATA,
c3_p2_wr_full => open,
c3_p2_wr_empty => WR_EMPTY(1),
c3_p2_wr_count => open,
c3_p2_wr_underrun => WR_UNDERRUN(1),
c3_p2_wr_error => WR_ERROR(1),
c3_p4_cmd_clk => WR_CLK,
c3_p4_cmd_en => WR_CMD_EN(2),
c3_p4_cmd_instr => "000",
c3_p4_cmd_bl => ( others => '1' ),
c3_p4_cmd_byte_addr => WR_CMD_ADDR(2),
c3_p4_cmd_empty => open,
c3_p4_cmd_full => open,
c3_p4_wr_clk => WR_CLK,
c3_p4_wr_en => WR_EN(2),
c3_p4_wr_mask => ( others => '0' ),
c3_p4_wr_data => WR_DATA,
c3_p4_wr_full => open,
c3_p4_wr_empty => WR_EMPTY(2),
c3_p4_wr_count => open,
c3_p4_wr_underrun => WR_UNDERRUN(2),
c3_p4_wr_error => WR_ERROR(2),
c3_p1_cmd_clk => RD_CLK,
c3_p1_cmd_en => RD_CMD_EN(0),
c3_p1_cmd_instr => "001",
c3_p1_cmd_bl => ( others => '1' ),
c3_p1_cmd_byte_addr => RD_CMD_ADDR(0),
c3_p1_cmd_empty => open,
c3_p1_cmd_full => open,
c3_p1_wr_clk => RD_CLK,
c3_p1_wr_en => '0',
c3_p1_wr_mask => ( others => '0' ),
c3_p1_wr_data => ( others => '0' ),
c3_p1_wr_full => open,
c3_p1_wr_empty => open,
c3_p1_wr_count => open,
c3_p1_wr_underrun => open,
c3_p1_wr_error => open,
c3_p1_rd_clk => RD_CLK,
c3_p1_rd_en => RD_EN(0),
c3_p1_rd_data => RD_DATA(0),
c3_p1_rd_full => open,
c3_p1_rd_empty => RD_EMPTY(0),
c3_p1_rd_count => open,
c3_p1_rd_overflow => RD_OVERFLOW(0),
c3_p1_rd_error => RD_ERROR(0),
c3_p3_cmd_clk => RD_CLK,
c3_p3_cmd_en => RD_CMD_EN(1),
c3_p3_cmd_instr => "001",
c3_p3_cmd_bl => ( others => '1' ),
c3_p3_cmd_byte_addr => RD_CMD_ADDR(1),
c3_p3_cmd_empty => open,
c3_p3_cmd_full => open,
c3_p3_rd_clk => RD_CLK,
c3_p3_rd_en => RD_EN(1),
c3_p3_rd_data => RD_DATA(1),
c3_p3_rd_full => open,
c3_p3_rd_empty => RD_EMPTY(1),
c3_p3_rd_count => open,
c3_p3_rd_overflow => RD_OVERFLOW(1),
c3_p3_rd_error => RD_ERROR(1),
c3_p5_cmd_clk => RD_CLK,
c3_p5_cmd_en => RD_CMD_EN(2),
c3_p5_cmd_instr => "001",
c3_p5_cmd_bl => ( others => '1' ),
c3_p5_cmd_byte_addr => RD_CMD_ADDR(2),
c3_p5_cmd_empty => open,
c3_p5_cmd_full => open,
c3_p5_rd_clk => RD_CLK,
c3_p5_rd_en => RD_EN(2),
c3_p5_rd_data => RD_DATA(2),
c3_p5_rd_full => open,
c3_p5_rd_empty => RD_EMPTY(2),
c3_p5_rd_count => open,
c3_p5_rd_overflow => RD_OVERFLOW(2),
c3_p5_rd_error => RD_ERROR(2)
);
SLOE <= '1';
SLRD <= '1';
FIFOADR0 <= '0';
FIFOADR1 <= '0';
PKTEND <= '1';
WR_CLK <= CLK;
RD_CLK <= IFCLK;
-- RESET0 <= RESET_IN or (not DCM0_LOCKED) or (not DCM0_CLK_VALID);
-- RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
RESET0 <= RESET_IN or (not DCM0_LOCKED);
RESET <= RESET0 or (not C3_CALIB_DONE) or C3_RST0;
LED1(0) <= WR_UNDERRUN(0) or WR_UNDERRUN(1) or WR_UNDERRUN(2);
LED1(1) <= WR_ERROR(0) or WR_ERROR(1) or WR_ERROR(2);
LED1(2) <= RD_OVERFLOW(0) or RD_OVERFLOW(1) or RD_OVERFLOW(2);
LED1(3) <= RD_ERROR(0) or RD_ERROR(1) or RD_ERROR(2);
LED1(4) <= C3_CALIB_DONE;
LED1(5) <= C3_RST0;
LED1(6) <= RESET0;
LED1(7) <= RESET;
LED1(8) <= '0';
LED1(9) <= '1';
dpCLK: process (CLK, RESET)
begin
-- reset
if RESET = '1'
then
GEN_CNT <= ( others => '0' );
GEN_PATTERN <= "100101010101010101010101010101";
WR_CMD_EN <= ( others => '0' );
WR_CMD_ADDR(0) <= ( others => '0' );
WR_CMD_ADDR(1) <= ( others => '0' );
WR_CMD_ADDR(2) <= ( others => '0' );
WR_ADDR <= conv_std_logic_vector(3,18);
WR_EN <= ( others => '0' );
WR_COUNT(0) <= ( others => '0' );
WR_COUNT(1) <= ( others => '0' );
WR_COUNT(2) <= ( others => '0' );
WR_PORT <= ( others => '0' );
WR_ADDR2 <= ( others => '0' );
RD_ADDR2_BAK1 <= ( others => '0' );
RD_ADDR2_BAK2 <= ( others => '0' );
-- CLK
elsif CLK'event and CLK = '1'
then
WR_CMD_EN <= ( others => '0' );
WR_EN <= ( others => '0' );
WR_CMD_ADDR(conv_integer(WR_PORT))(25 downto 8) <= WR_ADDR;
if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(64,7) )
then
-- FF flag = 1
if ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
then
WR_CMD_EN(conv_integer(WR_PORT)) <= '1';
WR_COUNT(conv_integer(WR_PORT)) <= ( others => '0' );
if WR_PORT = "10"
then
WR_PORT <= "00";
else
WR_PORT <= WR_PORT + 1;
end if;
WR_ADDR <= WR_ADDR + 1;
WR_ADDR2 <= WR_ADDR2 + 1;
end if;
elsif ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(0,7)) and (WR_EMPTY(conv_integer(WR_PORT)) = '0' ) -- write port fifo not empty
then
-- FF flag = 1
else
WR_EN(conv_integer(WR_PORT)) <= '1';
WR_DATA(31) <= '1';
WR_DATA(15) <= '0';
if PA3 = '1'
then
WR_DATA(30 downto 16) <= GEN_PATTERN(29 downto 15);
WR_DATA(14 downto 0) <= GEN_PATTERN(14 downto 0);
else
WR_DATA(30 downto 16) <= GEN_CNT(29 downto 15);
WR_DATA(14 downto 0) <= GEN_CNT(14 downto 0);
end if;
GEN_CNT <= GEN_CNT + 1;
GEN_PATTERN(29) <= GEN_PATTERN(0);
GEN_PATTERN(28 downto 0) <= GEN_PATTERN(29 downto 1);
-- if ( WR_COUNT(conv_integer(WR_PORT)) = conv_std_logic_vector(63,7) ) and ( RD_ADDR2_BAK1 = RD_ADDR2_BAK2 ) and ( RD_ADDR2_BAK2 /= WR_ADDR )
-- Add code from above here. This saves one clock cylcle and is required for uninterrupred input.
-- then
-- else
WR_COUNT(conv_integer(WR_PORT)) <= WR_COUNT(conv_integer(WR_PORT)) + 1;
-- end if;
end if;
RD_ADDR2_BAK1 <= RD_ADDR2;
RD_ADDR2_BAK2 <= RD_ADDR2_BAK1;
end if;
end process dpCLK;
dpIFCLK: process (IFCLK, RESET)
begin
-- reset
if RESET = '1'
then
FIFO_WORD <= '0';
SLWR <= '1';
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(0) <= ( others => '0' );
RD_CMD_ADDR(1) <= ( others => '0' );
RD_CMD_ADDR(2) <= ( others => '0' );
RD_ADDR <= conv_std_logic_vector(3,18);
RD_EN <= ( others => '0' );
RD_COUNT(0) <= conv_std_logic_vector(64,7);
RD_COUNT(1) <= conv_std_logic_vector(64,7);
RD_COUNT(2) <= conv_std_logic_vector(64,7);
RD_PORT <= ( others => '0' );
RD_ADDR2 <= ( others => '0' );
WR_ADDR2_BAK1 <= ( others => '0' );
WR_ADDR2_BAK2 <= ( others => '0' );
RD_STOP <= '1';
-- IFCLK
elsif IFCLK'event and IFCLK = '1'
then
RD_CMD_EN <= ( others => '0' );
RD_CMD_ADDR(conv_integer(RD_PORT))(25 downto 8) <= RD_ADDR;
RD_EN(conv_integer(RD_PORT)) <= '0';
if FLAGB = '1'
then
if ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) or ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) )
then
SLWR <= '1';
if ( RD_COUNT(conv_integer(RD_PORT)) = conv_std_logic_vector(64,7) ) and ( RD_EMPTY(conv_integer(RD_PORT)) = '1' ) and ( WR_ADDR2_BAK2 = WR_ADDR2_BAK1 ) and ( WR_ADDR2_BAK2 /= RD_ADDR ) and ( RD_STOP = '0' )
then
RD_CMD_EN(conv_integer(RD_PORT)) <= '1';
RD_COUNT(conv_integer(RD_PORT)) <= ( others => '0' );
if RD_PORT = "10"
then
RD_PORT <= "00";
else
RD_PORT <= RD_PORT + 1;
end if;
RD_ADDR <= RD_ADDR + 1;
RD_ADDR2 <= RD_ADDR2 + 1;
end if;
else
SLWR <= '0';
if FIFO_WORD = '0'
then
FD(15 downto 0) <= RD_DATA(conv_integer(RD_PORT))(15 downto 0);
FD_TMP <= RD_DATA(conv_integer(RD_PORT))(31 downto 16);
RD_EN(conv_integer(RD_PORT)) <= '1';
else
FD(15 downto 0) <= FD_TMP;
RD_COUNT(conv_integer(RD_PORT)) <= RD_COUNT(conv_integer(RD_PORT)) + 1;
end if;
FIFO_WORD <= not FIFO_WORD;
end if;
end if;
WR_ADDR2_BAK1 <= WR_ADDR2;
WR_ADDR2_BAK2 <= WR_ADDR2_BAK1;
if ( WR_ADDR2_BAK1 = WR_ADDR2_BAK2 ) and ( WR_ADDR2_BAK2(3) = '1')
then
RD_STOP <= '0';
end if;
end if;
end process dpIFCLK;
end RTL;
|
gpl-3.0
|
ibm2030/IBM2030
|
FMD2030_5-10D.vhd
|
1
|
8948
|
---------------------------------------------------------------------------
-- Copyright 2012 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-10D.vhd
-- Creation Date:
-- Description:
-- 1050 Typewriter Console attachment and CE section
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2012-04-07
-- Initial release
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
use work.all;
ENTITY n1050_ATTACH IS
port
(
-- Inputs
-- CE Cable
CE_CABLE_IN : IN CE_IN := ("00000000",'0','0','0','0','0','0','0','0','0','0');
-- CE DATA BUS From 1050 DATA section
PTT_BITS : IN STD_LOGIC_VECTOR(0 to 6);
DATA_REG : IN STD_LOGIC_VECTOR(0 to 7);
NPL_BITS : IN STD_LOGIC_VECTOR(0 to 7);
-- Other stuff
TE_LCH : IN STD_LOGIC; -- 10CB5
WRITE_UC : IN STD_LOGIC; -- 09CD6
XLATE_UC : IN STD_LOGIC; -- 09CB6
CPU_REQUEST_IN : IN STD_LOGIC; -- 10BD6
n1050_OP_IN : IN STD_LOGIC; -- 10BB5
HOME_RDR_STT_LCH : IN STD_LOGIC; -- 10BB3
RDR_ON_LCH : IN STD_LOGIC; -- 10BD3
MICRO_SHARE_LCH : IN STD_LOGIC; -- 10BC3
PROCEED_LCH : IN STD_LOGIC; -- 10BC3
TA_REG_POS_4 : IN STD_LOGIC; -- 10BE3
CR_LF : IN STD_LOGIC; -- 10BE3
TA_REG_POS_6 : IN STD_LOGIC; -- 10BE3
n1050_RST : IN STD_LOGIC; -- 10BE2
GT_WR_REG : IN STD_LOGIC; -- 10CB6
FORCE_LC_SHIFT : IN STD_LOGIC; -- 10CC6
FORCE_SHIFT_CHAR : IN STD_LOGIC; -- 10CC6
WR_STROBE : IN STD_LOGIC; -- 09CD2
PCH_1_HOME : IN STD_LOGIC; -- 09CD6
HOME_RDR_STOP : IN STD_LOGIC; -- 10BB3
TT2_POS_END : IN STD_LOGIC; -- 09CB5 - NOT IN FMD
TT5_POS_INTRV_REQ : IN STD_LOGIC; -- 10CD5
TT6_POS_ATTN : IN STD_LOGIC; -- 10BD6
CPU_LINES_ENTRY : IN CONN_1050; -- 10BE3
-- Outputs
-- CE Cable
CE_CABLE_OUT : OUT CE_OUT;
-- CE DATA BUS to 10C (1050 DATA)
CE_GT_TA_OR_TE : OUT STD_LOGIC; -- 10C
CE_DATA_ENTER_GT : OUT STD_LOGIC; -- 10BB1 10CA4 10C
CE_TE_DECODE : OUT STD_LOGIC; -- 10CA4 10C
CE_MODE_AND_TE_LCH : OUT STD_LOGIC;
n1050_CE_MODE : OUT STD_LOGIC; -- 10CB3 10BD5
-- Other stuff
CE_SEL_OUT : OUT STD_LOGIC; -- 10BD5
CE_TI_DECODE : OUT STD_LOGIC; -- 09CC5
CE_RUN_MODE : OUT STD_LOGIC; -- 09CC5
CE_TA_DECODE : OUT STD_LOGIC; -- 10BB1
CE_BUS : OUT STD_LOGIC_VECTOR(0 to 7); -- 10C
EXIT_MPLX_SHARE : OUT STD_LOGIC; -- 10BB5
CE_DATA_ENTER_NC : OUT STD_LOGIC;
-- TT3_POS_1050_OPER : OUT STD_LOGIC; -- 10BE2 10BB2 10BE2 10CE5 Moved to TT_BUS(3)
-- TT4_POS_HOME_STT : OUT STD_LOGIC; -- 10CD2 Moved to TT_BUS(4)
OUTPUT_SEL_AND_RDY : OUT STD_LOGIC; -- 10CD4
n1050_OPER : OUT STD_LOGIC; -- 10CC4 10CE4
PUNCH_BITS : OUT STD_LOGIC_VECTOR(0 to 6); -- 10CE1
READ_INTLK_RST : OUT STD_LOGIC; -- 10BA1
PUNCH_1_CLUTCH : OUT STD_LOGIC; -- 10CE1 10AC1
-- PCH_1_CLUTCH_1050 : OUT STD_LOGIC; -- 09CE1 10BA1 09CD5
REQUEST_KEY : OUT STD_LOGIC; -- 10BE4
RDR_1_CLUTCH : OUT STD_LOGIC;
-- In/Out TT bus
TT_BUS : INOUT STD_LOGIC_VECTOR(0 to 7);
GTD_TT3 : IN STD_LOGIC;
-- Hardware Serial Port
serialInput : in Serial_Input_Lines;
serialOutput : out Serial_Output_Lines;
-- Clocks
T1,T2,T3,T4 : IN STD_LOGIC;
P1,P2,P3,P4 : IN STD_LOGIC;
clk : IN STD_LOGIC
);
END n1050_ATTACH;
ARCHITECTURE FMD OF n1050_ATTACH IS
signal sCE_TA_DECODE, sCE_TE_DECODE : STD_LOGIC;
signal sCE_DATA_ENTER_GT : STD_LOGIC;
signal sn1050_CE_MODE : STD_LOGIC;
signal sPUNCH_1_CLUTCH : STD_LOGIC;
signal sRDR_1_CLUTCH : STD_LOGIC;
signal sOUTPUT_SEL_AND_RDY : STD_LOGIC;
signal TT1_POS_RDR_2_RDY, sTT3_POS_1050_OPER, sTT4_POS_HOME_STT : STD_LOGIC;
signal PCH_CONN_ENTRY : PCH_CONN;
signal RDR_1_CONN_EXIT : RDR_CONN;
signal CPU_LINES_EXIT : CONN_1050;
BEGIN
-- Fig 5-10D
sCE_TA_DECODE <= CE_CABLE_IN.CE_TA_DECODE;
CE_TA_DECODE <= sCE_TA_DECODE;
CE_GT_TA_OR_TE <= (CE_CABLE_IN.CE_TA_DECODE and sCE_DATA_ENTER_GT) or (sCE_TE_DECODE and sCE_DATA_ENTER_GT); -- AC2G5
sCE_DATA_ENTER_GT <= CE_CABLE_IN.CE_TI_OR_TE_RUN_MODE;
CE_DATA_ENTER_GT <= sCE_DATA_ENTER_GT;
-- CE cable entry
CE_BUS <= CE_CABLE_IN.CE_BIT; -- AC2M3
sCE_TE_DECODE <= CE_CABLE_IN.CE_TE_DECODE; -- AC2M2
CE_TE_DECODE <= sCE_TE_DECODE;
CE_SEL_OUT <= CE_CABLE_IN.CE_SEL_OUT; -- AC2M2
CE_TI_DECODE <= CE_CABLE_IN.CE_TI_DECODE; -- AC2M2
CE_RUN_MODE <= not CE_CABLE_IN.CE_MODE; -- AC2M2
CE_MODE_AND_TE_LCH <= (TE_LCH and sn1050_CE_MODE) or CE_CABLE_IN.CE_SEL_OUT; -- AC2E7
sn1050_CE_MODE <= CE_CABLE_IN.CE_MODE;
n1050_CE_MODE <= sn1050_CE_MODE;
EXIT_MPLX_SHARE <= CE_CABLE_IN.CE_EXIT_MPLX_SHARE;
CE_DATA_ENTER_NC <= CE_CABLE_IN.CE_DATA_ENTER_NC;
-- CE cable exit
CE_CABLE_OUT.PTT_BITS <= PTT_BITS;
CE_CABLE_OUT.DATA_REG <= DATA_REG;
CE_CABLE_OUT.RDR_1_CLUTCH <= sRDR_1_CLUTCH;
CE_CABLE_OUT.WRITE_UC <= WRITE_UC;
CE_CABLE_OUT.XLATE_UC <= XLATE_UC;
CE_CABLE_OUT.PUNCH_1_CLUTCH <= sPUNCH_1_CLUTCH;
CE_CABLE_OUT.NPL <= NPL_BITS;
CE_CABLE_OUT.OUTPUT_SEL_AND_RDY <= sOUTPUT_SEL_AND_RDY;
CE_CABLE_OUT.TT <= TT_BUS(0 to 2) & GTD_TT3 & TT_BUS(4 to 7);
CE_CABLE_OUT.CPU_REQUEST_IN <= CPU_REQUEST_IN;
CE_CABLE_OUT.n1050_OP_IN <= n1050_OP_IN;
CE_CABLE_OUT.HOME_RDR_STT_LCH <= HOME_RDR_STT_LCH;
CE_CABLE_OUT.RDR_ON_LCH <= RDR_ON_LCH;
CE_CABLE_OUT.MICRO_SHARE_LCH <= MICRO_SHARE_LCH;
CE_CABLE_OUT.PROCEED_LCH <= PROCEED_LCH;
CE_CABLE_OUT.TA_REG_POS_4 <= TA_REG_POS_4;
CE_CABLE_OUT.CR_LF <= CR_LF;
CE_CABLE_OUT.TA_REG_POS_6 <= TA_REG_POS_6;
CE_CABLE_OUT.n1050_RST <= n1050_RST;
-- RDR connection (output)
-- FORCE_LC_SHIFT and FORCE_SHIFT_CHAR makes 0111110 (downshift)
-- FORCE_SHIFT_CHAR makes 0001110 (upshift)
-- We remove this in favour of simple ASCII on the output
-- RDR_1_CONN_EXIT.RDR_BITS <= (PTT_BITS(0) and GT_WR_REG) -- C
-- & ((PTT_BITS(1) and GT_WR_REG) or FORCE_LC_SHIFT) -- B
-- & ((PTT_BITS(2) and GT_WR_REG) or FORCE_LC_SHIFT) -- A
-- & ((PTT_BITS(3) and GT_WR_REG) or FORCE_SHIFT_CHAR) -- 8
-- & ((PTT_BITS(4) and GT_WR_REG) or FORCE_SHIFT_CHAR) -- 4
-- & ((PTT_BITS(5) and GT_WR_REG) or FORCE_SHIFT_CHAR) -- 2
-- & (PTT_BITS(6) and GT_WR_REG); -- 1
RDR_1_CONN_EXIT.RDR_BITS <= PTT_BITS;
RDR_1_CONN_EXIT.RD_STROBE <= WR_STROBE;
CPU_LINES_EXIT <= CPU_LINES_ENTRY;
-- TT Bus
TT_BUS(1) <= TT1_POS_RDR_2_RDY;
TT_BUS(2) <= TT2_POS_END;
TT_BUS(3) <= sTT3_POS_1050_OPER;
-- TT3_POS_1050_OPER <= sTT3_POS_1050_OPER;
TT_BUS(4) <= sTT4_POS_HOME_STT;
-- TT4_POS_HOME_STT <= sTT4_POS_HOME_STT;
TT_BUS(5) <= TT5_POS_INTRV_REQ;
TT_BUS(6) <= TT6_POS_ATTN;
-- PCH connections (input)
PUNCH_BITS <= PCH_CONN_ENTRY.PCH_BITS; -- AC2L4
READ_INTLK_RST <= '1' when PCH_CONN_ENTRY.PCH_BITS="0000000" else '0'; -- AC2E3
sPUNCH_1_CLUTCH <= PCH_CONN_ENTRY.PCH_1_CLUTCH_1050; -- AC2M2 AC2J7
PUNCH_1_CLUTCH <= sPUNCH_1_CLUTCH;
-- PCH_1_CLUTCH_1050 <= sPUNCH_1_CLUTCH;
TT1_POS_RDR_2_RDY <= PCH_CONN_ENTRY.RDR_2_READY; -- AC2M5 AC2L5
sTT3_POS_1050_OPER <= PCH_CONN_ENTRY.CPU_CONNECTED; -- AC2J5
sTT4_POS_HOME_STT <= PCH_CONN_ENTRY.HOME_RDR_STT_LCH; -- AC2M5 AC2L5
-- TT4_POS_HOME_STT <= sTT4_POS_HOME_STT;
sOUTPUT_SEL_AND_RDY <= PCH_CONN_ENTRY.HOME_OUTPUT_DEV_RDY;
OUTPUT_SEL_AND_RDY <= sOUTPUT_SEL_AND_RDY;
sRDR_1_CLUTCH <= PCH_CONN_ENTRY.RDR_1_CLUTCH_1050; -- AC2M4
RDR_1_CLUTCH <= sRDR_1_CLUTCH;
n1050_OPER <= PCH_CONN_ENTRY.CPU_CONNECTED; -- FA1D4
REQUEST_KEY <=PCH_CONN_ENTRY.REQ_KEY; -- FA1D4
console : entity ibm1050 port map(
SerialIn => PCH_CONN_ENTRY,
SerialOut => RDR_1_CONN_EXIT,
SerialControl => CPU_LINES_EXIT,
serialInput => serialInput,
serialOutput => serialOutput,
clk => clk);
END FMD;
|
gpl-3.0
|
zeruniverse/pipelined_CPU
|
ISE project/ipcore_dir/data_mem.vhd
|
1
|
6674
|
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file data_mem.vhd when simulating
-- the core, data_mem. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY data_mem IS
port (
clka: in std_logic;
wea: in std_logic_vector(0 downto 0);
addra: in std_logic_vector(5 downto 0);
dina: in std_logic_vector(31 downto 0);
douta: out std_logic_vector(31 downto 0));
END data_mem;
ARCHITECTURE data_mem_a OF data_mem IS
-- synthesis translate_off
component wrapped_data_mem
port (
clka: in std_logic;
wea: in std_logic_vector(0 downto 0);
addra: in std_logic_vector(5 downto 0);
dina: in std_logic_vector(31 downto 0);
douta: out std_logic_vector(31 downto 0));
end component;
-- Configuration specification
for all : wrapped_data_mem use entity XilinxCoreLib.blk_mem_gen_v4_3(behavioral)
generic map(
c_has_regceb => 0,
c_has_regcea => 0,
c_mem_type => 0,
c_rstram_b => 0,
c_rstram_a => 0,
c_has_injecterr => 0,
c_rst_type => "SYNC",
c_prim_type => 1,
c_read_width_b => 32,
c_initb_val => "0",
c_family => "spartan3",
c_read_width_a => 32,
c_disable_warn_bhv_coll => 0,
c_use_softecc => 0,
c_write_mode_b => "WRITE_FIRST",
c_init_file_name => "data_mem.mif",
c_write_mode_a => "WRITE_FIRST",
c_mux_pipeline_stages => 0,
c_has_softecc_output_regs_b => 0,
c_has_mem_output_regs_b => 0,
c_has_mem_output_regs_a => 0,
c_load_init_file => 1,
c_xdevicefamily => "spartan3",
c_write_depth_b => 64,
c_write_depth_a => 64,
c_has_rstb => 0,
c_has_rsta => 0,
c_has_mux_output_regs_b => 0,
c_inita_val => "0",
c_has_mux_output_regs_a => 0,
c_addra_width => 6,
c_has_softecc_input_regs_a => 0,
c_addrb_width => 6,
c_default_data => "0",
c_use_ecc => 0,
c_algorithm => 1,
c_disable_warn_bhv_range => 0,
c_write_width_b => 32,
c_write_width_a => 32,
c_read_depth_b => 64,
c_read_depth_a => 64,
c_byte_size => 9,
c_sim_collision_check => "ALL",
c_common_clk => 0,
c_wea_width => 1,
c_has_enb => 0,
c_web_width => 1,
c_has_ena => 0,
c_use_byte_web => 0,
c_use_byte_wea => 0,
c_rst_priority_b => "CE",
c_rst_priority_a => "CE",
c_use_default_data => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_data_mem
port map (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
douta => douta);
-- synthesis translate_on
END data_mem_a;
|
gpl-3.0
|
nanomolina/MIPS
|
prueba/writeback.vhd
|
2
|
720
|
library ieee;
use ieee.std_logic_1164.all;
entity writeback is
port(
AluOutW, ReadDataW: in std_logic_vector(31 downto 0);
MemToReg: in std_logic;
ResultW: out std_logic_vector(31 downto 0));
end entity;
architecture wb_arq of writeback is
component mux2
generic (MAX : integer := 32);
port (
d0, d1: in std_logic_vector((MAX-1) downto 0);
s: in std_logic;
y: out std_logic_vector((MAX-1) downto 0));
end component;
begin
mux2_1: mux2 port map (
d0 => AluOutW,
d1 => ReadDataW,
s => MemToReg,
y => ResultW); --salida
end architecture;
|
gpl-3.0
|
zeruniverse/pipelined_CPU
|
ISE project/ipcore_dir/mem_ins.vhd
|
1
|
5714
|
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file mem_ins.vhd when simulating
-- the core, mem_ins. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY mem_ins IS
port (
a: in std_logic_vector(5 downto 0);
spo: out std_logic_vector(31 downto 0));
END mem_ins;
ARCHITECTURE mem_ins_a OF mem_ins IS
-- synthesis translate_off
component wrapped_mem_ins
port (
a: in std_logic_vector(5 downto 0);
spo: out std_logic_vector(31 downto 0));
end component;
-- Configuration specification
for all : wrapped_mem_ins use entity XilinxCoreLib.dist_mem_gen_v5_1(behavioral)
generic map(
c_has_clk => 0,
c_has_qdpo_clk => 0,
c_has_qdpo_ce => 0,
c_parser_type => 1,
c_has_d => 0,
c_has_spo => 1,
c_read_mif => 1,
c_has_qspo => 0,
c_width => 32,
c_reg_a_d_inputs => 0,
c_has_we => 0,
c_pipeline_stages => 0,
c_has_qdpo_rst => 0,
c_reg_dpra_input => 0,
c_qualify_we => 0,
c_family => "spartan3",
c_sync_enable => 1,
c_depth => 64,
c_has_qspo_srst => 0,
c_has_qdpo_srst => 0,
c_has_dpra => 0,
c_qce_joined => 0,
c_mem_type => 0,
c_has_i_ce => 0,
c_has_dpo => 0,
c_mem_init_file => "mem_ins.mif",
c_default_data => "0",
c_has_spra => 0,
c_has_qspo_ce => 0,
c_addr_width => 6,
c_has_qspo_rst => 0,
c_has_qdpo => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_mem_ins
port map (
a => a,
spo => spo);
-- synthesis translate_on
END mem_ins_a;
|
gpl-3.0
|
nanomolina/MIPS
|
PIPELINE/maindec.vhd
|
6
|
1077
|
library ieee;
use ieee.std_logic_1164.all;
entity maindec is
port (Op: in std_logic_vector(5 downto 0);
MemToReg, MemWrite, Branch, AluSrc, RegDst, RegWrite,
Jump: out std_logic;
AluOp: out std_logic_vector(1 downto 0));
end entity;
architecture arq_maindec of maindec is
signal parcial_result: std_logic_vector(8 downto 0);
begin
parcial_result <= ("110000010") when (Op = "000000") else
("101001000") when (Op = "100011") else
("001010000") when (Op = "101011") else
("000100001") when (Op = "000100") else
("101000000") when (Op = "001000") else
("000000100") when (Op = "000010") else
("---------");
RegWrite <= parcial_result(8);
RegDst <= parcial_result(7);
AluSrc <= parcial_result(6);
Branch <= parcial_result(5);
MemWrite <= parcial_result(4);
MemToReg <= parcial_result(3);
Jump <= parcial_result(2);
AluOp <= parcial_result(1 downto 0);
end architecture;
|
gpl-3.0
|
nanomolina/MIPS
|
prueba/maindec.vhd
|
6
|
1077
|
library ieee;
use ieee.std_logic_1164.all;
entity maindec is
port (Op: in std_logic_vector(5 downto 0);
MemToReg, MemWrite, Branch, AluSrc, RegDst, RegWrite,
Jump: out std_logic;
AluOp: out std_logic_vector(1 downto 0));
end entity;
architecture arq_maindec of maindec is
signal parcial_result: std_logic_vector(8 downto 0);
begin
parcial_result <= ("110000010") when (Op = "000000") else
("101001000") when (Op = "100011") else
("001010000") when (Op = "101011") else
("000100001") when (Op = "000100") else
("101000000") when (Op = "001000") else
("000000100") when (Op = "000010") else
("---------");
RegWrite <= parcial_result(8);
RegDst <= parcial_result(7);
AluSrc <= parcial_result(6);
Branch <= parcial_result(5);
MemWrite <= parcial_result(4);
MemToReg <= parcial_result(3);
Jump <= parcial_result(2);
AluOp <= parcial_result(1 downto 0);
end architecture;
|
gpl-3.0
|
nanomolina/MIPS
|
PIPELINE/fetch.vhd
|
2
|
2208
|
library ieee;
use ieee.std_logic_1164.all;
entity fetch is
port(
jumpM, PcSrcM, clk, reset: in std_logic;
PcBranchM: in std_logic_vector(31 downto 0);
InstrF, PCF, PCPlus4F: out std_logic_vector(31 downto 0));
end entity;
architecture f_arq of fetch is
component mux2
generic (MAX : integer := 32);
port (
d0, d1: in std_logic_vector((MAX-1) downto 0);
s: in std_logic;
y: out std_logic_vector((MAX-1) downto 0));
end component;
component flopr
port (
d: in std_logic_vector(31 downto 0);
rst, clk: in std_logic;
q: out std_logic_vector(31 downto 0));
end component;
component imem
port (
a: in std_logic_vector (5 downto 0);
rd: out std_logic_vector (31 downto 0));
end component;
component adder
port (
a : in std_logic_vector(31 downto 0);
b : in std_logic_vector(31 downto 0);
y : out std_logic_vector(31 downto 0));
end component;
signal PCNext, PCPlus4F1, PCJump, PC1, PCF_s,
Instrf_s: std_logic_vector(31 downto 0);
signal QUATRO: std_logic_vector(31 downto 0) := x"00000004";
signal IMEMIN: std_logic_vector(5 downto 0);
begin
mux2_1: mux2 port map(
d0 => PCPlus4F1,
d1 => PcBranchM,
s => PCSrcM,
y => PCNext);
mux2_2: mux2 port map(
d0 => PCNext,
d1 => PCJump,
s => jumpM,
y => PC1);
flopr1: flopr port map(
d => PC1,
clk => clk,
rst => reset,
q => PCF_s);
adder1: adder port map(
a => PCF_s,
b => QUATRO,
y => PCPlus4F1);
imem1: imem port map(
a => IMEMIN,
rd => Instrf_s);
PCJump <= PCPlus4F1(31 downto 28) & Instrf_s(25 downto 0) & "00";
IMEMIN <= PCF_s(7 downto 2);
InstrF <= Instrf_s;
PCF <= PCF_s;
PCPlus4F <= PCPlus4F1;
end architecture;
|
gpl-3.0
|
zeruniverse/Single-cycle_CPU
|
ISE project/ipcore_dir/Ins_Mem.vhd
|
1
|
5717
|
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file Ins_Mem.vhd when simulating
-- the core, Ins_Mem. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY Ins_Mem IS
port (
a: in std_logic_vector(9 downto 0);
spo: out std_logic_vector(31 downto 0));
END Ins_Mem;
ARCHITECTURE Ins_Mem_a OF Ins_Mem IS
-- synthesis translate_off
component wrapped_Ins_Mem
port (
a: in std_logic_vector(9 downto 0);
spo: out std_logic_vector(31 downto 0));
end component;
-- Configuration specification
for all : wrapped_Ins_Mem use entity XilinxCoreLib.dist_mem_gen_v5_1(behavioral)
generic map(
c_has_clk => 0,
c_has_qdpo_clk => 0,
c_has_qdpo_ce => 0,
c_parser_type => 1,
c_has_d => 0,
c_has_spo => 1,
c_read_mif => 1,
c_has_qspo => 0,
c_width => 32,
c_reg_a_d_inputs => 0,
c_has_we => 0,
c_pipeline_stages => 0,
c_has_qdpo_rst => 0,
c_reg_dpra_input => 0,
c_qualify_we => 0,
c_family => "spartan3",
c_sync_enable => 1,
c_depth => 1024,
c_has_qspo_srst => 0,
c_has_qdpo_srst => 0,
c_has_dpra => 0,
c_qce_joined => 0,
c_mem_type => 0,
c_has_i_ce => 0,
c_has_dpo => 0,
c_mem_init_file => "Ins_Mem.mif",
c_default_data => "0",
c_has_spra => 0,
c_has_qspo_ce => 0,
c_addr_width => 10,
c_has_qspo_rst => 0,
c_has_qdpo => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_Ins_Mem
port map (
a => a,
spo => spo);
-- synthesis translate_on
END Ins_Mem_a;
|
gpl-3.0
|
mzakharo/usb-de2-fpga
|
src/isp_inc.vhd
|
1
|
11513
|
-- isp_inc.vhd
-- -----------------------------------------------------------------------
-- Copyright © 2012 Mikhail Zakharov
-- -----------------------------------------------------------------------
--
-- This file is part of "ISP1362 VHDL interface for DE2"
--
-- "ISP1362 VHDL interface for DE2" is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3
--
-- "ISP1362 VHDL interface for DE2" is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with "ISP1362 VHDL interface for DE2". If not, see <http://www.gnu.org/licenses/>.
-- -----------------------------------------------------------------------
-- ISP1362 register definitions and bit field constants
-- -----------------------------------------------------------------------
-- Version : 1.0
-- Date : Sept 2012
-- Author : Mikhail Zakharov
-- Web : http://ca.linkedin.com/in/mzakharo
-- Contact : [email protected]
-- -----------------------------------------------------------------------
-- FUNCTION :
-- VHDL translation of constants, defined in ISP1362 Datasheet, Ch 15
-- -----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package isp_inc is
--=-=-=-=-=-COMMANDS-=-=-=-=-=--
constant Wr_DcEndpointConfiguration : std_logic_vector(15 downto 4) := x"002"; --20h = control out
constant Rd_DcEndpointConfiguration : std_logic_vector(15 downto 4) := x"003"; --30h = control out
constant Wr_DcAddress : std_logic_vector(15 downto 0) := x"00B6"; --B6h
constant Rd_DcAddress : std_logic_vector(15 downto 0) := x"00B7"; --B7h
constant Wr_DcMode : std_logic_vector(15 downto 0) := x"00B8"; --B8h
constant Rd_DcMode : std_logic_vector(15 downto 0) := x"00B9"; --B9h
constant Wr_DcHardwareConfiguration : std_logic_vector(15 downto 0) := x"00BA"; --BAh
constant Rd_DcHardwareConfiguration : std_logic_vector(15 downto 0) := x"00BB"; --BBh
constant Wr_DcInterruptEnable : std_logic_vector(15 downto 0) := x"00C2"; --C2h
constant Rd_DcInterruptEnable : std_logic_vector(15 downto 0) := x"00C3"; --C3h
constant Wr_DcDMAConfiguration : std_logic_vector(15 downto 0) := x"00F0"; --F0h
constant Rd_DcDMAConfiguration : std_logic_vector(15 downto 0) := x"00F1"; --F1h
constant Wr_DcDMACounter : std_logic_vector(15 downto 0) := x"00F2"; --F2h
constant Rd_DcDMACounter : std_logic_vector(15 downto 0) := x"00F3"; --F3h
constant Reset : std_logic_vector(15 downto 0) := x"00F6"; --F6h
constant Wr_Buffer : std_logic_vector(15 downto 4) := x"000"; --00h = control out (0 illegal)
constant Rd_Buffer : std_logic_vector(15 downto 4) := x"001"; --10h = control out (1 illegal)
constant Rd_ESR : std_logic_vector(15 downto 4) := x"005"; --50h = control out
constant EPSTS_STALL : std_logic_vector(15 downto 4) := x"004"; --40h = control out
constant EPSTS_UNSTALL : std_logic_vector(15 downto 4) := x"008"; --80h = control out
constant Validate : std_logic_vector(15 downto 4) := x"006"; --60h = control out (0 illegal)
constant ClearBuffer : std_logic_vector(15 downto 4) := x"007"; --70h = control out (1 illegal)
constant Rd_DcEndpointStatusImage : std_logic_vector(15 downto 4) := x"00D"; --D0h = control out
constant AcknowledgeSetup : std_logic_vector(15 downto 0) := x"00F4"; --F4h (must ack setups sec12.3.6)
constant Rd_ErrorCode : std_logic_vector(15 downto 4) := x"00A"; --A0h = control out
constant UnlockDevice : std_logic_vector(15 downto 0) := x"00B0"; --B0h
constant Wr_DcScratchRegister : std_logic_vector(15 downto 0) := x"00B2"; --B2h
constant Rd_DcScratchRegister : std_logic_vector(15 downto 0) := x"00B3"; --B3h
constant Rd_DcFrameNumber : std_logic_vector(15 downto 0) := x"00B4"; --B4h
constant Rd_DcChipID : std_logic_vector(15 downto 0) := x"00B5"; --B5h
constant Rd_DcInterrupt : std_logic_vector(15 downto 0) := x"00C0"; --C0h
--From hal.h
constant EPINDEX4EP0_CONTROL_OUT : std_logic_vector(3 downto 0) := x"0";
constant EPINDEX4EP0_CONTROL_IN : std_logic_vector(3 downto 0) := x"1";
constant EPINDEX4EP01 : std_logic_vector(3 downto 0) := x"2";
constant EPINDEX4EP02 : std_logic_vector(3 downto 0) := x"3";
constant EPINDEX4EP03 : std_logic_vector(3 downto 0) := x"4";
constant EPINDEX4EP04 : std_logic_vector(3 downto 0) := x"5";
constant EPINDEX4EP05 : std_logic_vector(3 downto 0) := x"6";
constant EPINDEX4EP06 : std_logic_vector(3 downto 0) := x"7";
constant EPINDEX4EP07 : std_logic_vector(3 downto 0) := x"8";
constant EPINDEX4EP08 : std_logic_vector(3 downto 0) := x"9";
constant EPINDEX4EP09 : std_logic_vector(3 downto 0) := x"A";
constant EPINDEX4EP0A : std_logic_vector(3 downto 0) := x"B";
constant EPINDEX4EP0B : std_logic_vector(3 downto 0) := x"C";
constant EPINDEX4EP0C : std_logic_vector(3 downto 0) := x"D";
constant EPINDEX4EP0D : std_logic_vector(3 downto 0) := x"E";
constant EPINDEX4EP0E : std_logic_vector(3 downto 0) := x"F";
constant DEVADDR_MASK : std_logic_vector(15 downto 0) := x"007F";
constant DEVADDR_EN : std_logic_vector(15 downto 0) := x"0080";
constant EP_DISABLE : std_logic_vector(15 downto 0) := "--------0-------";
constant EPCNFG_FIFO_EN : std_logic_vector(15 downto 0) := x"0080";
constant EPCNFG_IN_EN : std_logic_vector(15 downto 0) := x"0040";
constant EPCNFG_DBLBUF_EN : std_logic_vector(15 downto 0) := x"0020";
constant EPCNFG_ISO_EN : std_logic_vector(15 downto 0) := x"0010";
constant EPCNFG_ISOSZ_MASK : std_logic_vector(15 downto 0) := x"000F";
constant EPCNFG_NONISOSZ_MASK : std_logic_vector(15 downto 0) := x"0007";
constant EPCNFG_RFB_EN : std_logic_vector(15 downto 0) := x"0008";
constant EPCNFG_NONISOSZ_8 : std_logic_vector(15 downto 0) := x"0000";
constant EPCNFG_NONISOSZ_16 : std_logic_vector(15 downto 0) := x"0001";
constant EPCNFG_NONISOSZ_32 : std_logic_vector(15 downto 0) := x"0002";
constant EPCNFG_NONISOSZ_64 : std_logic_vector(15 downto 0) := x"0003";
constant EPCNFG_ISOSZ_16 : std_logic_vector(15 downto 0) := x"0000";
constant EPCNFG_ISOSZ_32 : std_logic_vector(15 downto 0) := x"0001";
constant EPCNFG_ISOSZ_48 : std_logic_vector(15 downto 0) := x"0002";
constant EPCNFG_ISOSZ_64 : std_logic_vector(15 downto 0) := x"0003";
constant EPCNFG_ISOSZ_96 : std_logic_vector(15 downto 0) := x"0004";
constant EPCNFG_ISOSZ_128 : std_logic_vector(15 downto 0) := x"0005";
constant EPCNFG_ISOSZ_160 : std_logic_vector(15 downto 0) := x"0006";
constant EPCNFG_ISOSZ_192 : std_logic_vector(15 downto 0) := x"0007";
constant EPCNFG_ISOSZ_256 : std_logic_vector(15 downto 0) := x"0008";
constant EPCNFG_ISOSZ_320 : std_logic_vector(15 downto 0) := x"0009";
constant EPCNFG_ISOSZ_384 : std_logic_vector(15 downto 0) := x"000A";
constant EPCNFG_ISOSZ_512 : std_logic_vector(15 downto 0) := x"000B";
constant EPCNFG_ISOSZ_640 : std_logic_vector(15 downto 0) := x"000C";
constant EPCNFG_ISOSZ_768 : std_logic_vector(15 downto 0) := x"000D";
constant EPCNFG_ISOSZ_896 : std_logic_vector(15 downto 0) := x"000E";
constant EPCNFG_ISOSZ_1023 : std_logic_vector(15 downto 0) := x"000F";
--constant EPSTS_STALL : std_logic_vector(15 downto 0) := x"0080";
constant EPSTS_DBF1 : std_logic_vector(15 downto 0) := x"0040";
constant EPSTS_DBF0 : std_logic_vector(15 downto 0) := x"0020";
constant EPSTS_OVWR : std_logic_vector(15 downto 0) := x"0008";
constant EPSTS_SETUP : std_logic_vector(15 downto 0) := x"0004";
constant EPSTS_DBFIDX : std_logic_vector(15 downto 0) := x"0002";
constant ERRCODE_RXTX : std_logic_vector(15 downto 0) := x"0001";
constant ERRCODE_DPID : std_logic_vector(15 downto 0) := x"0040";
constant ERRCODE_OVRLP : std_logic_vector(15 downto 0) := x"0080";
constant ERRCODE_MASK : std_logic_vector(15 downto 0) := x"001E";
constant ERRCODE_OK : std_logic_vector(15 downto 0) := x"0000";
constant ERRCODE_PIDERR : std_logic_vector(15 downto 0) := x"0002";
constant ERRCODE_PIDNEW : std_logic_vector(15 downto 0) := x"0004";
constant ERRCODE_PKTTYP : std_logic_vector(15 downto 0) := x"0006";
constant ERRCODE_TKCRC : std_logic_vector(15 downto 0) := x"0008";
constant ERRCODE_DATACRC : std_logic_vector(15 downto 0) := x"000A";
constant ERRCODE_TIMEOUT : std_logic_vector(15 downto 0) := x"000C";
constant ERRCODE_RSRV0 : std_logic_vector(15 downto 0) := x"000E";
constant ERRCODE_EOP : std_logic_vector(15 downto 0) := x"0010";
constant ERRCODE_NAK : std_logic_vector(15 downto 0) := x"0012";
constant ERRCODE_STALL : std_logic_vector(15 downto 0) := x"0014";
constant ERRCODE_OVRFL : std_logic_vector(15 downto 0) := x"0016";
constant ERRCODE_RSRV1 : std_logic_vector(15 downto 0) := x"0018";
constant ERRCODE_BITSTUFF : std_logic_vector(15 downto 0) := x"001A";
constant ERRCODE_RSRV2 : std_logic_vector(15 downto 0) := x"001C";
constant ERRCODE_DATAPID : std_logic_vector(15 downto 0) := x"001E";
constant LOCK_IOEN : std_logic_vector(15 downto 0) := x"0001";
constant MODE_SOFTCONNECT : std_logic_vector(15 downto 0) := x"0001";
constant MODE_DBG : std_logic_vector(15 downto 0) := x"0004";
constant MODE_INT_EN : std_logic_vector(15 downto 0) := x"0008";
constant MODE_SUSPND : std_logic_vector(15 downto 0) := x"0020";
constant DEVCNFG_INTPOL : std_logic_vector(15 downto 0) := x"0001";
constant DEVCNFG_INTEDGE : std_logic_vector(15 downto 0) := x"0002";
constant DEVCNFG_PWROFF : std_logic_vector(15 downto 0) := x"0004";
constant DEVCNFG_WAKEUPBY_CS : std_logic_vector(15 downto 0) := x"0008";
constant DEVCNFG_EOTPOL : std_logic_vector(15 downto 0) := x"0010";
constant DEVCNFG_DMAACKPOL : std_logic_vector(15 downto 0) := x"0020";
constant DEVCNFG_DMARQPOL : std_logic_vector(15 downto 0) := x"0040";
constant DEVCNFG_DMAACKONLY : std_logic_vector(15 downto 0) := x"0080";
constant DEVCNFG_CLOCKDIV_MASK : std_logic_vector(15 downto 0) := x"0F00";
constant DEVCNFG_CLOCKRUNNING : std_logic_vector(15 downto 0) := x"1000";
constant DEVCNFG_NOLAZYCLOCK : std_logic_vector(15 downto 0) := x"2000";
constant DEVCNFG_EXPULLUP : std_logic_vector(15 downto 0) := x"4000";
constant INTSRC_BUSRESET : std_logic_vector(15 downto 0) := x"0001";
constant INTSRC_RESUME : std_logic_vector(15 downto 0) := x"0002";
constant INTSRC_SUSPEND : std_logic_vector(15 downto 0) := x"0004";
constant INTSRC_EOT : std_logic_vector(15 downto 0) := x"0008";
constant INTSRC_SOF : std_logic_vector(15 downto 0) := x"0010";
constant INTSRC_PSEUDO_SOF : std_logic_vector(15 downto 0) := x"0020";
constant INTSRC_SHORT_PACKET : std_logic_vector(15 downto 0) := x"0040";
constant INTSRC_EP0OUT : std_logic_vector(15 downto 0) := x"0100";
constant INTSRC_EP0IN : std_logic_vector(15 downto 0) := x"0200";
constant INTSRC_EP01 : std_logic_vector(15 downto 0) := x"0400";
constant INTSRC_EP02 : std_logic_vector(15 downto 0) := x"0800";
constant INTSRC_EP03 : std_logic_vector(15 downto 0) := x"1000";
constant INTSRC_EP04 : std_logic_vector(15 downto 0) := x"2000";
constant INTSRC_EP05 : std_logic_vector(15 downto 0) := x"4000";
constant INTSRC_EP06 : std_logic_vector(15 downto 0) := x"8000";
end package;
|
gpl-3.0
|
mzakharo/usb-de2-fpga
|
sim/hal_tb.vhd
|
1
|
2867
|
library ieee;
use ieee.NUMERIC_STD.all;
use ieee.std_logic_1164.all;
use work.isp_hal.all;
-- Add your library and packages declaration here ...
entity hal_tb is
end hal_tb;
architecture TB_ARCHITECTURE of hal_tb is
-- Stimulus signals - signals mapped to the input and inout ports of tested entity
signal clk : STD_LOGIC;
signal reset : STD_LOGIC;
signal d : isp_hal_in_t;
signal otg_data : STD_LOGIC_VECTOR(15 downto 0);
-- Observed signals - signals mapped to the output ports of tested entity
signal q : isp_hal_out_t;
--local signals
signal slowclk_en : bit := '1';
signal write_sense :std_logic;
signal write_end_sense : std_logic;
--------------------------------------------------------------
-- clock cycle
constant period : time := 20 ns;
--------------------------------------------------------------
begin
-- Unit Under Test port map
UUT : hal
generic map(3)
port map (
clk => clk,
reset => reset,
otg_data => otg_data,
d => d,
q => q
);
--d.int <= '1'; -- not used in this tb
----------------------------------------------------
-- clock
process
begin
clk <= '0';
wait for period/2;
clk <= '1';
wait for period/2;
end process;
--produces 25MHz clock enable for OTG
p_slowclk_en: process
begin
wait until rising_edge(clk);
slowclk_en <= not(slowclk_en);
end process;
d.slowclk_en <= slowclk_en;
----------------------------------------------------
-- resets
process
begin
reset <= '1';
wait for period;
reset <= '0';
wait;
end process;
----------------------------------------------------
-- read emulation
----------------------------------------------------
process
begin
otg_data <= (others => 'Z');
wait until falling_edge(q.rd_n);
wait for 22 ns;
otg_data <= x"BEAD";
wait until rising_edge(q.cs_n);
wait for 3 ns;
end process;
----------------------------------------------------
-- write emulation
----------------------------------------------------
write_sense <= to_stdulogic(d.drv.cmd(1));
write_end_sense <= to_stdulogic(q.drv.rdy);
process
begin
wait for period;
if (write_sense = '1') then
d.drv.data <= x"FACE";
else
d.drv.data <= x"0000";
end if;
wait until rising_edge(write_end_sense);
wait until rising_edge(clk);
d.drv.data <= x"0000";
wait for period * 4;
end process;
--choose what to do
d.drv.cmd <= otg_rd; -- otg_wr; -- otg_wr_cmd;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_hal of hal_tb is
for TB_ARCHITECTURE
for UUT : hal
use entity work.hal(handler);
end for;
end for;
end TESTBENCH_FOR_hal;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_fadd_32ns_32ns_32_5_full_dsp.vhd
|
7
|
3340
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_fadd_32ns_32ns_32_5_full_dsp is
generic (
ID : integer := 0;
NUM_STAGE : integer := 5;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_fadd_32ns_32ns_32_5_full_dsp is
--------------------- Component ---------------------
component ANN_ap_fadd_3_full_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_fadd_3_full_dsp_32_u : component ANN_ap_fadd_3_full_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SW_standalone/hdl/design_SW_standalone_wrapper.vhd
|
1
|
6330
|
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2015.4.2 (win64) Build 1494164 Fri Feb 26 04:18:56 MST 2016
--Date : Wed Aug 31 22:23:41 2016
--Host : DESKTOP-I329812 running 64-bit major release (build 9200)
--Command : generate_target design_SW_standalone_wrapper.bd
--Design : design_SW_standalone_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_SW_standalone_wrapper is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
leds_4bits_tri_io : inout STD_LOGIC_VECTOR ( 3 downto 0 )
);
end design_SW_standalone_wrapper;
architecture STRUCTURE of design_SW_standalone_wrapper is
component design_SW_standalone is
port (
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
leds_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
leds_4bits_tri_o : out STD_LOGIC_VECTOR ( 3 downto 0 );
leds_4bits_tri_t : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
end component design_SW_standalone;
component IOBUF is
port (
I : in STD_LOGIC;
O : out STD_LOGIC;
T : in STD_LOGIC;
IO : inout STD_LOGIC
);
end component IOBUF;
signal leds_4bits_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal leds_4bits_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal leds_4bits_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal leds_4bits_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal leds_4bits_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal leds_4bits_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal leds_4bits_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal leds_4bits_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal leds_4bits_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal leds_4bits_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal leds_4bits_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal leds_4bits_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal leds_4bits_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal leds_4bits_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal leds_4bits_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal leds_4bits_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 );
begin
design_SW_standalone_i: component design_SW_standalone
port map (
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb,
leds_4bits_tri_i(3) => leds_4bits_tri_i_3(3),
leds_4bits_tri_i(2) => leds_4bits_tri_i_2(2),
leds_4bits_tri_i(1) => leds_4bits_tri_i_1(1),
leds_4bits_tri_i(0) => leds_4bits_tri_i_0(0),
leds_4bits_tri_o(3) => leds_4bits_tri_o_3(3),
leds_4bits_tri_o(2) => leds_4bits_tri_o_2(2),
leds_4bits_tri_o(1) => leds_4bits_tri_o_1(1),
leds_4bits_tri_o(0) => leds_4bits_tri_o_0(0),
leds_4bits_tri_t(3) => leds_4bits_tri_t_3(3),
leds_4bits_tri_t(2) => leds_4bits_tri_t_2(2),
leds_4bits_tri_t(1) => leds_4bits_tri_t_1(1),
leds_4bits_tri_t(0) => leds_4bits_tri_t_0(0)
);
leds_4bits_tri_iobuf_0: component IOBUF
port map (
I => leds_4bits_tri_o_0(0),
IO => leds_4bits_tri_io(0),
O => leds_4bits_tri_i_0(0),
T => leds_4bits_tri_t_0(0)
);
leds_4bits_tri_iobuf_1: component IOBUF
port map (
I => leds_4bits_tri_o_1(1),
IO => leds_4bits_tri_io(1),
O => leds_4bits_tri_i_1(1),
T => leds_4bits_tri_t_1(1)
);
leds_4bits_tri_iobuf_2: component IOBUF
port map (
I => leds_4bits_tri_o_2(2),
IO => leds_4bits_tri_io(2),
O => leds_4bits_tri_i_2(2),
T => leds_4bits_tri_t_2(2)
);
leds_4bits_tri_iobuf_3: component IOBUF
port map (
I => leds_4bits_tri_o_3(3),
IO => leds_4bits_tri_io(3),
O => leds_4bits_tri_i_3(3),
T => leds_4bits_tri_t_3(3)
);
end STRUCTURE;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_dadd_3_full_dsp_64/xbip_bram18k_v3_0_1/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
|
24
|
96728
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PG0J5RRIuu8K34zw5Z9mZLcupY6QnoBh2vIa6E6pawHg9l3NOpmcnQD8DjlUVHwK1Lk/6lnvrs6A
b2ccUP8BzQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kj1Opmh6opb0EpvZkF6RDB1ya5n5md0eV6tgAJ8PWHtFsv5VHaNZle30baYZxyu2KL/p8B8zupsk
MsANvBFuPXJVi4sKW61Q454dYwpkHoUsC1oDxl5cKtOOzU1ATXzQiwqR3zORDpuNNXOT30fTCyLl
n+2tV+8EQi7TvhMf/14=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QAZl2aBlCkVZlo7spLtCcYzE2QYDGr+KpghU31tU/K5eiCe0uoaMoKnAlVPIpxlooAnbipo7NkQm
DlYhwtwVOiWtiPGiyA1Uvjb0VOnDjEi/SMcFUirkV00GyXTH8/0u+JIIMhAVOrnTNKUiBdRXPOfZ
m7yN35Z0Gd047WKv2RqSaTMd7VZ1qNvv/PokPcLrwxqBudSkZNp4iGJK7p/7dtQgBfyWpncqLVuY
b78kmtGkj3gQrWjPXHsK6jki0oGxD6JST9XksNOTk+k1YEeeGBmqw3eOSLNQJmTblaef6znViv5B
m2hyr+n8lVaaGtExNXur/bS8rxH1apfAwP3Zcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A/OPKlQYmmQNvZ6CmCAVATkxwrwgJfVMraB+VilSZoA89GMCVEr5YMnPCrjfOCFPcbDn6vvfZ0Fp
AireBB3SjuFrXqjVZBYbhWF5ZuEMiElG3SlaNT8tp074+5S5mdlRc8IxOeT9Npm2zjrZW+enfyIt
CNStpVAKjtSDoLZzYlU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a2YQGe+T0o9974P7/krOQMjPtOgvsObvaKv8+q/TfdA2Y895F5KXQzccAcvpD49de2XrKiJ5YUU/
UY/GJytUK6UQW7I7dUUnhu8NW4EF0P70smulBj7bE00Yu+0BW8r0m+OGRFvNp1eoiDvC1W26i71p
9AmFIgP1p7PEEDv9HuvRE+80+GPWvQqiPcgQp7DYcsrsUjiRvkJyW4LYyhBNX/lf/IAXUGi0afIn
7bJqIXSxG43bpeFspVPPoO8fHok5YN6Y8Bj9ITG858eEUi2WyWCc0BLDVPBg1EUcXGVmv4hgmVF6
bOFMLwtbMZ3T2v3TqCmzsY9JRttXeAMl/TLaTg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gKTQXJus6sElnermR5xiElH3CJw/U6WuNcvrEqms3f8G3nlY+IErizyEltXl14/AGHnUELlLo7+s
ha9/50P2WDKG/ccuxEnb5kuw4wGN785TpvV5+Hzw4EXlkaJvdcSkvovkSbTLMlLRsmWLyHFGUbss
yXC/t6Lp1DPW56Uym9uruUuz16YewrKNHLd1xHXwouiIpUL9ysZa/9JVrHxyqO0MAz/J8IWUsskF
/iqssBcwF+TO/bcUfJRN4soSbQAbk96yjWA1apJNibQyHJk9HSnCnxZgzXOkF3kHPDmbnAOvttJy
mVO6+Et81XYBVz3w9ngefS3CAFO/ujTStwu4+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69472)
`protect data_block
uLjt23yh/MM0c4ovvWg4Rl6xLMmA0Qq7Jj/OQd8u7mUBa2cQTQWapKyqJVoy8RJMGHgAJ/TR2C0f
aAttCdAS6/TrqiAlGzIeRWrDOXQEXrBc9RhMXPCZp9ZaqoRy4dnvRMs0PTS9ShTkIJnh4Rpb24UM
MWTB4xndVhbBp6xJ29Yvl9uKVtnNwAVYSUjQeCmhm4sGvN7J0qkwP90laiLPs+EGFIV+Soum7Lzy
AID1B9YL/uRrO82g72YtSCyonulS2t4IpW42L+zOFlP0eTgGEuZUfdbZEPRn1Zh4GUjzvd2137C8
A1Tqq8hWosAiteo0RUA1O3fQ2435OHNk0iI1/yFTRa2DGL7M1r/Ki/qOhx+HFrtNJcIHrvHHXPkI
4xvD/GxaqnUHh/H/96Eq3bxl/SPv+6rQMTdERoMpPVGHIiu1b1+fhPModi6qxuKc3K9HDH6UIcDq
AmFAds7YsCWTaN3FoIAfHWRYqnD0y2ibKgt9tjgpSRGotY4Va+q3CnZVuKTbTkWN42sQ3B7co+0d
yLiETpCjvvCcd9e1G0lf/MaO3jmwIKHSaPygI99/qPbADbNT+AXqxjSKMcHW0Jv1ZVSkzkX+5CM2
YnBHNchQpbfGBS8pT1q/ZqQPZgO+8/M2cnuVH43di3q/vcRNvL0DNEYu907MwjN4AXWJHxFKHYsL
1FSpaCSE5GF44VnOCEglDa0yN6XjdZ+3eCG7G0oyzu9+CxoP8OpjPNBTt+D/F2kv1SD1WPoRf2EU
rsk4M+eU6q9U2a6I2LTAXbzd0lcL3UhqevVANrUgEf6DRPvhGJIFeErWfmBXHjCpa0Ivwlil1aDw
eDzMp0crVOrPkFVwZReBPQ99I+l8TEJTsIRwhSbxqIYgK0E56QXqDfx+OliZRRvRnnjCFCReaHly
z4g372QPPrWTQ1KFp/bZeN+VVq+Jvdi8LzqWtT4tpaxwrtbKfWsg0pzCex0ki4G7moXB+6YYMxwv
NAVvD+kYHnmbi6mcMDwRIIRhBwCmU8pnMOapGgeaJ876nAZuRWu3CtJSRgkTLs/VXkB91sX/EkZ4
gCLSE3TLGTusxMTuomYgFMXpAt139BCzlbkcm2m+4Fo1gsCFmXZfc1U+dIlGvxBGtw9S557ThY7E
WVSt/vejUNq5VFFpTJ5yBqTFS6FPSEdW27xABZWTYQJmOy4SUYX4Of9A/G0cQfiHPu+lEuXG2vU0
xBoPU8oXPfdHE53CmxGh8eIAvQdTJvS3hLLC2DuW8qjqFszdveELGNfEBmMhP8GfHcRoN34rD3pM
1hAzvT+C4R8Y5palo/E7CHhqRtqbRD+AbznfsthY2FY7/EjcmCptOHdzECStItaoV2Ro/ALicZsg
WEVnCrwfp5QB7TGldXlV4kgCA3NvtL8ePay167kCNizvCo1oqqOZG5xt4cwMjpgq7ZN17ckqxmkR
IaFyxvnvq2M9NnLox+V8bMB3Vv+72Mw1tHBKlWdhm4jPgKLusFpG+Kv/LI/qmTQOJPIehvol7oFz
WyGBF9hssqvj+BPBurMC+geA56BEBhXixvWMrwYO53jBIeq0h3ZzjxmdWmHV6bOtBL6wPZluvFRP
lcpkBbuNw9ldYKMkqUt5Y9nGe2AEpFOcYqMrp1eCkpvfexiET54TElU9iBZM34RDMBDi1G7diIEo
wSKv/Qd2m01y36h/fpoLdeFsBzn1PjYPTLnRExp2AZHOl3TgRFSFssLQtreyqchYub5oZvuI/4MM
iH/S3hFbS7kfvnHssu7DhTI4ztoB8pAwJqrYyELDV0kY1tw3YRlVUHEqt6/eC7cm7pTmNHCqmT7A
Jia+XgZODiJb+IO0nlvGAHKIG+KkjRm07Nd82y2iJnu/kjvglQ4fe510dgq1fpxTmKMelJ1s5n4M
LA6WK7KUXnJgydpKIAh8JtbYXemf5sPc0KgqcFRjr55Lr4Gi2uol476ydBNuxFNoX53DMqNsLN+B
VV/kzMejCXSVOOwQTq5W7eDe5IfSvJQHsDEV7FFr8DmJyT/WpY5IIvUGgu4jgt+UT156XM+eArDJ
6iP4ob3mgXgkeZNr2AFG2Q+phm0M37miZ8hEW1rhWJXainEU2CiXtaUOwEapI44pC36Mr7nVC4M0
a36HuJBQzQpDhodul4CSXgmfCMdYLbwfjYBTLjcUvYIsxKb4WdNN/aYILRwsLib+rdlnPcQtU5qM
/tnozYB4tdnCBkIIt48W11qld/ba+YzazCQgyhfozkuwktEzS9nTWxJ/9d5bT9ooMFAb/ieih+rR
rMtOQAM9uOGWsZgSNmaXQ4i8P5auv6UqJO5WdKTLffGwZUab5wfAARqvPu0kv4YXKe+fHrlfs+Pz
m5EuTkT6q1mDj0V+nYPugx3LyYP/L9hMieQfiboBQioC+KrWtyCtrR2OhyBLVjszyMuB0KhGgniD
tAfhI/rTkZxHiuY+K3NX3cqnKVD0Xv4TqrBjwyEmNSNWA9ZiX11/E2T0M1e+7SGgVRXOtc88qepq
mjcAeydtpSOkuNg2vdDawr/jilIp2x1nr6W7U/hnOBoR9Coy756bqKKCr0FqDUkPdJG54jHY/4jR
2IzUL38fTL1H3x1JfTDhDSuqACsXeThWgF1RPRqCp1htfeEbmMW5k5v6NowpYt/mjdcwE+vurjYy
wGplPe2PbCbBdpK+Gp2ovVzBiS2THNi58/XFWrJBmTU2x18pG6h6cZFpY1GU5XiGbm+kORCpaq5N
FgOkUXAQooyYOlj8Zuz3x4HWlJVAMvRMF9VTf/Js8fqyNFqh8U7h3Ds3Law8GgiwSf1oVwH1Tvs/
pGmKVue+DkH9gWfZfHQzbCA8cJPyeve22gufkVKHLmHX/d8pBsoDXOjPzxh/yzAv59J2ue3QMe0G
eOraqWJsGhikSYj3A5f94ctPCBQrcLMecrEQG7Tbg931/2A36wwvPUbn8MKJLpKxISWAcy2qwTuR
7MnDDykkhk32UC1BP25dczmhftYvC+q7uSkyLH509pNPiAZjZHJa5yigPGgOx9k1NYYmMspApKzN
YqQXqocgEC6RQCKEGl7xHF2u3skMhdsbmi4S/ZKOBXCXeQ+MonheaKBcCFTwWlp/f3TgyGSuBMTI
facjA3RBmsuKOWhY6HNidyia7V2+bd7hBoxFBVlKqhUFJBYba3CwStpjcKjyajXO6pCAhiMCN5Xj
7FWKGGxDvXe6Zqi7arEquxMAILMtyj7Mv1mpl6OyAfQd1bWVGmOdOIAvWXEMIc2UpogxhKVHUi0Q
Cn4FmO+9LT1/HqBdzWVPt6WVIN39Y5lXwqwWx0blSMX1VcY2ax2eet9Z0Rrqaxmua5UA4khdOorE
AGA+FujXj7T8ao9ZiMCwx28pc0xowvO7HpNWZ9AkVXMkSIN/nxL6uzD8Q59qC9su1jLiaV4pLu8F
/u/rcP7/iW/VGeQ17gj6L/xNUPLZJT8zYOMeRQvRGl4aWoDFLONpFMBKnkdJ+BHbSj8iXbLUGoG3
uHnHHKzlZMpSYU7ZVBpbB3Mtzu2HTla4sdH6XXBxGaR8wbdUFD9HV6y50ROS0BoEw9UE7NrTCmKX
vwsfrhJX1Zg5kvMOsufP9NbdLad7ekaA0lTQG/SlRoyBKVI68fz5al6lLgrgHKP/MrgFZN7xw3RX
BwgrnjnWPZMHtLm0+/l/BtOzBhR/hYyF0en7n/z3Asp+BBIk+zI6AvL7alOBV+SEDPnYX7aA9G9B
k+fAym/WuRhroJjrgGrkwsMYbJ95foZmCAcFhsadfXSmWENPmmXDA9UmdHcruRYi7M0/AAHAfqsI
FpUxIpKSBRGdIMIPOXIiS+a2fFbB8SgVf7y+2vhePBguLXLrOyqbao5xGlLuPcOl1Z1hLAhWx5Kq
/nwbvYIDZpIl4OJF7OW48FMHew8EaWk8yV0i2VKkMKILaH8ooUqczpqABZ9cSSv1qi5LwMJqN70Y
frR+wwOHRChwx9odgSmNefd/aVSdaq5llJ6E4IWEWsBos07PISgj5BpHr2PUCRdDu83A6CgOGjNc
bCDMrGEHqYXeKFT9xOvxmmRu7zmW5sXvs0pGTUmqW6uOE/RJQ4KAhZUwTm4UL3UdKrP5/xxQ66im
fyGReeYq7Lw+QIMlrmTPvv/QOH04U403jCjatNZj97c+Mvc/VX+xX6goHCVByF1NvTgaqxw1BWiC
h2WthvQ80ZlU75pe7EYobZ0sNQwVEIFL/2tI0Pth+Ffh/bwdY7iIUajgIWuOpyq34Md0C7mO+c35
9X923Ad08V6ejtlt6AAehlBvfeW6+pCHBc6GOJNN9Xc9QK0otgkqGNH6f9iNNSoDaQNzkwoyNEpW
YYzbikjGWYjx0iVzKazi24Av17G+grM2KoWtHTR6W+NiVAf4p/9ZnKYzuub4AER9kmeUSgYuxVhH
ONNHVN8BBUmJ/m9ztoystMHBkkwsYTEe/G2FPJ2ao0+pmkKyC9Bn5k5BhvTcCs6c9M5xljL4scSI
oShx1sfSladDgy5NTHJzh43iKrUaWbbvWoudzNRkDz7a8B5yaeUDSPnCjKhnBM17znb21Dq/7SEo
PwoPDZU33Ptbn5dV6lXWjBU46X/ui/vBg1Qhi/ETjL+3j78QxLzYxoqFqdbHCrMa40j+DwipQknw
QgeV8ApgHP8JAm2vY1Yn3zaivl4UIHmNB4DVKj5hjccSCEKmIxYBr/G9gdcTVo4Aiqqvyo+vJsjt
zHZCA5JIZUya/0CtZs30p9oAoKX8leLv4AaiCa204DWZ7jKP9yTOh6Yu82dFYfiwBb+mcAibtXct
uuuR2xNMKCVsRiBaSJTyHqlS5Pl+uBSn/0LBM1hNkxOr6DnMgsb9zrsoRVOluNvZb2laRdGMO0+b
zjhkmWMMh7EFxhdUt2zrrLDEhsJGppm0htOrQwR3mL1aJyegTPFFCCM8ii2Ahr2wWX2DCUC0EnnX
CK7RKkZAXwo15zXH0WP6lww9zWLsEMmG1VLcVYgCaK+411EDz4CX4CoI1fROHpa0ePrw2BLw+3P0
aTJT/e1rkke19EnjpR3Wm9yvXG/fMmkVYPxWaXQKaxp3hdqZl0xQsTaPfCP/CK47Es63B7QXUzml
ydXYV4jS+PGoY+2KUk+eTgBBjDjrtoSHJv5MjURWQdVAdGnWytvJJlWAQCEzjEfBARSjqosF+xUV
REdT3BSfFZQj7WUVWDn4PWoY+isk7JyE/oLBAXOH8tefpDgzQoULKY5PS+YvD6EHEns0lkmzuNb4
I+UiASpgz1boAoDo6ja2fYZTbyZWvT9BoV2LCeG8fGypbiINPf+w78iyml5rWvF34uml1Vr6uAYU
/BxNhVI8o9vPczRmTOM+WbI6hzRy3vaupSS7NH6CmesH6zToOKaDg7WeAdSQEZzpphVRPDIYAAx4
09AENDdhwsxgV6dXQJd210Qfq7L2ty6uqW+B58TylMIpPiawfDs2TrWuOJlxHr8QOzNr8+OixZTv
eR9g1dlMDYURUt2BwRXP73F5agwhbi3PFF3Q77Xi8jzfJ40z5T3rKVOKJX90OpQgTFd8k99DowK+
SyMtKB6W00qNlvANVtK8OeGsJBLiWHguJYMtAWEH48tXduyGPZ/zNurHH82LSqFFGyUd8P9oOJ+F
pTJUZqCoTE/H/QWZxioy0seZyqzhYoOnKSbxp8iBol+6CzbW16CDjd1ZoVAke4SLgyh2+F5z2FYh
hdw7cUoRGsH8JE43E8rSXLtuw7BbCGMoSjV7+ngWUUrAfQ4VxPrnodFYzpIq/aCc5mJYnS2gCatJ
DGXG+ChQT6CLMI+3Fpi9FujFYYwD9v3+uNYzNETxRMIdfIiKujhTqKFfJkwM25DDtfToX0ZlguAH
Xk0sw+P9q1kC7j6hPN3G1h9sRjn2xByqGEGsl71hBbrYT/FMtwsjTyGPQeKxd9GuA2Wtvfr0kwP8
aoWzDrJtYuiotiALYcHF6vLzO1Gqt5tXPaodeLpz5TTa8vHvmyt4INf6XSAunty1wt88CAiR14Yc
l3ETvO0zLNwJKp7FeDwuhihE5twFuP8IJW3gxInQmypcuz7XgSGhxPQpLyqpkB2uqtBBXGnRS5fj
c1n8PsofMSFvGdyhF5cwqQqyqdXeBMMtX057AdiNrvkeQ2aTp4BzVssU1mA7uj3IObYt2iXnz3wq
9+sW0Gfwwz74TYmFL0p8WTHpT3nK9Su/0uHRpuUYX/YNvlS3IqGUUsSG9vYQJcnkTtXSPJ9FeoEn
Imexbssm/FKtR1b/EPZ8ucOI9Yqg36hybdgodBb6y4ALbFF0EXI8hCevt7mid3PXwDO/2ZjlaS6+
Kee2EnEWS+M4ihF7PD58tzDEXoedvWNS21om2l9f1koMX1W28mTxy17uc+1s4deObTq336jfhL+E
IdM+6/pEcTMjZUzMAghRYBeR0LmWKQmAcbuxURAMVe20cjyU9uwqKhPWhSGxQGxz3tIbb0JxHtvp
Xgfa31rdTIcQtugMa9R2hT1JvVZMm3AI4HQJH3+P0Fe4QXSFNf+NRu83N/kIXagCihmiaT6xskdm
/eZD5WUMbyjpI/EwyLf0k1kF/6miKG7Im3S/V/9PI5vX60IFLcwNhapahSt1whOPWvm7TN0ujnUQ
YqAIg3EZF6zaVoftAQnNB/Uosafy+hgNa9uKRtucIdzAZk1L2rO9UxxDrydm9ee1c4wzqeFMt63a
qHE/78dn3FCjtEvYHLmpBNm9gYB62WRfHJs53glInuDYz7e3XDQv0mIyo4IHkZRwjA9CzNKOlOsn
Gj3amVG5qmw6f+BoCDIirJsOlRj12qXUYq3j6CdHtBhDENcnY7psOBVswpbVRQIbXHJwJ2G3nk6n
XpgpkISO/o/Bbqk1bYuW847YmTJx0Fda/oleoBLdY8HfAYr9ouIIsSymXpMdiuf6IUy36F+PJpH6
5xRHb5lTPdwXz7BdYS6vo1TPQMKohHdbACyPNChxhktoCQeGI3DPaev+/gEzzv935pDq4TMxAEsh
UecWJp78sPiVSajKWaPSEjd0MsCPZX1geWhphI73o8P82mpjmm1tebaAtx/xPiAlq80frMNkeX5w
r9tDIkbL7HsVf6GUn9pbgwvgPVzraQbe8qSQoryZ4585irKe2SapjM02NaZpyEe96psuj7D7QX8L
x0m69/2wDJ3kBiZH/RdiPxIfZI0I7QlvYJOkvwd3mzLAoNRtmLB9ZJgvSQFVJSnba37jTbrXKPoI
1LfaqABac8DqKlIGnNGBOcAzD2fucCgQTyzPqNnrZwoWgVRT669T45xfe3QpURnJhKoG4Eh3w9le
Ph3jWGO9d4mInbmVtHwktZN2XDM3vBK2Gu3Qd8oLANGsdldXxIaRDRaM3EMqe5cQsen9vhoNP3iG
jvM/KTu9Kc39R9duRH86H7U61OhiKUredBEROdY3YqfAd6VCgeTj/MYYQfCLWMLbNPUcl33QEGoM
yEEC0NBXTe8ekQGMh29SRiCB3lxEZKAkpMuoQR52JefQl2tavC1FNSCGqQBEHKYu5pHSWXC7IZKI
bS9ngnUbQ2Yr3pXLDwZDckzcaJBP7OyFdK2lJVRhsydTPwdjS1Gn5rwM3OIV/dPVdPjBi1PbnB7Q
Do9y+6cuWcnJRt5AALTq0ceOTuZKSHbx5ZE/zZ6TVNmSyCqjtphYPERsD9D8/zCuxuspEcAIJuSp
cVBLAQ9GicxHFUUmkYNfULrAXnHb3I06Jr1TqGswzW1McgrsRnTji/TpxHUqGvmL7OmeIo4H0oen
MZHDi07MZCjNtziJx7tzUZQsfRJjxZZ0kF7A2MsSMFvY+vlnxDASfjCfHyQ+750LS7qnulpKbmdj
2a7awYvHuQBW5EKcs5Dybag5tRejB6M0mnrpKI1aspWudjHP8u4ST6BuL4UusBiv0nICejgi/xFx
BjpIzuFR7fuqKchTqxCmaaMI3G4FzTUqwps1qfZzQBh8ed3BFclmah/+viQlF73vYXRIa+OyW+zZ
rsTZugY8fkLGiPU9ACcShRZ+db9EsdshBIuKrTV8zKFa2/OXSiph7nWSphXhluY2dYSaEgnIJm/r
fUNLVxULjnKJhDdDiOfdbp+qWrepAH7T67wC3qkpOAISAV8K8OSuIbu6cqE6ao+6rhFBDqGv5P2n
wb/BygYmOA8/Hi5yCuPqDAjHw6k11gaYXBrHId+iX71sRKVcTMt5arP1pXeeJ1pMJ+LFtGGWtSve
bSy+GfXe9lt+i5BkdYAGn3d31kdfr7UxzV8whs9XRcUcEQ4v0UOf75d3eIxkl5PvvaAXnVjg9OFy
18qh1+5PC0xGJsUQII50kbEMOsHS7pSzLzUfHGUNTR4FM0Cw8vAYB/MzRbvnLuSClL0kSYvkFpq3
CHn48i7ObAvHvIfZux3zop7pvy3bHa7Jx0ZUVAU0Zb+efm7jWtt4qaTNOafXksJJPIyDNTgZvtw4
mMkrb07WrQph1MTVQdqlMmkUOq99qxphCZ+1GbOaXmEhWNO05NrVTwJ0m2ROebfE8yUIDm47IjUf
Xunlymovps1v2/KNpPPQG5CHjIjIaOsDj7Dwam4UfSlt+xtu0unj2BxA4uUxwdGSDSAMptdOkEy7
B4ya8el7TmF/HiQkiMIlCSPUzIVAtV4p/3UyW91JxX7tjUhDb3/tDhBE9IzOObrIYNjFNv5ZuE1o
w2zYbEZ+BQ0OcGgEgOMqmqAjKNSsn2wDQrfSFNKFo61iev32pYmaELM7f36eM+RNd0JWLK+xwl1l
b/ftcewHUMV7jTlqAibt5g3+iVUpiKEyYCpw66ZN8blIp048dIBOzrLTKsYmLmLjCVQHjuWLTEc7
A4fs4FWJluI+KnXcxOYgthqpf/b9N3njGsAxFynEGvq12AGAXeMHtimIrXAHc7kF3i0cvQ/Tt5iA
CT3ASAELAxke2q9V6O3xc2IDAmzVvg/LM9l3FCtKDBmhLjf1d8hFDXxrjW6Q0T6AfbKiDqyR3v+D
tbO4UeDkMb+kVAxTqjPfFy2Mob2usqqkDWG4Talc589cwxvEg5pbyWhMtiTY/9HlW0k3P+kJtoUp
bsOTvVBYNBAYOSkZVEYnW2nFIEAajcpzCBe2ATumH3PcYCr+5TcRsLQlsCqDhh2H1BsaIwWCsmEA
W0x2/rrQd5x9QhVQVh7kdq7B7ZfOMjHf6KGue1cWpWVojSjXnPA5YcTmQHBguOwFMtSaqnXhuESp
ZZjqC36m+ojgfznsIk3R2opbMs8UcdvFRnrrC/IqKxQxh3Uabnk7/jJnXAZZV3D4/Ejqr078LlsM
85Edo+Wy+weCxNbGhg8x8bCGVS5YhcAATDHtbeKnhqSzv0VGRag+H6/x9T+fVhHb3IyO2R+7yQoR
uBN7ao2Byqmnp5Htfmy/dlWwfhr2iwR1euslP0sn3gJTkxgalcZCtgT/MXuWTW7N5LSw/Wsy5c+0
d5WsQjV+zBMbHJEYsyVSrLxvPK9qzDvdrtvtugvyGcqAkzIb0O0eqk91RFYsBqIfxIdJtvL231jD
VLf81byatSjipvdlfAeOC3TacKxhpCgkxMLtZVkccLneSf+HPFNJggMmft9nxGeLuBGykmPTMNMm
OO/e9k41zug8qKkLsuD8EjqJyxF4Cr1QkkuYexoX0pq5PWTXTbOC2lJZKimInIvhjUtdfwDePfHv
K/uIkVLuSoYMmC4kyqcnyGwLnlI8ML9KWNkE+0XRQyKdLhecatd29bWVC5eLPKQOsT51+l23knxC
0n/hn6DQNXWEgnpv3WnWSD0zu/9qhHIm7NLZ4jVly2BB18cSg65tWUp90Oty1gEWG5e6eSXq8K2f
jvRAqA1OnEUeJHXdd1M0pqEsUSFhNzVvTKh/HgyIcCbvmr4vKNKkpbi4QSxEbe9ypg5o3QGJT5Dj
/xhrQrPRZUriEqJP50OuoFsa7FADYiwMyiyyvyyTXQlGj9Xh/FcuahhEJIS55GGCgXHgSUWoaRRx
9YNYydenlSeyXkHjLSkKwfpN09OX6atmvv9kL/5Lx+QmA6EKulRCDv7OU2RrAYc0C3Ffo7LqlTjV
UT5LArT+ZV4erc/F2gnQfRukCIrEblLP58l0ILRw38mZFvXAoYuo8EI6uHIP7lokDsyBxpfy6+Im
Vu0TNkMYez+9PqJg0Fstw09QN4UMQXFt7AGC5V2AhfarfsMH8CAxvjvZFhptBwuRp/GFrjWdJtxE
U5P7NQ6HqklKWCAkGL5IrDRbryMJdEkDdua9fl8utxToDRN7l5TUebU/ihXnv/9i6++q2Jl2ATVn
7qsiNeWmUlBFcmJlXaWSHSHiyvBCrslpRfEtlHtRSYHlvvjWTi1NsrcZUNtuLwI/z4F02c7ulNKe
3NiHJxnMfTBRjZPtwqJjnSvuoMz1N/t1nzXNLkmLRFaE9pI/mLNRkvJvB0+WzxyDCmqdF7Z4GmWT
wmwfDJQyIzS/kgVfBlm9aClA1OmuiIsBhVugCGpi5lYcOEjOL8CeEa7wPt5Hycjag4lMRvPE4r/Y
XXwMoUVI7iSqpeC6Xgdc/H0/2+xhNZ1lYMOG2zfz/largSxcaHXVJpLyTKQ5KrsjgcBaHet1tRsr
R3D4pVksKNSoOxHIQA82QT/Y0j6NR1oM2gyZDlm25kFk1y3mthDIR3pzy2YhFk21r9o5xrr6bmrY
XMML7OCen9dwhd3Gurb66IGRLod2HVRMxd4lbRdVEWni5LAAAnujYr8U/mZQO//xxFQ//CWly/nJ
QIhetgVq+Y48eyXpIuY3wA8CF6S3a87K36SLsAe9hofPVjonqaIu4Geygk8eDYuHIuR4OJh4YHw/
AXnpC8pk7E8TKKrtvau6cJbGVvNtlKQCTQIDhN2noiyClzyv1fc9Gn3KgXkudhUmlReqRNX+xQRM
ESjosVvhhO3X7eI9zEyaPBQUScFNSwp08lK1VMvhMSz4RK7dcFH4rtLk7uMSD0g7aCARJbMbk1bD
zxOq70SfzvSXwTutPfzp7C8lWY6XgvcbBdS+BPqQNb7Wnj2CcEPQbwdp/t1Cpa9zZtkBX0h796M4
Msjb0m4G4UtFcmoL3GjbydwCTD+vqIuNT0NHqzULzQhmHBIguIvUG3C830KFf0BJHMuZcpykHncn
VGu+IDkepWaQVSB+gSdOiMGAq6SAqQ709ECX/twBqGbIBBLyp0LyMtZ4tWO25DAfommGCiUAG6KM
+v+TDMStUwO18pYEI8O6lQLpYbM+UJE1FWm9quAThYbKHH33unooqcZk2H5r1W0nHLGVtTNAssHr
db5YJxk7uAojJIpe75CoksGKQhiQWOVZG+hyaj5+sDAwNe8IZehp8mG1x2JHSgmSeyOVz9ccbvtz
RQR4wxn0a6pXYtpHfR1SsZo2U9ED1aqZFaU+cG4YAqmhdBJtrq6sKkhv7nqMVJbIfs/LzolfJ/qh
Fkma7wgB/ysCQmr0mXpSTsGawxk6PeKa5HIOlKrKt9YZOTIxV0j7tF34IHvUSYrmkkVFTWGGKSHs
jVUWDMvXet8Xu9vPmL4KWXRafIdy2wPOLSv3OAzs1exlAb4rOrVBbeYGmou5bveGsAg5TelPzfNc
PQR+VFFl/j7XzIlU7sbZieAB47RCN7J4YFdcm1LSOAmLRm1mh4OktQIpJM1JvH6xuMm9XKM83mB6
YkHB/J9Rb4w6QhhVcSoYjCQ1ogQOqbt25K6dr/nqU+V4+p5tW4oC+v846ed03COPadTkIvj/YSUg
UgZHJCVky54C+PBfXXxElpdjo6FbBBBkuZs7sUpNprjxeIYf3/9ugmD0SOs8JQ754sdOQd061ssu
IvxBcuq8/tswAekdGUAKwLKYa0E+283afszPJdy3Z2FsR0njVmpu6zk8B4II5CYpOaXSip2GBtmv
7f9Y1NDBSuzN29QWyhUe+g5sYUGTRchZTqrAdCxiMwudo80rdF33SAVnPhivesoGYrkXsBt6PM3w
pHPla1iv5nXD1rDoOMnY4NQC3xBJmBwi8pMqOcOF5/PaaL3IOdeHjlfX6DKEVuwdf82mdpOa8Syq
BwTxsocRgrhmlW5/Fo7oPloE/EnvAUI7IkxzMra1S3BuepSbHZIxX85fIioCmLB4upiqb6R9xCEV
EOIc1oGkocasqPy5gD1v5X+xEIPFu/x407AbZAOK5lSpcIZV0aHXxNGYUH1EtRWqs8moou+eCPMY
2LSb53D7FGO+S5dG8Q4bV/AX5kotvdBPi7a18hBJpppqfrK61b3HjmGlWOCQV2jfNkNQA8dBtHkf
Nd+i09iP8ctLFHmAV3vu6RQ1LX6QgTz/eQDU2HTkP/thnvN/Et9dTQ/utRVx4CIEQZ9UPdOVGrLA
5OyNvjkW48h6ItAj99ra4CzslcveNh0AUPcrkonpZFp5rNOElhej0G3Gkoyy3DVeqb2FKJF5QLpZ
O/jllaPgRYqW5Q3wE+f+D46JGf4N4xhEnANaxF4rgsFxt/21jZjP0bFj1S/gdPvntkxD3F9SchLb
shztlQufdO+XsebobF1O6gk+RD9E7YuQDEtrVbb/ZdcxsED1Rf7VTJt6HljDZ2WratVVDa5+5Xad
RdXjb+ah+CrZRwdCqhcBL95NdjmIRsordfyeZb9QzmC3UzS6XEXAOWVykJfPOO27ac311gTmoV89
deUMfsH6y04Emt2DvZlWU2K8td+VBLEyqpB9KpzOtPUU7hUjgamPOXAL6iLBy8gP5ut2uBgJeLM9
SKD1i5k37QYGoWarfaWEZztoF6AHpT5P7MLRcxXG5NBoItDDUPYiWKIDhz1sXfMYgUD2kDkk7nN4
ZpPxujQ0u/CbTgRro5uvMJ6nNRopV/aCXXvWwxULTt7r4bwUaPCdZaRiaM33L1NHRPz42GJSekUm
iH+qqK10SqUu+wTkyi4NCwb/Iz0vaZEvW3Zn3ySUmzr0W9OXabRUFn/cn33cHC8zbAhnkiEQ7UHZ
W0H7GlUTeKwh+RMvSxXhCp82sVx0lz/M2BN3E6PQ+79jMmahrEkwU1cEHf0M8NFLJf0j/2REtIec
iEgmjR1LoXG+TBZVbcj33lA1IMukFlVytvJxzt/245iSUqtlYjML+VRlAjQgNDT3HqKY45C/U98C
YaHu6B6O/DbbqSLfT8Qk/dGDBIA44/5+biVcCaz2p+wDKbQSnkryAkt18fiNlSKYWIuOZa16NPiE
wuyEaoFkGNBf9PQ7IvU/nA1R1iwcvx97jYqXSNJJvqOy01cPZAbDqU6ZTEs7vQ2dbBlkZHWXg3v4
+FECanTSjc3CS9XytqvUNG4/VyX0fOzfS2b4X0JSlOZThcfgAYMYhUcPml4YQ71IdpEGmu+Yqmrt
w+g9PoR4w+LcsidyHnEo9ijPNPn+xxN6eNtgNlfldsNl2XjBcZsf5qF67v7ntUBaT8BzGppKNND0
5eT7fRUNe5ex0bq1nNxAhZ9sClLQl6uX+QQmS59Rdyo9DxAGUPVWzp8OxGdnUS6IYnbhvuIS1nFw
TtXsfj0ewGj+gQYqvBGgT3aIrFS3fcd0CvF9mSXES4Q3sggQweiwfGEIBunjlWLAAZFXv+hWoe06
efILk2Vv6fwZBkcmV/C+oHHDPOyo6ejiNnaeG84DRFq66Y/xqQi5h2F0rgUlXnxO23m9TwNavkQc
/ifD6fj+V+0mz4exGfR6CUP3oxBvDdOJx0bOm8xg7YNvlAY3i8Nwry12V9OJDSGFLAi4LRJTO/vA
9KeCFpaAT/Ez1zoA3s1cmp6HmkmpKgerUPyAwRZUZN/CxqTjnaU8QycNn1cDshUWhutXLqaUWysl
yboNuuvzfKvsMp/wnMaq6+Yo5D0IcqFZKFmI38/USfmRFZGV3D8G0qaX/LCmZYJ0dTRs96m5ytDa
ZfOYM/1bMNeRDMnsCVVDpDlqD1GMlKa14MpxDoDYCLqtqXBoQnxFnHEOhsfXtKwtGw2T5WCoiStl
tHTR/qUWP9zJGWCttdjFujtebfG4Zz3z2e9hbKJwARROliKzjP/wmm/AaxeWMVw+/TM6IBOlTd56
IktonLltljCp3eNQjQNRsimszM5lHq4Ly4vxnxYcojsledjkS7MjtRMpGNWYj0a8dWU+a6hxm1Y9
lJxUNJLaQvXuhGsSfmrvNqsdYuE0GprYdlH9y/nOIuJdy0VnVZslo6TnEZOKG3S6HJt6B5EHEd/s
uiDT7T7SxPi9Y883N80YoVGa8Y9dnQgxFdJ/fzijQosLQHluoTldfpQBNJCV+uaF43pMfT3utv7R
q+IuE1DzMCrU3/V8JyEFSbUZ7uKvyfCcgmLQrnEirzMDSf4bS8Z6cATk2O7la60zj/r1QpzUCosT
MQrYfW5C87K/yFTo9PpXYRwxa3TPW7awVif4Cj4MUBuZigcD+1MEnAqgBbP0FUco8b9CF+foRHi7
Kvxkn3q7bnxfi7IiMXQUycTgVJSRRZtFmSzZ5IcSKBkPG8Pye11u5101tN90QszCvHj3jvNLBJ2b
lYMomE4d/EXSoRTW8Xy3kbcdO4N9fUpU6D49YaK2x1mnTJ4PvcZtMBjUkoA+k/K1omj6yn5m5yLu
UadzqD8l2213/5C0lkqPpQdbliEER4lF3aoAYMRuFNNgAbHQgAYayfgFEOREwzE9Fqo4nZqwpKAd
W0vsFl9TkOglnmAMkjCI59K579exgODTX8+mR9l5jfOdVltf1R0Kf8tKKuiDJotnaORobV/IBDxj
604PTZ1yd2ryQvKsqtnPciT4alQLHS01XSKa6BKoJdySG6sY//2lFz+JbRfu0zD+szu5W5E3DScX
HAdouW7IATtw8b0wNuLRrwVnJNKdU67G9lw05Bea/QwFpBax8DmORRu8INBVPGExud/7HyLjU0SS
uPv3eKcCPhIIKTQJv0p6FObOllDXxQBSvrr2cqk0fwwZTl4DkF+6lmS5DXMj6b9iIPFiVv9Y1AhA
NUH6cREbs65IcNIswbqVkmQBFSnHcLBUJVpVI2jPVQ1ZaJFjVHVGzxBwYxHqRhzV7hOzhHis6UUx
iDBPxGFYZff/Q57NQqQqqojVasT0peSYhch7rUZKr1lvFIQjVEslgkoxYyY2xhRnbbR/HLIIOaws
9Xa0TsPkLVAkHXvQyHEwsQqxgDs7npwlLLyMu+lmesy52pNFI+SnxArIYGZLE4OqTQQRsFR902OH
eSR9TRSzB8B9MreVZInbr4LK+tE46/u0fXBTUdq8Pf6E8aly4hoqRvPNxmqLovsH4iT+OscGoeb+
62RpwpEfPF5GOO6+3pw0owTvGwkjeuTYvw6ufZZ7AGWbokbHngFUnt+dswh67cHqdjyg8g94Y5uP
bWMm1SvCSWUJGewhVlJ0UIhFvZLy3x/unxmpOJ3V2al2ZVa8CCFdOZzpoXhV5M51pvlvaPEeFXP1
GFYSdOwaN4joMd9bt55wqQGV9gT7PBMv+EP7UBEOy/cDvUm8IMqg41tiEbt09y4UxamSHuVTe+dI
S1BYz+abs2gRyaNOfJfrQgl3/DJw00DvS0nAevi5mlF5ERqoNxRX3qC3CZ4vVvgYS78RW/HSy7qy
ZNY8M+LLN/Arqp7kZn+JXbIa8PbDYf1fpzvPQyLR/5ESEh58ZWpKzTcpUez5W0W7QKiDgwtNyFNp
RZoLpR8Pb/ARe9Ayr5cxuRWk1q6W4vxnfowKaumTl9De1BxyhNTQiq67pP9Z2hSY5z0Iq9M0rZdE
HYFAo+MKAF9H6+Nyr6762NKxnro1FUzpwZE4DVSVioJYFKVIAVpKTSCe9p/7kAPqeCZY7CuUTvYv
U+kvsEA6xf2KYObYHDbPWZorCIwETYtvlf7hZVzUE0GfjQCedE/BicUKnLqwH0czzrrPWLcYF/1C
frFqxi29XJd6aItBnNTs1mZZpSUXVi6he2f4V/6ZKU9Ct19ArWJ7l7eVdxfOm/Q506Jjs+/f6K0d
m8dpdhtzqYgX/+msOZd7Y47Ko4Bjxk2JT7XpeIJn32nqMyjnWFoRJ8gHOld0nJVlUZrmFwImQXCD
HGlodHZD+RigiQyi0dR1HW2fhoica/6leSuPRVdlSdVhaeC4epU9LXaOItSBZulf7HU4TfxuibZ6
U1QB9Xnah22/pcdkY0iTjEC6PuSQU4pVEvg8VlIFJJgt98pLHLeuzoCrDIcATRvGPjlek9gPub6r
/fvS0TkFq0aNQiqy3MESMYWWURz4sKsHMMplY3QSZajsqGWjW8WCwDY2HweByqbM97TmG04Nc03K
b8vdw+YqqbcnjcnqYldsVG8H81LMx7HklrOA6IKa+f8e1+eg42yY1HnVlZbz2sLXj76LBAdMW0y4
UZotv1yOfCIajM9iB++UaA0y+niafTwKhW0qq+8aULEEJ6LDyziu5XpVVO+BxQ2ye9ancIZH8TII
obtaORPH353+jYIJAaeOgksSAgLoukISC06u/wwSyPL+lB1P57TAy6w79vdePbFfS3mwgz1RMdYG
RR/4kP6pvm6eOVW7vLyoJi0TZyZqI4bTZuhVuOBTu1QBQS3ULe2krr+CvlyoWal2Qcsdb6Xo8fZR
yV/ouR2cGun5ms/gC5qRz2chXKLvtevK97AXFJqX/TTL2nvqrQZOqyN+dur6JDgcQv0+TpdGFYvg
Azo7VTCK3cg5gbasKMm0VRv/liSzfmfzjofnlere9RTVvQbOxkDo2H/WNUHHQ2S9MQKaOuMV2gAh
0F6o6ocAXbCxUVnNB83PV+ani59Kf+HbP2rIeRV2N5sJ1OrQa9MDYrnodNdSANrj7YK5idE0E4Ed
wxUVRzwP2weTMu+3CmXTZW0umFmhklNfIHGK0eqvqvI3rCCuRCpzYxwxq6XHEG6i/9ARbydwGnJp
SJ3v91VLfQQveKY9XI30qqVxBLdNAvNh1IZ21opnuBiV5+IwKxkZnxvdRC2nQ98ILBTrktoqKgBp
+UY5uO1pyYslLLS+nzXK+j5zxM0W9lC4HPGNlNPXpetLwsrz3vcwosCumlesJ1kNJjrknRrWQJ7+
9pssHAzCZnKV5dYn07HOsGjILv984eGYezEUUWccRcF6/n+X885KqHRs7URAYsjoiE3AKTC9U+m1
NLj9M95C+DQ/bt33zMl/pLqbz4blPNTb8nWz9aFoHMi5oGDm6I1lYROcJkGXRgs98T6TzWwb9d+z
iRY71dWpMV75iAxIwnGmzGipb38KpOuJy5P5Urx/kEx1M9gDdJ3HWBAG5c/psB4bddU+ZP5bS0av
UEGVmn0U1EDtQ0Yb+0OZykK80+aXQGa7S/w9i06OOem7kMqswoar5xqNUNUZAXzlMSwXakJgGaD5
3mEIDlS+BVhlIgLe2ppYdlk2wwasTKWTEw9GMipukAr7fXZ+UtrLnl732bJ6zCW9ILx7sK7FA0E6
7O+WOoGEg3z8AcU4s/cTKlg56D1E/eJk5Ceir2aqiPj/+SLMh/e94LYG52zdqR+S0tOKAee5LDPM
cmbKCbpi16Cq5EwiEVvxF9ou8K67WGJRxZswcQt1Mk18zCi9OrgLoHsr0/miBtcbm+HuJG2qPFAr
J/1sIQoveXRxxBmEBWdpdJc+BO3MNxUa1fkh6XaOB/inuP/8sbz0s/LFiIBmOAMNO3GjZbOac6C6
qVqJlQm+GqEIiKHAYbCd1wQIbleiYlw7zZTggxmk5pvkbWQxXyN14DX0zr+SXoakBQtpfl0cBvG1
J7JXkZHRLYjGGEcFKd/JeXSJFOoP1d+Cz3fZCaqc9Q8TRIoSopC3V7GEXHK+xfDgh3SYpEwz6iVy
dSw0xl/ltZDV2kfmcvfz2rpdESfkNTfB9s90nLqmxnDFn4SVoVxAAhPpmQE+HDqveaDR2J2RbMWE
75fJIJqZ9QVWlZLK00stOg+ZIxNGZVRIBwSnKpWJ4oQQfGd8UIrIEh2ccaS9sFZ7iUO8gm1V5+mo
qKg+yUrQYlKNMGVn4+UY+yijiom1JgvqdhhT3Kq3+B2sVyl3A8F1sv07LbdbUvTjSAwc/GPhb9kR
Wg4apyWRKF8jXoJgYhwktJ95J6oT/zMIlqA8RGicOYehWcT+XssUMseEW6geGhDHJdauQGr/V4vb
+bbH/cHIW/IF8hTaWPAYNpGK4WAT+ZbOAqUrlWq7LStNTuaVOLfly47looenrBt6QFNIEiE0Q3lG
+74q1iNmTOhMBBTZqfojCrpfaFeMIP3Kl6Mgcy/Mass4hLzIck+cjM3DmzBPXFRA8y1lnL78Jy3C
f9GyKWTEM8PPLg2gOpTlkCXY7KRXcYr9xqNDri68yLG1CSYHTlQchd8/z2S8libbZp0ILXFX3+p9
YA+bDwuXZlrKG7VMU1F3fkFJMCeY7tGVakc8nH4byWQMAH7zJ4NOVNb9imnwpOvuD+s47duliX+r
whLhRB9UJO2moYCETjspzlu+P6KfYVH9uw125YOKNPYOxLMqApqy4IVx0upk6iRycKM+gygP+kRk
6zDHPvEeOEn/DauxZhJijLcgbZfHsfKZoYs9Kv6hkiodAJvKPGBlKuMMytSmxyHLLquIgTjZMBIZ
wU/A0nsoq8wxl8RI/bFQGoaY3wL96rse+FJJU1bkRy+7oa2coQfAqTG9CfAPVCsx52U+irESSTL4
hvnYawAg9W8v2Nepb9KaQSGsgeW5HIw1Jovf+AAj5/cKaE4sZRt0BekpTddwo7bzI9nqRAdGYD73
uiLU3CoyNL2GoLdAnqzQPnz4bCsFWGwj5Dyjt+higt2WUHzv8g5NMimLAB6CSGDmUKMaEFHsH/kd
2EFo1feftDWoVQOoEjhjAcSUY4rwcUrjdYVcVZc8bQW6LuS1gsf8rhnvaN8oKy4yt0do+PTvILm7
hy6Ihv2TMb+7EwCZ0wDA7yyZe5M8zD1RvdZhWOB90L4BVMiBr0v2otlRB0Wo+e/mYp8h8ZkfuziU
x4P97DquT+sUDUXvbeS6R+AIOuUxPPar761d4SgzEhpDjx3lxh2jUKa2VdVCAjEnJ6H61HpEekf1
mhhJxTIJ1ABGAgJLJCj6ViExvbaPNqJ8zcuiVtKgcWfgOtI2kk0AafuwRz3qrgr4Rd8efP+hnxI/
N7Hq2cnIaNlEnLnk6SfkJhtx6JWt7lzFjawC0c8mUHMf20+dcgSv+rTOEoYZXlMb80uDY9+eOSNa
HftqUH+M5+QPfZPtLHybclch+XXDF57DNu4gSYzpsVkatbS7QSyW84IQozi2frN7sbww4d+5X4vo
xmvzSVwiTg5BSeEBIcLecev4VHTxORO05bgo1Ic4sdCzl+C7sLBdQGXkBJz/UFdnBRW9fNT8M14k
I7vvNfdRdArpIgCW3vcLcSTV0gu3O/xKGud0ifPutkBSarcvqTkE1blo/ZpF1HccO/yLr52dpF4r
iuwf9rQoF3Wq3IlfnRmY/JAOnIp8VHzYFFVL0GG+al7w9pTThGySAQdXmG/Zwe1FigWuGhX5oEj5
UWBb5BJ2eM069L9NL2DKG57BBMN07ENhaZMv1H3uU3DwnjOqPWy5NYcHSLixOVt7n9VLw2x0Char
Q0Rz0/WvVj1+a+Ik8nSYA6TbYd7GmeRjtr9Y66PJXdoJJ5jAu2FBkF4qP9j1WenYkvg5QxTD7B9i
hQO9nRYMhT6Ns8/cWVQrdHeusiYEwNWNqFpwmyvEDpxdCqm5g+nPqum29aBBVLuzS83H/eG/rV6y
e1OmSKuWsHB7H6QIlZTZ9W+BEt9KABMDhxHG7tGxK/yCjs5RxiIanAnfFFZdmsTtiPMAjcbkcfwZ
ezpYtCAKz63QCUIjBR3IASGu7XCTfOrlL76A8Zo1W6xYjxxgNEHdBC4pu1dI8jP+6+IqedMl7Svl
m6BbZAyt58vtwW4H28spVlqfDB9KE4b242Vo4ARGvjuZm1gOgbrRSFeAJzU7HR0vJRNPOPiQYKKs
tUcpyMKzGj+r0LSist0mtN35BTy3ecO6gcqXPMeNh97g8e5hea186E64SMUO4DQl4apS4ebYRJZQ
JMTVavGjLqbP6IugQHB7AtZCyHuByvWWAB6wIViUM7TkpRhrP03jIkuHrew5OU/qkQGtMXJuD1m8
gxS1MFtoQmPOeab1+pyTUPFOTXO8eD4rOSuWDifASnEbjuNKhv1KpTr81ueuUkn8gwR3LXFWl+Q8
KwS79UNcQqwFvBdJcvH5epDWQZAdq9q8NJ20wh0Q/diuEeKloA5i0RLewDRMJl8MHmcS4lCtWvf/
QTn9OySAc/byVTb2e7BdS7HreKItBpmO/YwuzbGbtTWe/Bnv661sZednP3+EwsCIIaX9t0cX+KnH
RxN/nLPQcBvMJllchNf3zmhtBya9B8fxeMsUQnsY1q7DLYYHrn9o7/GbaW3bWOvply375Ujzjz+7
7zQqt4vsJlI71dNR0rsZ7Vf6xNYt6PIjCLnUbv9NzUlYyfsn1gOr0oZYlGqGNu+VhOKfJYyjoIyn
3dJYM33zycbjQg2ZKr0yoP3KcAq8dAEFkvjLCviuwAPHWoQKVggkXqucr+2rV8X12Fi2UGgw13kU
KZpOToE1iVb84b7TWgqmtRZAbSJhDJgA6SX7jLAN9/E4jEUG1+74yre76O1VkuiveRx+1Xyk4ucd
Ee3HhK41S3I8zLI05QS3KMRPipaZ8OKX9ep/y990qa5Ped/17w3egnvIaBWpC8kDdYs7fxYhv8Us
wt4rxnwFb8to+aJ29vbZKpnbwbe+8O2CIklAO1QvUIgpfTAnkz/A6L3acwMCcS+cvi+ZCihduiOn
5V4rNGx5Q7YqPK+BiNhvgThicf3O4cquSzbGREmMWnXDEGzXi2Ak8RyWj1PuZHRGbd5AHTijyKbL
AAw/uoVkLiHo8cwQGqkqRSV5eK0Wl2LHxsUP5JZcCQ6+5gV3+TZC17RbRcH0oS4VdMgBQb2qvbVv
MCDPDoJArvWv23BOrFjA+ygr+km/WHqkE+RaHoI7WPl7I66manih26sE3ihWB8D5pKS1MVPR8rSe
EcONdJx93Mq3JZ6l9s3XZUgsTOg0d1+MWJgvxhoMhmZ9zDnTHIqQEUav+0T/L03N9ZTn1m6auxCu
5tc/DddARwsCzpkDU47zwYjcPpBfxNLUKTVMSUVvb/zUeU/U2vwJnljZsAYuGzoA/C0NNXh/o8Th
3vqOrKdxuetUalWpeBUPE0etPdRGIDrM5eeqqsv+gz04WHlk2tko6pOq0KC2SSeqm3USbcdG5XzO
a3XZuQutCteOzet9/+ZhIdkcHC7Is5YrPxoU4iLIi7aN2MnB7DvVwneLdwvBU2Znsx+pSrzUiHyn
vY+VAqYHhb/V12qE+heyav5YVtPaH0jtojj+J9Pul+QbnAc4oLdGz2c69lMlrxmwGeq+JopJm9uQ
Sr+oIWAZ8SwNRBBzOaWQeUNIvsIOtxFMnl2NWKR4MsfLD36hyCiQUjf8EjxTd71KLjyQfgSFTE+g
sFZ23ZeetEdmKjBUIZviRcQ16ZM957a6T/dJy9enigtsPE8O6mmkFKNeViBl10F9qAyr8ZFuEqG0
TkCIIi5beA3GttNCHvBpHjQaGkbuHiNH8R7fhXFFVvGOXLH+CSH57p2O3M0fULmW6XDll8MoU6jN
F5pE+r0RMf8BtR2Mr91iB0WsOZBq0ZYn14Z/K2RV1XpLeTsrusgIisFGJEh3gCGN+uhVhLaT6S3/
qkg+O1smTfyHzb6MBT6ZACxnhRTVnSnOQ4YgGXphr8zUTVKGjRwrKTfDyedMmBKIVxDPMBecD/jP
4PUhp9K6q2prpbNsCuCnC5BSCC22DjpB28+lDnm7ZzsY4zqQwNWyStYNm1e1TdbbDpDsAyu5lKNn
s+UcxgeUx74QDZ5zzeAl6vI11FAo1CESBNRV6N1SbGxBNESY1/B/fwU41X0WeGEMYtHHHsSZ6ik1
2r6DqwlEElhR7bjCgWd2vKjF0MsbokfihimrgMqyLMAtsdhs7hTvwlQtZRRLFjGicKTYHn/X0Bxb
zcqriBf1QhxX8VtqqhQ4FDrMOk0nDjGMPK8v/xkNQOmiesPBCYVBQO8tp002fQhsx4iDF9VhO+fp
ABL06HIbQQsaayKhOg29379QgQlUpq4NzzCRfVICztq3QQ/rw80d/OuM9EqVDSur7FLL/0XvJUol
DRnE2GRvUCNrzVw1tmlOmD+AcMCYnqpb43H2igZ8BUwvgXRKPcyvK+BF0oPx+C5PFDqb0tOF2PPP
DIOzi0RcK4QnmWDKMwJnS/etXzZn5q5s0ZocI5tiLUmMDqdNBrDmJjENiyZOA/j2izYo3FYYV/E1
x7KcDYkqPjs6q2eNQ7t0lCZ2q5Z9wH3gjr1xAjK2zhBQQzX3NwbjmiAp529xFFndgvQPUpIJovpy
ppDqNzJizS+GaEnQ0qlgEjipqXvEAOnvkHNRW4RR6D/5EbpNIh8nTtrWscgTroNkmM5/iqbW5G6O
EMQ77ezfV/vePEGjXRzI9g93x8ReN5eajaX1rJRtWs3XQ767Lx/X5k4oyi7kZc27aUnHChdQwTC5
U88fmTwMY8+7iTTmXvm2qhNB+Cutc7YmX/2/kdElNVAmpyTJsYjoVepIdnCPtPCe8RY+aHL8mn3d
Qqm5YYfmEJRK+rVmEvntkGvi46TyNrvel4rRXA74/nCPzS8UaEaf7/SGuJCKCeaU5qL9QWNr7T6h
PTWQR+y9GJg/y8uj9IoRbKbRvbXBy2U5lbWMx/6aUql9a+9l4IWZHwAa5FeR22d5CCgrnrtalAm5
PCR0U1cCtk9CgHyG3jZ5pFKyQOsHwInZXl6bEBrpForc0fuJSW7ErFjCeoZt5pZMNx9Xgtg7LQI7
6RBM0xHsXgBEJIOjwzINlFaZ2jFjtb4ZhRFb5lujUc+KtCElUIi2IFTmAcOqG9w1xsduk3xPQ2cQ
52oRegvVco7VUDXwXwkbf+G3xynoR6fufkUPnRdSfVzTmyvOefVAn0CzovnB2ohI+onjfAxLUeeS
XOtRhXQEoW8crTS3OtzRviVgj46pJ2dbW6StUBkJsqt1q43xHx6SlNs+oPmtKQ0lfpIykJrqASE4
aKerSas48irNtV0iYi5dOQdQkGZYQ/mbIl6FRm4QS4izWT6bnhGDEO0kF++wkGVEb6J7iJg0cw/c
s24m4Vo+1qeuwx42ZFqj0QhKO0UId/BlBkC9biz7pGcINtpX5QOV1WQ1cGUbHNtH57vCP9sAVDIH
ZmSqEGVK2020EybT8ZTXcROEoGAux3MC5PUHPAObBTc0LxIq0o8OG6Yl9RkhTL0ez24cFudk3nqt
zMLaP0DqUURqO6zpaXCYvDDpzV2NcH9M0vUePzGJrDIiXZN5/WVe26v6DhCZ94Zv3pbGSpxpkXAC
12LpsaLlA+uMaOEGXe9V7boJ3ZDhyeBbYadDAO1uczXgGaOiJdMutHbUfTDF+syAZPTuJSycNogI
J72712Yttjqxi/oum5JWUKKmhXn3hYW1590JvBkM6mqfCXPr0zXGmoEF9w7651K8ntmmExL3eRxp
8jJbNL/LrNo1XdWbeyZws56TsFAMGK660zn71M9tOpYwuyK7S86ufZLL3XDiDkujc3aHXdwXhfGS
Q9IsYovOlE6mvrm2X/sQHSMWErwsuAq1fNOR2xyKeW5PGMPrvoJY7N6mSXxug8tCuHoCyohP5vu1
mtP86ShFUTWeUJMn+lhCGfBTByDa9LkbqlWNPjG3e3yVkH8/22jQ7rrN4TyarZcQVznnCl01ulHs
WX1PbSGY2G1jZUxO830eu/loik9O4Gyn0mdtqttdFIlLhJdeJHSHbKnT7goTjmiD0i7camOsUUFB
7Ct/X/0BiK17Fk2MYQIl4Un0x7STubcBazt3G5zcD5A0H3ouF7y8pzavGHBC7xgYUC8S9NHZ7w/d
VBL7OaqB0hIFigZDmxmYRD79JLNv/sWv7K6PnoAwUJJUx5GEHJLTjwXaJpMrxQCyjaXxkap+LlN6
Hd6EiDY2XLHpSw2BkJXH2upndN2glnkgS+BfJ5ID1ZPDSWsQj0/LTanVjK+BS5Y9Lz6+yExOqwru
e4iJCegSTmyMfUkD+dkWdA2eFQRN1N+3BSXfPAFDDpfSKttKjby3h593f4FGlwmsvp7MBj1f+zpG
R0msXGNu6MSUIvIPxw+cdDREa4jnV4jOsEI1EP5lZROOQzuvcBeMffMZpB7qdlJnh6aI9ue/KkbY
lHxQZU2q8iEIKTmQozRma5w4bvrV6EGHLWhXktTAyLjLJAtMEmbCQQAERsD646jHZJL4rn+/q9xX
syeM9xpeW9xqrdRm2qqw4yx5cqIqwgAd8bvdvfQ5/KHb53wTtgA2GekSFZFOfIr0ocuLCukNXxO4
v3UzK8IP+nrkPJ9Ztmy6nA+lTATL4q3hTvBxbzqxUSFJ6kFJBpVJcynQcWpGI+yXnRT9oVb1t7va
uQPBe5OkqDw+llBBAX/A+MV0lEHcyLd1F64pTz4vhpV878s3az7thF5JpMSU1ZabpdKuupR3+ReD
9G1Ut2W1YN1DdPvEGkYixCCmDD13VdnsJieK9v2VZG06G3DkFSF9/c7uBMF27zDU2RArGV2UPTyd
7ODA7kf0T2ZyawhXQe+OJS80AP6CvzzQT9wGfbQOtFZpBVTL22f3VpAj9uaIjoXulV8GdInj1sTB
nniZregal04Fm1MNs8vC5Qt3V5H4baoiBfMwPhXHT/wVD1saCyFe8TTUcZ4XTYC2ssPQe/gEZ5Ux
6G0No2a71sRDTiWL9JSoVe+Ei9rff4Uj9IQMS5dOkK94pQYME9CUZ8A8o4OH/K3DlwjLj0CW6dDq
j0M8PKBq8NB4QmgvGrDhAxrX+hv9I9SMcDT0tPPdgNrHtu7Ry15/OZv8s8rgA7+zbBT7lTpm/dMD
Sj2OEOiHEI//n/TkqAXsYC+XE1D+0U6azsM9h1wLBaKoDK6covlGPi6TJAytOUBn5lwimHALQGMR
06rTAc17fimGzdoCe+Z4doVehUY7uBFzI+apH0PrbVp/F+LGO4YSbxeKNFFmbKNJ7tiKiu15FsQR
u2hfjPatjJwalG3sLC02QUFVDTebodf20dWS4n0OU8xem8h6l2Sb27Dtk3irHUYKlus+mI/2n6jM
scGlwiw5RXtre2+2I+0LaHpDT1eSTiO/7hB68R6fIcDjChcUNJsqVkDarYM1FqvwH86ab4s7kRxu
QVubM7v7d9e6z00Av2oc99zj9sBE4fe9n6xZkF6nvklePbPRybJW9A+Q94rTz3Rf7X7lzI7tu+Xh
7HkVMP9KfOIkEQvNbJNNaf31Zt2aqrUVRsqDTq7X56U3MHhS8EJpVUBYF7KvlLS7EbJ1Qe/5X3nx
zZkS1lf+q0p0tTrZn++qGJYaL4U9fS2ejPYm8D7Ld7sORFQxIlBvaCdX2EU+fEvCulMXp5f6/ctX
7HcAntjy+rzaSPkVRDncRfKJ4f3hLD31XLR51tUoC0c05oEhn7AXfoM8GUdPnX3CD0Enqg3k17Tp
iyRXdMXdp3R7nWybhQ2L9a9uXZJVSZ6YTfD1xNsli6GXbi0mE92LCDmbAm5JDd+AxBVxXwxVPXQE
MFv/Vh/5zE3Iwj57q+1WPaVADI9eyHWUBmr7qTJe3p8Wdeof8Kkp+1ZMNdmyHlEPHJXYl8du+rPh
cArIJz4Rw8QBB8gsdGuJ7UpdTv8Sv/sZ9LTcp1oFdP40Jp0epTw2tfSPuvSxkHTSWfZIvuHVo0Gc
76m+JdVpwaJpCDNXIOFM2jJkhFbszqqUNe2r+ABjCHUCblgHt2jjt1BwrVz6jf9tbPMECKbMMujU
7HxrCNM+0IPmErYwS4m9C44mBR4sTcE+7g4u1eyeA7U4qoGcK5wNpYBj4iKWBTL9zFFekYI6jEnm
iuRjGGrwLyVnQvLmiDkLxMwV0WI5TOzfxjtZs/IX64cLRjsRnwcUbGFdeGX5FFmfLGrkRXAzuV2p
5UFVkgsLtoMKyWTG3DbfaIshBhAN2Iri1H1ZXFuIICSHYHBXF1ejPRDJL5B8IxCWoSMAGPwkXr+w
xUz8pf3B8K1b+TqsBVECsgcoVKXyQ8YCXZVYxDJt7sqsyBQF1rONq7/Ociz3n+ZKO8qHGzveelFb
mPIwaLZkR0W/AXeBpccH55pTgyopZ88st+lwo70Ys9FztfnfEFU9puRTrxchdwyfFdhCQL14lC1a
1r1UfyNFIWsTpN3juoGdIl6uufKc1fbofiCvSiOjxGS6HUBHzTFfwxnxUFQd8T/V6x4BXDSbyHQK
/VOSIicW3OjK9lOT40IhYmQ34J2OM4otrBbBUSgNZcqnknBK3GQPLEakg2QPufkrSVzopy4bQQ9x
4UzxE+MlT2WnHiX8niAMyQMR3L54QB3ktV8ubg416uIEPPFApyMTYIWGxyQXg5z4T9FTq/SfXxBF
1wETlgVgKC7AgiXQR/teD7QO5uxSGtqgxsoEORClkqzDxfm/Q7tICtAOjArZaMJf6BzJvzH3ZSGE
wRufp4BIpNIMb26BXo91Q2Qqzr7dZt7GfrUYl27KoszrXI2t1i4WDf3C/anVL2XWA/aPno+tqRME
RXwd5Y5vWqtKoCB5I2HGduO3SSk4VtFV6PCn7Cf3ugvkSslkaFpqqG6PGjCVDbM/TgRBr6Vzw/0D
SLYvm8vx/HZnKlVX4KmQXCjdEQJcL5YnNI8j7R+LPk6sX7S2tSAh1asV1msnaNxa/UyR2AHtG/AV
CHGPuyW9KRCEUCTV9P43GUjjAnP0Yc/Hz4DlxAoio+GG1b/a+usji14GbfPPmZFeIy7LHftAcNaS
7B6gqtl0tt6ZtbjHC5xTLR7hOeLvVA9UjOYlGCLTywTRAfEYYjLVqFcKigB//cHptneRr8o2yHFh
GAlV+LSI7/0QQcdfdlYKDhm2cQaU27IHFH/75Y000TqKUUgJMW+UYSZY0iyQHjg8nG4FuKt/bLSw
DCifFi55x8U3MWuIpWNYlUBJkZd76nT9IeVGTbnd9CHDoemkUlSrBEedzQGl3ELHY5P5Z5/6igHJ
W2mhikg4blRw60aZ4zdn0R/Mwe1mJeAMZvMDwHjevXF4BqSzCcJppBjAbfc9sO6JgDnRQejsuXqo
Fbr2D+dAR/ApvzfGkP6hSPnaYciVp69igTawcdsI1gjUeuzBya0q7Axdk94Rkw3YC84JsMSdkDQg
xCYh2TlxF7EgLlvHVRJlLXyGOoH0VKfknU1Qk5KpkQpmH6fbe+TP70yvZJoYCW+sCjFgLmV+KGcp
6l6gyZuByuNAvJOyQMOx78kwtgPeWF/oCmZ/2WQzlwK6VgA9K+L3yCAJoZIN65OKv/qOw1ezWT4g
/9BEJE01tqwA4ddWtMvh5qgjFwFzUt7Tqpf/ElAuraDOuC7XKjVQQX3OOJlzqvpjA8rg9YGKekyX
G+DtoAes6xEnih9TRRzsebQy+Ia/FKOlYKRee58bB6H+I/vd/JIPn+y/+NYbh2NXGJi/LmD5I+Lq
VS2bTcfDEEjR6oq8maiBDaCzHG/oKpn/wirLu8+Ffoj9wa3+QizDEhcS06gvu7HQXWqBGnoph4zH
7Z504NeU8Wb/BSaq1PTfjHI9ThUF6pVxDvZU5eqLxtc5lmX5YKtE2MGt7ZdEyENHlYvVngVyguB5
ErXlT0G8erg1+S5qiKpUzxeKWZJgOj0k5JYjjf4dTHIYOI79MXYlldDYhUK6RXsoKwQo8qbzvwqf
Os60CJv05ciq3w0b60Nvacm/Xkl0xubV/f6eolZkznqMKX1LqENo8t3DrflwcIjON0m+LwTu3pmX
aLEaszvFdiKOZKfUX0tQkbqmpzJMpqUYwMLN6OzQtkmuBYn3A6tKTOpLEwcUbhct6yAiDe5qvH80
bQprHLc7ZnRgm6hJpEc68MomS3jUx6cSsCCl6HKxtkoNdBnBsiHM5g5oJNBD4Q8Nx3I6jHsrq3KG
2AcOwcCIBOXYvkGDqBqXYYdYjZbcQTXxQtoGZHPqKJzhP69Hby1gZtO3k226aZ2j3nzazk9vTD4j
Q0PWGCTW25c5gi5kjCmshbLW9nvldcMXowQPV4g8efBpNZEmSzW91OlNj89xpdDARQCuIi9og/gD
BOSKBp1tOMFqeIka5Tp/1PuymAQsoFoXQsOzyCuSTYsJDxFr/EGUTYAdgZeMbCc/KILTFzVRRnVq
cus8rgVlk15YqTNAmBWxqhCMWmLef1ou2TIYOuozTrrioRw6tXDZ22kLMBlz/XS8BSh/UzJXL1mE
sTF8TVJR0ND6pW4PkZc7BJvSJdiXNw35RIsIySvMVLp/KscGEcUdwgi52OGVN081Z8G0WEhFX028
eule3bXNLYF9ekjArJTe53k9aEN6jlgxxjUmC/xowhYT7JAcKm2cFhJN0HtxqAfuLdKj3xgJEJCj
HLI+1aggmBCZu7f4Ivyr86Qnkowz3m1StAHkhLzQ2Mw0m4M01hnjwpt/B9qTCoD8vZRHoxaKHi6x
NuvlMOpVsdtPZyYmx6gNkwU8eeVnw+fcXDT2fsolrV6vIZYbVhdDg8N1KtQoKW6+ZoXepmaGbC6Y
mZfXUP8mCMkA61EYiNX2BBN69+pIv1G7oGQdNwwJPpv7BjvzPnD1rqsN6C2Vi9boJduEI/fL7zM/
GB9RhgkBmus91fJR49dCyeodPQg1sxKL84OvRIn8iLOaAMwJlv37uvT9iEs/f+ORimX5Iu8qKYIB
rtSkPNh4d2tiWywExwJjk3r6s0KabSD+J9ddhwBh7wClIuwEBUP+zqtOay8ibKHWrjRa8iQBq81H
ty3ydFFWPsLf5yfTdm1JL3EjDfpyNF7uFrN1LWgyDsQC8dLLRM79IcygZXoCRbkEVyedfNs9+EGe
upSKEcdqPtJYpD2zQxPFKWQ8bS11aWNx6gsPmfIFwHDPUCvBb/V9571p4zO2jbABfQwMtlWsBptX
eSMDnFCbpqtGGvChDQ31IsM8BaTWBZv6ytMux/0w4UXoQkkkULPmzvE/k5Kvhw8xjJ+RE5NzSPGO
f2936HZ9PXMNKEdCh/CRhdUR/8Tgfj/M52AS7OpeDP+5dS5mlQSBNP6+8jV1nACBgo9CR8C7l0F3
vQHO8cYcHgHw3IQY0jF1SJ+Nffn456fJdFcSw0wbwPTuFxZAEGcczuLXyPmbTaaKF2LyOPa84Mtv
s2jlhGr4jkew8UcVeXNPLcMfFjVQh6fk9C3mw7eew7FcDDp/L1FSqzgtQw1d4CjK0n6rtYj8XH3f
4J+XUDtwMTILZnMscTVcpzeuctXsE/G6lqKSwzS8WJF/BalcGdBw0x8foFQTs5tG72MljVFhl+ut
ufCi2qkompJTTM0GeozQWXAiKap739KJsJiCK2eQZsryblk0s7U7hzMx7nKyZBiUc1q+hETc2b0q
AtP+KlYj/ZyM9JEtR8mAg14xcm9NXsStcNacMBi06wBVNYbx8oPovmYUzXpSTweuR4ZHjKHWwCo6
lDe+YIFZ7EcV+IL0mBK53YlkdMXjI12l+kwiboMKVqkyrcYufj6Tajh9/aavEQBzRa6lMdX5FBOQ
rMjTx5DFQ/pUKYjGrr/6zJySIn9VfwqrTy2IfrMfDcHFYd4oYd4e1zKVVK48EjhQbNp2qes5SGsj
HRqiO3pxbGe8BQ/UBoHO8RKfBXrF4qaifbBmpTFYv+k874C2Y9B2hgQ7pVwvW/7xsYX/W7QtMHR7
JmO7Vs6NUv69rl9ZS2EQjlDD8+G7n2UjAiMLZPYnVfA7xzllCtQfIUI7frktBoZcOxp8iNZuiAVl
+ZXIhyjwJncb9wvzEdUIQzGJ9k1gXtC4pFVWp7dnC8YZlwrksXfCr8HTmGSxATfBjbX8KQ/hiHOS
vNlko0rgG4PK8MyjLcQe/fUQw+sVYQ0vwur+d1Llvw5LMgHbtqal0cmFL/Rh1VPjOI8j70TCi1FQ
TC0/qz2H8+ap6SPnAmsyQo+XllaR+2dOexKV7RjpIbRcC3Gr0W+JE4xJnW10i8rnnzCQdApdRj4j
S5cwrzZsLgI5ZIdIS02uxIOMNlihm8I5Bf/+g35O5O1ApAYk1oK/pUfAr0pFjlnsIVhC95XnS75T
i3JL0ggkOxJqjswj0aO6n+zUcwwwLg9NCiu6N6Wyl/FbX3toXNeB8w2PNKfYxR40sTWyxC1rjQ9z
G8tfJsbuVUXuzsq09IqsmhAIp7dKJWxzxbS+6aTnsd/3ZqHg4j4Ssy7zwBqCWaxgq7sV2dpmARP/
CFStnDGmBbbj56rXHIklthWUHL/voWPuXSeswcr4KrhanO9tN6alOq7f9CMj5/afGOI5gwmyOqMi
4O1Dn8Ead/cwHGaa6R31Kv/pzpWo4JldncQTouKHyDwTlb9iYlsJH5dHVpSJNQLUyya6lfJ7ZbmI
IEdzFfkX2kv/pQTpajnaReyVyJspi4NZebXwKOEvH2pQLhlZWFwGedC9z1Ta0F6VnfjbvRGEchdm
rDca/e+iYghHcD3Aw0IhP5h27PR4i5/wxkKplUYk8USS9/rnET0uOZ1Yo9xUiPWsce5SrDEEgi1f
B8jxna/06DBcBFUWwKkLiiE595XK+fPjrk8cYaVkNpnBuLdfO1Uw2Gh1EZWfgyckD+7u9WLLXwf0
GVqalDOZ+bLsIkvcWXDVo1Z+y2k99Nt58K/M3Qelpwu3ENdzssmMskPMX4/XvLRcv4Ko9XtiGw6o
lTtnNduosIUIeVTbkjBVhTmjRI1D+88HAshz4m+HDoZc3ti10re81yb72xKRxBBUxk/wC7SCxXiW
4/gmE+qmT8YOd3fAY7KYFnQ627eWaT3Gfx/V5b9mZrWviki/DOnQ4iONIQ2WJeW9kohpXUwwmIny
pXu6W1m5TlmLQEnpZM5xP/sSLsbUdvLKP1LWAMZhoNfHcY1IgnR83h17rG13p5IGCJlLYLCjdNE3
xELkObi3+wu8wfagB7FZrjXAdcJwSavoQwEEmusmo7DIPg2+ExciAzlmjky0QROg+V5t3/xpv5Jz
S55tb8+yi/kqen8hOGP4Yck0u1qsd13WAzIqmLgzJ0c4ibtWaxDhezruZ8/A7WQvs+8AdsJZRmrM
0QPKeB+m2Gf/hGMgE9ozvJx/4VPNqNvD8vmPJPP54xIpIPMqu95J0BLs4CtzLc2fCN8SgpR7+8YX
K83q7bTM2uabMfE7zuvhxnKaqOMPeJxA6iqJjqFIZJbeWGMOtf6q7YmO3laL8mBYW2mK94dENfml
BwtE09fSosXYZZn5NmrNpoP49Wg/kYVUV7UI4AK3ERY8+w98sMFnGE/v+nTuhnlXTCooHA7tjBSE
yakCQnt1PbiartielWQ8kyUEd9YN5+6xhgVg1Xldek3rmpCptYRW/743FSIuSPajCMtAC3ZYPw3d
tzaA81SyGSPg7YgQjOwKs1svth5Xdt1OOUoCpukuhdsLu+gY69Qg16rSkCHoiTQHTjSbEDrjt4Ae
panbTduU5Ey2qIdj3HXDbDHhf+GN8olsjHkSJ0nF8HqoIgGtiOtwg1dW+Js1K9Aojk8z1zSmFCuW
5/aGKjyRIgPgT2U7j3+IejtaXX3+uYEGxNgymYKlbX7J9oLLuc51U05o7zQ7WaAXlhji64sKST/v
QgWeHWKpRaBW+JC5D+KKoY9GPcPu+6Ki+ZOZrOLrjdjhhp5Eg6C1muae6qSVg7hqHDePWbaYox4O
k0yegbaMrtIbp9yZRz1xHQzbFLN5xea2h2XtLCQV2B9hHNqlW09lgLGBGB5s+n/Pay+nPOCUG6pn
Nr58t7XEfhQB6LvKvcq3mcWHZABrrIyR8l/FZeHAZIfg5w9LAjqyWHgATY5Nr77ye+jVxAyyzJWu
0CRSPrwlas4L4SWo6kdBgtGVt/2hxg0icfCtSZqHLVd45l7ypBYzzeHyAZ4wQFkr/JuOTY6+Ae3f
JJ1KSr7/X9DnlzF9wFO+0g6+1Sf4PfmZZIb9SNNuTH0flRm1e8U6GGmpyAV67Li5W6LHlq4dXDcZ
f0Yp1KjIvKQACwVKR2hpbBpQPgJ9lNqefIFnl3RApSlPV+v7HjHNFfGTLogqT9xh+hUcUUfAWuti
gOLPIYdMnIDUUDmkVPqGCU/YnHQuZg7FQH2RPiTBkn3CT4APc664r4SKhIbVbHUX9sv02uiw/+w/
f6zu4Y3h43FKsb7q8ipHmW22lgNEhwIzPokewY678ZGHPvaMvti3sjXh6s45w0VGovsq2lMt3sxt
WgpAQW2mgbyf+Yj+XFP8df99gSg48RsYObVciMdlYvJTU1axWgPjPgCbb3XVhqweJ5PsIb3CR+En
rmLjwBIT+jY2mS40mvjGbImc8ZicLGRbv/iPyrBvlOM8yOCzBuIYipl78O+5rGvK39HahE4YwSEi
O4Vg8jTfotWrUH5PKlaYI02mIVgrKycKejkMclFCEWE3UAupgmB578tgCcrqSlthCv0sG/ANUPbg
wlPZ6uSR9LEXCBaO8YZUUbuKlqG/fgNMS1BMjHbpVjtZNeGtp5pkZMN+VP14jSpE0TcXGFg+jNDw
5lLZxMRF9+ULsQA6BVGWseimsL4j+J7fxtwufae0QYaZaPHOVuyMFfmPb98D4LkRCXjLjXb46a4h
RUAK4aECHLWIBN1AaLDGocglmy1sA+DCVI7kXRq8GSE3aqlKwDq8yibLpokj/3H5UC6slPThDfEE
5jqmjbP2soRh7fRIY/XeRNZo9f/6o+Ut8UOJaHyM11jRgEb8i/gkKggbjFF32KszzCT/Biwe0kLz
55kpskC/ajtkLjbCtJCEGNMZWddHg6t8u5VnhYGEjF/1vL+15aK0i5VDMrPwtb4lBoOZkw6qEYiZ
zE5X02RxU+kGSApUMMwiOoX8BuNT64urWOOpBDgAngXNQmhbqy5K/vQ33YnojWL1xdpd85jK8WW7
V1EaPw1xTIxBrXNN5vweVNZ1c3nDDfiO5kXedVCWIAa/bfbqlVgg1j02oIpyMlyYEqjQzJp99dKS
NSSY3XEi34HRM82tpgAXu/Nhm4MzQuXhzf7agPW2Eg4AXK3uuTL4m5hFwl8XOX23euWBZoH+eYGP
862wy3llSNA6ISRPq+krp1rMY+DPuLXCu8XjyffcuPFEVTF8f8iEHnyTY0KUsnrALmtXhgpBxqRN
4rb4uzprvmmrJjf0SlbXoqJfDYB5JFteRNr+I+i3gmChcgVkkqT0076P4VCfFU0ZmuAzZEZD6z6r
ilTvBuqWQCjhETJdLVeIVSG/CxYSj/gZVcV+R/KxhdAYuyKnr5nIZEzv0DgHbfLWvx0Hy3Poml30
+dQd6J7Or7bKCjEcvQajbMJVO+iEAr3/qUIcTneYrdqBlKguORjW1TqCQ+H8LnHCcxAcIfIuOlf4
cjdCWId6XmxP/XHWylezhN5KBsbQM+DOsacgr6qXCUdDhWNkZdU0LoinxM1N+oOOyF39RafhczXx
Z42LRSaawTX9e2cAYPJKhXJyB6gZMCA9aC8A1jUy0qBIqG+2Fzb90oluziINXvXtkOLSUi6w0GrO
ceM3+qJRx1c6eRS6KEgky3epcnQle+0sElCRZNJs6xoeKOd57xbTRRgDnP5xMBkqKFpTTJitf/5H
B7IJCuYQW7YXrTy4i0UUA+8rlOyRG0zuBCZ90mnH2gb5vfvMHlLNTSSPnaXSta+13Uf1XlZEjGxp
Varq8XCx0N06rst9boHwrlgIlwTOcDosnw8W3aIzL05VocnaUGCfD7srX5dSrQrahIOSmnKRgNA+
vYVTmhVyH+ZNurKAuJta8ShQfRoTHSokIP3ZxlW3vMP82Ctsbj/FD1AznhrOL3ym5kSLsayIQTiM
QShpDB0zSsT5biMcYM3ePx9v+izWnsV2WXOnZMsW1Hc6ohN5xvY3t34tjuxLzusSuI0dKaQOMOXC
vg2q7WRDKu+ClP2B5wS5eAAipKJg3RoFUkRmCw7ImZT64WODXOYgPbAhwlNYFQFpAEwFastYaDLR
ohp6aPNAenBJmbBCJrd5RQ4+xwbMclrs+5egu/ph2HAT4TsS/ISjAGZaMxQqfCI/HI4wA61/0wNO
Hc10kv29+i7g1EC57dcSxKeMiGRYbOHGNJxkBaM0MHnQOhUJUu+Cf65LbOLwGnsrmCAHzU2jl9q1
q/VXvSVIxDcwS/QSfk0513lH2nqEN3Kc2OZTMf+ZWLmAdigT9XciHp1XnyQBlgTxD/7PKXoDdmOV
JpNFVMlWrYrJXxpHV47hikRjcTdDW5sPZ6o85bJBGjY7YALCkBb1CL/kfWjDLoQwQ1D9xwtyXFk/
Y3eK14iH4PDPdDnw5XPbhJJiE0MA07PWz5754I2kkCLkbT1sQtk6dJTS5aoFprTz2WNxRCySIMQa
I000DzX7y85cCkGJmimbTpcGTFrfDZ+odpS09CDm1VM4DrkSRZa47OFNiC2QxaS3oOraqm4QiNGQ
u6lLNozEtEmv0+pZM2jc3gQE8uxUs5IqrXmzntRG9fXqoWuZF33pOcEGbrmad/7D+n6xD21ftCND
ATX9jR90iQTZJNXarC6WbUimDvgy7EU3b9hp/COrDLHkMGUOSYrtj2yj0O9XUNA3+gSc28Gy1eLv
jh/trmijw+FyCruEmzxgMwdRlokd1d97Ku+NgI98PaOPOpQIMYMN6PWlrfKPXgqMl1geERE33q28
BawKxANknD2DWJsdQv6PNCEzI+N4kWFreHM6ozorJ4gdVP1SxVEcRCgWj7ycHP9z0YBiJlwXdf+S
q6RgYgvlX0mnnRdaCSY96DAJkODbbDhVqZv77zmr17tw70AWOsglzyA9c/mZi9Q+SDQL2dGB376y
q5XbZWW5KHu477QSIXF6zngpRB+QToFoMKj8YhmjeP13/q0bW+rZZyB2RRUYICIU/ypAqqdQM5H5
8n8Ete89OkfjSeHMQrxe0/u0RZz1lVH3vkAjn0bRvufsmTtBFEdguEOW8OnuAF9Hf356sOSZYvNO
cI5wM+v9uFZxpwYUUm+1e2eX73Ec0QthBhovVgCuNIAHKFWEWRBjW6fJeth/lw7HzvfMQpIILvY3
0Ni6QOVNxMuMH2JuOU8vinzy4Fan+IgVov0S1YSXt6KvxPL+vyQXjem3Al+jbHmPM0VmJ6NqanRd
ZLPDnq3P39cLtvi6NbBlw8EXKzDFICaBOaIWNzDx0YBdB9lJr+mgtwposE79fklEPPY5bgLE4FuE
2gGqHyYHGrH6ctuAzhq/55fl2RLJbPHLFihlE2Gytlljf9j+g7ZOWDn0SYl1OaCNr4Ku2ZFTtjR+
aubWak4WFv0TwlvX+cse1uEZQcySYUyIZEvXcZlpL0vSiDzU+VbPeGyrhvv6TTvBdvGfq/9eUtQP
MMEBztEXVEr6dm85Q6im/VA0aDwneeodinnb4IO9AuAzpD73X/lfRPDvOcqAFo1zfU+qKbiyrNIY
6vX7JiPm1NfZ8VssTNe+2meDA6ciM0z94z8/9/b5GwzrLf1F6HE3HMZIFnJ5Fv8/zMmPl6EV6LPD
Zkk3VzxHiReHN4vTDu+KKNqn7n76Fu9TaMJKZTmX7CV5zxMmdqYTmsWAKkLGZGbW2wiGu0uBx53J
vLKGy+yNNFHFR8/uf1ZY/RoehFursHwtv3EylXetfNojJeRmIOz3uO1N0Xj1KcfAUE7qZq+gMkKv
2fzYiGair8lo0Ka1h8QETqdf32On1JwvW+0wWGuC0cpcIq66y2hEx/byjoI+6uqwx+egg7R5FTH+
fSAopL9tAbwg6j5GwEYuRpZ+OQgxe3CQC025Hh+g8rLCpfcX8yPk8VucsPcDL1U/sPueHYPTTnLM
zxGBQ0s7EfGU4qHPfQWX1qZAU2uJjt8/DL1UgOjq6MJr5HeUnEYZ2UmIS+lxHD/RspZWORntqObC
YQgeAdd0QOUgAJudrWbvmYuA32LSpWX3ZM94Cj3UJmrKafXk906JmKE9K8TVAymj/PgCVz11wKKN
pRRylYB7nTzioWh3IE2yibXZzmLJLr2HwSxa0+xnKSdK+A/rlZHW+yESrQ8zKD5bUuaYlcYukAHy
ONY0DpK9nPb98I0DoP9dExD97we9AHuaey5R57Eyq/k1Q1sGT6h+utsQxjNk2wnbq59nsn3EGvhl
qBgvFHsKyfYWcF0H9jLXM+JKW2A56aqwPYAUoD+xsF47lMFj5FPZ0kAH+fWn1A0Opf5ssaIn1Qo/
bs0ML93p53UdXKAtWqZ974qg4SKSByoUuhTi8tFaQSYhwAJk13gPthsoPj7o+Pry9idW0wT5Tc4m
OVnQnL8Ivo4rDDfJQrLdRrtUZhhkW94LeLeH+E6MOaqQaLvgY0+urcuiVbUfNOVKLDEtDrJz6sl/
XLhbdierncG/HthFZapxQ8SbelLYUD/s16oOHkRWt0HANeLe8x6OKlmnmQpbyT7Mom8fbgA/Covx
VAPwvREYKdp9cuuAFoVImcipyEENay7Q86/miJJFe0JBGEf1ruXXh/Wsv53TrQbw6G+pKyXSo67I
3qWb7lJDq0DaxSF6EFhWru6cmwJ0t5y68TsiHfEmuMwUOm1yANSmWXjVQ5YMjc5G2wk4yOlwyVKI
uRra2/zyRLwFS+UbDMeT9yfusKG9+6HjgUhMdQ6ciybYKo9g1CUsysMjl+17XJIIC5d2sZiYfh20
Mu23sBR+YYA8TJzdmpEazSz4OAeXqBPq0Gv3jtxK7d85yA2mVjw4H+ei/hqIiBv64svN14r0g6uy
t1pS+HK3IeRQHkN9/vWTJLFTC6zSXv3fVuex8HSu5+4lm32EDBEgCh3nu5BoqgOFPA3+PypyVKyq
YVItBHW50vJ1JoVMZRFIcpfaEw3fpJ7kUieeR/aIt8cAoKqG7ocqbXJgXbc1yD5TiK6h1AmrezrY
G2iLq15Fr0vDxFns2DISZFXfR20jha4EzEajHwjd2rvl6Ngicf2jp0Co3pXDZqY52SGiDelpDGYn
QIYviJy3MSNndsOOMEBed05nEc+z74ibE0xc8Zcd19CK3Lv/MqoNZR3z9bRuP7oDxee+BUcsQCHV
yeAUJ/bfhIGfogH+ORPJEuhrmqsxVkbNfcOSJ74HvgnOq0qZkuuX3287XuCCyfgTXGqrFIMZkJjA
985HhqGkwdpebafsWrT+URhxxWPCI7itjqTiMMLdVgwXl4zOE/SdBthQEuCm6b4MxFisxh6K1RnK
9y0cVsHnDFVDc3we/Ca1gDFLs478WegntMhKIuY3siYA8Wob1kKIVtTwUkOCb3u/dB+FkDNvkDpQ
H9AOp3dFQD7MtS4mr4G54ZhtBMDwb+Iu/ETTkGRkz5cU4IoKXntHnBZfTyrCgjqu7C6L6L7CFd0B
C6YT4sYDT3IEvXy5oFpNlp0xx3+F5a0dNFlKMP/fo7vlIHGY59hB7w31nJqdI2B0kO65taGVa101
iU4rjo5oW8vwcQX90kTZBZUKYUrDVpbR9hFk9FGlTfhmX36GHNXP6B8I5h2bneqNhRWkAXehhLZG
b1b+UlO2hlHuySNzb93cC6adRx26hpGZiIlFk/fivu7YOYFOBBjGYjtx16tB+BYWXiLPAmNHaSX6
uC5/FQCWkKAgfBCXJgpm7OdeHLVaNGoYuWoU8uGUkz3jp/sdl2WRuAD2qDA2FPsGgqcvLWuD7IoB
Fgx3g3jAiPM6HhRUlpua38VJaJvICpCZIfRoKvefUtiVFLTqyRLLLczX7QtOs67G7c89Kw1kzAGe
Np6BAvPCDiwLi1Z8NzXga3fxSRt4/cE7C2VNMmS27MFPx7RYKzh2eHGJJ1VdacoO8EQeuMLF5ZZF
ba6xW/praVVJOKMvwf2ArNIgj93o24zG11MqZfHNCXgBhdgE4J86uWhpVZN96KggkK+LKt4ijSOP
JoyuJgQ8d+AYVNEUYrqmLukZ8k6rrfC2Rh1y9Dg5Gj9uUuXMeG1rgvGujUDWnfssCyr+BCaWvykr
QYFltBqvNzVcWG/QbkyxYPE0Q1fJP/ccM7YpfFvCeTok52aqqPsPU3gss6bJRR1Rtcpmtb6shS/6
ix2YBZd54EcDDfYqG3+PqMvi3sgqQSqDKDTH6eXuc2aKKhHXcN/IrJlL/Kgu71d14/ob7t6VVTy7
1GQ6de3axG0ZkcvGTHTHPQEgTL2MC+RVflaxyMciJElKITO+hpf1qkVdH55uaSBhFvNLqG4PUfLu
iYGRbde1Uyd+rjFL5j7ZRaLIlfrCuPjYWtJ9Dk5JiyOVtAmMfPmlxflDFMX+HUMM0a4iz4OMR+Th
Vc/b7Jf7aFyqh0X03u9lTH1HbQlqEkYs5hRiOo+zf/qMe2hlKbhZ4/I0rvEYv8bI3bvKW/tDwFP7
NY0JW+NY1FSvwd0CNmAY0ixnMu6hhlP9Z1eZy9q88OumoADYYAZj+GlcRMHrnEU0Af2ZObuEOxYb
yr2EUqa+mA+kuCmm5vDU/FyhcUPJsqUNNkASUdGWAXoSmzSfmqFU47FkH8xgt0wNX4gDSxNb/m3m
9oBVrrEQ+ZuyRhKIwP/V6eDYOb1sytQH2L6Hxc1MHF4LI+CLZulWMIKe/NHCUn0eSQSUN/GUWImF
5E1ipwOiccrNclaIkBDUkOfwbVbq5Q3MNDYUCYCP96tZ8iYmtptMOIOv8b1lTUhy8wqcHKME4SHZ
g+1MZkZrErlPlkA6wfjmH0M9aEVO+fOTcO88ElSXBSyhEkaCeMZ3+zyo9pHX7ZYddRYFboyYh2P7
IKirL3Dt3OjhtbLAFBxzrud9B6Ys7xRuehbMqqlnnGXDVno2bKQzzuMwH/HSw4ifNEk1B5PA8uJD
kGW5HeUT3IIYjBbjEM1VFdUEzFuB89SDhUSrH1yBFlXJNj58OpNcjYn37x2yQXNKqMwE7CuJI7jX
BMKHpmXQ+vdro8Mkv/6PhaAvS1j9mHduoEzKDWr5wxfEUVekZKjVbqQ4s57ji1nEDrLHtlrrggQl
IkR77U4n0XNUIBTygDoGahinZtvB+G8Cbd53RBxgx4b98LWpGYuiyRC0ZimZ/oFRuxMP8Vaw8AIF
2tVjqV6Y8AsL/fe6niR1NJkEVZ13cQEMlb+A8MZ6Y+KejEjr8v4AdIAT+d69Em5GXmZIej5mmrDv
rtBAeexaYK/FcMYNkqudjks6cfYBdOVhDX/cyJAB2omP6Hx0PnXAvpAX9bwSYI1JLBtVjTWQAksa
SYJdNwIq37bAUCLXN9qZ8w8seyv9L+zPxBwzCDSVmZp42IcXmH3UKKMOpu3PTAerEgO5ToWIpcnU
ft4Q67uzu6fMStW1gw89OD4VQ8RDHqz/b6bTR1zfnOn++MC1LQOEiFz3PkgCiLi6sSrnHCnXa43W
kIuy1hEiYMX0edzPmxy+kPOUS2TbBlrpAl9HBuwgm4asx27g5mH/8BqVfa3dbxK52jYZsQv1ZRKo
GgWhvkh/wrdUl+R9xFwVskQV//OwsUTQF1Ovuwpyvw/N7nP25R3QYdiW1B8l9Tie06/XyAbknRyg
7qcIMdVRrhFJ+5tPq8Q8zARk92ghizPHnoekvKAJzJO9hDg05SxILE2QAHWfJ7csbDVRHoryZtZf
/Vg2amNvI9kODhh5g8T7GZ9oAJJRqz2/4HaxEwjPKZE3QbZFgBYEpHU9rPUhckdRzTyZu7pJCFCw
WaM+bIsz4YarTA+c8nhRxtOf+Wo1Vi0tZOZyX0GMvpvfPIJIBXL6Ul81BfgvV8durYyIrthvLYGz
SL1Pk2CfN5p1hvpspKgKnkyBePAgOV+myxTH1/049qUWVHx2Prp50nIEND82mxRKX5nE05Y7Ze4+
W5UaOhAzUtmOaCsVqVZDtNEAy7BPxMA1FG8sorig8lJKviqEJ6HjjVcWmi3wxSIG5plMfks29vty
N8pt+ZURK1dB4I9aYqDmlX8DT4jWe0mwIsUBkAo5R/UNNCF+4wnNLwV8KTFPBeJdg+TLDLL4MSD2
rI0/ewkNaPTakq3SJOG0sOx4q/ozS+Zd3oHkYQLNRWleyVwLZ59ybax0JJDJA6PA3EHZcYeGNGw1
q7gja09nWUtwwYpOk9xL4aiWHeYNU9rtQMmAYbjjCKWjJ8Ac6zO4gf/hUIDGfjDOsViKiC62M622
m0KrlZ+VpjmvRg5O16LaE7JmxqF7FnHjVxCX5FPPndkaBgRlgoCBXPysUL/DWW4pz0VohLoRZHB5
9zdkFig2Na2WLVGd1GvIUPB4aOpGulXXLk1ymhjF94i4ftJ0TEyLioQyzFTG+Kldsen11vNvehF5
V45AhPNmBF4kX9uTmGuVqSOFI1l8Yx2ZM42f4cd9bRsxgvrBBOEFywthKZ52QswCTonTlAQr1kxn
EtNvh2/08aqdqx1vVYCj+lDNIj92Jeu3e6+7kvSPZ8yU+C3/HtElfEOCIsh86wkv2Q9s7QbXFDtU
sXHvUJt9oFxtAQ4Sag+I4ZtHHtyWLRSqmKwFU+RomAVGyLYxhwUkh8hP4Busku0t46P2Y10EFpFJ
s3KsBeaiIt/sO5HFtXJgte5aPNQ30hsb/aqj7J7RlhCRcVKWRRxFUuqxBtZ1JTP975Y0CdPDPoKk
8Q1a9tjz2hAc+qD+zb6qeAz0H7PUxoJ5W7e66WIvnqGmRnyJ+dizwmel//PnHjB7W2H6JX0eZnQf
cirwOEw3j8Bo+NzSA0RmykMCNM2nvI3pg0uXuBEEfpNW2j41ZQTJDKO8ZHIY9z9yieznDgedCvc6
4izARtBBkUoGcsyn8sBHD8ejhFDRmdDq5fjae5Hkyjg+jk0Mfh8nsIswq7xjekxW1JYridxv2phF
/vCuwufBvMBQIT142fJEN2jeyH/YezmoZypMX/LwVSiJZ0blX/fuXHGc4hzdsU2YLsFA3c8Lna6K
9faoodyiRgTVNf0gg8NVyDdev6OTZ7/xe1hMA3cA8zWel2z3OCDdRD7S34iaSW4somQ3zMMdwtWp
S2AaDqOTXPq8P+jY8FHzN5CgJUsXKR5hw+B8v3+hsa1MsJBLOzPIWHJCWMAx0/iLjb+uxlgmHuLK
yAvqqvNCF18hOAoA6k0dF1jXjRL5FI8HlxEZJ7qNn61/ZlxoEnI7izcpXOMxifIUKwAE+pjkfJVd
zo4p2dwoCW+eGRnXYHDR2Z1eKmmNwWgd+uk52L0anybiIOoWnxSHkDImVycQnKDmA864i0OyAb9Z
68jXiP1r8Pg9PAQDV16mtDBHHK8QM1kzQ/Z6k2G2+cM5KIM/08oO6KndK4sADArPMr+oe0WYdMLV
+93fAwzXA+Ctth3QBq9qfq6IFzMFOFjKxnv7ZZ0gelP5u+TMw48hvNri6aAlxdClPlzpiWRYRqWu
vWkCp0xfr/9ql3YiXqGhV8RDmuZGagHWQBwjzuIKJpMWsA71c45SI0RvKkhxy+QrUnkCitoTN92F
nxLwqKvhcJRw8LNGw6Ylue3dRZ2dDGWXnNns7EIE2h/9Uq6BVU1SdP4qW4NF/zTF6zy/pHC7NybP
SER/vrQTnkegZTQIfPCQpP6KAQJH3S4A/hTQD/a91XZ3kmjbLU73jYt19SMOoBjlr+EpujJCG3Gq
cteZ/FfwnQKqz/O3xV3vRZ5MA0ddYXBOvxVYKm3RkdY7yovCMP42WGW5UnR93/VzI6elF2RhlSYI
xmwl994CaIxVoVN0a1Ihs8Dc6GNVwl4buRx9iCcj55Md/OqjZzXKHBzRnQ0mcGFuI3wT/7+mClfY
1Q7Ez6+7BcfTcFbIfv323agCRqNW1zEuSQNt6I4JabjOqlb23zaPU3l2gsQ34sv1xik4l1EJ6eyE
mex1+XuHMH/L6I6bst6KQAEGuoMJHnOBb4IJ0XWqR872O1Y5sDVV/bBJDlgm9YAtYzHjMy/sMldu
8aZVG+UNDL5jfBXS0uHhCTiPxIg5hQyNe3hjq65Ou2rgJQQRSrVkW3SjWFOaVvGQlpgnJs2ShCep
T5DjllytdNnDtuA19ZXU/DEJC2m1bvKEzgwHrXSoreW6xb7DwDq6RtLLiSmPkMI0L31tfbgNkzuW
kNvS5IYWytszpL/w9Bt+0jYgqNMo+oGYsgt5cgIZWjqAaunKVadQEa9Y00DLqVuN40qLIOKW4cA7
bnpXMblAEDblha3PvbC2pqMcrMIBg8fEyaUTa8Dj8+3lOQrLAuJDtdSY7Elmv76wOVH77uzEPinH
NotfasGzSG+iD4+lcChC4aUQBOQeuchLi13cval4S0dJtbqNVoIU4JB2Q/rJ9LIlPi93nNP/FE5E
3WLqdHutnD2MJsLeY7J+bmzYVtTSdKDrwIA/D1dmOS9WZVd8U6jeUBnk9+vZSz/6ZqqulMRArFNi
8ylSEA2kZu8ZK8qzDNM97t3ag1ndh6CaXk9m+isn+T1lNGr0eGjh+bY6rUEKIbApgySpmIyUzp7c
MbiMAp0FLAx6Pt+NtTYCVE82XguA+dhPX61VHOZKMuffqMH25fEdnF69KGvdF3+fcnBlPiEJywdF
JFFv/7JuXE7i0qydR59y1a//PxhZ1S2gYjDzdje3T4gcIXlYqOExn4omKeEmlM2WLfja6TA0bq2V
rf/BMSB1W4RV24EUomMQV8gC9HBcmqpnhlg/zArDHTM8AczxB2XNftbwnfj81Vf3cncyHC3euV3U
zcRaCZ3+Jj9Xs95rJD41YJWUKGkOV8Ms6hwcdELKWmhPNkCEHK7apodC4+wENox739I7hWZKl6+T
7j6TpxPOFFP8k7rxxyVBM/GFf1HSynY4+2ly8zxIzQuYyuGcWVBAl+FgupaV1rZp0SVLlqESWfi2
ZxNuoPajDG4fPPlVaQwXElvmynTZihDdsAUgk8qs001sRNTPcAqH5KKCoEsyCPcA5LEl1QdI7hFl
d2xXl7xLkidUh8yPGXuO9o3YDlYooJdpaLWpcUuG2DdPi1ZNq334dKLPXL+J46qG1iEsPHEw2RIv
YcdObpIeCwvJxS31lO54CwYFq5dwJjFCBHwdwsfDR3QLGd5uzasGA7f6tbM4yJqdhI4bi52F0und
XG27P+Ew4SajRs5aIS3taFTDYHv5m2tPjmLYDbcuVVQKKtrahsTjUVvlePneDdlR8qwLneT9/BlJ
LC6VtHcGzLaR/drKFfBlW9BjSyh+1V9XrJ+K7ImtN076vnu4s8MULPctSg7zSRU9JgvHsygHvUR/
agOKWMzXrd9XrQBYRbzjZ0RiedNPeR4nRhYYfzrkcVve3YlKp/M54Sf7j/rLkfwba90qQz1cLH3p
ZpBqDE35BzGADyBeCWDQCm13Wt4BT9nvj9DvEZnHrM8JtvmrXrTE31p3O+lG5hnbCgDK3tmyWfLG
eGEqKT7tqHUHiZFHWkw3RGFtNiD1sbeY1nVIxqFMLFCo+1wg3gwovVfPtdsHnYYY0f1e2Ord7liO
z2VwAuwns9lfi9FCIQZtmRAADl7Xug9ZdrAMzH4gVwONFKKlsyxHkS1bEa6nasBMW6W4CxXal/wG
mIXICPYm+dpuxGM0AqJ4oHFBzFrPvbrbwF7+8NtN/4rxyvZLz5Jdu14JtCT4+hQGwjVvPujSEDvF
h1pHizEwfNDi14zkzNGQ370zt2K+53L9hhduwti0TAe/ax63nHs422qcB1XFAV+ELJstQgBxwqQs
v8IZyNOL8ZLUnfyLpm26n+GSLdsO2wu87NiZUClO8dAPUihBw8lKqyOOlU6TBX8pIHaVcg+ewqLi
jaGjkbMVkss3/YjVuBziuWGQCzvqWqKPTCgmtyzB1sYFXIBE/RnUCiG03PJ7xDnExYGKLmpcP188
XyYf/A17kDsniYcE3rZvLADWEsjmM3YLTyl2sBjlZHInxtfAjz9TCiAkcPgmbA19LuOKYUdu74/M
oNomWs7Df02z3qKkOoVhkSRpHx5npOX5c+WbvXGvQruJstNgSlH1hSSK67VBHaoV5usEpUBmjw3s
DpGS/TOuQP3AelawstNajBOtFPaFd9hOdxSoMZcoVhW5NQxqIn2JNgNbDHDqMjAyE94umT6RmvZP
+bLRqQDE0Nzs14CuzM89Q2lgV/+4/Au69NfyLvcjDZJYL1QBtuUUAl6nopIOocJdJr1jMB9Myg/B
fV93PXxhKrVoJjeyRkR+TTbuRxXxT0rJsHupKr4Piz8kleqB78XTe8qo88/OZHGaZcN0wRk8mcfz
by+qe3aYIjD98Ukc0jJ4yaR01p/xtAYWVIz+FOqO//rGTyzkRWe/jlszF6VjCF1kDko9Zw6gZ+bm
PO436DdvKOR0qj6w/gs5psxvF3hBIcvVKW88tinsoV0O8FuvQu6AeKdpgwpQvUR6s82f2tgvaXpo
FskUgJQ26EoYyNnKxjmmf7+h//usoCKb4skmRNznGKEa+8N/wWzo7gTaoG9X6CUbBeWGuleTuKLl
V56pSypNk05MDxlOPOsfDF2tPR0h2MzhQZoUvi5RBZZDrSqw2O8XsoWm+MYognWurRdM1vv787t9
MJvSB1RpbJ4A8UZe6xNppQBpCsMiJwn80cjX01leHE0knHZQvxMZn6q1SWlap7NNRiOrEMhjpkKp
FwFlMklbdZ3hWjylqo9NksJ6l7CWlEx/mJrhRR27wy3DQlFTIq9H4BXk03MWGI1xV4Tnr7uU2nKJ
F2owW99bPyHZfun6LaKcS12Dr4Wqc7/9uqyeS/bHx8GgIZZua0yYwuuaWzJ12/Bn44QD6/o0Q2ku
YXjKbaMO1D8JqrosWt/hvN4h+clwruzFza5/l3Q+3p4jwV+cj8pfaLg3m7Kr0tNw+g7hGBqhsnPo
YeusCT+TKRCsKsQNFq4rpSt/KgU3yAmWyzxFePwbvhoHmtUOwfkaeWdhhr8hdTj4+Enlt3iSaJob
8xLPFJTWm9rnK/uemaf4A3x8PIX2pD5WRkGO4HtqsLzIdKYvB63uJFmS0VtvN5jpVnXBLueqgbOM
xt0iqxESaUkCY2YLB/G1IS11v+T+vyN20IzRkSI/c9RUwCfsI1JUtgPu/YgMq80JAz6CCzft9+wE
UlEYqx7oGPBmtBN9p8fI/wiWRp8vFLWyMDDtG6pSe/Md2ySBeEIbvCrXHiLmcDHwhv4RDQMUJqbQ
EzjyD4r0R+kwiPzhRdiIATjugqwrASwAH5TBlD6GxwNNYyyx+LxEKprRNu1HJIHKtTak1GK5hMLs
ABMQdINTUmKlEDts54vio5Ma7dpKilxFWG6zLVkTjWMHLH0t2CvCxylGhD6iJXh47eaeDoKUSzTa
XK92FgUjJKBh0UXB7XCzq+GDb7llPZVbcK3OcT/egGohyBq2CF0vKoWm2x2E6/sowMbUdEdQvPT0
JqIuhOfHMcdj/hqG7pBdYTHrLV/8bnC2U4Bx6uP9VgYlKkLdl2nbgtw0msVpT27YBFbH2LNFEDZy
FDWaVEGBtgzmcYVkrP5EgAes41vr1kXa+Ay5T9oC8uv1NDT/SLC8o+xD5Y2CFxJraiRq1LgqRKs1
oYaTZb2WIqrOoG0cZ98a1aRRBtRP7Q52AiFbCOdqcCn40IEVDOX+Wp32tpTRHpun9RcJHWC9gscu
sZ8+/1hr0fq4W7+2Iyi56gjEffXyIJKl+MP+DI14PTKA0oMG9bxnumRwiAXm5xXynuSge+w4IB21
LCwWvGoS3BGeiFyDNLOlGU8uZ3bW2pmBuatqQXqpy0v2/YivMTAcfcAAbKOxenCfQGgsf+pNxaii
xauWRBSzlz0r47Eewt7JN/oezjQbeFRTm0FYkyxYipSIIhhegVrowFwwtMHeLjeYCpXuJ3nhk/s+
iGwwQt+zhBi67WigBlHrtdNs6UhyY/iNeEC4dEuF3C6XRASDroJKBtFUquIJ+WfanpIuTAi03nUV
qwSAi0774/zFfp90xhcDAd4peMBGejBdWLckBhKHrrg/veUCSa1AupF42x/DwHn01tlnZ94zkVRN
eyQZiNBoBJVVBhN+67gh/ogTWfz6DXVnOn/QD+uxtEfUrb4ijvg6hs4s49hEAJPkIKiIn5lzveun
FKfPKB2PmRSqhXpFdWPk9SVqSTkZyrG8rpoqFKvxAyeogKICyKuSQzsK7u6Fbd6rriPvkN6YqUl2
0PvPtftxSmXjNy9bdXGM6cuLuAQAFjwNFtnhabcsX5bA8UMVOh+Y/MqNDl5wnNsFY/C72u9SD2Ly
Vcj86dyv5Y2dKWgGVdvNGxOygavY55jVBlmtxRMk5Uupu0vmzw0aSZ+uX0KV4Uzm4Uoj/5lLdSHl
d5IHkvpd53A0kehKwnpg9NgQVT8x9LbGBWpKYiX1c1nrLeKqmtElu//oOdOZEHfMlnmkXM7eK0sT
yjPubit6cpfhq7IzTvkS3F6lBup01/BHQppQdHUWFoFFQ3Pejn/S2qqSp2rzp4KMu8gi4xU4i52S
Guc/v/ZTrQIhrq8sD93fRqdcBFi1I46wYALQKqNvoNcO52whO48ZoFMdEgel241qH1pTjXGVXM+K
GTyREhrLTrP8E9i6WbEVMrsEkHkCkUT3GB1nlSliF/W6yqj2wiDCOiT9iPvogVhwFk6yBdG4SC94
FvpRpQUbprK57tFvYpBupTtNuVWYvVAUbpt6FherI1haYcrkyJVV2FDUa031bTjSjjnyxTjzRS6l
iPTRSv8bmYRuE+3iry/C95mk99VBK+M+KcyiLSmzcEUMqhPNAyAjeyWJowFnuD9Hb0FNe9R8CEwH
KgXz+MtBwzxvUnUjCj89qEyYORPslBORhloHyg8ci9xP1LYEpnK4aPlo/d6Pn/grxcCP486gqsdl
jTJLmUpXXxk9OPURg8z8W9atMW/zKr+CNbCbQ9lEV17YMrH61/HZuKbvGBnRNTLqfj1NltjWoNO2
9y/lZsRAEwIDpicAPE/Tkbx+pr9/X5Iqpb5WVmZz9LOgs2M8rnV3Hbmhb4XgWZZ/SPaytLGaGcE/
l4iL/iiqWuAhR/IuF9mKeQYfXen/gWp4IYSBZn1ewwjOUJBTdqO23xgESESVuGPhQQb9wqYrkVg2
HQ+/CFaWIChpc0T51KZii3i/jCq+oUeBwFmqzh1YLEBl1jwGF4/tUJytFH8YTpt38OWxUOicQspX
nKW1T9Rq9IFT8SNK/8qaPSQhRPhgcs/DX8whL79kmcIFGXNUf5uSDsT3yHarHGGsuhMvvherEknS
anFSbQMNYU0rttqwcIlPjfSUpg0QoynwM5wFFvTclKvOFNpH8hnxpv7nm+tBJKvm5VEWqJm+1d/w
ZFv1DNqPyHtkv7yaZ3JIBUfwPoN4GU/QSD597w7uOuHjwtOe6Ad+dHpggBt/KlyuxvveMrHXXBi0
YXSC/ETxIGlQHsZ4s2mseGvDutXfSISA3en3tgPzrV4bYR7yYfRRSClNQCv5GuX8tdjRm92SZ5kp
LxaYxCrpwCQIrhnC2nVYlJvdlRS6jD0lzzu//3HSOEqjSf6mLMLQiYtI5KMNfZ34egOw0ifpf6lI
fyfcmiMz2uZSiFwfl2P3dpKx/yOkbkcQxZSwqdGn9pyNEp1CDpEU/jCwiqpUeeC/YX4Y1KUPwwqc
rzM+CnXNFS32sfI7dkx3Z7pWddqLbKePQEYpq+BwZYn77YbDAV0p8ZO3pJMkQlnnnW0T9BfHXvFs
Bw8vASKCcmxg1xp0y8lmmcBU4w5biPBu2AuyVoYCmbdtz8RQ5+D0qw+wECHEK6tG2La1UWTP6gVo
AJDkSjNtcw4FRdLSgvrJVlt7UOp021kJIgwacg769XqzqGkkCmoXVCp0Z+SULWXDJQnaxBoK1ACT
Iln4ZflUxE/7TPxIYtPzQC4ApSxGienCTm3s7ACCKUI5swBxZAFg+x8hDMvRJ2+cHonZnCKfSjAv
G7yxWDIkFeAat3vche2DpkOwIiVlEEQvOJLAOKG2bJ6byQ1Mfge+YR4kDcd0k82gC+kynFV9OlYc
hWrDVrSn5J/o14Ub4WjLw2DhsFukeaX6fUKSVO3oCPEdHJFtKjODihccGJ2wFXFdklel1SLPwP4/
1/OpqADjNMl5LgZNtrjuOslrOf5edjdL+Bs7a0t8rGIPemrWmWoEiMx+UrAsVT3+CyqONjTDL6Ao
YVt2Gl/zI9x8p68/XszQLnGY7IUYvBST99zwvMlJkpkz2xbQ7MQvFjY6uXKFz2vCtyyg3cjBgr1k
lW6ofAaErpCsr9NCM0dvKgb+Q0wPQXoSFhHa2h7OmD+B8HVFJwEkkX1H8cCVM8WtymSNF4Htv8C5
ZTUVhB23kRRO2uISeCD5LtPcxm/4hKH45rbkLHwkXYaCejE7tWvoIPjUdoMJmm7sR8TNTP/lfdSG
T2/aPfj0lKEJuRAr/f/uLg7wq6sMra+NHEPWu9+KW8Cd1N/6qLntfSGw8qiTgEOw1DDKRObmXWfZ
4zWxhb8ZuHlb7bp9QzDFo4e1duXWwzvFZxIvlr7EP4EYvnA+vyNP6I4iWRCb6uPQP0H97RCNmYbe
DTXh1/SJSDfRjxeg+aYeMebS6Ovnbew0XZ2rJYLJsyfDHDsOZOEV18JEhVGWvj4tk2UEjSlWkod8
U26ZgLtng7sHtVfDgpu2EcgUnURGopY3ywTLTRQRu+lq2htj7DDgUUAaWdJZoE5BN4i5hOHh+TWr
JJa3BS0laICOrklfosrN6sUmE2oVJolSBkXTOiEIn7oHXx7istiNl4hde3R82u7QfL8grNW+20G/
csdZZ8KjPj+dvlUpM/hziQC+8ZqcVMKqUxH45xncPuY1Eznil2axF8F5eIs8y+57E9Pz3bMvP6J5
KEfG2fUEyB7blGsX4spsd/8lYJKpkhcM6QDFA7c7yT+aEGR50MboGKQ7/iqy7zm2q3HRHh37UcGI
UkK2EznFCGnMAuq8omhbUzIJBXQB9SMUpYlJ/5uoXiayxArvbzb7VdgWyDOy5T6fKsrAaeHjAUEX
Uy2aaWHeP/dqTRMfGmatkN3ofcpzdcxIgtZ81V98dFeFaRSrOT8uGTmeyYA/KO1wF5pxMb7fWEW/
uXgtDeJrcY4wrn9AkM9mgKGUHt1887pBEJ/zm8tE+a8gyeNMdSjjjR9LI6Q0eY8Y3Bxma2ZxC3IW
e61Cn5DFENlnQ+Z591J4kTTzeSwnvUaqc1WQUQP1CKWceSFmAapIY3ERwwKE1waR83NoMo/5CPuc
cZM3RIvgdCPauDiYIuDxvUbmAtSoAIJQmXdsllrImP+3vDyfIsUN1LPHizQIIH8XwcUMQmBtkb1S
bDrsHXGMIdy2BmVoEo+BPX1RkOy08d+lYq8l009ZmzUcXdtq24jtD1JFExUa6CuObY+jHADkWQwx
M53t0D0PDChm9NHKGzGwNrzge/b6Tl/AB7UeEw8JB3FWAtO7mEC8hMTlVl/W9XbPsPB1dW+47+UF
ZRgxLTju/+9o3nfIWAM6K8o+gQzUqH7u5hrFh+8O31GvywHwkq/x7bI92hxA2uXQZGSjUz26BVEh
9GHKQD8bA8/+DzvTgRNPhYIvltYWTrg/mNptRt1w108uAMOHDEEfr6gwABaSQF7rieDIon+RSl1r
+1i3kGwoA/7ljGo/oQ2ISf5dpRBoYJtG5qJ4GCn8W4xyNFj+eKd5CSoRtrwiJc0BeFs/i58UdOMU
lC2rlNjVdaMDzk7vTNam488eOGE1TprE84DwgAq5/KMQyoQQnwfnsSjMN2rVve3HICQVU2sjh+S8
dlG9NIH3xejLX2vou38tzfCbXCf/Qs1srSgrhqus3Vx9vHUfRVzt3MJoYL5SrRTrEUqSJjuONHFE
Of7q1Kc8ZIdonZwHfFfwZn5bZguVjAt+41SU8ewqDN+Szd7UDEHnvnF5HKNqSEQErqowE1xpmod5
QuS9Gg+K4ujPn5QlMH4eOHs1GHtir7E207fUN1LJuacxNQvrn+9hwvak+2nL/Ewau2om8VoxDvGE
LXZLQvuq0S6I62w9LHHVbQOjLoY74eUu2YsQ49BCny4zof4b75IN5Txhpn6/H3UXexBL9Jy6PXJw
nscf5m4/yXyLSIrmv+U78wJ0HnlFeaBslZdKqXvDyaKTV+nuIvnGHSAoNqJ/z+UKHY4vLtZ7BR2Q
w7dGUE5nGVdNouK4sZ8BlqaNrmSRrSSqxrWBd4Tn7WT4c1YVShoFG5ivxRMzJ8aS1xxxzofMx1+T
mdFdkjkb1UdWGsXyaJ5BGPLUfxaa2S9KqGIOJ8vANB4T6s0KjxQH9rvJcXq0eJP5HcqTeQumEt2w
+dYXBqxZTHoutX1R+0smJMFmGP9aV/wLC8wYy86uB/qzHPrLGk1ah2D/apEp6HXYLzPhL41PyiZV
Etw/JuGdpIb1WnLq3faztB3kcR19rfybRf6zlLIKwh3QoyihvAY7GMbgbRddStUn2yfBSzUxjtYx
3PaZ46k7zyb2JXc3zp480yAjIHljtTI4/XTLNrhJBl7541f5R/Mt5nJnU9IyO0JRF72DJSaWDpEs
rKst5GUJwRm5Bw035a2ogUcmKwUFxy4gOPc10ephbzsTSxh5Uvqzb2hssoLbJjWh++dYLWm2cTLo
ieLRkbRcb1j3Z0g/BW05Lwb6tTnLFhPQHIc20NolooS+UEnBYj9gsLlRLnXahdTpHxOd+3yfXmIf
5Gw3j/APlXdeKNPwt/FYA+H+u+cvUbbJtoQeJcgZOVx73+WK+TT/TL63k1UMTexHtEPZ+dhXqFaJ
fYZnhtYK6y0ruZNWE6yRqRs1CxGMYMC+zrE8HnvF2M0DEFlqfG4CrxrhSusRsjWQOpHLU8T/I5Lp
5Jlbig2CCWWciEr5jeeBMQI03z26ajhAsjRKpbQ9SAMGpEubwcHazMY65W6DU2LW2v4cM0d39VgL
fCG4hRgvqEKRbd7Wl4ssmNUrNr2b22AfCNtSaHMEggTrovI6v6qP0QherPSPnehGqG+EU11AT7nH
/VPMyZLpXTQI5x7rgFWdfIy/9u1JRxvEn/mnZkxoKoVnqwKPrReawj02N2P+lzpiye5bMFZ6B4Oe
U5Rcy4O6/bJnKnFcbDtWoQjUmeyi/zfXxQmVUutVHKlTEICjGjL3wAWhinmKLvhVBOjwqA1jSgNc
wpHcyYFvnu8MxnixfLLs4QTYZrrS+0N9+BYVvB72PD5OgompTHe8zD9nJUyvZ2ViAVY1+DXNfAaT
JghyZ81j0678S+fKx4Ja+2OON4BAN8e+xSy+QTQEwChMcjgVuKfNu4aO/leVQ6WNQWhLLYAyi5mN
IYRUibdqBNZcWhO/SAyuFPVFXH0eXmmJH/x1t4s/jcnPvemOJW4MI0vfdFsq8NCgO2ylvayq2iKb
8YBr5FF873UdfcBXdWOJbPQIoEFW+3khuz35EuRrNojeafxTyw5mcRtp3/bNPuKMSEipF9lKFXJU
6/ZVsUYPQIDq2Ek/unBWYJiCGJb3ClmNX4IvxPq842XRAWJs9y5oq8JOG2HlAElq9r4geOxdh7Ur
hAE8VwDZXDxyqa5b7SvdpklJ9fRjKugdohulFcz4ILEZNO9I56AaZmOURfyGF7/YyD+R7iQVj1bm
aHM/PgSvRPA5Fn0WPlrlPuMqBG7MUozQXEHRFX2M9F89T/TIKkS66iNJrUt6IAJ4swzerGwtwXmA
77vAAVun/BnX8ZDDFKM1zz1zG7xvkBGYsbWndyRn4d9NWlez57B2M9ONBzTgF68hzD7+45XUwY2n
powgXxKxK9CEEd3rB62ymEduuOP7FwrndCB+XZ1I4K9todl/vFMuFgOHZBOisFzGOFXWzXgHcCn+
mIP4WnFB4IVqTdVz/9wwnU8PiemLJQK9VMPemKuV+U+uF477/uaWH+z63PWOwfzEqdyja6MnbVUg
JKeA74QmMZ0HW0hHK7Zkg/3U+DNby0fCuC59Hj9hpZZQZehY/EOlR+QkUkf3Uph2QdA4DhyShIdj
eTkimEvuWWnZAk+eMGktCU6rqnZG7bSgcpJYa4J1J1D477bjGz+kvBpHHDC1WkNjo+usC6Y9vBLu
GYO89MeZiwAws1EumuZZRpNvh/h7PnrN1n3yC/HTYmhDiKUzrErpEvIlF7EecIUX3e1/MSjDvFIO
TfJPpN77Li1VpNTwhGrIK+cOhQPAT3JJjgPCzDKDr1DfwfNHflMq+li0BVtqRPUc6RFDSr18VEuC
+7DgtJpzYdBbHDwsd71Hk6WdV6wqUN2c6Hx1Iw0PYPcRSox/306qpnak3xxX+LU+TmE1zbZVEXQ5
zWXUAS2MHKXK4ZkLJa/32Wvi5/Y9xxQZ7koBZrCGJOjXKkM5qv5RSIuS1FqyNzjoFKQECcXRFgHT
969K6LBbhqLtEEs0tNPiImiazj9DkAhy57nrHvdW+Ojub2SLuciZ5F0J65t/luJomQV8+TdZjjIC
AwBAi6Mt7b2oSZiXQsoLk3TLcCbv70aK5QD08WmFKBJqRtCu2lsCi4Qo0R7WkrC/EynENwxt0dqP
LSJAubwaUDLCbS6h6+fXtdSZEHNZLahm2hIw3kIjTOqkvaAGCAIvGVF8RDuBkoIMByP2FS+ti0rq
aaQ4mqMb+I1hPFa+lpUt5Ny1vdS2M3S3qCTkEE8l4d7jQgsbBN0RfY7wvkZJir681j9JCCTzjEU/
E8awvnjeI+qOD7Hb1mcZv4YOVXmxe6Sbu7+IUXvYsuFYO84fmn25Qe+Yq9yCg/wkGLGCXUIqF+rW
jXA9ZZOUKebA23cra6HcqBwzW+Uzzf9Iya8CFhcW+C4QSY6GeTf+L4bEc2BmN2uhtboh6L5w8Nby
B/dTSdVoIZZG3lFEZ1913ix5tPUn8h19iizqic1UKxD1vh78eVGA+KclUF0jdcsAdCykMfp9CV8b
fawvCiPXvTPBXDVX3j5JNlE/NuXAuwqHn+V+8DivWXDRjffyY/deMNb2ajdabtz4vpjdAkUPAcv/
TEpqujrDe2KRsA81GTdIUEvDhJEOFI5v5P8amwBktep6EMOBcoc+39GiT3z3AfjS4kxaQXz6oDTo
Jv8ac7tfJlm9b5h1UlWU+oyKVuRc8uesEmGTJI7DhzW7ek52OkMCd6D56hgiFi6kDQWx6Q7+jhZh
NkyU2NA0S1ZUPgP7+JO3emHbXxUqSJjJbeiTWmj+Cwo+MA/A63ayYFZNEWu4IsPly6SWw8XN09yq
HeiAiRK7QFGsmKne9o9yAbXhV3RvNwq7gQlTVAlVVzMRZVTVOQ942qox6lmU35m1iyxcolS+pD4z
MQPFLQmGfT7cV3azb3W/UW+Www1/PjS9SX9Viioagl3m+MJsoV93yKWlGZpHyAewLwVz9WsdmnIo
LezA5Qlp0H3TzcUW4SlmHzUfuqC7+hk9nL6KqNhqf9UhdnQvzLrGfM8gNWmW7KfZtvPZukJKGCdx
J6wtalLyg7+R7kUZYbSFk4lpyDByc5sqIRY+/AAkCMzBu7N8OFrBOFI0PCpDEpTt9ygEZlVbGlhH
TqrP0g9r5MKFel1x0ljYFkkFScMQe/RW4UrCeK3Ab5cGxb2nIzUBfdltlROPOymS5vkAjCXlCumu
U8VuTtobBI1GXgdxRn7rvnljSPcmOmTWnt5xMB8KgAJBOP71XajofQ+mEjL/XKNbUeRNqI7NVnE9
liS4h3Myi8zFGDdSDCgwXB2EIDC+D0crdHotJ4X7zua5hBceAJxh0wkiLukljUtIzIFdSN6vPJAa
3dn0rrc4V3o1weJy6qJVU5TbuUldbzVlCbUluzk2Ri/d6hwpPgJUqQN3efKv8hZvZVEjz8Cdpr81
huODyWVW5ra0oRYTtvS3cUFw5K3HZ3y+I4lMwoW5sXvzWuqZk37jOp6TXEjutixyd7oFkYwCbXn1
izwHf8/ONWYLOd+80B/FrHjkdZpelY56kyN7dYeBNTGncXXuG6xm9x61Vx0SxxFPEsbp29u99IsQ
LjlGBC+1G/pNdM1IlPB3lZ8dy6eFHlqmuUtqP76iyFNBSp+Dou1jxnaM3zni18R340nnUHo7ld22
gbr/N7a78X4Dmtchw0uZ6+KzSKg9l/SyMEc/DC/c7AStQ9zsGpJr9ApyGc0NmjcfW98pVivCtJk0
8j/rL071eJCEfiO8jSEEXqe4SVRGA3Vf5uiOGkWmSbNJSyi9+/c410T8b73lwQXiOeT2LySyodwh
1AiF3y6pGoHj67wyRxgIsTZNZsbp3i7KPmVE4id+8mg+t3EOPicEKkrU8Mvkp6WyO1IkwsLLjOMv
g41g9pIrVq0kT86solPGGWhnJaV/ciLuRMhyOAyg0BUH+y0C1QONaueAJgELs6c3HGfj+ZBEvW9v
u2E8s98LEWElU3sg1nFGQxL5Tv8XZKyoLu0RmqT6emXSyUHeABNTZTCojFO+kjQKbuA7hc1LAt4j
1bPNiRwWZTwg8qI99lazFOvtyoJzgOoxZH2whjmvLrsCSVKtHG5wTWYao9D770trQCETa0haoap3
JfYh+S66LHIVjqVxjJNLNW+s0F7gVZIZT+Cw96X2kWgrlMGz/pErsOl4MHU0d2/D0lefWDHK7i1e
47aURfs/guL9wkb8wuBS4s+IaHxQdsEXM1mxsAykxtFd08fij640f2axYu6vfK2ToE4kDcEhccjk
+AjGfI7byL5fu2Rwr1a6VZLTMT2KDOTpHGCdHYNvYuEOC7iJvWci895AnT3Q3QWJiBAS5NXaAysk
+nKKR27MhDuRUn0+FQyiHiOd+m9zN9AaPOHEtIkRsYsJtN29b8eUfaugaWxnDqxmVstZC5yh+EDE
jbsEVRm1z4zBdqtFxGRJjrA+uP3gPKMFptoJ+AWXwH/tWkBNJgk9SfmWm56oi4BqbjPAJJO9gm00
4+HhbBGDiRZ17+E1btHPqPfOtqgqNvUu8PtakTiLnBv8fdpO64z4o+lSJQs2XS+uE3qHLANuR2q1
EpDHjdtd6Ec3cL4ziIJMXlLgbLkLrtf3aYtdMcb+BtpMTTdv4fhH5DmYJI8VugDZ9ojIcq0mx06E
W0BXfZ/oFsL5qo6751bHjNQDHy8W/nMJD4HivG99tytA+6+24zaT3NCPcqCgNQZEETFRK+JHtxwx
JosVqc1VTUab+EW8DaWHHfjTNDO9ZfhYpoBnpI+jL6x5nYjq3CLOQ+44pqRaCmOaQncenE9/uhRa
O5mJMMELNjoEL34ehMs+arBjWsS52W/Kf+Si37+ay9u5+nrKjfpW8aErw9upjmZ1yOP2beROCaOY
YnmvJItVwg6PSrE4d3zjYPSVLoIzc2e2b8Ai4MHbMe6MBrGLB1aH5IVM516u0pwGc1oamwcv10pI
OAPaJxVxcmAVXzsGZjRnFmeeGrGNZlTCMFH3lc9KAL1YvKYhbQBtxBsalZ/c16FE4+S4Fd3yeagk
rDMfqzFeHUf99rz5T0Zj93HX4wHUjBxE/JkubPR3u0woDTGUTmf+un5lMrWKldOdxkZVA9FXQG8/
W6yP9YIPuU+Tz6kJ/U7CZXhszs/l/JKwFMf4A1MkgnJTYuK1pwBIzTxUBkhvHve9kb2rA4hKVYsO
3qEAcOji3jOSddgNBS+vUxDLLDPe5MX8ew3PAcZP93qMxl6+uX+lJ7mIUPxun31VZKMlbEaLGuoV
BecX1kEelOjRLFPv417oF7NSSKAW2zgpuxDakbUIQXAgtswmJMrgbOdYXk9lyWdSHK04R/dihjf4
QboVRW19u1R9KkzSsg9krbKzTL+4j+GUU3T3vIutEiDZkOrI+ls0CrZq1q7u/AjUHpGiXAsDKcVQ
DJ3lNBhii8EkRl0BN0kC1cebhYrpdnqTw5TF6x9BYprXcM55559++hio9szxuVUj9C/e8hg3oh0P
3eBiI4QXMMrFqKRRFeTbv0UKud6PQ/+SSDP2D9Cegfuku8ULwqnJjgLAELyBBJ2K65bMyiggPuAU
oLKmhPGVUerLXpwYclWEifm92OMwThtanXW1Ql0ITNcRcJEOaf5rhf3vWIrNrYzZTmPKafL5/ezC
cQ7BNUHXeHPJgOBrA1JtxzGI7RoL+ubS6W0NQbAWeAtQEG3bPP7rp0haffCpuZ1i55mRUhUWKMzd
/C6b3868MEDrqH8zBftenFVMd/Iz2QA/6nhz/qH/PU4ta8ENjKHYvtQd0yRbwI/DLGWsf/JsgZ6r
+q4BSy5dYmN6ZOsHFxAPCfuPL0ZJvPU0jtCHCp7TFRAdrJmMTKXC7pUox5gopzCC2gpueuj7JmDG
jyVkwWpvg+d8xWnIWIU7/rX6OR0/udzM+/yObv0sZc1hKZInrvX7NkO0pbuM6VEyhApiIj7bFqdK
bl0rdBSvRkVSHdP93FBpYnH5gNb7hsMkHHaIpURhfnfHiGAB98LX6DbqwGZwG1b+pe+VJL265djs
+J0PgVKc/A+OuOCVTO+qtz3RKD77Y0seoLL86/W6Ad1sPUQiIMBlz9chiavqYqgnRMvYK07K5HU0
UhGVHwJZwgP6QeRebsTIxxkmGO5spVQQ7Fj3nC/v8s8mio4dLnvVoPkK6P5hOxcOWJQju8ftFKBN
zV/OWCp729KqxFF2Aks05VRzMkdxAFlRem+yEC+IdNTkNQtZpU6koo0dbuMgu924I/cm2D7sweXA
1tOXGx5XtiPoPjTjIroUjHzs5A1FoLTsw6zz0E1O8QCSLjgPaAnp4a1xGCr4Q2XRBeuh9HJqc/mP
+Q7fJcYyjwowQRXq7zPpqlOWLf0ih720OwdWGqb6Wwi7GMmDISA0heom7ujUost/+TjfMWn08Ia0
VpLI89zz8m+C98W8+XMzi4vNsFPJDe6/Xyhvh5kNVn/fGYYhAidjUWFv8H9h8LTc3jS88dtfs526
wmXP2IhKaI7N0jTUH+44dNKfOkbxaI9KT6eSbARogdsU8KEnWSsu8sJw/LS+yfl/g8qE+AqayAnr
uRicwrsW5O/zrCSa0haR2VejQ0Ml6iOawOOLqVGb1ZpgHOXewx/pwHKYL/xzTAjY2AuxPwh6jmM5
qYLPOWUosun6JyUbENGzBEeVtP0RX2k9oZWTFPMVLJg1MWzvKHdK8adFsmAhGtu1HHhQMxKz4VBs
idTw8TXlmqDKuUSzEBwtj0RlqKl14RLWKsuDbrgNkIlK23aAlDBSqizs567BnPe3vZfFq2KbRJ5K
bur6xKKvw2zh4iHLsEyycpdo51l/iPyX7k9kuP7hz8d+L2Zl0FMDcHRdLLrlKYBOJtri+GKz6rAX
0pZqlAjMB1Ue+jdfceHo9wRf9V16dDp8X5uIjfPr6TMxhsaZC/WE/UUt825DE6TB685hmSXW3mWT
znDTLXA9086FhxUGH/NkO7WAEKu5CmXO1dKPnCCxSpm2nRJhSbFhxTxYZCcMSgzjAje1eiLW7O7G
jo2B3xBZpj1cylRQ7WP1yASoCtF3m7+8ubIRZlqoVM8ag6aKbRIKSZIuYti58ks75P/Cavljjdg+
uOAKaua7/4KNAKBwWlvDOcvy3rdnkDgusuo8W8hZkkGMRaTpiHrNIsqIE8De5OG3amcEa1+kMbWN
+hO4XklzHAKA0Ut7lJOKFM0bAlzGC7OT4TyZNiOWyfidlFMV5Iz5hP1oz1jOC2s39pcoCuwOvaaZ
qIYoYeLzuixZALKVZVF/ijJi26kpcCOoqNJe+b10HxAcuM1MFwgDmCl+UDTCboA+55Ts/zhMxWwY
GUSTNyA2B8XOvczrp5Z7cKj3UCYmYPdl1RA6rgC/zYBV9kG08qWT17QbvoSkWNrinMfRXDafSSBL
llBzomjzjsOcmDRVZAlF5RPzzYtnRbsBVrp9iO5ablWJSeOY7+2MIjMq5D/j3/u+b/ls5GjewS2C
FwIlwFW3UChiyFrVI/4ko622M0P7JMLiKnbtj0jdD+U9ytCHssHKktmtep9T6n0jUKSErNn5nAg/
hvcTkBztxDdWNL+TcQiMS7raCFJtD3f8MqW678j0vwuGmClPz4LELqHfXrjq0BgIypDoNK5Ga2lo
NyE5UTXsF20ddlmy02NplohZXzrwF9hp5JhPaPeqcihjlOfIBFuSpkaUSmQ3Xsa/Lk3/hcr4HM0H
Y5mYDqmmMDddGU4j1FstsQHXV34cu1MzHz/xBTsJykwSH6Pj3EXAOlxAoieh5Ojjcte2OMwdnimb
cN0EjEWQbJjw+1f18ogk/uyTKjt5JP/AtRL1w4A7Q89grw+nJKboYvsMTRogwM6AgTo3iki0pI5R
WZVcapNzepQN+AnpxauBP0i1MAGFkljgeym8Msf4ng+QbcbO564jpXqB7iIDtNeW3Q5RSuKcJDPn
BzIhrC1IOjaMChwXdzQKEYy2WBzvhgRU3cT6kWDRJfAEkZ6ie2YfpNPg0koqT9ytBWTGHCGzq+ef
7QTSBMlBoo++76Lo+q2Ntf2Mpa0cSO30Ge8TWYk0t8powQmBaCj5U/7cQEv0jdVFVgZrvUnd1SXG
RBShkxic/YWHtKOqbT5oSFFZPWP9LP4UokPfKkR4Y6jvhYT4d83thg9bCegOHE4LaMP1Q/WqAKke
TMqjRCrFKwKKKxrfX0F1ui2AfPWWvjGZxO3j3s+yRcdsHRTTWIvDRrPAC3Ak2AZGoL9PZjWbwa4S
QMAuLqH90xpD2pUp+wYQDTUL4zBDBciVh1APifz219SmwXTIPd7D0IKILkewbOa/2MG6QUQcpycb
ZR9s2vDnzqX9LPDV8XoKIbItxX6WZwJ2yi8pYu+C7dqDTTvGC2HJ0Dw1NSNI6Jku0mgycR7Yf6D1
/61HC+cOs09bB5zS0Prxnp00LBYyzu05RcW0bzc3uJAadpn1SiNx8W311G9GC1q8Y2gfPPkJtFI9
YRYCzlLN1kR3I8hZ5J5bTrd77F2Obs0x6KOjYGJF83K6BT0zokHybI31LFipJpOvRMg98DsQCfv+
6tNq/fl1/eahcFNNc3OC/LuI6v3u4OYlrCIY/JcXToi1F7BZTw54ml1ipXyUE6oF3MZ1rnH5KobI
EJjG/ywN8wvNXiPfSLhwelWciLTV/IS4B/2KvHp9MS1/G6nAHHoGMqXoP+lO7FDckzzZ7MNLhVAh
zB36Ne0GwiOo2o41UwS8SxlJAyFhWl0Co0P5aNrZOM4gpK22i/bqWd697LKd9QYiGnaMpFgOAwTw
qv+BgNGzRdSbLRIGwh61RVc9G9Di68if3QNQTJszWgGbhFFfF5BIDOTpME3K++tiy2S4VIyQbG77
iwjtKzljICb/BhoGM3XiV+9IKakYpJdMW/4PWrlUWUVbHkkz3zBuqTYk+k/q3OnJRVFvf4CWBJAF
tHZc2gRP2vOSUzuRGvZ5F0RAzS5UdZsTM21hpOOeCVyPdjlOKYwylrFGFqV/p2FJvxtx9+Xt8WM8
COk4Xhx2DpxJ71qnkO169i83PcQtZIa4R3s44ngt83hBgRZ8nlvLyPIGDDThwJ9YzWdqqOYnaK82
IJFF4PHj6ASyInuiKF4nonsTIXX0jDTe/UlSzmVmVQvbPJFDctLyuKQ1RIoar5QQTKNFVLcs6gPB
aSFJqqpAwlySGzEUeYKAQ0FXtQwPNmtjyndZRoh4v1vQOKU0ptUliDMdoBRRhRZnxSQbNgij/Lsr
B+TgVum/zMLUCjQk3+pYB+UN7d7kIiT5oqibLCrUedc2gtyeMkajGu4IS4FyEfjnLOQR4lcIGKjl
CsyIADJTtFoTiiMJZ4TMvGs5NAyJIqbeCq5LGODXO9TVppxkCc+2vEGNVkOdJ1dMYoye0/zB1Dkg
9Bezlh/yNmGGYRZIB7MGTqvAn3fVK88adka8a+fLnIXFaJ4pNkPUnIFvC28+vGDINP4E3X1YaVbo
sZZMpr5U+LpRJQPZvgdRWQ7uN7J7PV3X1IQo2ZtagsILSmAb2d6RFjz7fynNKefmlQDk7hzk58wt
Kv54zqMPdiZeolFiGE3wFvNbQNq2R5beJHd3t1A4HA3Y9cjRdCP8K4TPtof5Sl+FRKKwFJ6KsNEA
oPCKIqKjXfQsxShRnz+jxERkK+vx6NisZ8AQIN4O9K/Oe51Jt1icDMbDPcFaswi47UKPqoq74riV
ya5VJEd7vyYYv6wxsLcdvIbzVJoQ7h5HdOq2pfb5pfZyMqDT7PTElKHVb6s43B742ZS5IOtIKThX
KVtHDYwJaVfarX5TM9ZpAVFejhREPA09cgzCwt0l1eW1lMh8dp0lk2a2Mm9yWsi6fvAUrRPDuZy0
GCjHhuFavzFj/XitqrQCpup7LZ+3hv1eHgJR+SQACHerXXbrOBiLp1F3lRFfo6Z6OzBpzq9ifN1S
IXa3OoSMQK6cfSupcEo9eXEiKSy2MXVlF3Appq5SqDmF/Sm60pFW+Vai9zAy7YqVtePfPhtEbMkn
wKII7+Xde0S/x08mGwAcnM1G+mgvq6lmLZkbJ8KsHO5kOJVaAMYq9+S+AiOwFAVB0Y6Sg646g8/2
lT0FsxfL8C8KXFNabC/cYiLczLD2uFtPjbPAnygT2KzySy8J/OQ0tE0V+kMAT2lPYh8BgVER2bMa
eWKAONRuAJ3og8GzJkGOqeiAXeMr2g6WwMFgFSr3IUb7h5+JGbAiYID/kTLXm752o+cHBuUxwZVp
ADp2OPofb3i5OaB0ft1h4rG53zMZLuLLzEWIKcDQODSXOEcd6e9JC32YrCRmOkgQTdUuZJ5RGD/U
duTDqQgSZqFCWMnfpJLrukl8PlughIyedZX7/remRN/87Bm4Mx2vJ3jvSLwGz3Kv/kzKh4NtfVFU
l9nB8TiqEnzXSQpSLfYBJfLeX5xBUZA5pAJgg3EiwQebYjVIoPTMKzx3vvPf6q+/WHxIPWfhg2WP
WlP+nh24GtsG8uLnsyeEkwNPjbcFSh6rcSqWalbi9RQWy6BfOuPaJzU651Mg5HTE/42hZz00mYT8
S2fk/fjgOZyMre1MYlGHz+AbP5iRBjL+lXU0uFK7wVM9ZZlylL9yJEtRtutxMbPqQkk7cHS28dcG
dpybPai1Rcxi89M6Q6E+POoatXF6nlSNk+CgR3N6XbiopcDWAfK9n0CI6hDdFoXMTRccwIABLzSh
eOYFVilKpcMYYoDD0bNlwQZwBACbbCSTjUE3kfXTEPn9owOLmEydnvuRovoaciFQ2yS58AM7zqUp
NikKkMstEd8pdd5WPauP1l87Z44uOGGrLvhcDN89M5IJNWJJl45Q4hTG//2SmFx94Ekso4+RJdvC
46/9fne57C/7xn7mGyxKp1V+Mb4W/YoVsEUKgaHPNjkp79Oe6b2uuwM4RRdDdaHxrjvpQBuxPrTM
lhO5dludkA/o5wne9Lfbi0hNJ8E98RcPC6ijVzIT5dv3zLg7j2DtU/1eplTbCi5oElg6cnMmpqKY
9UiXfSKg2wJ878SinRHOUkveRk31y++u+uxSlz1vs2V4YpK6X/wuOxrQUjRfDrT3YnExZHaJm44+
4lnRKBsw68X4V0veNGPJpLyTfNdZPLfuEcvdGe+94rPo3aKXbB5XdvgHyBoQpGcPd/NxM49/u/Sv
DxR5HOS+KaqEgyFS3AG6ShjCsg9Vv9ZyaQ5Ocdy4XZr86+9WTYaN862ecbV62fCMC95E5jUHEvix
hcluSFMKxtpcnVmipT8hErAvwtQSwUMC/LxmrdeAfvBdtrrgn2hbdww+9t95UQy/cG4SjH0OWu7K
ImeWgA9IjOIWwrxsawUFi5amcq1E1BV+1GO14J6cEOkeXz2raPoEQNBgbYPcV0iNOoY+RsukbKaT
JaCmfYnO6H+XVcH4mpGtwh2qNnz4tt9LgSueSKJsLdc+tEXEiU1EWZdwYbtVgIYtPia5BAMqzNMJ
g9bWtTCu7h4sX3CXZD4sxuPCNHznJpcpi8cWE/7s8e56Cwa2qFybFWAXL0/WTBZz+oSBUAJ3uIQM
kNmE8TybKLc5SQyNa0mX+HpK2VluBrOYTQ2IGxcDQoYtK6i7lVo1ZetG+VMok5RQ2ZSXouo+mB4l
s8Sqegk3Fr0QCTh1tL7+nHlYwmpZxCERQ3KnvwYovIHcb1tR/c2qU10HNVBBd7/OBX/fxQzeVM3k
Ycq+RKA35v3r3IFq2WB/s7TaCeJ+mb4ANGluVCfN1hJDRC6E7gZrE76ieZC8i193obzJCf8I1td+
8UUafC1MIeMrd9jGzzApYHuJ3NFCvOUN/OunyKI8IYLIPcbYsACfdnkV5g4sAv07K2b6oGUMP724
+9JUSz3Xrl7sfowoYanq7jzBrj3az0ABUxpuFCtnHtmsrZiailQ3+PloiVe4U6Ki9o8SFJqZ8zed
Z4BeRDyqktJiWHU/aDA/x4GpubJzHDf0mhB5IRJGpVoNU/JCK/UpRG0O5UImYRvTcxPveyDXqUv/
1+JeNuv2Jrk+w1JuDBuN4H0eAvoQzoL75jhH9JhZNbiHfaL5ZZIR/4zJfsVJLyrQhhAAROeJij3r
2DuQZhm7YfYUAUGg/EfhkcOREEcDv27HOpByLEb2IA4B7XiKtjqmuMAFt+1sj6tlZRhfljYnaywH
VgkEuEdH79DgcP4CP28DDmxheGZ1+fr2Z4EJUl/YtCgkgIP+mgJ6ZvbinidjDL+xA5yGzgVAteLx
utkP5gkpWULnaYQQeJMldu31/eJIuBiNM0poL9mMoE4KQOHDoFPksyiUXwVlwj/Xcr1IuWvW7noX
yI9+XS6igBSgL+UHTIPmgaaDyKWXlwAWip916zusyMCli+IaYQHrFGKavM7AvfwI8i2T1pud218e
wvg7nSF7S8n2EYTSUNwyo0KytAUDJQwocXbggub7d3P2Yg+gqh10UaRGWHAc1/0KPSQw6U35Zyaj
qXFAWUWOs+rFh6trugYqJr03ONqcg6OEKEWA2RKKloRqAiICqf4jbm+GMIvCDjVKFqG7aILKbNg/
OpBsVJgQU6MIsUboj4z1SwAI0KPM16QSenyBwaOaQqOKM9KGHSMR87/sgZG912gAb5/6wtUjT8+6
sMjw1jQQrvUpnzQdS4QOWh8eJRIwRCh/LrWe6iSftdTFedghn5ByeGef6as4ulB2kOqTAoOk2IWc
ctq+IcfVOal1fgdXaWBvzARtfozNN8xKPmHTNffgNgkarHckzr6mGtRDHdxIJ0jFqszPzQSrMIZz
VDR33zH4u9jqhepFuUxNAfzEnh1p11fhq88O8d2QbYp3Pp4RykJ3eLwrfhxGf2iH6x8OSyfM09KJ
FLTpTMKfXAJIHdJiG6G/NdyegkgYyv7MVFZQde+Jr4OnNrlLr1DZrQsFrTyU0bLQQH2rqmsJwxTN
QcwzbAo70lMEpQPMcKXG0EyBPDaHWqvLvorUgJVqTo45LssR0gDKso3nq/TGMMfzJGgPioH6B5Ng
aJqztlbWyMsMeF9OqL4vXFpXciScWt0Z6MehDFOcq77n5UcEZh6vB3vI98I0V1zet8L6MnCatN31
t4egLlGhvMvZ/ImEnF1SSJPzAGUB/lQzTZeLgRIrNHDIXkWgcTM+W9ZfOM2szpP2S1eQh55PSmaP
nq7kkBgyFlNgqHUQ0H/v/KLpvshBNdfHmTIIUSKvujKiTkgH4xPx1KDeTNozqcDIXF0dSVEro6zS
T0Ok/T4EF8L0pkboGV4X9hPD91Z1j2CLqy5OE7r1IrK40TANQ6LY3kOfg3BEsLdLEbsQP07HI2JS
DBCfgEGnuHjqHhP0U7DPFVGFbh5iDCF5BNLoC2nSFr6Qpf5SvLjxS12D4Np7gbbvAGJOWdi8eKOu
RoA92KogDlzIfw72LltHkNoxqWn46RaYvO4buhXbNxDQK1637BlLSTcUUw0wHrlSRR6e8hGziBtB
b5RMXvbPZCC+FqXUzqTdzAgFVv+CrF1k3HpmwK3pRozm9jAtXvOGubQeH3QWvluMYwpbl2y9jagH
9xAIITNwk7GNSkiTSlOfuBRS9VHUBYYJdSsyfmfgyLZeopmZnNRUFFZ94bvxqjfyGnYFJjkYidnw
SvURhplLgss3fPGvacXrA575xGyL8nBQsAWiuhDpTACK4R8+mPytWUoQIR35A6njtKCoWlDARDUg
TAIDvPDb1wnCRQIBY1kJshRpMgaEuOp++4lDxl9ARaDdMsw10rOwSy6VVrRUfjZOQvDRVvUGsc8o
wua+qL0G5KumXRakVs4XJJastaLhGV6h9hsm+u6Bb1SD6R4PXcjXKq7Fq2ya8CvlM67NTKpoRjRn
YAX8xV/p4KAVVzn4vVJnMd28ODHhnNZgx2itvJ2p7oRUwhStOBWC7u/XBO0xjLD1iUT/XUppDCk7
oallV3QFgy4PJkFFvZhZUimetdcM/Y7RarGa/HblQIdzn6CwvEEFrIYD+RP/BBQwWm0QnFF36IeR
vSQL1dMaYN1AOn3ALyz4MqXCPvKL1dIxfRrZJyoFeiy7NTXU3UZFi+fkZ9ppuNJ3XJ/UX0+X7GC8
DXI4hIH4KYvhywiAGcx65/hi/vqKT3KqV/rVG+gUXohX1VOfPkdAW2jwcGLiXiIcLMZdkY+qkTVc
/aGf4x0z10ukjVCyxEC+SjWuXoBgMNSY60fVF5fB8+6fQNKl8KhRS2fDqJD1QAC1XxiSy16J2qSL
B3Qbvw4GavfB/uVNWlgl9viCo7GZnTNTn4t0L6g42x7+GwItTZBck+y3Jsty6g61xKBOzUHclVTE
+x9n7Tg9QaXGRx2dHQJUiAFmi/q8+eY0+Koj4t80E0y5xVFFoCTab6X6PixClZL2ZJ5Up0hIuB4l
+61r/nxYR3xONDwmvhmgohN774j/H2MY+UBU43Div/y7/OrA4RWQG1zxmRsKsJS20lRVw/hTY9kR
pnquB1l0AuThwctvkKAQTSUFsOLh8+wD//LFR3yFSE1lHU9pHCU7oO2axK5KdHtx6B8ALDidnNRm
OUuW7mC1MyzmMEXuPw8zpJkP0WtDFJgiHFy6Gey9Iu7QOpIZSWT2GRGnnq5lnNGSEdjoCSEl9D6V
UzyyIR6JNEVqOckF+Jc4WIR3QdhhAShXzvXaYZdkgdga+Z400kkwxqnA4bhagjiaeGkB2jrAy2RJ
/RK29pVxjSQ1xwQWDpVV87g9KgUi1b9birgZgexXUvsFR/mo8FgwOL8s6IXnHmne46ohMkalbyZT
ltlV8nGr88O2VIAfD1E0UsAy/WeAO+Tpmd4bdAcoPVpYPPMh4EDMasriNC5pIVsvTzMpqeaWNa9I
uOmf1zCyahf3x9egGV5C3YX/jKw2Bj5hx/eey0F/Xc3AbWaet4b1L71F6wN4mbKI/gxlRtEQyTOR
C9gEtmtIHF1PC6PX3EHjCSl+B6oGSw6vs4PLciVnSlGSGwybrAdF5/Wku+T2mvRrkaAVwfgD9hry
eOXoC4C49DHy/O3D5/hMF3lHtoijABB0u0mP8r8+GWbsEf5xrwrTeWsNGOES3FG/NJF7IhjhBEnD
OYL3uWr1a4tvxDgirTdwb4HuTgf6ZPMHNuRYPldtMgnklkQpfd5HoINqRMZ0teew3KIWRgP1TKl7
vpoHVtI8/c/VKvEfVeyztocEEdhHJCkNzxxwkHve8CGKpywn3aHKLKemggKkIstV89sNrNti0CGM
vZBOwnBoTyjGQRTfwBoQJdgmLQtzvdRBldGu/H9R7f2iyjhvvsYtCk0uBRHDK7Wt6/1DWCHXQoA5
P/C0ExAy62Q6eUHR48hmDbWdqsrcxIIhPxgnIHxntSXH57GWmr37/nHYmnm98FsU3/Mpx5H2Z807
3p2LOE4O6LqFda2TyiLscpWQeJmQ/w7iazzUSK3HMW8XsyPWpAiHhHUyDIYPYfCwVy7TB4urUMFW
KnmqBKEj0/Nzd7O/lJcpm6wCrxAthXgcGf9t5tgS6o1UegxNlPWnX0SezyYfpvkQKGRIkBYX6Lmf
P7RpqmYBqkuFp3ipgqPu5Xkuc65BMxnUdt+UaXOHHv9xs7rgJ4/xzNqe3xMpfPmgQQuvmPzQhrV+
3aocbx+1z54eZtk6G+DzNwFQ7XErwSxlwuKM+LfZu3qriuwUW3siI1tV9gWDFq4eys2joB3RhuOb
MPGVoEVmE/9M1PM5gUSm4rrJsdQpkPkSOuMYUWZDVWk7zQebmsfULlf5hgHR+VC1AqEfzSuZTDcr
E+5+AHpmuMIOCSTxO1E5zMRxF2yFSnG73pIh4O80TErxy7rFo0L77tz9URaA2D6GJ/H3FAVdWb9w
NFiSc1gJpHRA45Bf9/tE9i7LUnFleBHQjHBq9lQ36D2zrVRzeP+J+Bl7AbPNGmAAmWMBPbbY61Yz
7vOujrWRpzcDC8gTkFShRABrXC2uyto7jBZZvf/OOYSNdMvBLP+xRrw/X7qYOhbIi0hyy9q7kbSI
OUraiBJEC8fpiTDG5b899IJEPhxyj6JufAbfqovWxwJB7uX+IPYnhD3YAAe2Hf39+oZ8Mv5ON8SB
v4ngnVqXTE9hcPkMzLVMw1GVpHF4WWHcHt48iTOIEyg+b/YC4pwZazReZw/8dTyDV1JGwlJdA1M4
gBfDj1yqaWjn8qNwJsP2ntvbUgBga1YGFDd6gfJmT73pUpcaZKaVVK89knsvd/0BzM0YjVNqpjO5
iVl/wXnEsnrcvD/gSNhMlLRcjlZgD9b10TJqE5emQyZD1nNu+xtn5ojUKK8qLJ7/DsuTHgF7w5lu
IPsO9i38q7+voDpQvBIP/e2YEU+VtakCzHov59EHDG4s5RJAlZbjf58fyFlnxJ+RH3jJRH+W/HVK
Og6CWUQCX0wFQTysln/PeHayArCsaYngklputBHwwGOwWpn4xCuvdWB3VPvFLWV1e2USQO7bzpmm
BRpWCt1vCZUucADWxgVW67/cFlb4lSg/qn+szEx/H2l1AyHPACL7ctevy+kWuhkXNovd23YKg4r2
HSifJ/iZgcw7hrz4mZRWgoCoK3z0vZwlFL/aZ/3Vlu2unjKm8mwIN5xn5WAieIQs+uMDWjgiJ7Xm
cs9eZLGmBqFSc51TxPmAUCmQIhUQk+K1265ESI+9+bSDAiQPHUbBg2iqyUriJDO9fa157cGE+XJp
eq4jadghG/ziiNQAARHe1k16UW/reUbl8clRT1tFNdIosm98v1isklBkTaNzWrIMBARnPjY32kWK
4HKDgSgu6BF9KI1saRMDhsBNa9e4UnOJ0C4Ee32+oIy+dLhXusYsN8uUDjgT6kN8I2Vo0qcfI1Ci
5rY7ZWEVEl8Gz7jnt73CZpm/t9MBMPydN94N8QtfFYPYZLfBWeKXBwJ34/6ACWPxzYH+ZnNitQtD
J9vl4rKHD0YAaEgAPjJIGXXBTGZ5GcSznyLa0+7pk2Vki01TfUWKbSB9kcPK4uCo7r09PhOaAXOl
rO3kNCgYNPn3+aAlPlzTwnmmI8AxtXlKBYnBYKDh9aZywXfbxr2i8BIgnUG3IpIwTMFisjkV9t/e
jmQYHb8FwBwvHNrJk99Mvo0KYfOP1wmQ/TOtXQ44OaHkaasPelq08gR5JkOiXFKwomuF9gAHN3EI
gbTH+caA+Okf4ttcocjEul8K4eGU4TkrfhG1PNnjwwvDTAISRZ69uLxqIAYiROTkC5q1SHwh+hJ8
NKrcKhZe1Y5HkmHhu/KM+W9pLfCNWNmF9sy02BI2IGOTtZhH2qbQPD0n2L8T+m3s7CXpMF/ooXe1
7U/Reva3XhvpSvVHQXS+WH09cdKQ6se81lEd6QCoPf+9yZ/gfpsTnfgblyPboIYgsmbYhBvYK07+
sFdxADiUyBUgCYp5lwdY96xOSJF6WH6OqVua6Cvj6HqkrGn/HFOjXFN1gJZ3yCGPyHTCRUbdDfm1
qrkGMoJUVFEKWT+lJHyQupmHQWmh5DlZwmcNUw0mK3IuPp7je9TTlAR6q+Ln3K/dnjAnC8B/v/LG
pkHNqkQ7Mw6UtMUXEvQ9UP9n8CoPj70dxKGocBOFDrV+X1BWNdtVW9CP4/4boUIbZtwRhKCDvHw0
tjgV31WPlafkbLWOVc4jBrX072psmhwykvnIG5jmJPpYZo/LuBPpYpzK6YJjdSm38h6EAUn1m0aE
RitOht9mvkFY8UNbw3QcL9ExJDPSgEfDm0/M/gtyhndusDX+JL9o/KDgdqFsHamO8LLSkN7LhXl/
9+awa5kBbYr4/I3QIkry/QEnj9bMPBI89/ZPufwaMapfngswutonsYXrx5iloJvp1P5ZwK3uf5sq
9jahDuReg0YzYrDb9N6JY+YzTGySbQRECuFjBDbCOwn965A2QLDbQ1GPyDcdLpsZeWBNcbnJ8v+R
PPZSrHD8TRS+FeqfqYM3Zav81Q39qW4xa9emvqJLXAPXqi3r9CccuyiqX5ldQjLaKGTq+naZ4UBH
Lw4XTM4wwifi4B/p+lQhzF5Ghu8JtXDyNNfR3UyjOMS9ZxmDx1MKZH7Y1uhFrBQHEcU8w/dLkGjj
fsLap3iVIGa7BntMAYvVwRSGwyV6KIaF3RrgmVhP3aIa2LQhIDENCDXRYG3ebMwqSNkWOAcObrrW
aZ4dyzawhFcJ6G2bYgZ8/dqjPPuQSsX/FLcyPv90+hY77Eb4+eMwFdXhtDDy/j6DLRdkYMIL1HPq
AOtcCL2Zmb3R8fA+i0VhBSK4ZfAy7uoBihMgwirEXpAbWf9jED1imposLkBJMit+LpEwTa3shwUX
I0zW1Bzi9T5ZegnWD0T+8IqZSrKYCnMpqF9nzpFKoQb9uSJ4JnQLupHl5UFQLxlCF2cAn3YuWx0H
yKCenyLHn1YgHyn+3PkzdQV2U/NVoGHZRrjBD9cbnlwlfXIbglK0/WZ7LKBeZfWbNLMeXCguXfRO
xx4l8XjDUlsEPB04V5inLVRVT1poR2CnMhFUNW6kLi+hubvNzHOvC+Rs4fERalegyBh+V7vXpo0Q
CnACkHQVi3NBz+6KQPZIJQ3VKnclbGlKE7uz66Kicgc0bT8ckud9jIeU3FFJvz7FSXG/lO1kHi/9
be/0vy8vfXqfOKwZcz2WTESvacr0pWmKh0OzskE5QSarw/E01Qxmp7g7iwFr+EjZ9Vdeiu0og/I1
klX6FUq4m3n38aLKK5HQQOA6SvyJELN+WX6huGCzkzcy7ZAhKbvxOEmhncUQpMd5UB5fLZMfzVbr
PUcigS907UCiFwMLuW5SPhaV9AeYEhIeM2aQYkdn97u4dG3MEzSMpEE/b+pMmaImAMneVMwOMXaA
97bFyKEvGXTrJqUPVUKAP+ZJMA8EsGCXNRftT/66Dx1MqPfM1txAsc3HTYPKY0EQomnZvacxfm4T
Y0zb/0yO5kyiwfPc9T+TkVfyrWimOS6hFYZYPYNBpgQelS/QF50mFHYKzLuoyRZZfi5zNLKZ2DxX
roBSthdxMh2a2qaKTFyq7a2iR/OuI41g3tD6zOmQJ8qvMdwNhcluUEkZDO/Gpa7zB2gE/ORDwtMZ
Hy9oJ8LaJqZEVGsVF8rBYVYHwo+7n4+ojePmPtdEWWJEVM4RNvYsYJv99KYkVdlrVD9akk04yvgA
2mQnTh6P0KogLd17f/mKOpOT9E/yOK4pLHY7oxNHTNtSlcLLs1QxEqKB6nphAGrYrv3ZXgv6PJ8f
Y21R5LBV+dEDg6NGEiDSbY1mNSajNBWTSpRncmYnkZ9UGQq0iL1iPAq6LRlqCMtpx+z7mHWTLV54
v7mFcKZtF6PGE/XaGdCrQcQ7mz8KdmaEtyEEINFBLakx8XoHrsi+m7euWYjzzLiD6DjFjyJJ4ZHY
w1lhhNvm8AQrEIBcAqdF+oNNfvLzolq59xRUUCe36/itYXIWaFssI4zDvwtq1YM2reV7+YY26MRH
B/suRE8AM7v6tCgZMfN1OXaQI5i8As+HP5aq4KUkHPtQt0wCE+DPhw1y81LrDZrxvJ8T6zlRwqYH
S0D5NjaWZ2sYwkd5PyeTlDQAICheVP4VgdolJD06YtwnOqW6AeRrytO7VVpasHmkcZTamN2i7vUW
ELDMIdCIhGnZsTJamhogl4pthdF6Cp7VkOkG3qrw54kgm2p3GDKs/1k1aEMPKhRN/lNuCtcX2MCd
nCOfqNNhJECdX7RZXnZdr4psncgdZK5Vz40fD0uIoA3x44hKn/cyPjMaGT6t1nxuBO8i8a8IpDUe
7CqrEoXY80HEIu4zKZnzbIjKW1vkxjsLPU+Lm7B0NXGhNWZmIFdBuvh3y03+/Tx9Ou2G9IUrCYf7
GsPetQR/I1eibJULPXablbzwnVXng19ZGDRsPxHCts17ZUpZlUTVdw31BILAvn1RtKCKt2RsnwqA
m/UOeVVKZkejLUKn/ATcP+y0aZ3TUAiMIsHzoejLBbiYRAaaYZQVVt8GOnW42m4AHgMIsw4ZzUbq
y21s4m9a1dJ90mh0/HIQSpihl9lfgO/LQZqIZhiNAUEsGuQE32TBNpeLLFalFZLEDy85MvBIWEIL
8jhyWJ3gerpqhwJXrmny47ZXyXbRct4ompNqaatlNmR5ZWvOwGp4D1dMxRSd+CfpL1F+b2qzgU0q
d0sp0w5pXUnu75+coOp8iM32wyRz7sjCVWSkvUPdCjtTtCRmNTnar9d9H3aDZ0KbkMuFwoOmr9zH
bVX5IWCvJtCYgtUXEPmbBmmajJLFf/sqij7LL3HP/8eSO0VDju3TEOaq70bhL2gqD3AthRL8DFRq
zHIbGDW+gdtbbeVt/+UZ1yRPpttkaWDuOLViSF/vRRs/+D4dFbzS1F6COKTgeuPqoUgQ3M3RlQK1
7BWhihZQCWzgUKv1TGTZa9yycw7LvRo4O85ghYzKR+JzBxc5he+jpkCSOjE4grvc7Rs6MHqwm3L3
iAfud8473Y3oX91dwqY09QAMWs8kRpNN3+myOyambIsGzG+I+23iKI1vhHxTvzNy3E8ziDxzJqZ9
8KChY9rjwoQrh4+tmXTr37h4r3acVZflbKpdWEiThrV82PcrHAG29CoLknT/End9t5H/pzdAi3+n
EW7keU85CTWuS5nlZmSv6Is76qFKxYipW7BaJGaeT1AJaEbXg1KLmLeWLOK41c0B6mVpHg7YNfAc
VhKHcbmkIwJRQbIPsQTzocjW6Su/0hFBEmzmzsuSR30aa5qrnFZ8ofeq//gjBRAbj10gaTC3AovI
jzRKO7mbc8hMd25FBkbt18f0ygiNoURbQEiNfsqDsrErmUD3K0Dh1jS3CbiEsWTy6Fh+OoBRbXAb
0cK+QDb6B1Rf9kW2b5RJmwi9OSWcmQERXSaOsa/8aAbte+kMQwadZq54rgQPHqp2SI0o8pLUs/YD
7LWInV9CERIGkZoSEFYtRBSFLosTvmRZuGnuQquMGqBsGOfUSnZAhyufE57naUipFDcCRCp6iX9t
hjDhhNjp8fe23uXtEZ/n3MxcMW0KljYqlzewHvvHlpCI1XBJeko2k3Cs81OWBEydnigt09VLS16t
CQCz+sNA+gf+NTv24cIhK7e2VqlHSIdeKomUmD45TGfV7FHG7apPk4CtF7xtdB8BPMBskUmzdgGq
50Ti0tkJj2Bms9/neLvlWt2V0HHK4BSJUXUKvb2Le2fAp29GUqNPZG8V8AzCah488aF90qPvlUKB
UvES1D/wFnMt0z6pNVzStWLKzScEPTfOopdteFw/p8UJBsBClLitL0iEEWRqfINA4qVEH2U2JDLG
Mievd8lj4blT4HRRocV+9iw6nvpRd/kutHm/AVZPhxa1N1LmXBkOl8UJuyUfzxdNMa3f4mS4ZXQK
R8O6/UMwFtBQUeZ0+PwpwVv2Dfpw2vnmbYJFVY459+d7dCPeNZmRr+Ftz75owcGY/diV/duqrWz8
9aDv8wH9Lrky+3f1HNbQJ+cZbw6b2iCSejt7046dpsk8mDHg6vYc7GHViq04z/bbp2M5S5UqYKOY
Nzu+dKbnGT40n3DlcBh5qNSRzQ6VYVae7wx7m2ljbTyOU4tEYZOYFwn3tL06AxlHNFKgU86A9aqE
wsc2f0zLKJ93eik0bRg3Ur1jNN7yV4JYWLjYvVGADV8fnrNkxi73T/CkOfS+YBgXK6LIY/cuY0U6
lmoe3Z+ctuCmlrJqZXFaA/6BZhSyuEJ9tcaCCH53hJdf7xCgtFzvZS8eNVhBkID9rA7Jk7MyD6wo
GgDQo1ptqFJgwSmiujAINOx+7kT+IZbwn0i+SZuB9Wz/EUL29wxw0CBzdynOrdi1v5NvK7htBpR1
hQPfG8LN1YUnV5d2urgDbk7pdQGjABq4P1JzPiG2SfnzVhDTzEn1T4rfXqU7drV/8we+OMOXhGXy
o82SV87muF1XUeRTVlgnTAyytCjTivvGu2hr6rB1JXGQoPa+o2G335CtIS4Ly7q7nWYsJEc7OmPO
9b6eGUKkuNn4JYWpZoKtKA+QCdgLGyjrFTMu/AXhALEJyAg3hKxI/p26Ih+o8C/20/i3xaAC+yEa
EgfMJHlevotWz0Dpe8fEfnu2gR207Un4aecQXE0P31t72wbVxO1zrqJX8OCD7OhNYXUvA9W1If0p
NkrlwJFZb46Kf83TsCTck6ea/vW8RNusMTq6Y9cb0jYCa/ciNTwZEmOyEVBU6mDM9NNX3rFy11/J
fPylUPoAaJT8R37CXaN+csNRyiGC8RLhHIK8bn2jArfGiBFt2BNPVh8raVk3VydEZ3ajJsi2w5r3
7YtecFO5VZrJCd5qt2WfzTX6Zyvhl6oY53qmhxIB7j939GV6jVQjEpn22YxgCd5qdtj9YaKq7Tc9
eT35r8pIw3yekOvCNsf7vb+DLZbJJlWUAwrB3SwVU2TZ4dWssAugevwk69504SEir3jYpp35pf90
PtgTO6UUDcg6PKwMtWjw8ifzl5gDvuq4c7vMYSm/w0Y8x9fSsOxsLkPOSk72cSNgdKgd1ECeGRnE
QxzxINk5AgmD25gXvcdnkpG+MW1N37g7YWAsqhUtg1inHbvBt7N0y7Kw4sQW05osIKsRueEnDO2P
7Vwg9E9Zs3JRoxMeUAdcWRZctk782flvj9hqTlElhxBnktMRn4KnvEjxc8K5Ta5X4yXemMZtK8ZK
DP0Y7UXfrOeJtBkgRBPQMa4vKJpaiMqnS77aANFaZapWa1+Aa7h66bPOj1XsF94dJTUWUeY8FDFJ
zDVZBDfKlLyeH4nYm2HRwOBKrBtbwHrHQrJK7g33YCd1fnh06XgrMZTzUR5JnFsg5A+Yom46UivU
MvmFQG/enY1z2uJP1mWKWXF/XhWgxOyj2H5pBMdHXpXR0Cx1QRSS13IlmJ9o32Tqhxy6/HOMJP2W
RUNR75a48YlZT6OIZHF4V/iVKVmxMpi9HtsRIlI+uXEi15JflkA6jkV8YsA9+PDeBB2epDVNWhWB
h/AgGIDy/RyM4AZBAUDLF7qLRx53c2HmgGaL5L9Nsp08XwUWbsdGSwRsMuj5IdfTCPj1qFo6DEpw
06PYX5GUyBxws73ML0Nv+8kcOgP4tojq1L8p4gn6az/IW9+PW9vJnnPucA1tu6t2XYSvp5gyLXn3
6OzlsjaTSXErsLJoiqZ2X4GBczWR56OvbQm+L9vOV/SL6rcqhaXhg/5vp9VdPXMioC+D2kCiGXl3
Uw1Zx5cPqC8Fdia6rKrM1ve95RaZJ1jnI1uGUbHdXiOeAmmuy3Rsx65mt5Cdawsj8WN+Rw47VGYP
5V9VuWmv+5BimI4KTCJ9vnV7ue7d6t96za3EwzEeEzEGSajj7ciaf9ch3/KIdxZ1S0wrAcbaTnhI
D1dpi1WrmmisfK1W/2ybTJlQyXhyN0hTcjRS0yVivRxlaBR5XL4X4H6XaXyhzVpJhgVYc7KMTyUL
tI/cXMA6DEaCAgtxbASBPrbyh9+74lMfWGrjZ0T9XTFOqpsbOkEYLf7DrKcHUFBmh+HkLmqVIP+/
8fLrYYRZLpkjdxvF/9O5art80PHdV1ybi1u+PwZgCiYC9bWEQmkWRCi/rYbkrIg4xjleNXy+Cb6M
sAMVyS93XeS0/sMw8un6pVsRnvULYQYsvf3rmO/QhX+6iUldBCva42Ze6etdqAL1sNLNaHiC5iKH
rAMs9mqrVaOuy1tQON4EdKjfJ5sgKc8bqlSAL/p3FoNI1BZorqEc2LnTbaq2kYc1/Snm5ul2e2Sv
seEhnlEKarjhCzN9HbNJvuu+3545qZXy8+Lrv7GY05zRs1O/2rh5ez9tSNuyJuEBVJaPlK+5lXdW
BMl+ctoiPriw9bjrIwnVvtvNFTM9bdYrgLZt+d6//CENPwLqBgVo0hZmIGDEWiC/EtIx8HnhqfqL
VkpnQ2mabYRhZgut7qAU2eH742ygtnW+iRGpi2Cev/xdr40XNwXp/hwOSJMZqqU96CAG3oD0fKdw
S2mDtgA4hK50g2s3Bv+rioDilmnF9NSlVs1rP1LI2kFolUUEY2/UpaY8fQt+yK+suVgvC/7Oml1V
YwWDJLRppIOabzBQmsF5TXbO0u7YxdxKVVIRiIgS1gOs213rWG0gddUxrRBEfkb2OrtVUtjesSzj
eI0NsRZgcgo1pnrXzALmzLEhvdIXUgDN5oBa2WXPc0n3nIRSeBkrkuOov2L4nk9WjzfvjCdgkGQ6
JYk/cdQouzxPlJ84zJA2y/kbAtrHo8LBK61rKbf3b8SFGWVuOuLGe+Wk40Ph5LLO3M4/NLuw4IhB
J2J6Cgb7QCmLqiV9TDMJFfMCoUvrA9FPxwFjO3qELU7nvltAlom6UgUuPF7yzvybhi4Frvizu8By
iowiZmaD9wV/xow7PmjbDt/Yk+h7fXftNeNHksGOpleWl1+xezI+FxXubKvFH1NKHmdDYcrWpxlo
EqM+Ez2c0lDaZtPDO1B2IZRkjeKF31CVu92cFdVmucTlnu8jViJ1sMIKbvJYcaTB1Lxitd86bzRk
cVV0o/Deqm90HYyGSAJf6XRlwper+o6u11u3HIfch06DZYB3ztxlkOtAko6WtgBztJ+kkyvZEAWB
DqLRYU0vLKIt7HvaNPGtWa/VYwVZUywm7h/igbbex/KEwfZhwALTFFF2jsTGc3AP1j+MnH84nrPk
zmpyKs+Zpsp+Mu/kJ2KFOtxvlmPYNK6WXH8kiSG/vSLXKD17U4jLxwltwB7IizWAWDsAk/Ctpb0m
qcIIXfUxqjTeQek6MSb3B9ODGX8aUilKPldViLeHPGAkhPHdf3x9a6Ja7wNpi11zyJaMKUKpkDVr
XDREo1hew+AahDMeLgX3p5kPYENuMksH5nBVrfYkSlwYdE9KrKpXyYnOgnJK4OzZ9o1gEFVEvnh6
FFlmZ5xzueE4+UICUzmuWoiDAEZrGSuyJ41ocfcgJFTpPQLtqXTPybPkwAwXBSpmS+8itApns+5J
1wwR2CF3h+tTrgXvpn8GY2AihTVhJxhrasekZC7JyqyalOtxOoxdrnm3RIS8N0hu/Ow3AP1rw8Zg
hOma9XkVWvRtl88UCYf/o0SrhTtaibaT4RK7G6FHp33DOTsaJZixjUwYifSkDApnitqVnqlsMJ7U
uDnjoevUYPjDcosmQiZW5846NylAb8+1bqo029xPCakhPOmI3Sjcn061iu4pRGPuD2Q0U1kD6Lo5
kfB0jcnJfoZqv36OO0aYt9nxLX/zKIWXNHCJPYBXRm8z+FDsSUCr/eth5ANwyuny3mCScv1rjM4G
5MCJyA1XuDlhl/RXTUYQDeKWN9k1EFrGtmfcBrSPMddfYWTfRrxuC5r7RpOSVg6iny4euymFmjai
iOYbkn0I6cSz6JWSwFMUkla1O72rqwHFIXHBNREM3EI4Ou9bT0/WKJg0ALAIr+ilwfAgoDU/GOQa
3GnZBN7VMxpQnwFc1EMDAaKG9BG+ZgzB11tNRRkhVXAUjhguUDBePUGlln+1T7d+L1yS35OBqKzP
OReZRdg0L+RnPLVW4Z7vCn0I10gKdFu3MYUbLHSOCRUHCWeONAOt+4uiB09y7gD28pQ4qOm17aVf
+vdixvdn5ql9VGq3O2sxKzkWdkC25dG9hzL8GziWFfNcGchpXooCzdX0p5HOtAmFl2N5VpkiJz8T
UfNELMAltMq+gWsBkVX04N8LvyFWpXdNpI4XY36mMBg6cQJqeUiuNF9nZOQ4MnzKE8wEsptzqjr+
HJAxSt+2qCuZs9lzgi77UEkJ5mTs3nQF8wH35cLL/mJCv5+y10cFwgjW4G9n2dUhX2010bWNLwZ1
shBfA6FK2nCa+rl7+PW9JlcWcqLMtpKDxxcubVuHdX/s1rUbBM1q1SdQwMGAyza5/fxq259X3Lsx
2LCA/VK/GJ8C0IhzAse2hKl7DjUj3DvSd2kNBGTsqmyB0AJKjZQYGvwz3Pwy9rWARjiLnHdJNNpG
Fo41m8E9RLFP/sMttFQPPPuJMD0YJ2LvgJwKu9C5/s2uBCOTNO2rdZxgtXdoik6svcl10lS8rtda
A8T1xSapWyEKYM8U7aLmmnFukHCXQjNAssVJpELGAicsqZcTg0QWaWsu9jq2Ct9pZBU7oEdjJ1nh
7HeQHAU/tXXtdrklEAxnbRQmNiIw51P0cZa9al7tlzjdQOM7Anf6KQ7bQVV/xreNQRAYsAQ6uPr5
lGHP8lEiOINlOgmbUnXUfoJ2f+4ysENjTLT7blrN2aqYVf86ZPLfwK/n1Yp6bmBncJ+8HgmHF1F8
7LoPYW0Cjg6KCzJ49ACcoqYjLtc0cBhegJBktO3ViNk1v+YAxL4eygInBFSBIRRlsXjQogM6Sksd
VO5DsWPZ1qtbWeSZdBS5RfYcmMCYmNeG2kQ6HXGPjd8mPrOFlbAfBBDfyBQDm5vWhjlzZ7WDUuxe
PyrtncAzX3ZF9xBPpLyax9usKWPa0L5VvRrBJphutS2fX4caK+XNjMRaPxlb1SqgoNsoTvuicEt5
Pca0qWnv9uuTzHw3H6XiI7lsZIe55pN8N00aeMb8p+zjyFQLhd9TrJJmHDkvGyNiKi+cyx6LHpkt
nye/jPkeGn9CLiEPXkH+YNs4i+zOgz6DT7Fjpp24pGq+LgHiZrqaDGKkwLHiOrYVZ3MTelpTAGhq
rqd6Kdy+V+DQJy+FDvbNPK/z4Nda6CVACy1JygLaLvT1SWUFEkyTWcJW7aTBSQkyPGWJgikJYh+M
EoIRq7RdGLFZQaoZWQCouCKXn30rv08PcpWhkcziCXVT8xlQLDvnWAlbfIDswG8EYfXzWrK4pFae
N9zkb5OmXyp2Dd/4BEVZWrwkgv5roznj7vWeVRAxkp9COa77VvCHKxnmEtsjwAcB2pCpea9a5Dvd
bHNbWYMRaWItP7WXB1mg8MatlkVdMnTLGWELuDs+fEfgVRSHpZOYq2GeKQtSnytQi7bUSm4ATcUM
7mSmOsTDQpAoVc/XmpUWRCcMrP4/ta8jAgThH3JWTMdhJdWp0QikJYtbxAmUqkL3ASY2gZGDx+yz
/4svYTTUoWg2/n+hH90WWfuauA5wn1a0009dyDw/gBuFvBriHmfLTQMlqkUtcg6FXrWDy9RScQyh
zuU4olF51hDQYaTP2bP1Zekci6+8S9oLpwWJ6HC2eFakpkbRqItZZGapAkDJGTkruyg9M5/U38ek
xG7ly8pUQzCxwNyea2o/1JKLpDhuyey+w9G6hjEAn0DadXB+Lad/cWARsKLaW45QoZJ6PHYPJW8C
sc7rrbqpWbJFraBMQ+x62nh7ZdqxE4Xk9oQIjoF9SMIR9YbJRDHMM9TvVnIBQR8y5tl04WDPJd/G
k3uvMFOjsYwf9ihmXQtpXDqU4T8MH1zUpvrCzJFXBnXsm7czP3TU5BwvL5g90HiFwyMBq/1yQ8zV
IyMf9TfVdPVL978ZjANG2/hJF9qWp0i254EEzfigOOCKxOpesHFn6sG/bu7e8ANC8UWSyVC+CrjE
O64jvJcmIRM3uKZcsN1/BScpaW/KRi/Y7vQmYgXPLb7Bbc8IhCyZW+cgbwuw79Lzx1dJOL2IJgIA
BbGaBTOtLD+DM2naJBuya7hb3toIlOHCD3OqxS2CA/wBOxaeVzA0p5PKoVsSjfcMKIPv1dwLkMKX
7U46YAL/WiQHU7A/R6YA0BvF+yC7GnpCBRDYWOr33XJ2SQF4hfc4mIEmLGCT6dixZns96EkQ3ZBH
45t5p2RQVdqd76TYv5KdlHWGnsCRsQhwT4jQ9EBgup70V4dl1dLfwg8jtLqOV5alzV7CHJqTMiAm
MRwOlBPx+G0adl56j3FnMID/22NGKpMNzvOLnvoi9hqnNPgpaxCtTEGKp0pjhGjup28IKWAKy3HV
rwPgZ2M6p+miOew4h5uefc/JjfWmj2GpN1yGk5cGDWbqPybbFZm10B7lwL9UewjzyZ22zRVXO7k8
o9MZUhYKCXC/iFhdUPJV0OudmoWbHSt9T6d/BqP2WCH5ACDjlFpX3psM5kYggyN+hdPiizmKKaOR
NUcaL4TkI66IH2OsHfx3+jCqVGKKdf3B+QwNE3mnehae17bIloR56MROurE/kBq6KGVjQ1fvsaYK
43pZKamPIv2xTu6wBzefmaIygw/M7wC0fewru/BY4WEQHanAV2hbt7isiH33ciMZnpz3E7eS35BK
B897VO+uNpQuX6Sfvi6tCjoFn0QHfR7pRBe6PLZcJrtfHyJ913LGT83GM4WYbC2IZ0nZgdX8UNEB
ZQe3np3oS/A+R8ZJodJgqP/tnDMwfstk/6P9MBeHs+gQYPub75Pzk2KbiRXSPYmZ+72ZA/TNpJcg
qfxf5UxEGqkXeaMdwkzcIftk2cdw+f/we1xIphKgHHkOkTRfH6hiYAiBZYPPSEy6MUJFpHT75Qhu
YOUq94Q4yydC4GEdicQse1LGYz3f36pqtkFzLmKbT2dD4pp/00LFQe6I7L6GUSkpGS+s9abKzJlE
WBsca7MzqZY6vJ5lHq990C0bNH1z6baHihQ1X8Ns/4zTS+yW9QOUhkPwbmii//CXrxJP7lGQGQlZ
wOu8v5J9USxIm25GU9TvJr8kRi0O5O0uQDEuJuh0bAsce8oJn+sa6odlR0uCMv4mjLAhIJWI73YJ
I3WEXyq5Oiy68SbUWEzcIytRQeKJgOJFb+95WvLlqSbozyqLRhb3EjLnDNE0GJhlptNKWs9x1XuY
hRLuI5zUqSq18hO5XPMyPLf+gW2xbUvyjUG5jn0zNIiS+pd8D/SU4Kq8FCTejfgqU8R03DPg2UQU
0OyMtt9gzNaq/UBVmHNapPKJBeSujlC+nU3dezLNtH/k0RFwaRtuXcKeYRvvFN/hGmWDWP0Qr0PM
LAp2kQ1NOgkStJxiTMnaryXDXdawfgtL648aopeHE8Qug9eWmCWVesSXl8qoHzelo+axnNwIhGlZ
ZkrGUids4C9R2VL+7qZutoxv4rs51BRCN8Fupfr1I+TQXRsleFVYG62iuoVQwDOojt3dhsxk8h6+
5aejhXZn6xgRcRoBV+Sk8YzIgwmUPYxr2ZzMBM/iggciNKs37HQTn5PuTe3OAm3z6O4lDhroa3lN
fGqMnt+Rg+AER54Ss33n+XqWtungYFJtMgc9yAUNbuD/tHDM5AxqsSRfB79ndEPLymF7aucNcRZK
ufBsZrslgUX0wcZHj1VI2iezYcC4s+JRq0zi6/pf5kqvb0amlxErKuRt+4Grbuq+M+NSQrPOdl14
3M4vSqL4XNHpU9fxcUvjDhGenEnIn0sMDwKXvW+JaNjHi1IgYSwETH0zZJwN7goKZrrXx2Q+IqhE
s0gE4APkeF0CzVe/5Os5fb2jJatwexjOr7niRhVtBM2GzJaLvtxupCE4jQzolmga0XicKoPArlza
sPPH3iU32ARcELfl/du1VMZFokP2Kpu476CDSvui08zrt1+abdtme/8j2xCvHZn3AjFDKvYUB/aV
mtA8/89ujm2b5HGZStr+tfP85gl4unkvCMYxKZ849V0NKYOQOEq0vX2uhDCRS/KmL5SE833hbbpo
ChTLxXpCFzByRHMd6bmWjE69HXNOcyjd6gPV+48PctnOITzZtFHX5LfRfWau88jRm3y50RpDab4l
QsBRUe8c2CH2GGGDo/5gHFOXsBn7cU51XYcNwqzmMA6INpPodS8/ehL+z3xm/0BG48xxXaIDk9bA
lf5dadR+g/++UIOIHwBB9W6Xpp4wtjcGqWZ/ZBloZR+x/cs62KaN3CldShelq1Rg9BF4H6TgvdcG
ERuZVKspqbWeoLxRapAX47R+y7nrWnOyuQ9HNgWGqPBCF2vk4mK0gVpzFryVTOBWHIvRvxC7zcHH
vKm7MuaoLFbb9GLXricYkB0fDcfgTZeQxtBHUpAqYXeC//pi1eiZKNU7H/9fnietuksYhtJLKzbV
ArdV3K8ijkZsXEg7Wbcn9wcp83HTJfam85ZlxSeiSg7dFy4kTpPManj7n756X4o7y5MbCkSk27jp
NcCKw3ryjD+frjHrNVwaqxcL+LJbFh+l1IgtcDrAsLH/Ykk9f1tyF52L+kbb5Ftrq5UXsHmK1Sry
nlpv/5zDVceUH/VAAEID12HIsLGT9MNcaJpbSsHaLe20lBCJEK3VXMwWVyUKwd2FKRwRhCDLGS4R
nfaMiA8xCDHrWoJTZDLp9FTac82EjWfnoeOwvjk5pu9SSVLPnHhcW1ItdcBNrLZfj4wY55d4eK54
WqRc5kqL3glMt9Z5qcE4/fSOTVPXgbG++/8/4q6ZPoG635glTWn+ZSjamxmnHZMJ+Bv1HVlcgrC3
6r5BsQfIk8K5hDfl4zcAs28fjd6j5cHP2HnojpfuSUKzvhVYWuQJ0c/8ty5sfvVvjwgiNNsn2S4U
mphMYq6aHP5YyioIsCzHPMHC37ZEfuZAdC80Nd1n7YvYvodB08yysyxuysZCUolvqNPALwf5m/oz
fyl7b1StHRzB/I/y4Jl1e+75rGCyYJf570vFbffW7+Vqr4DLCz6nOZY07kysAlJPRKk3O6O8/yk2
vz5jUjMdInc7AyISDiRL6XhPyOdUov0cq1MURGqdgvwsHfiPOLhH7RgOkZrXHfCFjpcPhqD8EklT
+hK4Hcld2RhcURXRh8YhxJcYHKRs8O2VazT/mNtNqdtqFal3E5dnISbv/vI7g0RE1Kb7pYxJG9wY
1W8kDKyQ25I5hz4V8iltPQv/3F5/KNJ3TVARaK8AVCiaESyJX/BjHSDfP4p7t0QSe6z4RBnV3SnG
510iZfp3FiH3k1q3BQiDucR5OMBkiGc1DQJAGcxXGI37EXn+NonnEpGEu8lUhgIj0jXDpwIXSXJE
sQN1m9CpzI3BQ796nmNOll76bfbyODuPS1oQzf6ScolxQkdSzkxo7fQoK3iTktEoqk4QJGA1LYbs
CbG7RTFKM9jFJfqt095Y60SyLtgNUN8T5fuWMt8/lHfMsOO/jOtDIR92aURVJUqypvGPKNyB9uur
UCHZbtYiVF90eadQxMvEjgLX36jYeQ8qY1zv/caVWTPTq83Ip5TXqJ9zSSRLLJVQKSAopxKshz/D
XIBkfVhpVw091P1Hdu5nHQllVjQ/S1bTWjDViLLjUrKHPqPRlT0Mus6ARHChh/MOQS5juMKLUZE4
jWWl077wstpcWBkDcrW9KWY3FzLJluL6cqcVVQy/xezPoGWlz9uBPMITCbe2JxRGhN+zaahMDuCP
I3Ngeok6kuKN3gKfKx8SRI1HWVJ2Z2bgwC+F6sevJnHByS+ktE2ll+z/xx19wIQPFTbE7yjuMcBs
18NkqjJz31uFSUi4i4WXxgY7bOC1Qm8wVHYjIdbno78IxfaYfXn6sbb7brikhS1BtuU6OSLDax+U
NDHibDcH2mAO2dJRYXAgE5sXn5mZBJ0yORkPPk1YJyrhumIv16n/GmvjHeB1PBxNs67UzA0yCNAw
btssX+8BJ2mcndbA0RfDUGGzmzEXCvsz7kHYIYfazVco7HFkn79iFfDa3IB3Q0QH/vSgFLuLTdxX
UFzoYPNwa+7H72WUYY6aFC09gQtMVP2FCucMEqYpj9jrgtJSLfbIlOFY3EgWwyIXxil2xIkvV1O4
7fSKQ2JIfaFuADX6SPpMD04SjGqLTfYkWuJgYz/P/x9rGf1pIX5pNvLpPl0bG6dV/x+yXBED6JBn
VkvRw+mG8apguLYd7BMNhnw+hg5dCvg3CyZrAOxIwVNgFPOA1xPETcg8og7TaRpaTySISSrwaGnP
K/3665XlWJYxwU0oc1yUV2ib20lsYNkkzTlt9f4sukN4eILncdigGnDsexuq3oo2A8IvPEEo3JzV
5CIO2TVNFeS00TQ8ALKKl75fWeyAFdmpSyoN7YgAhHlmp1qlzuHn3FroQ3ONE0vDim7EGD/T8ng3
5uO7eeIsdHD39yz7fIXnEnVmV+uun1meSEcSN5bYNhWGHkkz54hqDCp0I7SRS/dcT96ilbWXLH5N
HDAHQCFr/Qql/Rkq0wllAEv37er3717C/KwRV/yur+XRcuB3ENT0q/FtXD0/WYdm6KK9ak6jS3o+
vPrgUNhJ2f/oTbWZj5/kYrDmXeydEEG0HisUrETsP/FMqDW0wN6nXXO4OD5F7JH1F8kdUTMsgIU3
w4sJpXTw6nEHQa/SPVwusCSQhhC5uKW084nicn94DfIpn1Gwq/zrAveC131rIJEQlo/qZ/dHeWYp
7Aad/fBgYPE39G9o2cfshB3fVIhPTuxyy3Nrz8eWm3Zf9Te+y/n0II9Jbi0iZoiMA0roOy9Usr1X
c4DieHTzg93k5M31ARhbqPIy9YpH3TBBGer3amvpNja7PlIHz1Qoiy3oGzeMVqxMdVgksRCIDHUT
u57HM0QX8csg7+iMDb8TM0c3ePAkNw2XfmnCkAoNrpVT9FJ5P/qS5NJemHnnPexMA+T872haNzSg
Di/pTxoa4lR20ynBjbV5M/9VgsZ5sU4Vg6mkofU2ZhEfZNwlAHxW+GOpwBAcxjuXir/1/j0eMkD0
1g8LLgO6qzIh43uwYFmiyGK9f4mUBQQ6Y210/bHQbxtv3Aof4zOmuV1nFCCMOuQef8Pna2+EMr3e
AwUWKNgduS+UNMnBm0FwxCxeFRycFsz4wvmeeHyF4+yc/jQa46zTW/pGgrURYEZ7kov4y7mSePq7
wvFjB7LLEFQ0UZLkSMhEtVXqMQsRiTT2g7o+EZhdNaxH9ejfH+hPIvxC67swBb93qnxR5LHZZoaV
LoIQCgUF9ovgU7pyP+g7yfGiNCdj4EYCS/aE9yQZYE7cEkauAL5ObQ2tZy4TSaTNAI8hMFLpgRRw
sgyZ5Fj1CV/yFuYzh/+xt37M2ofyLcL/YLrhSahQvwx0RMc0pJabkzcTukpyzZBSg7LA67fF5aPx
+8HSM7x6LUheDxyW2tg5mVl5YLwGuCYVFMVMNaFMaIKy2hrupym2sIEB7ZuUZPHBuiE8Effapqju
2wEOUUY0Zt0BP6t6LZ0yghZ5mJcqaMMc5rG1ziu3J8SogMd6Jxb0zWdm0cKXD/GRjmpQtnMHy2Dq
RFwXcSUml64+NnoJmzLN9GKJAfM2Dsr4oysT2IkyuboLC4IJGmaUfEud4HPpE8iMqq8zH1yZON5e
dkbGygORs68hES584xYY//7s1XkFHgiw2AK7sIjN8LjD9Nmm2KZ75mwoNJvLhz9ImIw8bm+83nPt
3AoIhepbSKpUrrmlj9wcGxTdEgQIIYdzjluG6G5BcBye7EPSpemfIz2PHn9YXYn0GbKi1VdLnL/E
j3jiPDf//Fe6PmfKD4gJD6zAEH64Mg64Mddjw93CABpLFJ5CcvomPNbKaXxZ4KzGNt7ppjrED9EI
5aZ4rlfZNSSS+Sv4cK85FK3TUwcLHHB14WQmMKMQ9AXuEKjOg4eOtPOP8GtRpVjq0j6xAcyN+RHu
jWOAHjiE0OmEBkxIMkziXmbvOULyGaFVcHUnO4dS7BrxlIz/NnuWmm+BIZ3S8ZTRTZY3A7VV/Y3P
jfIuxwVF3HyBO6y4fMgsACE2fPyrKw6O7usg/luVzjN7Uompzhl+kiegf+i4NQqcvNRSy5c+lmLL
jMChWhpkED9PdxKlVV7Gnpz6LcLASE85YvDbFMr8Nsb+8s08latgCtLvStALFqz8ntVX3Cawz/Ur
CNqxvNPCKipZX+QfQe0XAeDbAZiXMrqqTq10EQ9q1MKdPFfzaFNvVhQrCdNSMfzLwpKbtjuTrdp4
jMC2Cx3MnDzrsRKn1tH8dsshRkrtY7NPfXH8Giy7imIBv9Xcdc8P1DDlZntKPT+G4oDiJU3h/vxd
3fL7znnfHSZ+x55Q8E8oCoeYo/g0y4b/cC0P4lZyUH4Gi7bYxcUqb6pcz3QiN/I9Ri5sTbFeKN3Y
dLcUdBz2FwxBwPNu39XqRZh1TENYe85DGud+0RdpTM2aoGAUxmX+aOKMk4G6mKfN8CyEVetA5ozt
B1YxiKg3v35dyf/nM7/IOXrhAWr97bEIVnZRpwqXWjtXK9iWtwRRExw5m7ZGzOKNdUjUw6e7lJBQ
puuVs4ITB+exG1IdFEZfnVtgwh1pq1bIrpUpy67NQkQ45aLR2U9NU/1V0jxtegvm4ApGtcvyVH7x
nrjweonxk+AklMriomS4neQdUYIjd6ZINBYJwcViRfk0QV0HTcins4ylbJTmgpzPrgaLcQwZkCl8
mj1/g0huAXeHXw7dVqHEXgkZqKDnw9feCFj6ay4bMox5mqUiK+MLQNZiNIfuhLJ05pLjFEOs0345
csCDuxwQA1YXvM9N1AXj/736Ux57qxYrVRF/yYLoBUpcIU7i7aa/cMGhwcvoX9FqZdKL4am7UcSt
9IhuU+vDX7jNtVq/N5JKgc30WbmKf56N+UKUGY8ywPcK1WseKTUqXEnuLoHhU2a/6p532MXJX3ME
deG1nrr/yGATII3JEpAqWl7K/pK4lqxTR6S+PKQf9CSzBosuZbcu8G0AcNflxIFTmCaJujACec8p
SHU1OUaZovO3RrY8P7f5zNrqlOq68vtEZFHfbi5DuuWmJShV4CspDtseuga+7O+QwN6if5vxTvbQ
oXwz7GoNn6T8wtF11bi6nanDX17mdbWBhp1xHxoZuDoTxXHItpmsI+dzJ0m9Bewj7sgT0qBrZj+B
Ky0R83NRYgJc5J5VjAO4+KyvC/w4TFhFr8Dgebbd+bypTEirzneJFCShexO6tinZnDEf1zxTnvuw
PFzGYTRCD9g4if1ELYUvY236Qrrjn09nB3eh8tgOTgQwqN4beExB3s03IT9D8PTa1u7bbKiIoCnX
gor06RpvvUMrFB77FbIISJxl2Pz4Q76fiLtYNLa6SweYK7TiX9H3tbJNUs/+rZAQat8I98uCS+gZ
yYVwmctTlnkLe7Wr+K5FNobmFxS0S3Wgj1zif2xKiGwf4+papcknsm4q25LffHokBPXm9w6KXlX9
SxzmZVA7+vHigi2E35riFAaleuFFXievzR+/MWgyTUrT+N8tZ1MsyY2Lxd+lyPRJ0GfIMSQOAyMM
bjVoiSLAoLdVv01ASp338AN+hslFZmbuTD+inwCSF7JpzYKqCYzRgracl0sWXNTIYel2wTNEy637
1IgBTvAmLXkpcvc40Eowwu9bvuwCNQ+ZeubEuYl3cNsSd56LvoYEhCdMf08BModdUy8r8HG+A73b
5wgCL9isQMdCojFCoDoYme0VX+QBoV6p1w/Ca48qhlawSfeqBUEBGvUnJE+/eBrkBmeEKrtXecP3
EDjBl1Qoh7Ch30K73mYY8eGnC9/++RVbr5U2NLszneDwR/h46iuMPKMa7eiovwF8Igxg0tgA2fvX
ichZN7MiqP4dL+Wzkgp+HOeiXGV9HIwe4LCLGoY0Zf1NZu7eGme77npmpV+LghP9gKmnrci+shUq
3OzGCLLsQ5dyCyLh7rIZSLoNknjsoqujttArYeho2DsuTWex0evqDoeVpVH9zuXTk+D03Fg6RCWU
0nONZH49dnlG5X9w7FQSxh859RXEIuuxXbUe1LcN2+QS6xevdaYW32aiQAfzj9o/hB9Lz5Spz4Tt
2Fy73g9XmPzUmgCjB+4rxl0uy3GXVpw9pXjtaHL0hIZGfJreznPIsgKtc1CFKV0txP7UrFxYH9X0
bbNVG8zkDZNO9yweZZ3FtDHphoEv4aBxFjehQXFgWjo6eJSGJ62iNoJ/kEkOs9CutMk2A0/R1UR/
5ForpmZQ7NHvN51MRF/jGyjlvRChHWrdzGZPlFKuwWiW5XF4QNqtNuYJJrCBcw1bh+bK/AvzbMj1
2WZY/E8BgJh8BVktxVgniWpFbBaPhdd7xYT14RcjC7N25Mji1l0/w8gilcCIS2zbQUyVG4LMt2qZ
ecaPXiAX5xSGZfEPSQEaurhnD8R0gHvpCeEBVRiq4L1/rp5wopghvSbFunEwMAseP32c4BZ0yPIg
Z3zxaI84vi805CWZK+ULh2imE+eG1ycok8gDeXHdEcvcCkb9Ebz+vzb6JPr41E2g59si3ld93qBC
/LA2Ro2XlRaDsIrxahzmIoL2rHSbMI9UcJArjfS/x5AEJ1FPzB1fBBFNSTxbfujtnP4Eaw3KH5nT
jhifNYfH1SU3qpuKiieA8DmW2zboVLpbp5SWyyTB0p/yUjq6EotO+/siqbZPeoDjGdhIWxephHSH
PYQQ9Re4rvupX51lGq7baf04474sQOiQ7Voq/xFoIJ+nIEeZ5go4issWfS6ntkGnq0fZxEaOgUsI
9iw5LTPTDWWTg/BH7boT57Ukndlem40jzXj+vk2DsD7dRUJ1i6sIgyvguAKJvKecnk/w6A69/Kb3
N1kHo1kBh7xb0w6p4Ub32XDEJ2a2VFHUYIN6fJtp9CjAEfQVCA8gZiqyAjpazVtu+zyh4BcgPRuh
EkmyTkBg9Y2YsWv/kWJyd2oEzUReILE0ajy+kjeVZeTzKTySyV3qQg0NGYOIkGTfriA0lBual5PX
gu00oJ3DZ1u0eOtugog+qfte45HfE6VG2Umtt8w2783qj9urb9VMaRAMlfvxspwg0yQ1NgxybUqu
YNKMOrtYTAfEQIWsVF1SGEzAMClRU3EcAZ4ZdFSiGpBQCF6xtkBJjN9lFgfe8BGbiUjPrufidXca
59dl6jgC4kq/kHCpbUOJMWHKrNk577FBaFJmQdw2+X1p0IXizbojmOzrI06ygShRMNPRwXVacOCh
Q44WzW0aQ8uYIGhxMO166jFBOuRdvv9kW4wUSsBxz2QS2XtW10vLybMQ8emAfbrGCWlbyV1LVEON
BIlUijDuoPVW0vj5Al8a4LgglmK1Ww14ZXnr0WHH/5tvyKV8UZb2emxtPB2T/GwcXRhpFSupMSuv
Z8C0kdzkeLBe0gfsKz5paxDfdNf4zaASCrw+KhaV+1dsD+kjNdQFoDmBOS3uwHmPbcqWK2U1qXi2
D68gswfDisPmzY4wkPhd7mrMCvY6F+Y/Ba6lmDtiOG8l5jVRJ4W6lL1KoXfurFLETBKUqLr+Jr6n
CCu8dLcsIBfZDNyRGLAqMXo1Z6QUsPg6eu+rRqdqpPSUsukKP0tuM12lFL/DNHCcBGoSpifz2/1p
pJVdnL3X8WNbzwfcOM9bGuL4jX/4PsExYiQMe611jrchB2d3kgEXAPRr079e4SE/259ZlQELmwqx
7dAJMKQkpNqGWkVXDlS4MQ7yTEkvr/FfByf1sS+Xoq7sETaD4g+KKPjbusVhahhSirW90UoccVWH
/5TKBFLsi4QHpm32Vnn7DCc78oI37Mn/OnXBstiw+BzYg+RXvUuMvBnIDvhXs9RRsIdLOCqegRsn
0qRV+KGCZG2t4rdvB/0GK9ZHS/f92ULQpGz2A5WHBYNqdfUqm/OCP7PBewmmUBN2b1IRtUYeOKmv
KXnd1WO0unNwt9kTM64EStYArLV+FTDXSdZpERMRenSghmjqJK6YhUNFfrQ2mrKt4bndTDapRejc
aAsHcPJHXcFdtqfTruxvV8OWAEVf/v50pd3cK9TaTYCnPlEQFhNm3Rng7znzyKFeOTRpBGf6vPL/
C0Q8jsIJJNfmkpM7LYFRZDQfTwIdvC/kz7n9m0DqCufRYUhdSD5FLryNvbUgATfFh27+FGsDvpDT
GARyhabtLJUyxNW9jeO/h1zL8IqHAvbsyAm8lDa9mcQ2sVTsDE9yAuBuLerMgowzu38j2VVJs+HS
gCrmoLoohzGApJmf77DgCfn1wCNXE4MhTbsT5FqxgmCGjN+bXxeShDQtmZ+TlKbdnYNUiC4knjEr
QoI+M+hvWBc/GJQSu5lRF+ZoQUU/hGZAcofdhFxDgfXmAygJRnCmCTaCCiIwjTukKz0j5xDoKLHf
6o4TvhxtPxJHNA+CpLsYq4tANI/D0WJHzdMz+H+m8uQ8EHOuti601FlWtS2QUDSuAwFrZKWpfpDX
Hc6m/D4Qatn+TePirA4KdmOU+6Pw/Gitxg5PAlyClqkxjoKY5iLLsd0+OZ31WU9dlgKlk62MFCa9
gEGHtmIEL5KyhCNoVTGSIJEqcVaTswabXUmCTs03wdO8IX+o9U7Y4Sk1/g9XtE1YJ+w5d6rN+6II
SKVdCDW4XityftB2Kcl+9O74MFdhTq46qUtpfT5/u+L8vpS9Zul16iP6xv/bOHunUf+JTbZe9JiA
ljo/Y7cLPIymH9KjzETTGffARGSHManKy6M/eiK4ZN6mtvhuu6mEjaRX59N5IZXYTEd/LAj7SgdF
QfaC8xyiD/H7Hfz3hi18uGviVqozKm9fsxO7N4puMv6ZGi7hgPI6eANY9GPhTL3S0PTLedkV2Vk/
cxrj5FQpJrQWaKL17djlIIFk1VPQxLOcm7sksT0F6lGgYGWtlDYnJ8R6Fbu5hzfq6QxwDpTU1f6y
RLJe4dpe8AeyC7VXGoVpXeBXDDBoxEktjI0bFPZ9rlmQyIIH9A4QGFojovwnqU6CPSHLw4CixlG4
cP9eLZ7EHawvnFFYD2YVX0/2AJQ3tdSOfikE6gkjyvSrM+ufeemtwnJ6wkYvHne2rBEPY4yyrQj/
/dr9E1vtIy4/TcuhxBtOrVlV8J2cvmXTNwWQy7icpBqLUO9W4kzvH0/AAPOYsDM4q6RH1+wS02yP
6At79JbgR8EhYpQxezaN3FglnXJ7am4VpV1iyn2RVQlATfpwuYcVXxFbFCTohzX38ghvJMxqRHwG
625H93ACsy3QmjPPLvj8vFQP38Wg2hgtQFbumaZYqvDwZm1vC0rfqPnkwNY1EmFObInX1Ppk4tB0
gHRRNqTSDuVH3AGFB1ZzCp+kd/yynhChF5hj9W8cCksVzivhVWxxBOJNeR8esubXer5ria+SBed/
A70bfvso2QYJ2dvV0J7is9vY84tv0swvTWeJP6NcM9kDVAjvxU+dYQKNUGF61qX0uA40YNjC1BaB
p2NTxN+0cEyESz6caPEvsEBHgnStz3sr0PNJZZXT+oeGA8w4rgy4sifQagIxmMmYTIOWVCTvJd4c
2WrL9kZg2ocmdsejSjeIPvj7YnZ26WsjwWxmxaFwfL1CS+JM3BxcDieVikt9FfNAR8Gm/UBa4tL/
kjvig0k0WJy6tSJqm0+wVs2TBe/m0ehnZklQT/zrTVXhD1oaHXexkt6vhWlrEdqG2pXwZmqQfF56
3eJetuW2e/ssBTjyrLU54mwJlr/IP3EHchXZdr+FTt+i5oA++qRFviGI5aJb3vsU9NxGr3ZnbcLh
SlB4EtOGWCphcBVQgy+9ftvvaJZ3y5k5H4Jn1h+U/4wG9wyp91f/VrfMWBfm+OZzSuT5qHcJPCdn
BtBfneIzaMwAA3ynJhx8jhOBXUxexc9x2o/LiWXWm4RUtYuOA9eCbP0Gtvulu02jQzCzDau/xDMH
4+TZ1Kr6RF1VgWq5FRUCaY5BAATQO6Fq9yNL/TYDEbJyfDOwhCpyCJpw0Qlo2h4itch+BnspVmhD
lI3yA06eEwkpAkr+C0aMg1if02MIdVW93FsRCa2i9KY0wQk9CJSzFQUzV52Yankp2Rsvz1knKs5j
Wmkj3yIFY3m9IH9YnEpiZxxIpDJLObmy5sAdhqWWK1bzTBuG1NfKQJTJv7/CszUMwIPHMD10z0F1
G6Aemnbk7kMLswumoJRZefunvPOexlYEuhaJAJzQxZP+AxbCvYcyQKolbkCaIiLCz/NfXK31dvVE
KBeKVUXottBvUBZ9GsVM9ZFAXnYOuvy5z4jdU7+YSyAcExmtLm0A8vB8XowFxytIMDxnlkmpXbC2
IBlxX7iHakGNiB8knLgUbuWCauHUbtzJ0qH8o26N1rw7DEc4VDg5rSLdHRtZ/20ZbN+TnvkKi5x+
fB7fUG457giA2tl/pxV/mEOoca/jSj/+Ge+njiHJNmEQrT5yc7N6b/rC2V9L6wYGCCRkocZHnoj2
S3e0KdF4Txs/+WJDy9/w+6mfTM5YdEHKZxCm+vFSk6tEtXkcvIO2qarUJqKE7a+cv3hxr0F3hm6j
TCyiQNgoWFJ0G5Z0KcWPJh1C1uzYA4mrKw/EveOocZPyNBBWoXEYoIBfsTu1zjlmw5BVJpHfJozf
VXD5fBgyo7G/ernUDvo40aM1na/znNoS+9ZjtyTqcuazlJjbHWzNFa4CqLNI8Ac+Nnv6D2BJnpBm
Y1a5iDcVrr/idZxgXoHw8YFeWigvYlbMj1wTFbqALfr5if7aKN2sUDKEbTfA2GudnVoGtFfl11Y2
70w+LQ009AFzy1MTKe6vyahx2oY6M3yug1y8+/5XbQ5mSAgeeoqoTsNpnAatbAdurTvN8I0yIERf
PVrxO30Od8LzL2Ghdd6TLiwEU8eeH4O3NcjO05K5j/WCEVANlqUcHLO5LRJ5CPj0dbGYNQbx9eEW
3V+pl4CcSyz2yBaqTNJlA8zDpvpqL0NFMPZwBFYt2UHTST06kzsvcwqyQTat9/6EtzUVEINUugsP
bz69gdnLvnygBrae/QCgmsdjur4cXUzcgd0+A69axVHw68qzuFKeguf+c8rc0Kqry/8QcBDFQmzP
2+nm1f1msp8txFFQSAaqZECCYjzmerRu9TKgvbyrjoid97I/Z8XU+RfCh039qopfQxNYbyPTcfNg
jVIRvItHlkAIN/fHyH0kDtGurdIcuXA6TxJHQJuo7tyXVxUo6qQqIqmc8XYU+LXfM592syRHTRjX
PhMkTCdKXVa4muKvoF1HBNrIlT6DOPRBDeDcltgswqj5WoRQp3BHsP3PVVzFWKylt+GrN+LPRTDt
jSIWvBw/s+5eLi0XKO8JRxEYyQf8Nhb5jvTNIvUWyjbmQ6CTDZQOTJ0SBgWh+UpZGa0wSUF75YKe
IjU1br77ogXaVHekMp7PzDzVF8EaHbDqhkItCoolCrNQOXH5PXKm3tkwXMKtg6b77hwqzZzPl3Om
VJXQ6Y0kpXA5lwfk5+GXBouSNBfLQBpDjQ6InuCQz3f5l/GRd/xwEEBMgfeH0Fj3sugyoJ5AXEmw
VU7fI7EUk7LSn3dTUXDvFpylnFrFSui/NJEi8bGHFbBgLz0Xs9kJ55SyTgYjS3Hw2DriXMowV4L9
jxDukdGnSs4biKSOjUUyvgE/2APuSjVsSPojlE8+R46HpKt4piPZAAl/PuPmTRyiZTJB5apWMbFT
YHRlergluaRT7gwT7JK5DDt3BMhdWGNakJYOBIhwpGN7JTOtNtmD5Y56HfmA+xZY59g9YZp4FpOh
LMQRuTLhY1dRzBtnClgArCcbSRtbWJ2z/c2+lH+TU1KPyOqMpPKAfhOxUutLguz5QK3app9oS2NC
67w8X/4TYhQzkynaLk3vHZIRfw9pxqwTdI3WWMRE1CdYlwKIMW0h1YRaJZjPwyEjdCQLeRKq7uZY
2lqdGSAjcrcvtdwSHXbFJDVkTkh2mb9H/I8Gdjh0CC3pR5NpOFdV3eS4jIbig15Yts2+WDhNcT86
+Mm10R+1TEhYiM0Rtn+ezSfo2rf+4SejLSw6KP+yduzVC8MU5IrKilGKZNG3bQwXPkY3jRRtbAoO
ek62VE0HnaZvdUaN8cqIIbB6u2Z6i9ODGV0m53qt7pGU7VM3MjrgcM4mfP4UuuJJQFJHxGnSSulR
EexdGXrQ4Ys0U3sgyi2FfQnnmnPGDZYqQK0JCEQyZ28nkxsWv6OStJjHFcQoLnYktsCuEIPSyBeD
+7RJ+0FXXyUCMigqUfgNtqnfkg42yTJYWfW0BOVp2+4c72NuQQRS0epLgsoSH+Dopie8GEiq0wlJ
hdsNxUeyidFuhK3iSPk86HOBd9kUY5oO6sbOqI6oIVSeISHBbRnc05ha5wpSNdh4867QlULMmpq9
THKMRJyb5S+fUENpXBYsBSFUNIW6gNXV1Pcv27fL72j+Ip/yO5l3999DbbkFhyO1qxT2pkwChwTB
37QmAEj3e8aLUlxCWaU+djZ9Ei2WWkqiWloCbL7AKNhL6ZFK1YTVCNMZTqdEpr3B1sQCVRPcjtfS
+40Ld6ksoCJGU9uf9VhpFme6l7OszJdzmVG4g2FMUuIVnv6DmvKYpkYyhlZuHZ3a4diZGYnNHtui
zfklrK2ctoQSsCqygc/1HP7vN/5Lu5XHFJXxlL0F4X9fFzW8N5qr0o54d43Snfwc08wxnB3Dd5E5
qqhvIQmLUwJiRmcMiLL/VQlmiyP8sK/kSdinpAy2Uus5kv6P7pYa/em1//2qcgK/dIwcjaEUCqLg
1A/6aqqlILD35VeGG+PlR1tNdTufvqGtal9p3WLKCwdoll0O1AQs4p6rNXaNEIIX5BV2oZGBvdW/
PnK9srkLBRPpO9dD0g4OSXDHWVghXvQLK/kxs0Ad2jM4fMIFsJAJFyp3KbV5PEeRpmGOE/jkrFv6
QYZYc3kfNx3iYS7F8mPRtgyd5Fy4Y+0qoqs6YxOgK9v885QZytlEPtkrZApE6zikuZDtFx6c9nWr
Vc+PF6MJMfiI+8PZLzE2KUx2BzZqeyfBKnj29KKzz+PadVEk7R5uOBwimiTB0OcFWUtZrWlLBHPC
07Tocoof3Ic64PVFbFiBojRy2AbwvrLeNtHz/RPqUmVY8ue3W/p5q7tF9dIfAsZTfAxzV/wA5nFf
D6/6mfnFxS5il0LNZQvmz2QvS7EwXqFIwvzmHO8Dtm0PNaGtCac1FNn3/qXZRcpc4TO8EhNAkZjE
amVvqLjCok4qVlAyR8SH6fMwNU9tPcBnz8p1YaoS1hAcSEZXLS95yCqhQMUkEcKaSr2v1LMRdm3A
xkJIYcf6BL0XNIysJ1zocf1U5AfP/6CX/q6OqjgmUv4fIcyM1HCzPgj2pCyEEINYdI/Yn0yR6IMx
QBwNvX3hoULu3irHr7OZwioUS7nQw8SNaxh44sbihZ8ebuXc6tAZ6nHya8+GqQ==
`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ip/design_SWandHW_standalone_feedforward_0_0/synth/design_SWandHW_standalone_feedforward_0_0.vhd
|
1
|
12310
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: UC3M:MISEA_Thesis:feedforward:1.4
-- IP Revision: 1609011434
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY design_SWandHW_standalone_feedforward_0_0 IS
PORT (
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
P_config_TVALID : IN STD_LOGIC;
P_config_TREADY : OUT STD_LOGIC;
P_config_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_WandB_TVALID : IN STD_LOGIC;
P_WandB_TREADY : OUT STD_LOGIC;
P_WandB_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_uOut_TVALID : OUT STD_LOGIC;
P_uOut_TREADY : IN STD_LOGIC;
P_uOut_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
P_netIn_TVALID : IN STD_LOGIC;
P_netIn_TREADY : OUT STD_LOGIC;
P_netIn_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_netOut_TVALID : OUT STD_LOGIC;
P_netOut_TREADY : IN STD_LOGIC;
P_netOut_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_SWandHW_standalone_feedforward_0_0;
ARCHITECTURE design_SWandHW_standalone_feedforward_0_0_arch OF design_SWandHW_standalone_feedforward_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_SWandHW_standalone_feedforward_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT feedforward IS
GENERIC (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER
);
PORT (
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
P_config_TVALID : IN STD_LOGIC;
P_config_TREADY : OUT STD_LOGIC;
P_config_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_WandB_TVALID : IN STD_LOGIC;
P_WandB_TREADY : OUT STD_LOGIC;
P_WandB_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_uOut_TVALID : OUT STD_LOGIC;
P_uOut_TREADY : IN STD_LOGIC;
P_uOut_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
P_netIn_TVALID : IN STD_LOGIC;
P_netIn_TREADY : OUT STD_LOGIC;
P_netIn_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
P_netOut_TVALID : OUT STD_LOGIC;
P_netOut_TREADY : IN STD_LOGIC;
P_netOut_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT feedforward;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_SWandHW_standalone_feedforward_0_0_arch: ARCHITECTURE IS "feedforward,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_SWandHW_standalone_feedforward_0_0_arch : ARCHITECTURE IS "design_SWandHW_standalone_feedforward_0_0,feedforward,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RREADY";
ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF P_config_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 P_config TVALID";
ATTRIBUTE X_INTERFACE_INFO OF P_config_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 P_config TREADY";
ATTRIBUTE X_INTERFACE_INFO OF P_config_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 P_config TDATA";
ATTRIBUTE X_INTERFACE_INFO OF P_WandB_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 P_WandB TVALID";
ATTRIBUTE X_INTERFACE_INFO OF P_WandB_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 P_WandB TREADY";
ATTRIBUTE X_INTERFACE_INFO OF P_WandB_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 P_WandB TDATA";
ATTRIBUTE X_INTERFACE_INFO OF P_uOut_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 P_uOut TVALID";
ATTRIBUTE X_INTERFACE_INFO OF P_uOut_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 P_uOut TREADY";
ATTRIBUTE X_INTERFACE_INFO OF P_uOut_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 P_uOut TDATA";
ATTRIBUTE X_INTERFACE_INFO OF P_netIn_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netIn TVALID";
ATTRIBUTE X_INTERFACE_INFO OF P_netIn_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netIn TREADY";
ATTRIBUTE X_INTERFACE_INFO OF P_netIn_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netIn TDATA";
ATTRIBUTE X_INTERFACE_INFO OF P_netOut_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netOut TVALID";
ATTRIBUTE X_INTERFACE_INFO OF P_netOut_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netOut TREADY";
ATTRIBUTE X_INTERFACE_INFO OF P_netOut_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 P_netOut TDATA";
BEGIN
U0 : feedforward
GENERIC MAP (
C_S_AXI_AXILITES_ADDR_WIDTH => 5,
C_S_AXI_AXILITES_DATA_WIDTH => 32
)
PORT MAP (
s_axi_AXILiteS_AWADDR => s_axi_AXILiteS_AWADDR,
s_axi_AXILiteS_AWVALID => s_axi_AXILiteS_AWVALID,
s_axi_AXILiteS_AWREADY => s_axi_AXILiteS_AWREADY,
s_axi_AXILiteS_WDATA => s_axi_AXILiteS_WDATA,
s_axi_AXILiteS_WSTRB => s_axi_AXILiteS_WSTRB,
s_axi_AXILiteS_WVALID => s_axi_AXILiteS_WVALID,
s_axi_AXILiteS_WREADY => s_axi_AXILiteS_WREADY,
s_axi_AXILiteS_BRESP => s_axi_AXILiteS_BRESP,
s_axi_AXILiteS_BVALID => s_axi_AXILiteS_BVALID,
s_axi_AXILiteS_BREADY => s_axi_AXILiteS_BREADY,
s_axi_AXILiteS_ARADDR => s_axi_AXILiteS_ARADDR,
s_axi_AXILiteS_ARVALID => s_axi_AXILiteS_ARVALID,
s_axi_AXILiteS_ARREADY => s_axi_AXILiteS_ARREADY,
s_axi_AXILiteS_RDATA => s_axi_AXILiteS_RDATA,
s_axi_AXILiteS_RRESP => s_axi_AXILiteS_RRESP,
s_axi_AXILiteS_RVALID => s_axi_AXILiteS_RVALID,
s_axi_AXILiteS_RREADY => s_axi_AXILiteS_RREADY,
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
P_config_TVALID => P_config_TVALID,
P_config_TREADY => P_config_TREADY,
P_config_TDATA => P_config_TDATA,
P_WandB_TVALID => P_WandB_TVALID,
P_WandB_TREADY => P_WandB_TREADY,
P_WandB_TDATA => P_WandB_TDATA,
P_uOut_TVALID => P_uOut_TVALID,
P_uOut_TREADY => P_uOut_TREADY,
P_uOut_TDATA => P_uOut_TDATA,
P_netIn_TVALID => P_netIn_TVALID,
P_netIn_TREADY => P_netIn_TREADY,
P_netIn_TDATA => P_netIn_TDATA,
P_netOut_TVALID => P_netOut_TVALID,
P_netOut_TREADY => P_netOut_TREADY,
P_netOut_TDATA => P_netOut_TDATA
);
END design_SWandHW_standalone_feedforward_0_0_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_files/bd/design_TEST/hdl/design_TEST.vhd
|
1
|
194848
|
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2015.4.2 (win64) Build 1494164 Fri Feb 26 04:18:56 MST 2016
--Date : Thu Sep 01 17:22:48 2016
--Host : DESKTOP-I329812 running 64-bit major release (build 9200)
--Command : generate_target design_TEST.bd
--Design : design_TEST
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_1FMN47O is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M_AXI_rlast : in STD_LOGIC;
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wlast : out STD_LOGIC;
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m00_couplers_imp_1FMN47O;
architecture STRUCTURE of m00_couplers_imp_1FMN47O is
component design_TEST_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component design_TEST_auto_pc_0;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal auto_pc_to_m00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal auto_pc_to_m00_couplers_RLAST : STD_LOGIC;
signal auto_pc_to_m00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_WID : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_m00_couplers_WLAST : STD_LOGIC;
signal auto_pc_to_m00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_WVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= auto_pc_to_m00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= auto_pc_to_m00_couplers_ARCACHE(3 downto 0);
M_AXI_arid(0) <= auto_pc_to_m00_couplers_ARID(0);
M_AXI_arlen(3 downto 0) <= auto_pc_to_m00_couplers_ARLEN(3 downto 0);
M_AXI_arlock(1 downto 0) <= auto_pc_to_m00_couplers_ARLOCK(1 downto 0);
M_AXI_arprot(2 downto 0) <= auto_pc_to_m00_couplers_ARPROT(2 downto 0);
M_AXI_arqos(3 downto 0) <= auto_pc_to_m00_couplers_ARQOS(3 downto 0);
M_AXI_arsize(2 downto 0) <= auto_pc_to_m00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid <= auto_pc_to_m00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= auto_pc_to_m00_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= auto_pc_to_m00_couplers_AWCACHE(3 downto 0);
M_AXI_awid(0) <= auto_pc_to_m00_couplers_AWID(0);
M_AXI_awlen(3 downto 0) <= auto_pc_to_m00_couplers_AWLEN(3 downto 0);
M_AXI_awlock(1 downto 0) <= auto_pc_to_m00_couplers_AWLOCK(1 downto 0);
M_AXI_awprot(2 downto 0) <= auto_pc_to_m00_couplers_AWPROT(2 downto 0);
M_AXI_awqos(3 downto 0) <= auto_pc_to_m00_couplers_AWQOS(3 downto 0);
M_AXI_awsize(2 downto 0) <= auto_pc_to_m00_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid <= auto_pc_to_m00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_m00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_m00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wid(0) <= auto_pc_to_m00_couplers_WID(0);
M_AXI_wlast <= auto_pc_to_m00_couplers_WLAST;
M_AXI_wstrb(3 downto 0) <= auto_pc_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_m00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1(0) <= S_ARESETN(0);
S_AXI_arready <= m00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= m00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(0) <= m00_couplers_to_auto_pc_BID(0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= m00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= m00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(0) <= m00_couplers_to_auto_pc_RID(0);
S_AXI_rlast <= m00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= m00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= m00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= m00_couplers_to_auto_pc_WREADY;
auto_pc_to_m00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_m00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_m00_couplers_BID(5 downto 0) <= M_AXI_bid(5 downto 0);
auto_pc_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_m00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_m00_couplers_RID(5 downto 0) <= M_AXI_rid(5 downto 0);
auto_pc_to_m00_couplers_RLAST <= M_AXI_rlast;
auto_pc_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_m00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_m00_couplers_WREADY <= M_AXI_wready;
m00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
m00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
m00_couplers_to_auto_pc_ARID(0) <= S_AXI_arid(0);
m00_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
m00_couplers_to_auto_pc_ARLOCK(0) <= S_AXI_arlock(0);
m00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
m00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
m00_couplers_to_auto_pc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0);
m00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
m00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
m00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
m00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
m00_couplers_to_auto_pc_AWID(0) <= S_AXI_awid(0);
m00_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
m00_couplers_to_auto_pc_AWLOCK(0) <= S_AXI_awlock(0);
m00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
m00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
m00_couplers_to_auto_pc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0);
m00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
m00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
m00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
m00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
m00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
m00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component design_TEST_auto_pc_0
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1(0),
m_axi_araddr(31 downto 0) => auto_pc_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => auto_pc_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => auto_pc_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(0) => auto_pc_to_m00_couplers_ARID(0),
m_axi_arlen(3 downto 0) => auto_pc_to_m00_couplers_ARLEN(3 downto 0),
m_axi_arlock(1 downto 0) => auto_pc_to_m00_couplers_ARLOCK(1 downto 0),
m_axi_arprot(2 downto 0) => auto_pc_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => auto_pc_to_m00_couplers_ARQOS(3 downto 0),
m_axi_arready => auto_pc_to_m00_couplers_ARREADY,
m_axi_arsize(2 downto 0) => auto_pc_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid => auto_pc_to_m00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => auto_pc_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => auto_pc_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(0) => auto_pc_to_m00_couplers_AWID(0),
m_axi_awlen(3 downto 0) => auto_pc_to_m00_couplers_AWLEN(3 downto 0),
m_axi_awlock(1 downto 0) => auto_pc_to_m00_couplers_AWLOCK(1 downto 0),
m_axi_awprot(2 downto 0) => auto_pc_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => auto_pc_to_m00_couplers_AWQOS(3 downto 0),
m_axi_awready => auto_pc_to_m00_couplers_AWREADY,
m_axi_awsize(2 downto 0) => auto_pc_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid => auto_pc_to_m00_couplers_AWVALID,
m_axi_bid(0) => auto_pc_to_m00_couplers_BID(0),
m_axi_bready => auto_pc_to_m00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_m00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(0) => auto_pc_to_m00_couplers_RID(0),
m_axi_rlast => auto_pc_to_m00_couplers_RLAST,
m_axi_rready => auto_pc_to_m00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_m00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_m00_couplers_WDATA(31 downto 0),
m_axi_wid(0) => auto_pc_to_m00_couplers_WID(0),
m_axi_wlast => auto_pc_to_m00_couplers_WLAST,
m_axi_wready => auto_pc_to_m00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_m00_couplers_WVALID,
s_axi_araddr(31 downto 0) => m00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => m00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => m00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(0) => m00_couplers_to_auto_pc_ARID(0),
s_axi_arlen(7 downto 0) => m00_couplers_to_auto_pc_ARLEN(7 downto 0),
s_axi_arlock(0) => m00_couplers_to_auto_pc_ARLOCK(0),
s_axi_arprot(2 downto 0) => m00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => m00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => m00_couplers_to_auto_pc_ARREADY,
s_axi_arregion(3 downto 0) => m00_couplers_to_auto_pc_ARREGION(3 downto 0),
s_axi_arsize(2 downto 0) => m00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => m00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => m00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => m00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => m00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(0) => m00_couplers_to_auto_pc_AWID(0),
s_axi_awlen(7 downto 0) => m00_couplers_to_auto_pc_AWLEN(7 downto 0),
s_axi_awlock(0) => m00_couplers_to_auto_pc_AWLOCK(0),
s_axi_awprot(2 downto 0) => m00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => m00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => m00_couplers_to_auto_pc_AWREADY,
s_axi_awregion(3 downto 0) => m00_couplers_to_auto_pc_AWREGION(3 downto 0),
s_axi_awsize(2 downto 0) => m00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => m00_couplers_to_auto_pc_AWVALID,
s_axi_bid(0) => m00_couplers_to_auto_pc_BID(0),
s_axi_bready => m00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => m00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => m00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => m00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(0) => m00_couplers_to_auto_pc_RID(0),
s_axi_rlast => m00_couplers_to_auto_pc_RLAST,
s_axi_rready => m00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => m00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => m00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => m00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wlast => m00_couplers_to_auto_pc_WLAST,
s_axi_wready => m00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => m00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => m00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_23E6MH is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end m00_couplers_imp_23E6MH;
architecture STRUCTURE of m00_couplers_imp_23E6MH is
signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arvalid(0) <= m00_couplers_to_m00_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awvalid(0) <= m00_couplers_to_m00_couplers_AWVALID(0);
M_AXI_bready(0) <= m00_couplers_to_m00_couplers_BREADY(0);
M_AXI_rready(0) <= m00_couplers_to_m00_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wvalid(0) <= m00_couplers_to_m00_couplers_WVALID(0);
S_AXI_arready(0) <= m00_couplers_to_m00_couplers_ARREADY(0);
S_AXI_awready(0) <= m00_couplers_to_m00_couplers_AWREADY(0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= m00_couplers_to_m00_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= m00_couplers_to_m00_couplers_RVALID(0);
S_AXI_wready(0) <= m00_couplers_to_m00_couplers_WREADY(0);
m00_couplers_to_m00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_m00_couplers_ARREADY(0) <= M_AXI_arready(0);
m00_couplers_to_m00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
m00_couplers_to_m00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_m00_couplers_AWREADY(0) <= M_AXI_awready(0);
m00_couplers_to_m00_couplers_AWVALID(0) <= S_AXI_awvalid(0);
m00_couplers_to_m00_couplers_BREADY(0) <= S_AXI_bready(0);
m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m00_couplers_to_m00_couplers_BVALID(0) <= M_AXI_bvalid(0);
m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m00_couplers_to_m00_couplers_RREADY(0) <= S_AXI_rready(0);
m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m00_couplers_to_m00_couplers_RVALID(0) <= M_AXI_rvalid(0);
m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_m00_couplers_WREADY(0) <= M_AXI_wready(0);
m00_couplers_to_m00_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m01_couplers_imp_169O6FR is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end m01_couplers_imp_169O6FR;
architecture STRUCTURE of m01_couplers_imp_169O6FR is
signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(31 downto 0);
M_AXI_arvalid(0) <= m01_couplers_to_m01_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(31 downto 0);
M_AXI_awvalid(0) <= m01_couplers_to_m01_couplers_AWVALID(0);
M_AXI_bready(0) <= m01_couplers_to_m01_couplers_BREADY(0);
M_AXI_rready(0) <= m01_couplers_to_m01_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0);
M_AXI_wvalid(0) <= m01_couplers_to_m01_couplers_WVALID(0);
S_AXI_arready(0) <= m01_couplers_to_m01_couplers_ARREADY(0);
S_AXI_awready(0) <= m01_couplers_to_m01_couplers_AWREADY(0);
S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= m01_couplers_to_m01_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= m01_couplers_to_m01_couplers_RVALID(0);
S_AXI_wready(0) <= m01_couplers_to_m01_couplers_WREADY(0);
m01_couplers_to_m01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m01_couplers_to_m01_couplers_ARREADY(0) <= M_AXI_arready(0);
m01_couplers_to_m01_couplers_ARVALID(0) <= S_AXI_arvalid(0);
m01_couplers_to_m01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m01_couplers_to_m01_couplers_AWREADY(0) <= M_AXI_awready(0);
m01_couplers_to_m01_couplers_AWVALID(0) <= S_AXI_awvalid(0);
m01_couplers_to_m01_couplers_BREADY(0) <= S_AXI_bready(0);
m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m01_couplers_to_m01_couplers_BVALID(0) <= M_AXI_bvalid(0);
m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m01_couplers_to_m01_couplers_RREADY(0) <= S_AXI_rready(0);
m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m01_couplers_to_m01_couplers_RVALID(0) <= M_AXI_rvalid(0);
m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m01_couplers_to_m01_couplers_WREADY(0) <= M_AXI_wready(0);
m01_couplers_to_m01_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_1TCVZ15 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end s00_couplers_imp_1TCVZ15;
architecture STRUCTURE of s00_couplers_imp_1TCVZ15 is
component design_TEST_auto_pc_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component design_TEST_auto_pc_1;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0);
M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0);
M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_s00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_s00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1(0) <= S_ARESETN(0);
S_AXI_arready <= s00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= s00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(11 downto 0) <= s00_couplers_to_auto_pc_BID(11 downto 0);
S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= s00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(11 downto 0) <= s00_couplers_to_auto_pc_RID(11 downto 0);
S_AXI_rlast <= s00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= s00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= s00_couplers_to_auto_pc_WREADY;
auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_s00_couplers_WREADY <= M_AXI_wready;
s00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0);
s00_couplers_to_auto_pc_ARLEN(3 downto 0) <= S_AXI_arlen(3 downto 0);
s00_couplers_to_auto_pc_ARLOCK(1 downto 0) <= S_AXI_arlock(1 downto 0);
s00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
s00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
s00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0);
s00_couplers_to_auto_pc_AWLEN(3 downto 0) <= S_AXI_awlen(3 downto 0);
s00_couplers_to_auto_pc_AWLOCK(1 downto 0) <= S_AXI_awlock(1 downto 0);
s00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
s00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
s00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
s00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
s00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s00_couplers_to_auto_pc_WID(11 downto 0) <= S_AXI_wid(11 downto 0);
s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component design_TEST_auto_pc_1
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1(0),
m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0),
m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0),
m_axi_arready => auto_pc_to_s00_couplers_ARREADY,
m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0),
m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0),
m_axi_awready => auto_pc_to_s00_couplers_AWREADY,
m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID,
m_axi_bready => auto_pc_to_s00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_s00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0),
m_axi_rready => auto_pc_to_s00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_s00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0),
m_axi_wready => auto_pc_to_s00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_s00_couplers_WVALID,
s_axi_araddr(31 downto 0) => s00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => s00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => s00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(11 downto 0) => s00_couplers_to_auto_pc_ARID(11 downto 0),
s_axi_arlen(3 downto 0) => s00_couplers_to_auto_pc_ARLEN(3 downto 0),
s_axi_arlock(1 downto 0) => s00_couplers_to_auto_pc_ARLOCK(1 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => s00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => s00_couplers_to_auto_pc_ARREADY,
s_axi_arsize(2 downto 0) => s00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => s00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => s00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => s00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => s00_couplers_to_auto_pc_AWID(11 downto 0),
s_axi_awlen(3 downto 0) => s00_couplers_to_auto_pc_AWLEN(3 downto 0),
s_axi_awlock(1 downto 0) => s00_couplers_to_auto_pc_AWLOCK(1 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => s00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => s00_couplers_to_auto_pc_AWREADY,
s_axi_awsize(2 downto 0) => s00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => s00_couplers_to_auto_pc_AWVALID,
s_axi_bid(11 downto 0) => s00_couplers_to_auto_pc_BID(11 downto 0),
s_axi_bready => s00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => s00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => s00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => s00_couplers_to_auto_pc_RID(11 downto 0),
s_axi_rlast => s00_couplers_to_auto_pc_RLAST,
s_axi_rready => s00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => s00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => s00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wid(11 downto 0) => s00_couplers_to_auto_pc_WID(11 downto 0),
s_axi_wlast => s00_couplers_to_auto_pc_WLAST,
s_axi_wready => s00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => s00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_NVS4CK is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end s00_couplers_imp_NVS4CK;
architecture STRUCTURE of s00_couplers_imp_NVS4CK is
signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s00_couplers_to_s00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s00_couplers_to_s00_couplers_ARCACHE(3 downto 0);
M_AXI_arlen(7 downto 0) <= s00_couplers_to_s00_couplers_ARLEN(7 downto 0);
M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= s00_couplers_to_s00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= s00_couplers_to_s00_couplers_ARVALID(0);
M_AXI_rready(0) <= s00_couplers_to_s00_couplers_RREADY(0);
S_AXI_arready(0) <= s00_couplers_to_s00_couplers_ARREADY(0);
S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0);
S_AXI_rlast(0) <= s00_couplers_to_s00_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= s00_couplers_to_s00_couplers_RVALID(0);
s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_s00_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_s00_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_s00_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_s00_couplers_ARREADY(0) <= M_AXI_arready(0);
s00_couplers_to_s00_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_s00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s00_couplers_to_s00_couplers_RLAST(0) <= M_AXI_rlast(0);
s00_couplers_to_s00_couplers_RREADY(0) <= S_AXI_rready(0);
s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s00_couplers_to_s00_couplers_RVALID(0) <= M_AXI_rvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s01_couplers_imp_1JZLSPM is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end s01_couplers_imp_1JZLSPM;
architecture STRUCTURE of s01_couplers_imp_1JZLSPM is
signal s01_couplers_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_awaddr(31 downto 0) <= s01_couplers_to_s01_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= s01_couplers_to_s01_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= s01_couplers_to_s01_couplers_AWCACHE(3 downto 0);
M_AXI_awlen(7 downto 0) <= s01_couplers_to_s01_couplers_AWLEN(7 downto 0);
M_AXI_awprot(2 downto 0) <= s01_couplers_to_s01_couplers_AWPROT(2 downto 0);
M_AXI_awsize(2 downto 0) <= s01_couplers_to_s01_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid(0) <= s01_couplers_to_s01_couplers_AWVALID(0);
M_AXI_bready(0) <= s01_couplers_to_s01_couplers_BREADY(0);
M_AXI_wdata(31 downto 0) <= s01_couplers_to_s01_couplers_WDATA(31 downto 0);
M_AXI_wlast(0) <= s01_couplers_to_s01_couplers_WLAST(0);
M_AXI_wstrb(3 downto 0) <= s01_couplers_to_s01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= s01_couplers_to_s01_couplers_WVALID(0);
S_AXI_awready(0) <= s01_couplers_to_s01_couplers_AWREADY(0);
S_AXI_bresp(1 downto 0) <= s01_couplers_to_s01_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= s01_couplers_to_s01_couplers_BVALID(0);
S_AXI_wready(0) <= s01_couplers_to_s01_couplers_WREADY(0);
s01_couplers_to_s01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s01_couplers_to_s01_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s01_couplers_to_s01_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s01_couplers_to_s01_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
s01_couplers_to_s01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s01_couplers_to_s01_couplers_AWREADY(0) <= M_AXI_awready(0);
s01_couplers_to_s01_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s01_couplers_to_s01_couplers_AWVALID(0) <= S_AXI_awvalid(0);
s01_couplers_to_s01_couplers_BREADY(0) <= S_AXI_bready(0);
s01_couplers_to_s01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s01_couplers_to_s01_couplers_BVALID(0) <= M_AXI_bvalid(0);
s01_couplers_to_s01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s01_couplers_to_s01_couplers_WLAST(0) <= S_AXI_wlast(0);
s01_couplers_to_s01_couplers_WREADY(0) <= M_AXI_wready(0);
s01_couplers_to_s01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s01_couplers_to_s01_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_TEST_axi_mem_intercon_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arready : in STD_LOGIC;
M00_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arvalid : out STD_LOGIC;
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awready : in STD_LOGIC;
M00_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awvalid : out STD_LOGIC;
M00_AXI_bid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M00_AXI_bready : out STD_LOGIC;
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC;
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M00_AXI_rlast : in STD_LOGIC;
M00_AXI_rready : out STD_LOGIC;
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC;
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wlast : out STD_LOGIC;
M00_AXI_wready : in STD_LOGIC;
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC;
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_ACLK : in STD_LOGIC;
S01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S01_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_TEST_axi_mem_intercon_0;
architecture STRUCTURE of design_TEST_axi_mem_intercon_0 is
component design_TEST_xbar_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rlast : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_TEST_xbar_1;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S01_ACLK_1 : STD_LOGIC;
signal S01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_ACLK_net : STD_LOGIC;
signal axi_mem_intercon_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal m00_couplers_to_axi_mem_intercon_BREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_BVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RLAST : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_WLAST : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WVALID : STD_LOGIC;
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 3 downto 2 );
signal s01_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC;
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RLAST : STD_LOGIC;
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC;
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC;
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_xbar_s_axi_arready_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_xbar_s_axi_awready_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_xbar_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_xbar_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_xbar_s_axi_bvalid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_xbar_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 32 );
signal NLW_xbar_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_xbar_s_axi_rlast_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_xbar_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_xbar_s_axi_rvalid_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_xbar_s_axi_wready_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0);
M00_AXI_arburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0);
M00_AXI_arcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0);
M00_AXI_arid(0) <= m00_couplers_to_axi_mem_intercon_ARID(0);
M00_AXI_arlen(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARLEN(3 downto 0);
M00_AXI_arlock(1 downto 0) <= m00_couplers_to_axi_mem_intercon_ARLOCK(1 downto 0);
M00_AXI_arprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0);
M00_AXI_arqos(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARQOS(3 downto 0);
M00_AXI_arsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0);
M00_AXI_arvalid <= m00_couplers_to_axi_mem_intercon_ARVALID;
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0);
M00_AXI_awburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0);
M00_AXI_awcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0);
M00_AXI_awid(0) <= m00_couplers_to_axi_mem_intercon_AWID(0);
M00_AXI_awlen(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWLEN(3 downto 0);
M00_AXI_awlock(1 downto 0) <= m00_couplers_to_axi_mem_intercon_AWLOCK(1 downto 0);
M00_AXI_awprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0);
M00_AXI_awqos(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWQOS(3 downto 0);
M00_AXI_awsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0);
M00_AXI_awvalid <= m00_couplers_to_axi_mem_intercon_AWVALID;
M00_AXI_bready <= m00_couplers_to_axi_mem_intercon_BREADY;
M00_AXI_rready <= m00_couplers_to_axi_mem_intercon_RREADY;
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0);
M00_AXI_wid(0) <= m00_couplers_to_axi_mem_intercon_WID(0);
M00_AXI_wlast <= m00_couplers_to_axi_mem_intercon_WLAST;
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0);
M00_AXI_wvalid <= m00_couplers_to_axi_mem_intercon_WVALID;
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready(0) <= axi_mem_intercon_to_s00_couplers_ARREADY(0);
S00_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rlast(0) <= axi_mem_intercon_to_s00_couplers_RLAST(0);
S00_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid(0) <= axi_mem_intercon_to_s00_couplers_RVALID(0);
S01_ACLK_1 <= S01_ACLK;
S01_ARESETN_1(0) <= S01_ARESETN(0);
S01_AXI_awready(0) <= axi_mem_intercon_to_s01_couplers_AWREADY(0);
S01_AXI_bresp(1 downto 0) <= axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0);
S01_AXI_bvalid(0) <= axi_mem_intercon_to_s01_couplers_BVALID(0);
S01_AXI_wready(0) <= axi_mem_intercon_to_s01_couplers_WREADY(0);
axi_mem_intercon_ACLK_net <= ACLK;
axi_mem_intercon_ARESETN_net(0) <= ARESETN(0);
axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0) <= S00_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARVALID(0) <= S00_AXI_arvalid(0);
axi_mem_intercon_to_s00_couplers_RREADY(0) <= S00_AXI_rready(0);
axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0) <= S01_AXI_awaddr(31 downto 0);
axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0) <= S01_AXI_awburst(1 downto 0);
axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0) <= S01_AXI_awcache(3 downto 0);
axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0) <= S01_AXI_awlen(7 downto 0);
axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0) <= S01_AXI_awprot(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0) <= S01_AXI_awsize(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWVALID(0) <= S01_AXI_awvalid(0);
axi_mem_intercon_to_s01_couplers_BREADY(0) <= S01_AXI_bready(0);
axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0) <= S01_AXI_wdata(31 downto 0);
axi_mem_intercon_to_s01_couplers_WLAST(0) <= S01_AXI_wlast(0);
axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0) <= S01_AXI_wstrb(3 downto 0);
axi_mem_intercon_to_s01_couplers_WVALID(0) <= S01_AXI_wvalid(0);
m00_couplers_to_axi_mem_intercon_ARREADY <= M00_AXI_arready;
m00_couplers_to_axi_mem_intercon_AWREADY <= M00_AXI_awready;
m00_couplers_to_axi_mem_intercon_BID(5 downto 0) <= M00_AXI_bid(5 downto 0);
m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_BVALID <= M00_AXI_bvalid;
m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_axi_mem_intercon_RID(5 downto 0) <= M00_AXI_rid(5 downto 0);
m00_couplers_to_axi_mem_intercon_RLAST <= M00_AXI_rlast;
m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_RVALID <= M00_AXI_rvalid;
m00_couplers_to_axi_mem_intercon_WREADY <= M00_AXI_wready;
m00_couplers: entity work.m00_couplers_imp_1FMN47O
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0),
M_AXI_arid(0) => m00_couplers_to_axi_mem_intercon_ARID(0),
M_AXI_arlen(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARLEN(3 downto 0),
M_AXI_arlock(1 downto 0) => m00_couplers_to_axi_mem_intercon_ARLOCK(1 downto 0),
M_AXI_arprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0),
M_AXI_arqos(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARQOS(3 downto 0),
M_AXI_arready => m00_couplers_to_axi_mem_intercon_ARREADY,
M_AXI_arsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0),
M_AXI_arvalid => m00_couplers_to_axi_mem_intercon_ARVALID,
M_AXI_awaddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0),
M_AXI_awid(0) => m00_couplers_to_axi_mem_intercon_AWID(0),
M_AXI_awlen(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWLEN(3 downto 0),
M_AXI_awlock(1 downto 0) => m00_couplers_to_axi_mem_intercon_AWLOCK(1 downto 0),
M_AXI_awprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0),
M_AXI_awqos(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWQOS(3 downto 0),
M_AXI_awready => m00_couplers_to_axi_mem_intercon_AWREADY,
M_AXI_awsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0),
M_AXI_awvalid => m00_couplers_to_axi_mem_intercon_AWVALID,
M_AXI_bid(5 downto 0) => m00_couplers_to_axi_mem_intercon_BID(5 downto 0),
M_AXI_bready => m00_couplers_to_axi_mem_intercon_BREADY,
M_AXI_bresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0),
M_AXI_bvalid => m00_couplers_to_axi_mem_intercon_BVALID,
M_AXI_rdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0),
M_AXI_rid(5 downto 0) => m00_couplers_to_axi_mem_intercon_RID(5 downto 0),
M_AXI_rlast => m00_couplers_to_axi_mem_intercon_RLAST,
M_AXI_rready => m00_couplers_to_axi_mem_intercon_RREADY,
M_AXI_rresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0),
M_AXI_rvalid => m00_couplers_to_axi_mem_intercon_RVALID,
M_AXI_wdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0),
M_AXI_wid(0) => m00_couplers_to_axi_mem_intercon_WID(0),
M_AXI_wlast => m00_couplers_to_axi_mem_intercon_WLAST,
M_AXI_wready => m00_couplers_to_axi_mem_intercon_WREADY,
M_AXI_wstrb(3 downto 0) => m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0),
M_AXI_wvalid => m00_couplers_to_axi_mem_intercon_WVALID,
S_ACLK => axi_mem_intercon_ACLK_net,
S_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(0) => xbar_to_m00_couplers_ARID(0),
S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
S_AXI_arready => xbar_to_m00_couplers_ARREADY,
S_AXI_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(0) => xbar_to_m00_couplers_AWID(0),
S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
S_AXI_awready => xbar_to_m00_couplers_AWREADY,
S_AXI_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bid(0) => xbar_to_m00_couplers_BID(0),
S_AXI_bready => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rid(0) => xbar_to_m00_couplers_RID(0),
S_AXI_rlast => xbar_to_m00_couplers_RLAST,
S_AXI_rready => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wlast => xbar_to_m00_couplers_WLAST(0),
S_AXI_wready => xbar_to_m00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0)
);
s00_couplers: entity work.s00_couplers_imp_NVS4CK
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready(0) => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rlast(0) => s00_couplers_to_xbar_RLAST(0),
M_AXI_rready(0) => s00_couplers_to_xbar_RREADY(0),
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arready(0) => axi_mem_intercon_to_s00_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid(0) => axi_mem_intercon_to_s00_couplers_ARVALID(0),
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rlast(0) => axi_mem_intercon_to_s00_couplers_RLAST(0),
S_AXI_rready(0) => axi_mem_intercon_to_s00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => axi_mem_intercon_to_s00_couplers_RVALID(0)
);
s01_couplers: entity work.s01_couplers_imp_1JZLSPM
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_awaddr(31 downto 0) => s01_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s01_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awlen(7 downto 0) => s01_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awprot(2 downto 0) => s01_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready(0) => s01_couplers_to_xbar_AWREADY(1),
M_AXI_awsize(2 downto 0) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid(0) => s01_couplers_to_xbar_AWVALID(0),
M_AXI_bready(0) => s01_couplers_to_xbar_BREADY(0),
M_AXI_bresp(1 downto 0) => s01_couplers_to_xbar_BRESP(3 downto 2),
M_AXI_bvalid(0) => s01_couplers_to_xbar_BVALID(1),
M_AXI_wdata(31 downto 0) => s01_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast(0) => s01_couplers_to_xbar_WLAST(0),
M_AXI_wready(0) => s01_couplers_to_xbar_WREADY(1),
M_AXI_wstrb(3 downto 0) => s01_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid(0) => s01_couplers_to_xbar_WVALID(0),
S_ACLK => S01_ACLK_1,
S_ARESETN(0) => S01_ARESETN_1(0),
S_AXI_awaddr(31 downto 0) => axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0),
S_AXI_awlen(7 downto 0) => axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0),
S_AXI_awprot(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0),
S_AXI_awready(0) => axi_mem_intercon_to_s01_couplers_AWREADY(0),
S_AXI_awsize(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid(0) => axi_mem_intercon_to_s01_couplers_AWVALID(0),
S_AXI_bready(0) => axi_mem_intercon_to_s01_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => axi_mem_intercon_to_s01_couplers_BVALID(0),
S_AXI_wdata(31 downto 0) => axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0),
S_AXI_wlast(0) => axi_mem_intercon_to_s01_couplers_WLAST(0),
S_AXI_wready(0) => axi_mem_intercon_to_s01_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => axi_mem_intercon_to_s01_couplers_WVALID(0)
);
xbar: component design_TEST_xbar_1
port map (
aclk => axi_mem_intercon_ACLK_net,
aresetn => axi_mem_intercon_ARESETN_net(0),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(0) => xbar_to_m00_couplers_ARID(0),
m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY,
m_axi_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(0) => xbar_to_m00_couplers_AWID(0),
m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY,
m_axi_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bid(0) => xbar_to_m00_couplers_BID(0),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID,
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(0) => xbar_to_m00_couplers_RID(0),
m_axi_rlast(0) => xbar_to_m00_couplers_RLAST,
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID,
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0),
m_axi_wready(0) => xbar_to_m00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(63 downto 32) => B"00000000000000000000000000000000",
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arburst(3 downto 2) => B"00",
s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arcache(7 downto 4) => B"0000",
s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arid(1 downto 0) => B"00",
s_axi_arlen(15 downto 8) => B"00000000",
s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlock(1 downto 0) => B"00",
s_axi_arprot(5 downto 3) => B"000",
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arqos(7 downto 0) => B"00000000",
s_axi_arready(1) => NLW_xbar_s_axi_arready_UNCONNECTED(1),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arsize(5 downto 3) => B"000",
s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arvalid(1) => '0',
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
s_axi_awaddr(63 downto 32) => s01_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(3 downto 2) => s01_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(7 downto 4) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(1 downto 0) => B"00",
s_axi_awlen(15 downto 8) => s01_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(1 downto 0) => B"00",
s_axi_awprot(5 downto 3) => s01_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(7 downto 0) => B"00000000",
s_axi_awready(1) => s01_couplers_to_xbar_AWREADY(1),
s_axi_awready(0) => NLW_xbar_s_axi_awready_UNCONNECTED(0),
s_axi_awsize(5 downto 3) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid(1) => s01_couplers_to_xbar_AWVALID(0),
s_axi_awvalid(0) => '0',
s_axi_bid(1 downto 0) => NLW_xbar_s_axi_bid_UNCONNECTED(1 downto 0),
s_axi_bready(1) => s01_couplers_to_xbar_BREADY(0),
s_axi_bready(0) => '0',
s_axi_bresp(3 downto 2) => s01_couplers_to_xbar_BRESP(3 downto 2),
s_axi_bresp(1 downto 0) => NLW_xbar_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid(1) => s01_couplers_to_xbar_BVALID(1),
s_axi_bvalid(0) => NLW_xbar_s_axi_bvalid_UNCONNECTED(0),
s_axi_rdata(63 downto 32) => NLW_xbar_s_axi_rdata_UNCONNECTED(63 downto 32),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rid(1 downto 0) => NLW_xbar_s_axi_rid_UNCONNECTED(1 downto 0),
s_axi_rlast(1) => NLW_xbar_s_axi_rlast_UNCONNECTED(1),
s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0),
s_axi_rready(1) => '0',
s_axi_rready(0) => s00_couplers_to_xbar_RREADY(0),
s_axi_rresp(3 downto 2) => NLW_xbar_s_axi_rresp_UNCONNECTED(3 downto 2),
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(1) => NLW_xbar_s_axi_rvalid_UNCONNECTED(1),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(63 downto 32) => s01_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000",
s_axi_wlast(1) => s01_couplers_to_xbar_WLAST(0),
s_axi_wlast(0) => '1',
s_axi_wready(1) => s01_couplers_to_xbar_WREADY(1),
s_axi_wready(0) => NLW_xbar_s_axi_wready_UNCONNECTED(0),
s_axi_wstrb(7 downto 4) => s01_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wstrb(3 downto 0) => B"1111",
s_axi_wvalid(1) => s01_couplers_to_xbar_WVALID(0),
s_axi_wvalid(0) => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_TEST_processing_system7_0_axi_periph_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_ACLK : in STD_LOGIC;
M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arready : out STD_LOGIC;
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awready : out STD_LOGIC;
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_bready : in STD_LOGIC;
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC;
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC
);
end design_TEST_processing_system7_0_axi_periph_0;
architecture STRUCTURE of design_TEST_processing_system7_0_axi_periph_0 is
component design_TEST_xbar_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end component design_TEST_xbar_0;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M01_ACLK_1 : STD_LOGIC;
signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_ACLK_net : STD_LOGIC;
signal processing_system7_0_axi_periph_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RLAST : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WLAST : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC;
signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWVALID : STD_LOGIC;
signal s00_couplers_to_xbar_BREADY : STD_LOGIC;
signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC;
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_WVALID : STD_LOGIC;
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_xbar_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M00_AXI_arvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0);
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M00_AXI_awvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0);
M00_AXI_bready(0) <= m00_couplers_to_processing_system7_0_axi_periph_BREADY(0);
M00_AXI_rready(0) <= m00_couplers_to_processing_system7_0_axi_periph_RREADY(0);
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M00_AXI_wvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_WVALID(0);
M01_ACLK_1 <= M01_ACLK;
M01_ARESETN_1(0) <= M01_ARESETN(0);
M01_AXI_araddr(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M01_AXI_arvalid(0) <= m01_couplers_to_processing_system7_0_axi_periph_ARVALID(0);
M01_AXI_awaddr(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M01_AXI_awvalid(0) <= m01_couplers_to_processing_system7_0_axi_periph_AWVALID(0);
M01_AXI_bready(0) <= m01_couplers_to_processing_system7_0_axi_periph_BREADY(0);
M01_AXI_rready(0) <= m01_couplers_to_processing_system7_0_axi_periph_RREADY(0);
M01_AXI_wdata(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M01_AXI_wvalid(0) <= m01_couplers_to_processing_system7_0_axi_periph_WVALID(0);
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready <= processing_system7_0_axi_periph_to_s00_couplers_ARREADY;
S00_AXI_awready <= processing_system7_0_axi_periph_to_s00_couplers_AWREADY;
S00_AXI_bid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0);
S00_AXI_bresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0);
S00_AXI_bvalid <= processing_system7_0_axi_periph_to_s00_couplers_BVALID;
S00_AXI_rdata(31 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0);
S00_AXI_rlast <= processing_system7_0_axi_periph_to_s00_couplers_RLAST;
S00_AXI_rresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid <= processing_system7_0_axi_periph_to_s00_couplers_RVALID;
S00_AXI_wready <= processing_system7_0_axi_periph_to_s00_couplers_WREADY;
m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M00_AXI_arready(0);
m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0) <= M00_AXI_awready(0);
m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_BVALID(0) <= M00_AXI_bvalid(0);
m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_RVALID(0) <= M00_AXI_rvalid(0);
m00_couplers_to_processing_system7_0_axi_periph_WREADY(0) <= M00_AXI_wready(0);
m01_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M01_AXI_arready(0);
m01_couplers_to_processing_system7_0_axi_periph_AWREADY(0) <= M01_AXI_awready(0);
m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_BVALID(0) <= M01_AXI_bvalid(0);
m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_RVALID(0) <= M01_AXI_rvalid(0);
m01_couplers_to_processing_system7_0_axi_periph_WREADY(0) <= M01_AXI_wready(0);
processing_system7_0_axi_periph_ACLK_net <= ACLK;
processing_system7_0_axi_periph_ARESETN_net(0) <= ARESETN(0);
processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0) <= S00_AXI_arid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0) <= S00_AXI_arlen(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0) <= S00_AXI_arlock(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARVALID <= S00_AXI_arvalid;
processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0) <= S00_AXI_awid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0) <= S00_AXI_awlen(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0) <= S00_AXI_awlock(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWVALID <= S00_AXI_awvalid;
processing_system7_0_axi_periph_to_s00_couplers_BREADY <= S00_AXI_bready;
processing_system7_0_axi_periph_to_s00_couplers_RREADY <= S00_AXI_rready;
processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0) <= S00_AXI_wid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WLAST <= S00_AXI_wlast;
processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WVALID <= S00_AXI_wvalid;
m00_couplers: entity work.m00_couplers_imp_23E6MH
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready(0) => m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0),
M_AXI_arvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0),
M_AXI_awaddr(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready(0) => m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0),
M_AXI_awvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0),
M_AXI_bready(0) => m00_couplers_to_processing_system7_0_axi_periph_BREADY(0),
M_AXI_bresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_BVALID(0),
M_AXI_rdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready(0) => m00_couplers_to_processing_system7_0_axi_periph_RREADY(0),
M_AXI_rresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_RVALID(0),
M_AXI_wdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready(0) => m00_couplers_to_processing_system7_0_axi_periph_WREADY(0),
M_AXI_wvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_WVALID(0),
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arready(0) => xbar_to_m00_couplers_ARREADY(0),
S_AXI_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awready(0) => xbar_to_m00_couplers_AWREADY(0),
S_AXI_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bready(0) => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rready(0) => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wready(0) => xbar_to_m00_couplers_WREADY(0),
S_AXI_wvalid(0) => xbar_to_m00_couplers_WVALID(0)
);
m01_couplers: entity work.m01_couplers_imp_169O6FR
port map (
M_ACLK => M01_ACLK_1,
M_ARESETN(0) => M01_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready(0) => m01_couplers_to_processing_system7_0_axi_periph_ARREADY(0),
M_AXI_arvalid(0) => m01_couplers_to_processing_system7_0_axi_periph_ARVALID(0),
M_AXI_awaddr(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready(0) => m01_couplers_to_processing_system7_0_axi_periph_AWREADY(0),
M_AXI_awvalid(0) => m01_couplers_to_processing_system7_0_axi_periph_AWVALID(0),
M_AXI_bready(0) => m01_couplers_to_processing_system7_0_axi_periph_BREADY(0),
M_AXI_bresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid(0) => m01_couplers_to_processing_system7_0_axi_periph_BVALID(0),
M_AXI_rdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready(0) => m01_couplers_to_processing_system7_0_axi_periph_RREADY(0),
M_AXI_rresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid(0) => m01_couplers_to_processing_system7_0_axi_periph_RVALID(0),
M_AXI_wdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready(0) => m01_couplers_to_processing_system7_0_axi_periph_WREADY(0),
M_AXI_wvalid(0) => m01_couplers_to_processing_system7_0_axi_periph_WVALID(0),
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m01_couplers_ARADDR(63 downto 32),
S_AXI_arready(0) => xbar_to_m01_couplers_ARREADY(0),
S_AXI_arvalid(0) => xbar_to_m01_couplers_ARVALID(1),
S_AXI_awaddr(31 downto 0) => xbar_to_m01_couplers_AWADDR(63 downto 32),
S_AXI_awready(0) => xbar_to_m01_couplers_AWREADY(0),
S_AXI_awvalid(0) => xbar_to_m01_couplers_AWVALID(1),
S_AXI_bready(0) => xbar_to_m01_couplers_BREADY(1),
S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => xbar_to_m01_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0),
S_AXI_rready(0) => xbar_to_m01_couplers_RREADY(1),
S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => xbar_to_m01_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32),
S_AXI_wready(0) => xbar_to_m01_couplers_WREADY(0),
S_AXI_wvalid(0) => xbar_to_m01_couplers_WVALID(1)
);
s00_couplers: entity work.s00_couplers_imp_1TCVZ15
port map (
M_ACLK => processing_system7_0_axi_periph_ACLK_net,
M_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arvalid => s00_couplers_to_xbar_ARVALID,
M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready => s00_couplers_to_xbar_AWREADY(0),
M_AXI_awvalid => s00_couplers_to_xbar_AWVALID,
M_AXI_bready => s00_couplers_to_xbar_BREADY,
M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rready => s00_couplers_to_xbar_RREADY,
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0),
M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wready => s00_couplers_to_xbar_WREADY(0),
M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid => s00_couplers_to_xbar_WVALID,
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0),
S_AXI_arlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0),
S_AXI_arlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0),
S_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0),
S_AXI_arready => processing_system7_0_axi_periph_to_s00_couplers_ARREADY,
S_AXI_arsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => processing_system7_0_axi_periph_to_s00_couplers_ARVALID,
S_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0),
S_AXI_awlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0),
S_AXI_awlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0),
S_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0),
S_AXI_awready => processing_system7_0_axi_periph_to_s00_couplers_AWREADY,
S_AXI_awsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => processing_system7_0_axi_periph_to_s00_couplers_AWVALID,
S_AXI_bid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0),
S_AXI_bready => processing_system7_0_axi_periph_to_s00_couplers_BREADY,
S_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => processing_system7_0_axi_periph_to_s00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0),
S_AXI_rlast => processing_system7_0_axi_periph_to_s00_couplers_RLAST,
S_AXI_rready => processing_system7_0_axi_periph_to_s00_couplers_RREADY,
S_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => processing_system7_0_axi_periph_to_s00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0),
S_AXI_wid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0),
S_AXI_wlast => processing_system7_0_axi_periph_to_s00_couplers_WLAST,
S_AXI_wready => processing_system7_0_axi_periph_to_s00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => processing_system7_0_axi_periph_to_s00_couplers_WVALID
);
xbar: component design_TEST_xbar_0
port map (
aclk => processing_system7_0_axi_periph_ACLK_net,
aresetn => processing_system7_0_axi_periph_ARESETN_net(0),
m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arprot(5 downto 0) => NLW_xbar_m_axi_arprot_UNCONNECTED(5 downto 0),
m_axi_arready(1) => xbar_to_m01_couplers_ARREADY(0),
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY(0),
m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awprot(5 downto 0) => NLW_xbar_m_axi_awprot_UNCONNECTED(5 downto 0),
m_axi_awready(1) => xbar_to_m01_couplers_AWREADY(0),
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY(0),
m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID(0),
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0),
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1),
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID(0),
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32),
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wready(1) => xbar_to_m01_couplers_WREADY(0),
m_axi_wready(0) => xbar_to_m00_couplers_WREADY(0),
m_axi_wstrb(7 downto 0) => NLW_xbar_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0),
s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID,
s_axi_bready(0) => s00_couplers_to_xbar_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rready(0) => s00_couplers_to_xbar_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0),
s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_TEST is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of design_TEST : entity is "design_TEST,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=design_TEST,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=16,numReposBlks=8,numNonXlnxBlks=0,numHierBlks=8,maxHierDepth=0,da_axi4_cnt=4,da_ps7_cnt=1,synth_mode=Global}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of design_TEST : entity is "design_TEST.hwdef";
end design_TEST;
architecture STRUCTURE of design_TEST is
component design_TEST_processing_system7_0_0 is
port (
SDIO0_WP : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end component design_TEST_processing_system7_0_0;
component design_TEST_axi_dma_0_0 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_mm2s_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_mm2s_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_arvalid : out STD_LOGIC;
m_axi_mm2s_arready : in STD_LOGIC;
m_axi_mm2s_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_rlast : in STD_LOGIC;
m_axi_mm2s_rvalid : in STD_LOGIC;
m_axi_mm2s_rready : out STD_LOGIC;
mm2s_prmry_reset_out_n : out STD_LOGIC;
m_axis_mm2s_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_mm2s_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_mm2s_tvalid : out STD_LOGIC;
m_axis_mm2s_tready : in STD_LOGIC;
m_axis_mm2s_tlast : out STD_LOGIC;
mm2s_introut : out STD_LOGIC
);
end component design_TEST_axi_dma_0_0;
component design_TEST_axi_dma_1_0 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_s2mm_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_s2mm_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awvalid : out STD_LOGIC;
m_axi_s2mm_awready : in STD_LOGIC;
m_axi_s2mm_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_wlast : out STD_LOGIC;
m_axi_s2mm_wvalid : out STD_LOGIC;
m_axi_s2mm_wready : in STD_LOGIC;
m_axi_s2mm_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_bvalid : in STD_LOGIC;
m_axi_s2mm_bready : out STD_LOGIC;
s2mm_prmry_reset_out_n : out STD_LOGIC;
s_axis_s2mm_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_s2mm_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_s2mm_tvalid : in STD_LOGIC;
s_axis_s2mm_tready : out STD_LOGIC;
s_axis_s2mm_tlast : in STD_LOGIC;
s2mm_introut : out STD_LOGIC
);
end component design_TEST_axi_dma_1_0;
component design_TEST_rst_processing_system7_0_100M_0 is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_TEST_rst_processing_system7_0_100M_0;
signal axi_dma_0_M_AXIS_MM2S_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_0_M_AXIS_MM2S_TKEEP : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_0_M_AXIS_MM2S_TLAST : STD_LOGIC;
signal axi_dma_0_M_AXIS_MM2S_TREADY : STD_LOGIC;
signal axi_dma_0_M_AXIS_MM2S_TVALID : STD_LOGIC;
signal axi_dma_0_M_AXI_MM2S_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_0_M_AXI_MM2S_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_0_M_AXI_MM2S_ARVALID : STD_LOGIC;
signal axi_dma_0_M_AXI_MM2S_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_0_M_AXI_MM2S_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_0_M_AXI_MM2S_RREADY : STD_LOGIC;
signal axi_dma_0_M_AXI_MM2S_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_0_M_AXI_MM2S_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_1_M_AXI_S2MM_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_1_M_AXI_S2MM_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWVALID : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_BREADY : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_1_M_AXI_S2MM_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_1_M_AXI_S2MM_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_1_M_AXI_S2MM_WLAST : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_1_M_AXI_S2MM_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_1_M_AXI_S2MM_WVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal axi_mem_intercon_M00_AXI_BREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_BVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal axi_mem_intercon_M00_AXI_RLAST : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_RVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_WID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_WLAST : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 );
signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_DDR_CAS_N : STD_LOGIC;
signal processing_system7_0_DDR_CKE : STD_LOGIC;
signal processing_system7_0_DDR_CK_N : STD_LOGIC;
signal processing_system7_0_DDR_CK_P : STD_LOGIC;
signal processing_system7_0_DDR_CS_N : STD_LOGIC;
signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_ODT : STD_LOGIC;
signal processing_system7_0_DDR_RAS_N : STD_LOGIC;
signal processing_system7_0_DDR_RESET_N : STD_LOGIC;
signal processing_system7_0_DDR_WE_N : STD_LOGIC;
signal processing_system7_0_FCLK_CLK0 : STD_LOGIC;
signal processing_system7_0_FCLK_RESET0_N : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC;
signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_BREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_BVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_RLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_RVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_WLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_processing_system7_0_100M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_processing_system7_0_100M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_axi_dma_0_mm2s_introut_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_0_mm2s_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_1_s2mm_introut_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_1_s2mm_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED : STD_LOGIC;
signal NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
begin
axi_dma_0: component design_TEST_axi_dma_0_0
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_mm2s_aclk => processing_system7_0_FCLK_CLK0,
m_axi_mm2s_araddr(31 downto 0) => axi_dma_0_M_AXI_MM2S_ARADDR(31 downto 0),
m_axi_mm2s_arburst(1 downto 0) => axi_dma_0_M_AXI_MM2S_ARBURST(1 downto 0),
m_axi_mm2s_arcache(3 downto 0) => axi_dma_0_M_AXI_MM2S_ARCACHE(3 downto 0),
m_axi_mm2s_arlen(7 downto 0) => axi_dma_0_M_AXI_MM2S_ARLEN(7 downto 0),
m_axi_mm2s_arprot(2 downto 0) => axi_dma_0_M_AXI_MM2S_ARPROT(2 downto 0),
m_axi_mm2s_arready => axi_dma_0_M_AXI_MM2S_ARREADY(0),
m_axi_mm2s_arsize(2 downto 0) => axi_dma_0_M_AXI_MM2S_ARSIZE(2 downto 0),
m_axi_mm2s_arvalid => axi_dma_0_M_AXI_MM2S_ARVALID,
m_axi_mm2s_rdata(31 downto 0) => axi_dma_0_M_AXI_MM2S_RDATA(31 downto 0),
m_axi_mm2s_rlast => axi_dma_0_M_AXI_MM2S_RLAST(0),
m_axi_mm2s_rready => axi_dma_0_M_AXI_MM2S_RREADY,
m_axi_mm2s_rresp(1 downto 0) => axi_dma_0_M_AXI_MM2S_RRESP(1 downto 0),
m_axi_mm2s_rvalid => axi_dma_0_M_AXI_MM2S_RVALID(0),
m_axis_mm2s_tdata(31 downto 0) => axi_dma_0_M_AXIS_MM2S_TDATA(31 downto 0),
m_axis_mm2s_tkeep(3 downto 0) => axi_dma_0_M_AXIS_MM2S_TKEEP(3 downto 0),
m_axis_mm2s_tlast => axi_dma_0_M_AXIS_MM2S_TLAST,
m_axis_mm2s_tready => axi_dma_0_M_AXIS_MM2S_TREADY,
m_axis_mm2s_tvalid => axi_dma_0_M_AXIS_MM2S_TVALID,
mm2s_introut => NLW_axi_dma_0_mm2s_introut_UNCONNECTED,
mm2s_prmry_reset_out_n => NLW_axi_dma_0_mm2s_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M00_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M00_AXI_ARVALID(0),
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M00_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M00_AXI_AWVALID(0),
s_axi_lite_bready => processing_system7_0_axi_periph_M00_AXI_BREADY(0),
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M00_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M00_AXI_RREADY(0),
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M00_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M00_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M00_AXI_WVALID(0)
);
axi_dma_1: component design_TEST_axi_dma_1_0
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_s2mm_aclk => processing_system7_0_FCLK_CLK0,
m_axi_s2mm_awaddr(31 downto 0) => axi_dma_1_M_AXI_S2MM_AWADDR(31 downto 0),
m_axi_s2mm_awburst(1 downto 0) => axi_dma_1_M_AXI_S2MM_AWBURST(1 downto 0),
m_axi_s2mm_awcache(3 downto 0) => axi_dma_1_M_AXI_S2MM_AWCACHE(3 downto 0),
m_axi_s2mm_awlen(7 downto 0) => axi_dma_1_M_AXI_S2MM_AWLEN(7 downto 0),
m_axi_s2mm_awprot(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWPROT(2 downto 0),
m_axi_s2mm_awready => axi_dma_1_M_AXI_S2MM_AWREADY(0),
m_axi_s2mm_awsize(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWSIZE(2 downto 0),
m_axi_s2mm_awvalid => axi_dma_1_M_AXI_S2MM_AWVALID,
m_axi_s2mm_bready => axi_dma_1_M_AXI_S2MM_BREADY,
m_axi_s2mm_bresp(1 downto 0) => axi_dma_1_M_AXI_S2MM_BRESP(1 downto 0),
m_axi_s2mm_bvalid => axi_dma_1_M_AXI_S2MM_BVALID(0),
m_axi_s2mm_wdata(31 downto 0) => axi_dma_1_M_AXI_S2MM_WDATA(31 downto 0),
m_axi_s2mm_wlast => axi_dma_1_M_AXI_S2MM_WLAST,
m_axi_s2mm_wready => axi_dma_1_M_AXI_S2MM_WREADY(0),
m_axi_s2mm_wstrb(3 downto 0) => axi_dma_1_M_AXI_S2MM_WSTRB(3 downto 0),
m_axi_s2mm_wvalid => axi_dma_1_M_AXI_S2MM_WVALID,
s2mm_introut => NLW_axi_dma_1_s2mm_introut_UNCONNECTED,
s2mm_prmry_reset_out_n => NLW_axi_dma_1_s2mm_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M01_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M01_AXI_ARVALID(0),
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M01_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M01_AXI_AWVALID(0),
s_axi_lite_bready => processing_system7_0_axi_periph_M01_AXI_BREADY(0),
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M01_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M01_AXI_RREADY(0),
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M01_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M01_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID(0),
s_axis_s2mm_tdata(31 downto 0) => axi_dma_0_M_AXIS_MM2S_TDATA(31 downto 0),
s_axis_s2mm_tkeep(3 downto 0) => axi_dma_0_M_AXIS_MM2S_TKEEP(3 downto 0),
s_axis_s2mm_tlast => axi_dma_0_M_AXIS_MM2S_TLAST,
s_axis_s2mm_tready => axi_dma_0_M_AXIS_MM2S_TREADY,
s_axis_s2mm_tvalid => axi_dma_0_M_AXIS_MM2S_TVALID
);
axi_mem_intercon: entity work.design_TEST_axi_mem_intercon_0
port map (
ACLK => processing_system7_0_FCLK_CLK0,
ARESETN(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
M00_ACLK => processing_system7_0_FCLK_CLK0,
M00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arburst(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
M00_AXI_arcache(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
M00_AXI_arid(0) => axi_mem_intercon_M00_AXI_ARID(0),
M00_AXI_arlen(3 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(3 downto 0),
M00_AXI_arlock(1 downto 0) => axi_mem_intercon_M00_AXI_ARLOCK(1 downto 0),
M00_AXI_arprot(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
M00_AXI_arqos(3 downto 0) => axi_mem_intercon_M00_AXI_ARQOS(3 downto 0),
M00_AXI_arready => axi_mem_intercon_M00_AXI_ARREADY,
M00_AXI_arsize(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
M00_AXI_arvalid => axi_mem_intercon_M00_AXI_ARVALID,
M00_AXI_awaddr(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awburst(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
M00_AXI_awcache(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
M00_AXI_awid(0) => axi_mem_intercon_M00_AXI_AWID(0),
M00_AXI_awlen(3 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(3 downto 0),
M00_AXI_awlock(1 downto 0) => axi_mem_intercon_M00_AXI_AWLOCK(1 downto 0),
M00_AXI_awprot(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
M00_AXI_awqos(3 downto 0) => axi_mem_intercon_M00_AXI_AWQOS(3 downto 0),
M00_AXI_awready => axi_mem_intercon_M00_AXI_AWREADY,
M00_AXI_awsize(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
M00_AXI_awvalid => axi_mem_intercon_M00_AXI_AWVALID,
M00_AXI_bid(5 downto 0) => axi_mem_intercon_M00_AXI_BID(5 downto 0),
M00_AXI_bready => axi_mem_intercon_M00_AXI_BREADY,
M00_AXI_bresp(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid => axi_mem_intercon_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
M00_AXI_rid(5 downto 0) => axi_mem_intercon_M00_AXI_RID(5 downto 0),
M00_AXI_rlast => axi_mem_intercon_M00_AXI_RLAST,
M00_AXI_rready => axi_mem_intercon_M00_AXI_RREADY,
M00_AXI_rresp(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid => axi_mem_intercon_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
M00_AXI_wid(0) => axi_mem_intercon_M00_AXI_WID(0),
M00_AXI_wlast => axi_mem_intercon_M00_AXI_WLAST,
M00_AXI_wready => axi_mem_intercon_M00_AXI_WREADY,
M00_AXI_wstrb(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
M00_AXI_wvalid => axi_mem_intercon_M00_AXI_WVALID,
S00_ACLK => processing_system7_0_FCLK_CLK0,
S00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => axi_dma_0_M_AXI_MM2S_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => axi_dma_0_M_AXI_MM2S_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => axi_dma_0_M_AXI_MM2S_ARCACHE(3 downto 0),
S00_AXI_arlen(7 downto 0) => axi_dma_0_M_AXI_MM2S_ARLEN(7 downto 0),
S00_AXI_arprot(2 downto 0) => axi_dma_0_M_AXI_MM2S_ARPROT(2 downto 0),
S00_AXI_arready(0) => axi_dma_0_M_AXI_MM2S_ARREADY(0),
S00_AXI_arsize(2 downto 0) => axi_dma_0_M_AXI_MM2S_ARSIZE(2 downto 0),
S00_AXI_arvalid(0) => axi_dma_0_M_AXI_MM2S_ARVALID,
S00_AXI_rdata(31 downto 0) => axi_dma_0_M_AXI_MM2S_RDATA(31 downto 0),
S00_AXI_rlast(0) => axi_dma_0_M_AXI_MM2S_RLAST(0),
S00_AXI_rready(0) => axi_dma_0_M_AXI_MM2S_RREADY,
S00_AXI_rresp(1 downto 0) => axi_dma_0_M_AXI_MM2S_RRESP(1 downto 0),
S00_AXI_rvalid(0) => axi_dma_0_M_AXI_MM2S_RVALID(0),
S01_ACLK => processing_system7_0_FCLK_CLK0,
S01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S01_AXI_awaddr(31 downto 0) => axi_dma_1_M_AXI_S2MM_AWADDR(31 downto 0),
S01_AXI_awburst(1 downto 0) => axi_dma_1_M_AXI_S2MM_AWBURST(1 downto 0),
S01_AXI_awcache(3 downto 0) => axi_dma_1_M_AXI_S2MM_AWCACHE(3 downto 0),
S01_AXI_awlen(7 downto 0) => axi_dma_1_M_AXI_S2MM_AWLEN(7 downto 0),
S01_AXI_awprot(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWPROT(2 downto 0),
S01_AXI_awready(0) => axi_dma_1_M_AXI_S2MM_AWREADY(0),
S01_AXI_awsize(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWSIZE(2 downto 0),
S01_AXI_awvalid(0) => axi_dma_1_M_AXI_S2MM_AWVALID,
S01_AXI_bready(0) => axi_dma_1_M_AXI_S2MM_BREADY,
S01_AXI_bresp(1 downto 0) => axi_dma_1_M_AXI_S2MM_BRESP(1 downto 0),
S01_AXI_bvalid(0) => axi_dma_1_M_AXI_S2MM_BVALID(0),
S01_AXI_wdata(31 downto 0) => axi_dma_1_M_AXI_S2MM_WDATA(31 downto 0),
S01_AXI_wlast(0) => axi_dma_1_M_AXI_S2MM_WLAST,
S01_AXI_wready(0) => axi_dma_1_M_AXI_S2MM_WREADY(0),
S01_AXI_wstrb(3 downto 0) => axi_dma_1_M_AXI_S2MM_WSTRB(3 downto 0),
S01_AXI_wvalid(0) => axi_dma_1_M_AXI_S2MM_WVALID
);
processing_system7_0: component design_TEST_processing_system7_0_0
port map (
DDR_Addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0),
DDR_CAS_n => DDR_cas_n,
DDR_CKE => DDR_cke,
DDR_CS_n => DDR_cs_n,
DDR_Clk => DDR_ck_p,
DDR_Clk_n => DDR_ck_n,
DDR_DM(3 downto 0) => DDR_dm(3 downto 0),
DDR_DQ(31 downto 0) => DDR_dq(31 downto 0),
DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_DRSTB => DDR_reset_n,
DDR_ODT => DDR_odt,
DDR_RAS_n => DDR_ras_n,
DDR_VRN => FIXED_IO_ddr_vrn,
DDR_VRP => FIXED_IO_ddr_vrp,
DDR_WEB => DDR_we_n,
FCLK_CLK0 => processing_system7_0_FCLK_CLK0,
FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N,
IRQ_F2P(0) => '0',
MIO(53 downto 0) => FIXED_IO_mio(53 downto 0),
M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0,
M_AXI_GP0_ARADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARID(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => processing_system7_0_M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => processing_system7_0_M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => processing_system7_0_M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => processing_system7_0_M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => processing_system7_0_M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => processing_system7_0_M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => processing_system7_0_M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => processing_system7_0_M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => processing_system7_0_M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => processing_system7_0_M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => processing_system7_0_M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => processing_system7_0_M_AXI_GP0_WVALID,
PS_CLK => FIXED_IO_ps_clk,
PS_PORB => FIXED_IO_ps_porb,
PS_SRSTB => FIXED_IO_ps_srstb,
SDIO0_WP => '0',
S_AXI_HP0_ACLK => processing_system7_0_FCLK_CLK0,
S_AXI_HP0_ARADDR(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
S_AXI_HP0_ARBURST(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
S_AXI_HP0_ARCACHE(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
S_AXI_HP0_ARID(5 downto 1) => B"00000",
S_AXI_HP0_ARID(0) => axi_mem_intercon_M00_AXI_ARID(0),
S_AXI_HP0_ARLEN(3 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(3 downto 0),
S_AXI_HP0_ARLOCK(1 downto 0) => axi_mem_intercon_M00_AXI_ARLOCK(1 downto 0),
S_AXI_HP0_ARPROT(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
S_AXI_HP0_ARQOS(3 downto 0) => axi_mem_intercon_M00_AXI_ARQOS(3 downto 0),
S_AXI_HP0_ARREADY => axi_mem_intercon_M00_AXI_ARREADY,
S_AXI_HP0_ARSIZE(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
S_AXI_HP0_ARVALID => axi_mem_intercon_M00_AXI_ARVALID,
S_AXI_HP0_AWADDR(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
S_AXI_HP0_AWBURST(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
S_AXI_HP0_AWCACHE(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
S_AXI_HP0_AWID(5 downto 1) => B"00000",
S_AXI_HP0_AWID(0) => axi_mem_intercon_M00_AXI_AWID(0),
S_AXI_HP0_AWLEN(3 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(3 downto 0),
S_AXI_HP0_AWLOCK(1 downto 0) => axi_mem_intercon_M00_AXI_AWLOCK(1 downto 0),
S_AXI_HP0_AWPROT(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
S_AXI_HP0_AWQOS(3 downto 0) => axi_mem_intercon_M00_AXI_AWQOS(3 downto 0),
S_AXI_HP0_AWREADY => axi_mem_intercon_M00_AXI_AWREADY,
S_AXI_HP0_AWSIZE(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
S_AXI_HP0_AWVALID => axi_mem_intercon_M00_AXI_AWVALID,
S_AXI_HP0_BID(5 downto 0) => axi_mem_intercon_M00_AXI_BID(5 downto 0),
S_AXI_HP0_BREADY => axi_mem_intercon_M00_AXI_BREADY,
S_AXI_HP0_BRESP(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
S_AXI_HP0_BVALID => axi_mem_intercon_M00_AXI_BVALID,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_processing_system7_0_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_processing_system7_0_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => axi_mem_intercon_M00_AXI_RID(5 downto 0),
S_AXI_HP0_RLAST => axi_mem_intercon_M00_AXI_RLAST,
S_AXI_HP0_RREADY => axi_mem_intercon_M00_AXI_RREADY,
S_AXI_HP0_RRESP(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
S_AXI_HP0_RVALID => axi_mem_intercon_M00_AXI_RVALID,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_processing_system7_0_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_processing_system7_0_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
S_AXI_HP0_WID(5 downto 1) => B"00000",
S_AXI_HP0_WID(0) => axi_mem_intercon_M00_AXI_WID(0),
S_AXI_HP0_WLAST => axi_mem_intercon_M00_AXI_WLAST,
S_AXI_HP0_WREADY => axi_mem_intercon_M00_AXI_WREADY,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
S_AXI_HP0_WVALID => axi_mem_intercon_M00_AXI_WVALID,
TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED
);
processing_system7_0_axi_periph: entity work.design_TEST_processing_system7_0_axi_periph_0
port map (
ACLK => processing_system7_0_FCLK_CLK0,
ARESETN(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
M00_ACLK => processing_system7_0_FCLK_CLK0,
M00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arready(0) => processing_system7_0_axi_periph_M00_AXI_ARREADY,
M00_AXI_arvalid(0) => processing_system7_0_axi_periph_M00_AXI_ARVALID(0),
M00_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awready(0) => processing_system7_0_axi_periph_M00_AXI_AWREADY,
M00_AXI_awvalid(0) => processing_system7_0_axi_periph_M00_AXI_AWVALID(0),
M00_AXI_bready(0) => processing_system7_0_axi_periph_M00_AXI_BREADY(0),
M00_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid(0) => processing_system7_0_axi_periph_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
M00_AXI_rready(0) => processing_system7_0_axi_periph_M00_AXI_RREADY(0),
M00_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid(0) => processing_system7_0_axi_periph_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
M00_AXI_wready(0) => processing_system7_0_axi_periph_M00_AXI_WREADY,
M00_AXI_wvalid(0) => processing_system7_0_axi_periph_M00_AXI_WVALID(0),
M01_ACLK => processing_system7_0_FCLK_CLK0,
M01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M01_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(31 downto 0),
M01_AXI_arready(0) => processing_system7_0_axi_periph_M01_AXI_ARREADY,
M01_AXI_arvalid(0) => processing_system7_0_axi_periph_M01_AXI_ARVALID(0),
M01_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(31 downto 0),
M01_AXI_awready(0) => processing_system7_0_axi_periph_M01_AXI_AWREADY,
M01_AXI_awvalid(0) => processing_system7_0_axi_periph_M01_AXI_AWVALID(0),
M01_AXI_bready(0) => processing_system7_0_axi_periph_M01_AXI_BREADY(0),
M01_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
M01_AXI_bvalid(0) => processing_system7_0_axi_periph_M01_AXI_BVALID,
M01_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
M01_AXI_rready(0) => processing_system7_0_axi_periph_M01_AXI_RREADY(0),
M01_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
M01_AXI_rvalid(0) => processing_system7_0_axi_periph_M01_AXI_RVALID,
M01_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
M01_AXI_wready(0) => processing_system7_0_axi_periph_M01_AXI_WREADY,
M01_AXI_wvalid(0) => processing_system7_0_axi_periph_M01_AXI_WVALID(0),
S00_ACLK => processing_system7_0_FCLK_CLK0,
S00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
S00_AXI_arid(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
S00_AXI_arlen(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
S00_AXI_arlock(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
S00_AXI_arprot(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
S00_AXI_arqos(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
S00_AXI_arready => processing_system7_0_M_AXI_GP0_ARREADY,
S00_AXI_arsize(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
S00_AXI_arvalid => processing_system7_0_M_AXI_GP0_ARVALID,
S00_AXI_awaddr(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
S00_AXI_awburst(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
S00_AXI_awcache(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
S00_AXI_awid(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
S00_AXI_awlen(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
S00_AXI_awlock(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
S00_AXI_awprot(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
S00_AXI_awqos(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
S00_AXI_awready => processing_system7_0_M_AXI_GP0_AWREADY,
S00_AXI_awsize(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
S00_AXI_awvalid => processing_system7_0_M_AXI_GP0_AWVALID,
S00_AXI_bid(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
S00_AXI_bready => processing_system7_0_M_AXI_GP0_BREADY,
S00_AXI_bresp(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
S00_AXI_bvalid => processing_system7_0_M_AXI_GP0_BVALID,
S00_AXI_rdata(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
S00_AXI_rid(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
S00_AXI_rlast => processing_system7_0_M_AXI_GP0_RLAST,
S00_AXI_rready => processing_system7_0_M_AXI_GP0_RREADY,
S00_AXI_rresp(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
S00_AXI_rvalid => processing_system7_0_M_AXI_GP0_RVALID,
S00_AXI_wdata(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
S00_AXI_wid(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
S00_AXI_wlast => processing_system7_0_M_AXI_GP0_WLAST,
S00_AXI_wready => processing_system7_0_M_AXI_GP0_WREADY,
S00_AXI_wstrb(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
S00_AXI_wvalid => processing_system7_0_M_AXI_GP0_WVALID
);
rst_processing_system7_0_100M: component design_TEST_rst_processing_system7_0_100M_0
port map (
aux_reset_in => '1',
bus_struct_reset(0) => NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED(0),
dcm_locked => '1',
ext_reset_in => processing_system7_0_FCLK_RESET0_N,
interconnect_aresetn(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
mb_debug_sys_rst => '0',
mb_reset => NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED,
peripheral_aresetn(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
peripheral_reset(0) => NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED(0),
slowest_sync_clk => processing_system7_0_FCLK_CLK0
);
end STRUCTURE;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fmul_2_max_dsp_32/xbip_dsp48_addsub_v3_0_1/hdl/xbip_dsp48_addsub_v3_0.vhd
|
24
|
10812
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qQi3Y4JwXl7Wn1bhw/jkWXomzcSGtpscU8oJ2LP5BaQ4u6xazRA/mCI7R7F7nM8pFppzcZaDXNDE
awD47nPbZg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XEx6ZQwv4Vw0EbtXfrnFwRRFXeTMxOSVFFjSp4WS2rNJPGaN9nwYF1MaeUImPm4WplW12OharfDq
Bd4u1MUCQQngaNAVq+qRFAvic1cEd9UAgV4uPUwUSymN6YFqFEFkBe61gVOGTL52kYCmFP5vOloO
dikNZ7RmkwcL7Ou/YYw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UywbMvtnenvwrN54J6TLUnt5D0ugRYGbxGf5WbHCK4A1QpEpAfm4/GMahChLWJyd4co3Sz7iyKnH
pF9fGrDxABF6+XgD+gYwW23LAy4Oeb9L0L1aN751j4eBb+SD/nc7Bvs8/PkG8AEiUh9nBX5X8YRG
y6Rb3Rd/oLAqNh8W+hPkvGvBFD04EpmUO8rwABNMEgzx5Xy8UXIXF2/AM7g88q21LLpqxJfNMKwc
6gETTRFn2W/DccvMkQI7J7x9xQ6JV6mIj8jQumxc8qNgDnzszgiyVxNRBCbBRnwlMks8aj9jaN+c
Q9ZuNT/eHVXIa0PtrhWx3BPMD5whsOfdpvUEtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IBlJOJ+ArISdfMfaRPeRQ6J3iITR2w063jCi0nfoo8xkZyikCIgC9XnNEXDlcFlFoTYVTWN/pOxk
4QfAUNIYHfGqxHDX5K+igT3JRGAbHW05TeT9Sz1Tz76BTL6nuYHgWbYb3HeB+sQkWjFalZjk90K9
XOlFLMcGKo/KZGkFFlU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UEgDruV4ZmeVXnBh8PLE5PuwbRj5lmOC7K+F+X4e7otBgmXMWFiIeN9GvRB5AtCI3/1G6zC73gYH
FO1FlbS2tVmG3nSzVkxcIbEL+1KFosqyivHaeWvPOnefymg/10sYhtvZO5E9oVciuYijzF2w37f1
+4YL/FQqMk/yNEOV2k/YRjnqc95iWqQ5vwJ7EAAYrnHnFINWKUvk3N1gH1DwIaBkwK3QG8wkmtAX
tNp4c+AqLhfwpZ56BFnB83iDMJP8wmqSaW72Ckgh0dX309k5OA2Zw6uWUoRzYaEgJQgRL7ARYuPh
p4NJLaGXoIG5duhfCAO8zu+TZ49OCwwXulwm7w==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dzk2duxGRZEP8sfvf9eFwJMWv7R8u0eFXVvb80PbacYDJR7yK9uh327PG+jja/aceEUlDK9iE6LC
gHnAhFB+s2L7gbIN5CB2gJ0O/y7NGTy9/CsMTLlUlECbh3egIAKJ4XZKfIxn7KP1Sb+n2k7aQe6H
FXUgDSit0mOXHhQbzQUUynd81PYcQDMSRTrNLn6L7GsMV/N4KrCegZhOpHfzOVEhHkkMpIWSGBt4
0gsZSXY4FhbaybuBSsYhSiZIPMLy3FxEgJQeZbHMHTyJaibx6UrTayI4VnRP4BA2lHpY4yqhwdrI
qYhnt3+HhAvJgqexSmEUJ4YIMZSxSGHLYZZeHg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5872)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_files/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0.vhd
|
24
|
10812
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qQi3Y4JwXl7Wn1bhw/jkWXomzcSGtpscU8oJ2LP5BaQ4u6xazRA/mCI7R7F7nM8pFppzcZaDXNDE
awD47nPbZg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XEx6ZQwv4Vw0EbtXfrnFwRRFXeTMxOSVFFjSp4WS2rNJPGaN9nwYF1MaeUImPm4WplW12OharfDq
Bd4u1MUCQQngaNAVq+qRFAvic1cEd9UAgV4uPUwUSymN6YFqFEFkBe61gVOGTL52kYCmFP5vOloO
dikNZ7RmkwcL7Ou/YYw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UywbMvtnenvwrN54J6TLUnt5D0ugRYGbxGf5WbHCK4A1QpEpAfm4/GMahChLWJyd4co3Sz7iyKnH
pF9fGrDxABF6+XgD+gYwW23LAy4Oeb9L0L1aN751j4eBb+SD/nc7Bvs8/PkG8AEiUh9nBX5X8YRG
y6Rb3Rd/oLAqNh8W+hPkvGvBFD04EpmUO8rwABNMEgzx5Xy8UXIXF2/AM7g88q21LLpqxJfNMKwc
6gETTRFn2W/DccvMkQI7J7x9xQ6JV6mIj8jQumxc8qNgDnzszgiyVxNRBCbBRnwlMks8aj9jaN+c
Q9ZuNT/eHVXIa0PtrhWx3BPMD5whsOfdpvUEtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IBlJOJ+ArISdfMfaRPeRQ6J3iITR2w063jCi0nfoo8xkZyikCIgC9XnNEXDlcFlFoTYVTWN/pOxk
4QfAUNIYHfGqxHDX5K+igT3JRGAbHW05TeT9Sz1Tz76BTL6nuYHgWbYb3HeB+sQkWjFalZjk90K9
XOlFLMcGKo/KZGkFFlU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UEgDruV4ZmeVXnBh8PLE5PuwbRj5lmOC7K+F+X4e7otBgmXMWFiIeN9GvRB5AtCI3/1G6zC73gYH
FO1FlbS2tVmG3nSzVkxcIbEL+1KFosqyivHaeWvPOnefymg/10sYhtvZO5E9oVciuYijzF2w37f1
+4YL/FQqMk/yNEOV2k/YRjnqc95iWqQ5vwJ7EAAYrnHnFINWKUvk3N1gH1DwIaBkwK3QG8wkmtAX
tNp4c+AqLhfwpZ56BFnB83iDMJP8wmqSaW72Ckgh0dX309k5OA2Zw6uWUoRzYaEgJQgRL7ARYuPh
p4NJLaGXoIG5duhfCAO8zu+TZ49OCwwXulwm7w==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dzk2duxGRZEP8sfvf9eFwJMWv7R8u0eFXVvb80PbacYDJR7yK9uh327PG+jja/aceEUlDK9iE6LC
gHnAhFB+s2L7gbIN5CB2gJ0O/y7NGTy9/CsMTLlUlECbh3egIAKJ4XZKfIxn7KP1Sb+n2k7aQe6H
FXUgDSit0mOXHhQbzQUUynd81PYcQDMSRTrNLn6L7GsMV/N4KrCegZhOpHfzOVEhHkkMpIWSGBt4
0gsZSXY4FhbaybuBSsYhSiZIPMLy3FxEgJQeZbHMHTyJaibx6UrTayI4VnRP4BA2lHpY4yqhwdrI
qYhnt3+HhAvJgqexSmEUJ4YIMZSxSGHLYZZeHg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5872)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_fifo.vhd
|
4
|
24997
|
-------------------------------------------------------------------------------
-- axi_datamover_fifo.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_fifo.vhd
-- Version: initial
-- Description:
-- This file is a wrapper file for the Synchronous FIFO used by the DataMover.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library axi_datamover_v5_1_9;
use axi_datamover_v5_1_9.axi_datamover_sfifo_autord;
use axi_datamover_v5_1_9.axi_datamover_afifo_autord;
-------------------------------------------------------------------------------
entity axi_datamover_fifo is
generic (
C_DWIDTH : integer := 32 ;
-- Bit width of the FIFO
C_DEPTH : integer := 4 ;
-- Depth of the fifo in fifo width words
C_IS_ASYNC : Integer range 0 to 1 := 0 ;
-- 0 = Syncronous FIFO
-- 1 = Asynchronous (2 clock) FIFO
C_PRIM_TYPE : Integer range 0 to 2 := 2 ;
-- 0 = Register
-- 1 = Block Memory
-- 2 = SRL
C_FAMILY : String := "virtex7"
-- Specifies the Target FPGA device family
);
port (
-- Write Clock and reset -----------------
fifo_wr_reset : In std_logic; --
fifo_wr_clk : In std_logic; --
------------------------------------------
-- Write Side ------------------------------------------------------
fifo_wr_tvalid : In std_logic; --
fifo_wr_tready : Out std_logic; --
fifo_wr_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
fifo_wr_full : Out std_logic; --
--------------------------------------------------------------------
-- Read Clock and reset -----------------------------------------------
fifo_async_rd_reset : In std_logic; -- only used if C_IS_ASYNC = 1 --
fifo_async_rd_clk : In std_logic; -- only used if C_IS_ASYNC = 1 --
-----------------------------------------------------------------------
-- Read Side --------------------------------------------------------
fifo_rd_tvalid : Out std_logic; --
fifo_rd_tready : In std_logic; --
fifo_rd_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
fifo_rd_empty : Out std_logic --
---------------------------------------------------------------------
);
end entity axi_datamover_fifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of axi_datamover_fifo is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-- function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_prim_type
--
-- Function Description:
-- Sorts out the FIFO Primitive type selection based on fifo
-- depth and original primitive choice.
--
-------------------------------------------------------------------
function funct_get_prim_type (depth : integer;
input_prim_type : integer) return integer is
Variable temp_prim_type : Integer := 0;
begin
If (depth > 64) Then
temp_prim_type := 1; -- use BRAM
Elsif (depth <= 64 and
input_prim_type = 0) Then
temp_prim_type := 0; -- use regiaters
else
temp_prim_type := 1; -- use BRAM
End if;
Return (temp_prim_type);
end function funct_get_prim_type;
-- Signal declarations
Signal sig_init_reg : std_logic := '0';
Signal sig_init_reg2 : std_logic := '0';
Signal sig_init_done : std_logic := '0';
signal sig_inhibit_rdy_n : std_logic := '0';
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_INIT_REG
--
-- Process Description:
-- Registers the reset signal input.
--
-------------------------------------------------------------
IMP_INIT_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_init_reg <= '1';
sig_init_reg2 <= '1';
else
sig_init_reg <= '0';
sig_init_reg2 <= sig_init_reg;
end if;
end if;
end process IMP_INIT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_INIT_DONE_REG
--
-- Process Description:
-- Create a 1 clock wide init done pulse.
--
-------------------------------------------------------------
IMP_INIT_DONE_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1' or
sig_init_done = '1') then
sig_init_done <= '0';
Elsif (sig_init_reg = '1' and
sig_init_reg2 = '1') Then
sig_init_done <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_INIT_DONE_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RDY_INHIBIT_REG
--
-- Process Description:
-- Implements a ready inhibit flop.
--
-------------------------------------------------------------
IMP_RDY_INHIBIT_REG : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_inhibit_rdy_n <= '0';
Elsif (sig_init_done = '1') Then
sig_inhibit_rdy_n <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_RDY_INHIBIT_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SINGLE_REG
--
-- If Generate Description:
-- Implements a 1 deep register FIFO (synchronous mode only)
--
--
------------------------------------------------------------
USE_SINGLE_REG : if (C_IS_ASYNC = 0 and
C_DEPTH <= 1) generate
-- Local Constants
-- local signals
signal sig_data_in : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_regfifo_dout_reg : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_regfifo_full_reg : std_logic := '0';
signal sig_regfifo_empty_reg : std_logic := '0';
signal sig_push_regfifo : std_logic := '0';
signal sig_pop_regfifo : std_logic := '0';
begin
-- Internal signals
-- Write signals
fifo_wr_tready <= sig_regfifo_empty_reg;
fifo_wr_full <= sig_regfifo_full_reg ;
sig_push_regfifo <= fifo_wr_tvalid and
sig_regfifo_empty_reg;
sig_data_in <= fifo_wr_tdata ;
-- Read signals
fifo_rd_tdata <= sig_regfifo_dout_reg ;
fifo_rd_tvalid <= sig_regfifo_full_reg ;
fifo_rd_empty <= sig_regfifo_empty_reg;
sig_pop_regfifo <= sig_regfifo_full_reg and
fifo_rd_tready;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_REG_FIFO
--
-- Process Description:
-- This process implements the data and full flag for the
-- register fifo.
--
-------------------------------------------------------------
IMP_REG_FIFO : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1' or
sig_pop_regfifo = '1') then
sig_regfifo_full_reg <= '0';
elsif (sig_push_regfifo = '1') then
sig_regfifo_full_reg <= '1';
else
null; -- don't change state
end if;
end if;
end process IMP_REG_FIFO;
IMP_REG_FIFO1 : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_regfifo_dout_reg <= (others => '0');
elsif (sig_push_regfifo = '1') then
sig_regfifo_dout_reg <= sig_data_in;
else
null; -- don't change state
end if;
end if;
end process IMP_REG_FIFO1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_REG_EMPTY_FLOP
--
-- Process Description:
-- This process implements the empty flag for the
-- register fifo.
--
-------------------------------------------------------------
IMP_REG_EMPTY_FLOP : process (fifo_wr_clk)
begin
if (fifo_wr_clk'event and fifo_wr_clk = '1') then
if (fifo_wr_reset = '1') then
sig_regfifo_empty_reg <= '0'; -- since this is used for the ready (invertd)
-- it can't be asserted during reset
elsif (sig_pop_regfifo = '1' or
sig_init_done = '1') then
sig_regfifo_empty_reg <= '1';
elsif (sig_push_regfifo = '1') then
sig_regfifo_empty_reg <= '0';
else
null; -- don't change state
end if;
end if;
end process IMP_REG_EMPTY_FLOP;
end generate USE_SINGLE_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SRL_FIFO
--
-- If Generate Description:
-- Generates a fifo implementation usinf SRL based FIFOa
--
--
------------------------------------------------------------
USE_SRL_FIFO : if (C_IS_ASYNC = 0 and
C_DEPTH <= 64 and
C_DEPTH > 1 and
C_PRIM_TYPE = 2 ) generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant NEED_ALMOST_EMPTY : Integer := 0;
Constant NEED_ALMOST_FULL : Integer := 0;
-- local signals
signal sig_wr_full : std_logic := '0';
signal sig_wr_fifo : std_logic := '0';
signal sig_wr_ready : std_logic := '0';
signal sig_rd_fifo : std_logic := '0';
signal sig_rd_empty : std_logic := '0';
signal sig_rd_valid : std_logic := '0';
signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
begin
-- Write side signals
fifo_wr_tready <= sig_wr_ready;
fifo_wr_full <= sig_wr_full;
sig_wr_ready <= not(sig_wr_full) and
sig_inhibit_rdy_n;
sig_wr_fifo <= fifo_wr_tvalid and
sig_wr_ready;
sig_fifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_rd_valid;
sig_rd_valid <= not(sig_rd_empty);
fifo_rd_tdata <= sig_fifo_rd_data ;
fifo_rd_empty <= not(sig_rd_valid);
sig_rd_fifo <= sig_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_SYNC_FIFO
--
-- Description:
-- Implement the synchronous FIFO using SRL FIFO elements
--
------------------------------------------------------------
I_SYNC_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_FAMILY => C_FAMILY
)
port map (
Clk => fifo_wr_clk ,
Reset => fifo_wr_reset ,
FIFO_Write => sig_wr_fifo ,
Data_In => sig_fifo_wr_data ,
FIFO_Read => sig_rd_fifo ,
Data_Out => sig_fifo_rd_data ,
FIFO_Empty => sig_rd_empty ,
FIFO_Full => sig_wr_full ,
Addr => open
);
end generate USE_SRL_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_SYNC_FIFO
--
-- If Generate Description:
-- Instantiates a synchronous FIFO design for use in the
-- synchronous operating mode.
--
------------------------------------------------------------
USE_SYNC_FIFO : if (C_IS_ASYNC = 0 and
(C_DEPTH > 64 or
(C_DEPTH > 1 and C_PRIM_TYPE < 2 )))
or
(C_IS_ASYNC = 0 and
C_DEPTH <= 64 and
C_DEPTH > 1 and
C_PRIM_TYPE = 0 )
generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant NEED_ALMOST_EMPTY : Integer := 0;
Constant NEED_ALMOST_FULL : Integer := 0;
Constant DATA_CNT_WIDTH : Integer := clog2(C_DEPTH)+1;
Constant PRIM_TYPE : Integer := funct_get_prim_type(C_DEPTH, C_PRIM_TYPE);
-- local signals
signal sig_wr_full : std_logic := '0';
signal sig_wr_fifo : std_logic := '0';
signal sig_wr_ready : std_logic := '0';
signal sig_rd_fifo : std_logic := '0';
signal sig_rd_valid : std_logic := '0';
signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
begin
-- Write side signals
fifo_wr_tready <= sig_wr_ready;
fifo_wr_full <= sig_wr_full;
sig_wr_ready <= not(sig_wr_full) and
sig_inhibit_rdy_n;
sig_wr_fifo <= fifo_wr_tvalid and
sig_wr_ready;
sig_fifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_rd_valid;
fifo_rd_tdata <= sig_fifo_rd_data ;
fifo_rd_empty <= not(sig_rd_valid);
sig_rd_fifo <= sig_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_SYNC_FIFO
--
-- Description:
-- Implement the synchronous FIFO
--
------------------------------------------------------------
I_SYNC_FIFO : entity axi_datamover_v5_1_9.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_DATA_CNT_WIDTH => DATA_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NEED_ALMOST_EMPTY ,
C_NEED_ALMOST_FULL => NEED_ALMOST_FULL ,
C_USE_BLKMEM => PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => fifo_wr_reset ,
SFIFO_Clk => fifo_wr_clk ,
SFIFO_Wr_en => sig_wr_fifo ,
SFIFO_Din => fifo_wr_tdata ,
SFIFO_Rd_en => sig_rd_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_rd_valid ,
SFIFO_Dout => sig_fifo_rd_data ,
SFIFO_Full => sig_wr_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => open ,
SFIFO_Rd_ack => open
);
end generate USE_SYNC_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: USE_ASYNC_FIFO
--
-- If Generate Description:
-- Instantiates an asynchronous FIFO design for use in the
-- asynchronous operating mode.
--
------------------------------------------------------------
USE_ASYNC_FIFO : if (C_IS_ASYNC = 1) generate
-- Local Constants
Constant LOGIC_LOW : std_logic := '0';
Constant CNT_WIDTH : Integer := clog2(C_DEPTH);
-- local signals
signal sig_async_wr_full : std_logic := '0';
signal sig_async_wr_fifo : std_logic := '0';
signal sig_async_wr_ready : std_logic := '0';
signal sig_async_rd_fifo : std_logic := '0';
signal sig_async_rd_valid : std_logic := '0';
signal sig_afifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0);
signal sig_afifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0);
signal sig_fifo_ainit : std_logic := '0';
Signal sig_init_reg : std_logic := '0';
begin
sig_fifo_ainit <= fifo_async_rd_reset or fifo_wr_reset;
-- Write side signals
fifo_wr_tready <= sig_async_wr_ready;
fifo_wr_full <= sig_async_wr_full;
sig_async_wr_ready <= not(sig_async_wr_full) and
sig_inhibit_rdy_n;
sig_async_wr_fifo <= fifo_wr_tvalid and
sig_async_wr_ready;
sig_afifo_wr_data <= fifo_wr_tdata;
-- Read Side Signals
fifo_rd_tvalid <= sig_async_rd_valid;
fifo_rd_tdata <= sig_afifo_rd_data ;
fifo_rd_empty <= not(sig_async_rd_valid);
sig_async_rd_fifo <= sig_async_rd_valid and
fifo_rd_tready;
------------------------------------------------------------
-- Instance: I_ASYNC_FIFO
--
-- Description:
-- Implement the asynchronous FIFO
--
------------------------------------------------------------
I_ASYNC_FIFO : entity axi_datamover_v5_1_9.axi_datamover_afifo_autord
generic map (
C_DWIDTH => C_DWIDTH ,
C_DEPTH => C_DEPTH ,
C_CNT_WIDTH => CNT_WIDTH ,
C_USE_BLKMEM => C_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
AFIFO_Ainit => sig_fifo_ainit ,
AFIFO_Ainit_Rd_clk => fifo_async_rd_reset ,
AFIFO_Wr_clk => fifo_wr_clk ,
AFIFO_Wr_en => sig_async_wr_fifo ,
AFIFO_Din => sig_afifo_wr_data ,
AFIFO_Rd_clk => fifo_async_rd_clk ,
AFIFO_Rd_en => sig_async_rd_fifo ,
AFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
AFIFO_DValid => sig_async_rd_valid,
AFIFO_Dout => sig_afifo_rd_data ,
AFIFO_Full => sig_async_wr_full ,
AFIFO_Empty => open ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
end generate USE_ASYNC_FIFO;
end imp;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ip/design_SWandHW_standalone_v2_axi_gpio_0_0/synth/design_SWandHW_standalone_v2_axi_gpio_0_0.vhd
|
1
|
9881
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 9
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0_9;
USE axi_gpio_v2_0_9.axi_gpio;
ENTITY design_SWandHW_standalone_v2_axi_gpio_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END design_SWandHW_standalone_v2_axi_gpio_0_0;
ARCHITECTURE design_SWandHW_standalone_v2_axi_gpio_0_0_arch OF design_SWandHW_standalone_v2_axi_gpio_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_SWandHW_standalone_v2_axi_gpio_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_SWandHW_standalone_v2_axi_gpio_0_0_arch: ARCHITECTURE IS "axi_gpio,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_SWandHW_standalone_v2_axi_gpio_0_0_arch : ARCHITECTURE IS "design_SWandHW_standalone_v2_axi_gpio_0_0,axi_gpio,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_SWandHW_standalone_v2_axi_gpio_0_0_arch: ARCHITECTURE IS "design_SWandHW_standalone_v2_axi_gpio_0_0,axi_gpio,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=4,C_GPIO2_WIDTH=32,C_ALL_INPUTS=1,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 4,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 1,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 0,
C_DOUT_DEFAULT => X"00000000",
C_TRI_DEFAULT => X"FFFFFFFF",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
gpio_io_i => gpio_io_i,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END design_SWandHW_standalone_v2_axi_gpio_0_0_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fdiv_14_no_dsp_32/synth/ANN_ap_fdiv_14_no_dsp_32.vhd
|
6
|
12691
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY ANN_ap_fdiv_14_no_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END ANN_ap_fdiv_14_no_dsp_32;
ARCHITECTURE ANN_ap_fdiv_14_no_dsp_32_arch OF ANN_ap_fdiv_14_no_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ANN_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fdiv_14_no_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fdiv_14_no_dsp_32,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fdiv_14_no_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fdiv_14_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=14,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 1,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 14,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END ANN_ap_fdiv_14_no_dsp_32_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_slice.vhd
|
19
|
4781
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
entity axi_datamover_slice is
generic (
C_DATA_WIDTH : Integer range 1 to 200 := 64
);
port (
ACLK : in std_logic;
ARESET : in std_logic;
-- Slave side
S_PAYLOAD_DATA : in std_logic_vector (C_DATA_WIDTH-1 downto 0);
S_VALID : in std_logic;
S_READY : out std_logic;
-- Master side
M_PAYLOAD_DATA : out std_logic_vector (C_DATA_WIDTH-1 downto 0);
M_VALID : out std_logic;
M_READY : in std_logic
);
end entity axi_datamover_slice;
architecture working of axi_datamover_slice is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of working : architecture is "yes";
signal storage_data : std_logic_vector (C_DATA_WIDTH-1 downto 0);
signal s_ready_i : std_logic;
signal m_valid_i : std_logic;
signal areset_d : std_logic_vector (1 downto 0);
begin
-- assign local signal to its output signal
S_READY <= s_ready_i;
M_VALID <= m_valid_i;
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
areset_d(0) <= ARESET;
areset_d(1) <= areset_d(0);
end if;
end process;
-- Save payload data whenever we have a transaction on the slave side
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
if (S_VALID = '1' and s_ready_i = '1') then
storage_data <= S_PAYLOAD_DATA;
else
storage_data <= storage_data;
end if;
end if;
end process;
M_PAYLOAD_DATA <= storage_data;
-- M_Valid set to high when we have a completed transfer on slave side
-- Is removed on a M_READY except if we have a new transfer on the slave side
process (ACLK) begin
if (ACLK'event and ACLK = '1') then
if (areset_d (1) = '1') then
m_valid_i <= '0';
elsif (S_VALID = '1') then
m_valid_i <= '1';
elsif (M_READY = '1') then
m_valid_i <= '0';
else
m_valid_i <= m_valid_i;
end if;
end if;
end process;
-- Slave Ready is either when Master side drives M_Ready or we have space in our storage data
s_ready_i <= (M_READY or (not m_valid_i)) and not (areset_d(1) or areset_d(0));
end working;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_lite_ipif_v3_0/hdl/src/vhdl/address_decoder.vhd
|
8
|
22452
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: address_decoder.vhd
-- Version: v2.0
-- Description: Address decoder utilizing unconstrained arrays for Base
-- Address specification and ce number.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 08/09/2010 --
-- - updated the core with optimziation. Closed CR 574507
-- - combined the CE generation logic to further optimize the code.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_base_v5_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
--library proc_common_base_v5_0;
--use proc_common_base_v5_0.proc_common_pkg.clog2;
--use proc_common_base_v5_0.pselect_f;
--use proc_common_base_v5_0.ipif_pkg.all;
library axi_lite_ipif_v3_0_3;
use axi_lite_ipif_v3_0_3.ipif_pkg.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_BUS_AWIDTH -- Address bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- Bus_clk -- Clock
-- Bus_rst -- Reset
-- Address_In_Erly -- Adddress in
-- Address_Valid_Erly -- Address is valid
-- Bus_RNW -- Read or write registered
-- Bus_RNW_Erly -- Read or Write
-- CS_CE_ld_enable -- chip select and chip enable registered
-- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear
-- RW_CE_ld_enable -- Read or Write Chip Enable
-- CS_for_gaps -- CS generation for the gaps between address ranges
-- CS_Out -- Chip select
-- RdCE_Out -- Read Chip enable
-- WrCE_Out -- Write chip enable
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity address_decoder is
generic (
C_BUS_AWIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF";
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_1000_0000", -- IP user0 base address
X"0000_0000_1000_01FF", -- IP user0 high address
X"0000_0000_1000_0200", -- IP user1 base address
X"0000_0000_1000_02FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
8, -- User0 CE Number
1 -- User1 CE Number
);
C_FAMILY : string := "virtex6"
);
port (
Bus_clk : in std_logic;
Bus_rst : in std_logic;
-- PLB Interface signals
Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1);
Address_Valid_Erly : in std_logic;
Bus_RNW : in std_logic;
Bus_RNW_Erly : in std_logic;
-- Registering control signals
CS_CE_ld_enable : in std_logic;
Clear_CS_CE_Reg : in std_logic;
RW_CE_ld_enable : in std_logic;
CS_for_gaps : out std_logic;
-- Decode output signals
CS_Out : out std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
RdCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
WrCE_Out : out std_logic_vector
(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1)
);
end entity address_decoder;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of address_decoder is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- local type declarations ----------------------------------------------------
type decode_bit_array_type is Array(natural range 0 to (
(C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of
integer;
type short_addr_array_type is Array(natural range 0 to
C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of
std_logic_vector(0 to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This function converts a 64 bit address range array to a AWIDTH bit
-- address range array.
-------------------------------------------------------------------------------
function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE;
awidth : integer)
return short_addr_array_type is
variable temp_addr : std_logic_vector(0 to 63);
variable slv_array : short_addr_array_type;
begin
for array_index in 0 to slv64_addr_array'length-1 loop
temp_addr := slv64_addr_array(array_index);
slv_array(array_index) := temp_addr((64-awidth) to 63);
end loop;
return(slv_array);
end function slv64_2_slv_awidth;
-------------------------------------------------------------------------------
--Function Addr_bits
--function to convert an address range (base address and an upper address)
--into the number of upper address bits needed for decoding a device
--select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1))
return integer is
variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
addr_nor := x xor y;
for i in 0 to C_BUS_AWIDTH-1 loop
if addr_nor(i)='1' then
return i;
end if;
end loop;
--coverage off
return(C_BUS_AWIDTH);
--coverage on
end function Addr_Bits;
-------------------------------------------------------------------------------
--Function Get_Addr_Bits
--function calculates the array which has the decode bits for the each address
--range.
-------------------------------------------------------------------------------
function Get_Addr_Bits (baseaddrs : short_addr_array_type)
return decode_bit_array_type is
variable num_bits : decode_bit_array_type;
begin
for i in 0 to ((baseaddrs'length)/2)-1 loop
num_bits(i) := Addr_Bits (baseaddrs(i*2),
baseaddrs(i*2+1));
end loop;
return(num_bits);
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- NEEDED_ADDR_BITS
--
-- Function Description:
-- This function calculates the number of address bits required
-- to support the CE generation logic. This is determined by
-- multiplying the number of CEs for an address space by the
-- data width of the address space (in bytes). Each address
-- space entry is processed and the biggest of the spaces is
-- used to set the number of address bits required to be latched
-- and used for CE decoding. A minimum value of 1 is returned by
-- this function.
--
-------------------------------------------------------------------------------
function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE)
return integer is
constant NUM_CE_ENTRIES : integer := CE_ARRAY'length;
variable biggest : integer := 2;
variable req_ce_addr_size : integer := 0;
variable num_addr_bits : integer := 0;
begin
for i in 0 to NUM_CE_ENTRIES-1 loop
req_ce_addr_size := ce_array(i) * 4;
if (req_ce_addr_size > biggest) Then
biggest := req_ce_addr_size;
end if;
end loop;
num_addr_bits := clog2(biggest);
return(num_addr_bits);
end function NEEDED_ADDR_BITS;
-----------------------------------------------------------------------------
-- Function calc_high_address
--
-- This function is used to calculate the high address of the each address
-- range
-----------------------------------------------------------------------------
function calc_high_address (high_address : short_addr_array_type;
index : integer) return std_logic_vector is
variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then
calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31);
else
calc_high_addr := high_address(index*2+2);
end if;
return(calc_high_addr);
end function calc_high_address;
----------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type :=
slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY,
C_BUS_AWIDTH);
constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2;
constant DECODE_BITS : decode_bit_array_type :=
Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY);
constant NUM_CE_SIGNALS : integer :=
calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant NUM_S_H_ADDR_BITS : integer :=
needed_addr_bits(C_ARD_NUM_CE_ARRAY);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal pselect_hit_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal cs_out_i : std_logic_vector
(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); --
signal cs_ce_clr : std_logic;
signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1);
signal Bus_RNW_reg : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-- Register clears
cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg;
addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS
to C_BUS_AWIDTH-1);
-------------------------------------------------------------------------------
-- MEM_DECODE_GEN: Universal Address Decode Block
-------------------------------------------------------------------------------
MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate
---------------
constant CE_INDEX_START : integer
:= calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index);
constant CE_ADDR_SIZE : Integer range 0 to 15
:= clog2(C_ARD_NUM_CE_ARRAY(bar_index));
constant OFFSET : integer := 2;
constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= ARD_ADDR_RANGE_ARRAY(bar_index*2+1);
constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1)
:= calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index);
--constant DECODE_BITS_0 : integer:= DECODE_BITS(0);
---------
begin
---------
-- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address
-- -----------------
GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate
-- Instantiate the basic Base Address Decoders
MEM_SELECT_I: entity axi_lite_ipif_v3_0_3.pselect_f
generic map
(
C_AB => DECODE_BITS(bar_index),
C_AW => C_BUS_AWIDTH,
C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2),
C_FAMILY => C_FAMILY
)
port map
(
A => Address_In_Erly, -- [in]
AValid => Address_Valid_Erly, -- [in]
CS => pselect_hit_i(bar_index) -- [out]
);
end generate GEN_FOR_MULTI_CS;
-- GEN_FOR_ONE_CS: below logic decodes the CS for single address range
-- ---------------
GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate
pselect_hit_i(bar_index) <= Address_Valid_Erly;
end generate GEN_FOR_ONE_CS;
-- Instantate backend registers for the Chip Selects
BKEND_CS_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then
cs_out_i(bar_index) <= '0';
elsif(CS_CE_ld_enable='1')then
cs_out_i(bar_index) <= pselect_hit_i(bar_index);
end if;
end if;
end process BKEND_CS_REG;
-------------------------------------------------------------------------
-- PER_CE_GEN: Now expand the individual CEs for each base address.
-------------------------------------------------------------------------
PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate
-----------
begin
-----------
----------------------------------------------------------------------
-- CE decoders for multiple CE's
----------------------------------------------------------------------
MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate
constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) :=
std_logic_vector(to_unsigned(j,CE_ADDR_SIZE));
begin
CE_I : entity axi_lite_ipif_v3_0_3.pselect_f
generic map (
C_AB => CE_ADDR_SIZE ,
C_AW => CE_ADDR_SIZE ,
C_BAR => BAR ,
C_FAMILY => C_FAMILY
)
port map (
A => addr_out_s_h
(NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE
to NUM_S_H_ADDR_BITS - OFFSET - 1) ,
AValid => pselect_hit_i(bar_index) ,
CS => ce_expnd_i(CE_INDEX_START+j)
);
end generate MULTIPLE_CES_THIS_CS_GEN;
--------------------------------------
----------------------------------------------------------------------
-- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE
----------------------------------------------------------------------
SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate
ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index);
end generate;
-------------
end generate PER_CE_GEN;
------------------------
end generate MEM_DECODE_GEN;
-- RNW_REG_P: Register the incoming RNW signal at the time of registering the
-- address. This is need to generate the CE's separately.
RNW_REG_P:process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(RW_CE_ld_enable='1')then
Bus_RNW_reg <= Bus_RNW_Erly;
end if;
end if;
end process RNW_REG_P;
---------------------------------------------------------------------------
-- GEN_BKEND_CE_REGISTERS
-- This ForGen implements the backend registering for
-- the CE, RdCE, and WrCE output buses.
---------------------------------------------------------------------------
GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate
signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
------
begin
------
BKEND_RDCE_REG : process(Bus_Clk)
begin
if(Bus_Clk'EVENT and Bus_Clk = '1')then
if(cs_ce_clr='1')then
ce_out_i(ce_index) <= '0';
elsif(RW_CE_ld_enable='1')then
ce_out_i(ce_index) <= ce_expnd_i(ce_index);
end if;
end if;
end process BKEND_RDCE_REG;
rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg;
wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg;
-------------------------------
end generate GEN_BKEND_CE_REGISTERS;
-------------------------------------------------------------------------------
CS_for_gaps <= '0'; -- Removed the GAP adecoder logic
---------------------------------
CS_Out <= cs_out_i ;
RdCE_Out <= rdce_out_i ;
WrCE_Out <= wrce_out_i ;
end architecture IMP;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fcmp_0_no_dsp_32/xbip_bram18k_v3_0_1/hdl/xbip_bram18k_v3_0.vhd
|
24
|
9340
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PG0J5RRIuu8K34zw5Z9mZLcupY6QnoBh2vIa6E6pawHg9l3NOpmcnQD8DjlUVHwK1Lk/6lnvrs6A
b2ccUP8BzQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kj1Opmh6opb0EpvZkF6RDB1ya5n5md0eV6tgAJ8PWHtFsv5VHaNZle30baYZxyu2KL/p8B8zupsk
MsANvBFuPXJVi4sKW61Q454dYwpkHoUsC1oDxl5cKtOOzU1ATXzQiwqR3zORDpuNNXOT30fTCyLl
n+2tV+8EQi7TvhMf/14=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QAZl2aBlCkVZlo7spLtCcYzE2QYDGr+KpghU31tU/K5eiCe0uoaMoKnAlVPIpxlooAnbipo7NkQm
DlYhwtwVOiWtiPGiyA1Uvjb0VOnDjEi/SMcFUirkV00GyXTH8/0u+JIIMhAVOrnTNKUiBdRXPOfZ
m7yN35Z0Gd047WKv2RqSaTMd7VZ1qNvv/PokPcLrwxqBudSkZNp4iGJK7p/7dtQgBfyWpncqLVuY
b78kmtGkj3gQrWjPXHsK6jki0oGxD6JST9XksNOTk+k1YEeeGBmqw3eOSLNQJmTblaef6znViv5B
m2hyr+n8lVaaGtExNXur/bS8rxH1apfAwP3Zcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A/OPKlQYmmQNvZ6CmCAVATkxwrwgJfVMraB+VilSZoA89GMCVEr5YMnPCrjfOCFPcbDn6vvfZ0Fp
AireBB3SjuFrXqjVZBYbhWF5ZuEMiElG3SlaNT8tp074+5S5mdlRc8IxOeT9Npm2zjrZW+enfyIt
CNStpVAKjtSDoLZzYlU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a2YQGe+T0o9974P7/krOQMjPtOgvsObvaKv8+q/TfdA2Y895F5KXQzccAcvpD49de2XrKiJ5YUU/
UY/GJytUK6UQW7I7dUUnhu8NW4EF0P70smulBj7bE00Yu+0BW8r0m+OGRFvNp1eoiDvC1W26i71p
9AmFIgP1p7PEEDv9HuvRE+80+GPWvQqiPcgQp7DYcsrsUjiRvkJyW4LYyhBNX/lf/IAXUGi0afIn
7bJqIXSxG43bpeFspVPPoO8fHok5YN6Y8Bj9ITG858eEUi2WyWCc0BLDVPBg1EUcXGVmv4hgmVF6
bOFMLwtbMZ3T2v3TqCmzsY9JRttXeAMl/TLaTg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gKTQXJus6sElnermR5xiElH3CJw/U6WuNcvrEqms3f8G3nlY+IErizyEltXl14/AGHnUELlLo7+s
ha9/50P2WDKG/ccuxEnb5kuw4wGN785TpvV5+Hzw4EXlkaJvdcSkvovkSbTLMlLRsmWLyHFGUbss
yXC/t6Lp1DPW56Uym9uruUuz16YewrKNHLd1xHXwouiIpUL9ysZa/9JVrHxyqO0MAz/J8IWUsskF
/iqssBcwF+TO/bcUfJRN4soSbQAbk96yjWA1apJNibQyHJk9HSnCnxZgzXOkF3kHPDmbnAOvttJy
mVO6+Et81XYBVz3w9ngefS3CAFO/ujTStwu4+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784)
`protect data_block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=
`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0.vhd
|
24
|
9340
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PG0J5RRIuu8K34zw5Z9mZLcupY6QnoBh2vIa6E6pawHg9l3NOpmcnQD8DjlUVHwK1Lk/6lnvrs6A
b2ccUP8BzQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kj1Opmh6opb0EpvZkF6RDB1ya5n5md0eV6tgAJ8PWHtFsv5VHaNZle30baYZxyu2KL/p8B8zupsk
MsANvBFuPXJVi4sKW61Q454dYwpkHoUsC1oDxl5cKtOOzU1ATXzQiwqR3zORDpuNNXOT30fTCyLl
n+2tV+8EQi7TvhMf/14=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QAZl2aBlCkVZlo7spLtCcYzE2QYDGr+KpghU31tU/K5eiCe0uoaMoKnAlVPIpxlooAnbipo7NkQm
DlYhwtwVOiWtiPGiyA1Uvjb0VOnDjEi/SMcFUirkV00GyXTH8/0u+JIIMhAVOrnTNKUiBdRXPOfZ
m7yN35Z0Gd047WKv2RqSaTMd7VZ1qNvv/PokPcLrwxqBudSkZNp4iGJK7p/7dtQgBfyWpncqLVuY
b78kmtGkj3gQrWjPXHsK6jki0oGxD6JST9XksNOTk+k1YEeeGBmqw3eOSLNQJmTblaef6znViv5B
m2hyr+n8lVaaGtExNXur/bS8rxH1apfAwP3Zcg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A/OPKlQYmmQNvZ6CmCAVATkxwrwgJfVMraB+VilSZoA89GMCVEr5YMnPCrjfOCFPcbDn6vvfZ0Fp
AireBB3SjuFrXqjVZBYbhWF5ZuEMiElG3SlaNT8tp074+5S5mdlRc8IxOeT9Npm2zjrZW+enfyIt
CNStpVAKjtSDoLZzYlU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a2YQGe+T0o9974P7/krOQMjPtOgvsObvaKv8+q/TfdA2Y895F5KXQzccAcvpD49de2XrKiJ5YUU/
UY/GJytUK6UQW7I7dUUnhu8NW4EF0P70smulBj7bE00Yu+0BW8r0m+OGRFvNp1eoiDvC1W26i71p
9AmFIgP1p7PEEDv9HuvRE+80+GPWvQqiPcgQp7DYcsrsUjiRvkJyW4LYyhBNX/lf/IAXUGi0afIn
7bJqIXSxG43bpeFspVPPoO8fHok5YN6Y8Bj9ITG858eEUi2WyWCc0BLDVPBg1EUcXGVmv4hgmVF6
bOFMLwtbMZ3T2v3TqCmzsY9JRttXeAMl/TLaTg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gKTQXJus6sElnermR5xiElH3CJw/U6WuNcvrEqms3f8G3nlY+IErizyEltXl14/AGHnUELlLo7+s
ha9/50P2WDKG/ccuxEnb5kuw4wGN785TpvV5+Hzw4EXlkaJvdcSkvovkSbTLMlLRsmWLyHFGUbss
yXC/t6Lp1DPW56Uym9uruUuz16YewrKNHLd1xHXwouiIpUL9ysZa/9JVrHxyqO0MAz/J8IWUsskF
/iqssBcwF+TO/bcUfJRN4soSbQAbk96yjWA1apJNibQyHJk9HSnCnxZgzXOkF3kHPDmbnAOvttJy
mVO6+Et81XYBVz3w9ngefS3CAFO/ujTStwu4+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784)
`protect data_block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=
`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_1/hdl/vhdl/ANN_ST_WandB.vhd
|
7
|
3065
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ANN_ST_WandB_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 13;
mem_size : integer := 6560
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of ANN_ST_WandB_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array := (others=>(others=>'0'));
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity ANN_ST_WandB is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 6560;
AddressWidth : INTEGER := 13);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of ANN_ST_WandB is
component ANN_ST_WandB_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR);
end component;
begin
ANN_ST_WandB_ram_U : component ANN_ST_WandB_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0);
end architecture;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_3/hdl/vhdl/feedforward_p_uOut.vhd
|
4
|
4111
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity feedforward_p_uOut_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 8;
mem_size : integer := 140
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
addr1 : in std_logic_vector(awidth-1 downto 0);
ce1 : in std_logic;
q1 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of feedforward_p_uOut_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
signal addr1_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
memory_access_guard_1: process (addr1)
begin
addr1_tmp <= addr1;
--synthesis translate_off
if (CONV_INTEGER(addr1) > mem_size-1) then
addr1_tmp <= (others => '0');
else
addr1_tmp <= addr1;
end if;
--synthesis translate_on
end process;
p_memory_access_1: process (clk)
begin
if (clk'event and clk = '1') then
if (ce1 = '1') then
q1 <= ram(CONV_INTEGER(addr1_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity feedforward_p_uOut is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 140;
AddressWidth : INTEGER := 8);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of feedforward_p_uOut is
component feedforward_p_uOut_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR;
addr1 : IN STD_LOGIC_VECTOR;
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR);
end component;
begin
feedforward_p_uOut_ram_U : component feedforward_p_uOut_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0,
addr1 => address1,
ce1 => ce1,
q1 => q1);
end architecture;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_files/ipstatic/axi_dma_v7_1/hdl/src/vhdl/axi_dma_s2mm_sts_strm.vhd
|
4
|
38431
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sts_strm.vhd.vhd
-- Description: This entity is the AXI Status Stream Interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_8;
use axi_dma_v7_1_8.axi_dma_pkg.all;
library lib_srl_fifo_v1_0_2;
library lib_cdc_v1_0_2;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sts_strm is
generic (
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
-----------------------------------------------------------------------
-- Scatter Gather Parameters
-----------------------------------------------------------------------
C_S_AXIS_S2MM_STS_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Slave AXI Status Stream Data Width
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Descriptor Buffer Length, Transferred Bytes, and Status Stream
-- Rx Length Width. Indicates the least significant valid bits of
-- descriptor buffer length, transferred bytes, or Rx Length value
-- in the status word coincident with tlast.
C_ENABLE_SKID : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex5"
-- Target FPGA Device Family
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
s2mm_rxlength_valid : out std_logic ; --
s2mm_rxlength_clr : in std_logic ; --
s2mm_rxlength : out std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
stsstrm_fifo_rden : in std_logic ; --
stsstrm_fifo_empty : out std_logic ; --
stsstrm_fifo_dout : out std_logic_vector --
(C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0); --
--
-- Stream to Memory Map Status Stream Interface --
s_axis_s2mm_sts_tdata : in std_logic_vector --
(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_sts_tkeep : in std_logic_vector --
((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_sts_tvalid : in std_logic ; --
s_axis_s2mm_sts_tready : out std_logic ; --
s_axis_s2mm_sts_tlast : in std_logic --
);
end axi_dma_s2mm_sts_strm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sts_strm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Status Stream FIFO Depth
constant STSSTRM_FIFO_DEPTH : integer := 16;
-- Status Stream FIFO Data Count Width (Unsused)
constant STSSTRM_FIFO_CNT_WIDTH : integer := clog2(STSSTRM_FIFO_DEPTH+1);
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal fifo_full : std_logic := '0';
signal fifo_din : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0) := (others => '0');
signal fifo_wren : std_logic := '0';
signal fifo_sinit : std_logic := '0';
signal rxlength_cdc_from : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal rxlength_valid_cdc_from : std_logic := '0';
signal rxlength_valid_trdy : std_logic := '0';
--signal sts_tvalid_re : std_logic := '0';-- CR565502
--signal sts_tvalid_d1 : std_logic := '0';-- CR565502
signal sts_tvalid : std_logic := '0';
signal sts_tready : std_logic := '0';
signal sts_tdata : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0) := (others => '0');
signal sts_tkeep : std_logic_vector((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sts_tlast : std_logic := '0';
signal m_tvalid : std_logic := '0';
signal m_tready : std_logic := '0';
signal m_tdata : std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_tkeep : std_logic_vector((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_tlast : std_logic := '0';
signal tag_stripped : std_logic := '0';
signal mask_tag_write : std_logic := '0';
--signal mask_tag_hold : std_logic := '0';-- CR565502
signal skid_rst : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Primary Clock is synchronous to Secondary Clock therfore
-- instantiate a sync fifo.
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
signal s2mm_stop_d1 : std_logic := '0';
signal s2mm_stop_re : std_logic := '0';
signal sts_rden : std_logic := '0';
signal follower_empty : std_logic := '0';
signal fifo_empty : std_logic := '0';
signal fifo_out : std_logic_vector (C_S_AXIS_S2MM_STS_TDATA_WIDTH downto 0) := (others => '0');
begin
-- Generate Synchronous FIFO
-- I_STSSTRM_FIFO : entity lib_srl_fifo_v1_0_2.sync_fifo_fg
-- generic map (
-- C_FAMILY => C_FAMILY ,
-- C_MEMORY_TYPE => USE_LOGIC_FIFOS,
-- C_WRITE_DATA_WIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH + 1,
-- C_WRITE_DEPTH => STSSTRM_FIFO_DEPTH ,
-- C_READ_DATA_WIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH + 1,
-- C_READ_DEPTH => STSSTRM_FIFO_DEPTH ,
-- C_PORTS_DIFFER => 0,
-- C_HAS_DCOUNT => 1, --req for proper fifo operation
-- C_DCOUNT_WIDTH => STSSTRM_FIFO_CNT_WIDTH,
-- C_HAS_ALMOST_FULL => 0,
-- C_HAS_RD_ACK => 0,
-- C_HAS_RD_ERR => 0,
-- C_HAS_WR_ACK => 0,
-- C_HAS_WR_ERR => 0,
-- C_RD_ACK_LOW => 0,
-- C_RD_ERR_LOW => 0,
-- C_WR_ACK_LOW => 0,
-- C_WR_ERR_LOW => 0,
-- C_PRELOAD_REGS => 1,-- 1 = first word fall through
-- C_PRELOAD_LATENCY => 0 -- 0 = first word fall through
-- -- C_USE_EMBEDDED_REG => 1 -- 0 ;
-- )
-- port map (
--
-- Clk => m_axi_sg_aclk ,
-- Sinit => fifo_sinit ,
-- Din => fifo_din ,
-- Wr_en => fifo_wren ,
-- Rd_en => stsstrm_fifo_rden ,
-- Dout => stsstrm_fifo_dout ,
-- Full => fifo_full ,
-- Empty => stsstrm_fifo_empty ,
-- Almost_full => open ,
-- Data_count => open ,
-- Rd_ack => open ,
-- Rd_err => open ,
-- Wr_ack => open ,
-- Wr_err => open
--
-- );
I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH + 1,
C_DEPTH => 16 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => fifo_sinit ,
FIFO_Write => fifo_wren ,
Data_In => fifo_din ,
FIFO_Read => sts_rden, --sts_queue_rden ,
Data_Out => fifo_out, --sts_queue_dout ,
FIFO_Empty => fifo_empty, --sts_queue_empty ,
FIFO_Full => fifo_full ,
Addr => open
);
sts_rden <= (not fifo_empty) and follower_empty;
stsstrm_fifo_empty <= follower_empty;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (fifo_sinit = '1' or stsstrm_fifo_rden = '1') then
follower_empty <= '1';
elsif (sts_rden = '1') then
follower_empty <= '0';
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (fifo_sinit = '1') then
stsstrm_fifo_dout <= (others => '0');
elsif (sts_rden = '1') then
stsstrm_fifo_dout <= fifo_out;
end if;
end if;
end process;
fifo_sinit <= not m_axi_sg_aresetn;
fifo_din <= sts_tlast & sts_tdata;
fifo_wren <= sts_tvalid and not fifo_full and not rxlength_valid_cdc_from and not mask_tag_write;
sts_tready <= not fifo_sinit and not fifo_full and not rxlength_valid_cdc_from;
-- CR565502 - particular throttle condition caused masking of tag write to not occur
-- simplified logic will provide more robust handling of tag write mask
-- -- Create register delay of status tvalid in order to create a
-- -- rising edge pulse. note xx_re signal will hold at 1 if
-- -- fifo full on rising edge of tvalid.
-- REG_TVALID : process(axi_prmry_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- sts_tvalid_d1 <= '0';
-- elsif(fifo_full = '0')then
-- sts_tvalid_d1 <= sts_tvalid;
-- end if;
-- end if;
-- end process REG_TVALID;
--
-- -- rising edge on tvalid used to gate off status tag from being
-- -- writen into fifo.
-- sts_tvalid_re <= sts_tvalid and not sts_tvalid_d1;
REG_TAG_STRIPPED : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
tag_stripped <= '0';
-- Reset on write of last word
elsif(fifo_wren = '1' and sts_tlast = '1')then
tag_stripped <= '0';
-- Set on beginning of new status stream
elsif(sts_tready = '1' and sts_tvalid = '1')then
tag_stripped <= '1';
end if;
end if;
end process REG_TAG_STRIPPED;
-- CR565502 - particular throttle condition caused masking of tag write to not occur
-- simplified logic will provide more robust handling of tag write mask
-- REG_MASK_TAG : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- mask_tag_hold <= '0';
-- elsif((sts_tvalid_re = '1' and tag_stripped = '0')
-- or (fifo_wren = '1' and sts_tlast = '1'))then
-- mask_tag_hold <= '1';
-- elsif(tag_stripped = '1')then
-- mask_tag_hold <= '0';
-- end if;
-- end if;
-- end process;
--
-- -- Mask TAG if not already masked and rising edge of tvalid
-- mask_tag_write <= not tag_stripped and (sts_tvalid_re or mask_tag_hold);
mask_tag_write <= not tag_stripped and sts_tready and sts_tvalid;
-- Generate logic to capture receive length when Use Receive Length is
-- enabled
GEN_STS_APP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate
begin
-- Register receive length on assertion of last and valid
-- Mark rxlength as valid for higher processes
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_rxlength_clr = '1')then
rxlength_cdc_from <= (others => '0');
rxlength_valid_cdc_from <= '0';
elsif(sts_tlast = '1' and sts_tvalid = '1' and sts_tready = '1')then
rxlength_cdc_from <= sts_tdata(C_SG_LENGTH_WIDTH-1 downto 0);
rxlength_valid_cdc_from <= '1';
end if;
end if;
end process REG_RXLENGTH;
s2mm_rxlength_valid <= rxlength_valid_cdc_from;
s2mm_rxlength <= rxlength_cdc_from;
end generate GEN_STS_APP_LENGTH;
-- Do NOT generate logic to capture receive length when option disabled
GEN_NO_STS_APP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate
begin
s2mm_rxlength_valid <= '0';
s2mm_rxlength <= (others => '0');
end generate GEN_NO_STS_APP_LENGTH;
-- register stop to create re pulse
REG_STOP : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0')then
s2mm_stop_d1 <= '0';
else
s2mm_stop_d1 <= s2mm_stop;
end if;
end if;
end process REG_STOP;
s2mm_stop_re <= s2mm_stop and not s2mm_stop_d1;
skid_rst <= not m_axi_sg_aresetn;
ENABLE_SKID : if C_ENABLE_SKID = 1 generate
begin
---------------------------------------------------------------------------
-- Buffer AXI Signals
---------------------------------------------------------------------------
STS_SKID_BUF_I : entity axi_dma_v7_1_8.axi_dma_skid_buf
generic map(
C_WDATA_WIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH
)
port map(
-- System Ports
ACLK => m_axi_sg_aclk ,
ARST => skid_rst ,
skid_stop => s2mm_stop_re ,
-- Slave Side (Stream Data Input)
S_VALID => s_axis_s2mm_sts_tvalid ,
S_READY => s_axis_s2mm_sts_tready ,
S_Data => s_axis_s2mm_sts_tdata ,
S_STRB => s_axis_s2mm_sts_tkeep ,
S_Last => s_axis_s2mm_sts_tlast ,
-- Master Side (Stream Data Output
M_VALID => sts_tvalid ,
M_READY => sts_tready ,
M_Data => sts_tdata ,
M_STRB => sts_tkeep ,
M_Last => sts_tlast
);
end generate ENABLE_SKID;
DISABLE_SKID : if C_ENABLE_SKID = 0 generate
begin
sts_tvalid <= s_axis_s2mm_sts_tvalid;
s_axis_s2mm_sts_tready <= sts_tready;
sts_tdata <= s_axis_s2mm_sts_tdata;
sts_tkeep <= s_axis_s2mm_sts_tkeep;
sts_tlast <= s_axis_s2mm_sts_tlast;
end generate DISABLE_SKID;
end generate GEN_SYNC_FIFO;
-- Primary Clock is asynchronous to Secondary Clock therfore
-- instantiate an async fifo.
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
ATTRIBUTE async_reg : STRING;
signal s2mm_stop_reg : std_logic := '0'; -- CR605883
signal p_s2mm_stop_d1_cdc_tig : std_logic := '0';
signal p_s2mm_stop_d2 : std_logic := '0';
signal p_s2mm_stop_d3 : std_logic := '0';
signal p_s2mm_stop_re : std_logic := '0';
--ATTRIBUTE async_reg OF p_s2mm_stop_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF p_s2mm_stop_d2 : SIGNAL IS "true";
begin
-- Generate Asynchronous FIFO
I_STSSTRM_FIFO : entity axi_dma_v7_1_8.axi_dma_afifo_autord
generic map(
C_DWIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH + 1 ,
-- C_DEPTH => STSSTRM_FIFO_DEPTH ,
-- C_CNT_WIDTH => STSSTRM_FIFO_CNT_WIDTH ,
C_DEPTH => 15 ,
C_CNT_WIDTH => 4 ,
C_USE_BLKMEM => USE_LOGIC_FIFOS ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
AFIFO_Ainit => fifo_sinit ,
AFIFO_Wr_clk => axi_prmry_aclk ,
AFIFO_Wr_en => fifo_wren ,
AFIFO_Din => fifo_din ,
AFIFO_Rd_clk => m_axi_sg_aclk ,
AFIFO_Rd_en => stsstrm_fifo_rden ,
AFIFO_Clr_Rd_Data_Valid => '0' ,
-- Outputs
AFIFO_DValid => open ,
AFIFO_Dout => stsstrm_fifo_dout ,
AFIFO_Full => fifo_full ,
AFIFO_Empty => stsstrm_fifo_empty ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
fifo_sinit <= not p_reset_n;
fifo_din <= sts_tlast & sts_tdata;
fifo_wren <= sts_tvalid -- valid data
and not fifo_full -- fifo has room
and not rxlength_valid_trdy --rxlength_valid_cdc_from -- not holding a valid length
and not mask_tag_write; -- not masking off tag word
sts_tready <= not fifo_sinit and not fifo_full and not rxlength_valid_trdy; --rxlength_valid_cdc_from;
-- CR565502 - particular throttle condition caused masking of tag write to not occur
-- simplified logic will provide more robust handling of tag write mask
-- -- Create register delay of status tvalid in order to create a
-- -- rising edge pulse. note xx_re signal will hold at 1 if
-- -- fifo full on rising edge of tvalid.
-- REG_TVALID : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- sts_tvalid_d1 <= '0';
-- elsif(fifo_full = '0')then
-- sts_tvalid_d1 <= sts_tvalid;
-- end if;
-- end if;
-- end process REG_TVALID;
-- -- rising edge on tvalid used to gate off status tag from being
-- -- writen into fifo.
-- sts_tvalid_re <= sts_tvalid and not sts_tvalid_d1;
REG_TAG_STRIPPED : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0')then
tag_stripped <= '0';
-- Reset on write of last word
elsif(fifo_wren = '1' and sts_tlast = '1')then
tag_stripped <= '0';
-- Set on beginning of new status stream
elsif(sts_tready = '1' and sts_tvalid = '1')then
tag_stripped <= '1';
end if;
end if;
end process REG_TAG_STRIPPED;
-- CR565502 - particular throttle condition caused masking of tag write to not occur
-- simplified logic will provide more robust handling of tag write mask
-- REG_MASK_TAG : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- mask_tag_hold <= '0';
-- elsif(tag_stripped = '1')then
-- mask_tag_hold <= '0';
--
-- elsif(sts_tvalid_re = '1'
-- or (fifo_wren = '1' and sts_tlast = '1'))then
-- mask_tag_hold <= '1';
-- end if;
-- end if;
-- end process;
--
-- -- Mask TAG if not already masked and rising edge of tvalid
-- mask_tag_write <= not tag_stripped and (sts_tvalid_re or mask_tag_hold);
mask_tag_write <= not tag_stripped and sts_tready and sts_tvalid;
-- Generate logic to capture receive length when Use Receive Length is
-- enabled
GEN_STS_APP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 1 generate
signal rxlength_clr_d1_cdc_tig : std_logic := '0';
signal rxlength_clr_d2 : std_logic := '0';
signal rxlength_d1_cdc_to : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal rxlength_d2 : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal rxlength_valid_d1_cdc_to : std_logic := '0';
signal rxlength_valid_d2_cdc_from : std_logic := '0';
signal rxlength_valid_d3 : std_logic := '0';
signal rxlength_valid_d4 : std_logic := '0';
signal rxlength_valid_d1_back_cdc_to, rxlength_valid_d2_back : std_logic := '0';
ATTRIBUTE async_reg : STRING;
--ATTRIBUTE async_reg OF rxlength_d1_cdc_to : SIGNAL IS "true";
--ATTRIBUTE async_reg OF rxlength_d2 : SIGNAL IS "true";
--ATTRIBUTE async_reg OF rxlength_valid_d1_cdc_to : SIGNAL IS "true";
--ATTRIBUTE async_reg OF rxlength_valid_d1_back_cdc_to : SIGNAL IS "true";
--ATTRIBUTE async_reg OF rxlength_valid_d2_back : SIGNAL IS "true";
begin
-- Double register from secondary clock domain to primary
S2P_CLK_CROSS : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => s2mm_rxlength_clr,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => rxlength_clr_d2,
scndry_vect_out => open
);
-- S2P_CLK_CROSS : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- if(p_reset_n = '0')then
-- rxlength_clr_d1_cdc_tig <= '0';
-- rxlength_clr_d2 <= '0';
-- else
-- rxlength_clr_d1_cdc_tig <= s2mm_rxlength_clr;
-- rxlength_clr_d2 <= rxlength_clr_d1_cdc_tig;
-- end if;
-- end if;
-- end process S2P_CLK_CROSS;
-- Register receive length on assertion of last and valid
-- Mark rxlength as valid for higher processes
TRDY_RXLENGTH : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0' or rxlength_clr_d2 = '1')then
rxlength_valid_trdy <= '0';
elsif(sts_tlast = '1' and sts_tvalid = '1' and sts_tready = '1')then
rxlength_valid_trdy <= '1';
end if;
end if;
end process TRDY_RXLENGTH;
REG_RXLENGTH : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0') then -- or rxlength_clr_d2 = '1')then
rxlength_cdc_from <= (others => '0');
rxlength_valid_cdc_from <= '0';
elsif(sts_tlast = '1' and sts_tvalid = '1' and sts_tready = '1')then
rxlength_cdc_from <= sts_tdata(C_SG_LENGTH_WIDTH-1 downto 0);
rxlength_valid_cdc_from <= '1';
elsif (rxlength_valid_d2_back = '1') then
rxlength_valid_cdc_from <= '0';
end if;
end if;
end process REG_RXLENGTH;
SYNC_RXLENGTH : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => rxlength_valid_d2_cdc_from,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => rxlength_valid_d2_back,
scndry_vect_out => open
);
-- SYNC_RXLENGTH : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- if(p_reset_n = '0') then -- or rxlength_clr_d2 = '1')then
--
-- rxlength_valid_d1_back_cdc_to <= '0';
-- rxlength_valid_d2_back <= '0';
-- else
-- rxlength_valid_d1_back_cdc_to <= rxlength_valid_d2_cdc_from;
-- rxlength_valid_d2_back <= rxlength_valid_d1_back_cdc_to;
--
-- end if;
-- end if;
-- end process SYNC_RXLENGTH;
-- Double register from primary clock domain to secondary
P2S_CLK_CROSS : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => rxlength_valid_cdc_from,
prmry_vect_in => (others => '0'),
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => rxlength_valid_d2_cdc_from,
scndry_vect_out => open
);
P2S_CLK_CROSS2 : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_SG_LENGTH_WIDTH,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => rxlength_cdc_from,
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => rxlength_d2
);
P2S_CLK_CROSS1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0') then -- or s2mm_rxlength_clr = '1') then
-- rxlength_d1_cdc_to <= (others => '0');
-- rxlength_d2 <= (others => '0');
-- rxlength_valid_d1_cdc_to <= '0';
-- rxlength_valid_d2_cdc_from <= '0';
rxlength_valid_d3 <= '0';
else
-- rxlength_d1_cdc_to <= rxlength_cdc_from;
-- rxlength_d2 <= rxlength_d1_cdc_to;
-- rxlength_valid_d1_cdc_to <= rxlength_valid_cdc_from;
-- rxlength_valid_d2_cdc_from <= rxlength_valid_d1_cdc_to;
rxlength_valid_d3 <= rxlength_valid_d2_cdc_from;
end if;
end if;
end process P2S_CLK_CROSS1;
process (m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_rxlength_clr = '1')then
rxlength_valid_d4 <= '0';
elsif (rxlength_valid_d3 = '1' and rxlength_valid_d2_cdc_from = '0') then
rxlength_valid_d4 <= '1';
end if;
end if;
end process;
s2mm_rxlength <= rxlength_d2;
-- s2mm_rxlength_valid <= rxlength_valid_d2;
s2mm_rxlength_valid <= rxlength_valid_d4;
end generate GEN_STS_APP_LENGTH;
-- Do NOT generate logic to capture receive length when option disabled
GEN_NO_STS_APP_LENGTH : if C_SG_USE_STSAPP_LENGTH = 0 generate
s2mm_rxlength_valid <= '0';
s2mm_rxlength <= (others => '0');
end generate GEN_NO_STS_APP_LENGTH;
-- CR605883
-- Register stop to provide pure FF output for synchronizer
REG_STOP : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_stop_reg <= '0';
else
s2mm_stop_reg <= s2mm_stop;
end if;
end if;
end process REG_STOP;
-- double register s2mm error into primary clock domain
REG_ERR2PRMRY : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => s2mm_stop_reg,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_s2mm_stop_d2,
scndry_vect_out => open
);
REG_ERR2PRMRY1 : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0')then
-- p_s2mm_stop_d1_cdc_tig <= '0';
-- p_s2mm_stop_d2 <= '0';
p_s2mm_stop_d3 <= '0';
else
--p_s2mm_stop_d1_cdc_tig <= s2mm_stop; -- CR605883
-- p_s2mm_stop_d1_cdc_tig <= s2mm_stop_reg;
-- p_s2mm_stop_d2 <= p_s2mm_stop_d1_cdc_tig;
p_s2mm_stop_d3 <= p_s2mm_stop_d2;
end if;
end if;
end process REG_ERR2PRMRY1;
p_s2mm_stop_re <= p_s2mm_stop_d2 and not p_s2mm_stop_d3;
skid_rst <= not p_reset_n;
---------------------------------------------------------------------------
-- Buffer AXI Signals
---------------------------------------------------------------------------
STS_SKID_BUF_I : entity axi_dma_v7_1_8.axi_dma_skid_buf
generic map(
C_WDATA_WIDTH => C_S_AXIS_S2MM_STS_TDATA_WIDTH
)
port map(
-- System Ports
ACLK => axi_prmry_aclk ,
ARST => skid_rst ,
skid_stop => p_s2mm_stop_re ,
-- Slave Side (Stream Data Input)
S_VALID => s_axis_s2mm_sts_tvalid ,
S_READY => s_axis_s2mm_sts_tready ,
S_Data => s_axis_s2mm_sts_tdata ,
S_STRB => s_axis_s2mm_sts_tkeep ,
S_Last => s_axis_s2mm_sts_tlast ,
-- Master Side (Stream Data Output
M_VALID => sts_tvalid ,
M_READY => sts_tready ,
M_Data => sts_tdata ,
M_STRB => sts_tkeep ,
M_Last => sts_tlast
);
end generate GEN_ASYNC_FIFO;
end implementation;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/hdl/ip/ANN_ap_fptrunc_0_no_dsp_64.vhd
|
6
|
12165
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY ANN_ap_fptrunc_0_no_dsp_64 IS
PORT (
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END ANN_ap_fptrunc_0_no_dsp_64;
ARCHITECTURE ANN_ap_fptrunc_0_no_dsp_64_arch OF ANN_ap_fptrunc_0_no_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fptrunc_0_no_dsp_64_arch : ARCHITECTURE IS "ANN_ap_fptrunc_0_no_dsp_64,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "ANN_ap_fptrunc_0_no_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 1,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 0,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 0,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => '0',
aclken => '1',
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => '0',
s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END ANN_ap_fptrunc_0_no_dsp_64_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/ip/ANN_ap_dadd_3_full_dsp_64.vhd
|
6
|
12700
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY ANN_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END ANN_ap_dadd_3_full_dsp_64;
ARCHITECTURE ANN_ap_dadd_3_full_dsp_64_arch OF ANN_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_dadd_3_full_dsp_64_arch : ARCHITECTURE IS "ANN_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "ANN_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END ANN_ap_dadd_3_full_dsp_64_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_0/hdl/ip/ANN_ap_dadd_3_full_dsp_64.vhd
|
6
|
12700
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY ANN_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END ANN_ap_dadd_3_full_dsp_64;
ARCHITECTURE ANN_ap_dadd_3_full_dsp_64_arch OF ANN_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_dadd_3_full_dsp_64_arch : ARCHITECTURE IS "ANN_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "ANN_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END ANN_ap_dadd_3_full_dsp_64_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fmul_2_max_dsp_32/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0.vhd
|
24
|
10163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fcmp_0_no_dsp_32/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0.vhd
|
24
|
10163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_dexp_16_full_dsp_64/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0.vhd
|
24
|
10163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0.vhd
|
24
|
10163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/uc3m/feedforward_v1_4/hdl/ip/feedforward_ap_dadd_3_full_dsp_64.vhd
|
6
|
12788
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY feedforward_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END feedforward_ap_dadd_3_full_dsp_64;
ARCHITECTURE feedforward_ap_dadd_3_full_dsp_64_arch OF feedforward_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_dadd_3_full_dsp_64_arch : ARCHITECTURE IS "feedforward_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "feedforward_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END feedforward_ap_dadd_3_full_dsp_64_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_2/hdl/ip/feedforward_ap_dadd_3_full_dsp_64.vhd
|
6
|
12788
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_1;
USE floating_point_v7_1_1.floating_point_v7_1_1;
ENTITY feedforward_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END feedforward_ap_dadd_3_full_dsp_64;
ARCHITECTURE feedforward_ap_dadd_3_full_dsp_64_arch OF feedforward_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_dadd_3_full_dsp_64_arch : ARCHITECTURE IS "feedforward_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "feedforward_ap_dadd_3_full_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_1
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END feedforward_ap_dadd_3_full_dsp_64_arch;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_fmul_32ns_32ns_32_4_max_dsp.vhd
|
7
|
3335
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_fmul_32ns_32ns_32_4_max_dsp is
generic (
ID : integer := 1;
NUM_STAGE : integer := 4;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_fmul_32ns_32ns_32_4_max_dsp is
--------------------- Component ---------------------
component ANN_ap_fmul_2_max_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_fmul_2_max_dsp_32_u : component ANN_ap_fmul_2_max_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
gpl-3.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/vhdl/feedforward_AXILiteS_s_axi.vhd
|
3
|
12421
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity feedforward_AXILiteS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 5;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
P_mode :out STD_LOGIC_VECTOR(31 downto 0)
);
end entity feedforward_AXILiteS_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of P_mode
-- bit 31~0 - P_mode[31:0] (Read/Write)
-- 0x14 : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of feedforward_AXILiteS_s_axi is
type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states
signal wstate, wnext, rstate, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_P_MODE_DATA_0 : INTEGER := 16#10#;
constant ADDR_P_MODE_CTRL : INTEGER := 16#14#;
constant ADDR_BITS : INTEGER := 5;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_start : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_gie : STD_LOGIC;
signal int_ier : UNSIGNED(1 downto 0);
signal int_isr : UNSIGNED(1 downto 0);
signal int_P_mode : UNSIGNED(31 downto 0);
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wridle;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdidle;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_P_MODE_DATA_0 =>
rdata_data <= RESIZE(int_P_mode(31 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
int_ap_idle <= ap_idle;
int_ap_ready <= ap_ready;
P_mode <= STD_LOGIC_VECTOR(int_P_mode);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (int_ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_P_MODE_DATA_0) then
int_P_mode(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_P_mode(31 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/rd_pe_ss.vhd
|
9
|
28156
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iLOC/zM7Joxw/7u1/3kvLPf/gE0DI9AX05I+qEOauE/7yu3hZQ/vW/F3DMobAlAfRXjv3r131UI4
xp3jO78wPg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KVI7kykeCSUX5fBocqQpSGyifzzNp8Wh49gB3SY5aCkcF70ujPDG/9hKzVEDulBUoOtbOqYXhoJ6
cDn5xn6BYki3kuRcj1mZItS4T8QaSDgMTEM9Aijj4k0hN6ZLETGBDBJKg2OZZ79WIQrCLm+Yp+jA
b9eXqd54dvp4eMuMoF8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qYUG6c35gMmWOmJ0+Jjb5OdGb2PCL0JkqR78MmUYY5UoyvL3BwaxAiIKYYVkJX7CEXshqD+eBNI0
9W+MVH7wRTJDBCk6PMcmsu3NeQu2XZMDibSGlfuAGYgFFKMmAwU1iWlGD7S5ZAszDxIG7Hsub1G3
cGB12cyCQuhcHZlpZtY3OJmIyOR7T+UzJNJFbx6M7i6pUZFQbBnvjcLm+HX6NInXcmNM9wltRgWc
QA08ofalBcb/79RDwtVfdkQDfNV2q+E28Pw28JJ8iQGzaRuMeSskaCSFhD8B7An5FogQZOgaxqEO
j5vMiNlwpZqnSG9tOie5RYD0VydWTxnYXBO0Gw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A+EeNDHsLpmHCom+HasfIuBBRE0S3bFCigDt49+x3CEwcjYWjxIx0qCtRtVq7CI9wSm/Gin+WWfG
91dfuXZp+eucmiP6CHPUMHYExivhUTYpZBeDxxcPgEBLzUE6gaaPNLKU7gnB+L6RM/V+crK209sI
xnRl4bgcMqLIseSvXtU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AzEbyYz2+7QSiim7WpYfcDPoQjw6mNgDWG2fArz05h3/f9vy7U+kvSbnU76BD0mI/hcdrW+NdM0u
WayqP6k9VHNoaeVq03RU2UxLJGfLG1pGdUvSG5M3AoP6lKe0tGtU/8OU2ukN7RIIxnFPT5zhqDZ1
bz7Mq+GC7cYzUWbYi8FRC96SdwHeUzfAf5SEfMfH+YvrY9MFrF/8eVGfIgzjjI3MYGgC8EkgyuWQ
D6m2kYJukFy0EcVvneNXMtGT5lTBe7PkgvpUw8UjK2OEv+w607nnaO5HDvgeARyB5d1AhXWLn1mj
1Kn9vGxKm9guwUGKwthrvkUy4jU1ZgFIlTeLrg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19104)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/Checkers/Control_Part_Checkers/LBDR_packet_drop_checkers/Cx_Reconf/RTL/Cx_Reconf_pseudo_with_checkers_top.vhd
|
3
|
7867
|
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity Cx_Reconf_pseudo_with_checkers_top is
port ( reconfig_cx: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
empty: in std_logic;
grants: in std_logic;
Temp_Cx: in std_logic_vector(3 downto 0);
Faulty_C_N: in std_logic;
Faulty_C_E: in std_logic;
Faulty_C_W: in std_logic;
Faulty_C_S: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Cx_in_out: out std_logic_vector(3 downto 0);
reconfig_cx_in_out: out std_logic;
Temp_Cx_in_out: out std_logic_vector(3 downto 0);
-- Checker Outputs
err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_reconfig_cx_in_reconfig_cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Temp_Cx_equal : out std_logic
);
end Cx_Reconf_pseudo_with_checkers_top;
architecture behavior of Cx_Reconf_pseudo_with_checkers_top is
component Cx_Reconf_pseudo is
port ( reconfig_cx: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
empty: in std_logic;
grants: in std_logic;
Temp_Cx: in std_logic_vector(3 downto 0);
Faulty_C_N: in std_logic;
Faulty_C_E: in std_logic;
Faulty_C_W: in std_logic;
Faulty_C_S: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Cx_in: out std_logic_vector(3 downto 0);
reconfig_cx_in: out std_logic;
Temp_Cx_in: out std_logic_vector(3 downto 0)
);
end component;
component Cx_Reconf_pseudo_checkers is
port ( reconfig_cx: in std_logic; -- *
flit_type: in std_logic_vector(2 downto 0); -- *
empty: in std_logic; -- *
grants: in std_logic; -- *
Cx_in: out std_logic_vector(3 downto 0); -- *
Temp_Cx: in std_logic_vector(3 downto 0); -- *
reconfig_cx_in: in std_logic; -- *
Cx: in std_logic_vector(3 downto 0); -- *
Faulty_C_N: in std_logic; -- *
Faulty_C_E: in std_logic; -- *
Faulty_C_W: in std_logic; -- *
Faulty_C_S: in std_logic; -- *
Temp_Cx_in: in std_logic_vector(3 downto 0); -- *
-- Checker Outputs
err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_reconfig_cx_in_reconfig_cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Temp_Cx_equal : out std_logic
);
end component;
signal Cx_in_sig: std_logic_vector (3 downto 0);
signal reconfig_cx_in_sig: std_logic;
signal Temp_Cx_in_sig: std_logic_vector(3 downto 0);
begin
Cx_in_out <= Cx_in_sig;
reconfig_cx_in_out <= reconfig_cx_in_sig;
Temp_Cx_in_out <= Temp_Cx_in_sig;
-- Cx Reconfiguration module instantiation
Cx_Reconf: Cx_Reconf_pseudo port map (
reconfig_cx => reconfig_cx,
flit_type => flit_type,
empty => empty,
grants => grants,
Temp_Cx => Temp_Cx,
Faulty_C_N => Faulty_C_N,
Faulty_C_E => Faulty_C_E,
Faulty_C_W => Faulty_C_W,
Faulty_C_S => Faulty_C_S,
Cx => Cx,
Cx_in => Cx_in_sig,
reconfig_cx_in => reconfig_cx_in_sig,
Temp_Cx_in => Temp_Cx_in_sig
);
-- Cx Reconfiguration module checkers instantiation
CHECKERS: Cx_Reconf_pseudo_checkers port map (
reconfig_cx => reconfig_cx,
flit_type => flit_type,
empty => empty,
grants => grants,
Cx_in => Cx_in_sig,
Temp_Cx => Temp_Cx,
reconfig_cx_in => reconfig_cx_in_sig,
Cx => Cx,
Faulty_C_N => Faulty_C_N,
Faulty_C_E => Faulty_C_E,
Faulty_C_W => Faulty_C_W,
Faulty_C_S => Faulty_C_S,
Temp_Cx_in => Temp_Cx_in_sig,
-- Checker Outputs
err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Cx_in_Temp_Cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in => err_reconfig_cx_flit_type_Tail_not_empty_grants_not_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Cx_in_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_reconfig_cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_Faulty_C_Temp_Cx_in,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_reconfig_cx_in_reconfig_cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_reconfig_cx_in_reconfig_cx_equal,
err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal => err_reconfig_cx_flit_type_Tail_not_empty_grants_Temp_Cx_in_Temp_Cx_equal,
err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Temp_Cx_equal => err_not_reconfig_cx_flit_type_not_Tail_empty_not_grants_not_Faulty_C_Temp_Cx_in_Temp_Cx_equal
);
end behavior;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/mlite_cpu.vhd
|
12
|
13651
|
---------------------------------------------------------------------
-- TITLE: Plasma CPU core
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/15/01
-- FILENAME: mlite_cpu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- NOTE: MIPS(tm) and MIPS I(tm) are registered trademarks of MIPS
-- Technologies. MIPS Technologies does not endorse and is not
-- associated with this project.
-- DESCRIPTION:
-- Top level VHDL document that ties the nine other entities together.
--
-- Executes all MIPS I(tm) opcodes but exceptions and non-aligned
-- memory accesses. Based on information found in:
-- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
-- and "The Designer's Guide to VHDL" by Peter J. Ashenden
--
-- The CPU is implemented as a two or three stage pipeline.
-- An add instruction would take the following steps (see cpu.gif):
-- Stage #0:
-- 1. The "pc_next" entity passes the program counter (PC) to the
-- "mem_ctrl" entity which fetches the opcode from memory.
-- Stage #1:
-- 2. The memory returns the opcode.
-- Stage #2:
-- 3. "Mem_ctrl" passes the opcode to the "control" entity.
-- 4. "Control" converts the 32-bit opcode to a 60-bit VLWI opcode
-- and sends control signals to the other entities.
-- 5. Based on the rs_index and rt_index control signals, "reg_bank"
-- sends the 32-bit reg_source and reg_target to "bus_mux".
-- 6. Based on the a_source and b_source control signals, "bus_mux"
-- multiplexes reg_source onto a_bus and reg_target onto b_bus.
-- Stage #3 (part of stage #2 if using two stage pipeline):
-- 7. Based on the alu_func control signals, "alu" adds the values
-- from a_bus and b_bus and places the result on c_bus.
-- 8. Based on the c_source control signals, "bus_bux" multiplexes
-- c_bus onto reg_dest.
-- 9. Based on the rd_index control signal, "reg_bank" saves
-- reg_dest into the correct register.
-- Stage #3b:
-- 10. Read or write memory if needed.
--
-- All signals are active high.
-- Here are the signals for writing a character to address 0xffff
-- when using a two stage pipeline:
--
-- Program:
-- addr value opcode
-- =============================
-- 3c: 00000000 nop
-- 40: 34040041 li $a0,0x41
-- 44: 3405ffff li $a1,0xffff
-- 48: a0a40000 sb $a0,0($a1)
-- 4c: 00000000 nop
-- 50: 00000000 nop
--
-- intr_in mem_pause
-- reset_in byte_we Stages
-- ns address data_w data_r 40 44 48 4c 50
-- 3600 0 0 00000040 00000000 34040041 0 0 1
-- 3700 0 0 00000044 00000000 3405FFFF 0 0 2 1
-- 3800 0 0 00000048 00000000 A0A40000 0 0 2 1
-- 3900 0 0 0000004C 41414141 00000000 0 0 2 1
-- 4000 0 0 0000FFFC 41414141 XXXXXX41 1 0 3 2
-- 4100 0 0 00000050 00000000 00000000 0 0 1
-- modified by: Siavoosh Payandeh Azad
-- Change logs:
-- * An NI has been Instantiated
-- * some changes has been applied to the ports of the older modules
-- to facilitate the new module!
-- * A specific memory address in external ram has been blocked to be used by the NI
-- * IRQ return address register have been changed! It used to be saved in R0, now it is R26
---------------------------------------------------------------------
library ieee;
use work.mlite_pack.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mlite_cpu is
generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_
mult_type : string := "DEFAULT"; --AREA_OPTIMIZED
shifter_type : string := "DEFAULT"; --AREA_OPTIMIZED
alu_type : string := "DEFAULT"; --AREA_OPTIMIZED
pipeline_stages : natural := 2); --2 or 3
port(clk : in std_logic;
reset_in : in std_logic;
intr_in : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
address_next : out std_logic_vector(31 downto 2); --for synch ram
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0);
mem_pause : in std_logic);
end; --entity mlite_cpu
architecture logic of mlite_cpu is
--When using a two stage pipeline "sigD <= sig".
--When using a three stage pipeline "sigD <= sig when rising_edge(clk)",
-- so sigD is delayed by one clock cycle.
signal opcode : std_logic_vector(31 downto 0);
signal rs_index : std_logic_vector(5 downto 0);
signal rt_index : std_logic_vector(5 downto 0);
signal rd_index : std_logic_vector(5 downto 0);
signal rd_indexD : std_logic_vector(5 downto 0);
signal reg_source : std_logic_vector(31 downto 0);
signal reg_target : std_logic_vector(31 downto 0);
signal reg_dest : std_logic_vector(31 downto 0);
signal reg_destD : std_logic_vector(31 downto 0);
signal a_bus : std_logic_vector(31 downto 0);
signal a_busD : std_logic_vector(31 downto 0);
signal b_bus : std_logic_vector(31 downto 0);
signal b_busD : std_logic_vector(31 downto 0);
signal c_bus : std_logic_vector(31 downto 0);
signal c_alu : std_logic_vector(31 downto 0);
signal c_shift : std_logic_vector(31 downto 0);
signal c_mult : std_logic_vector(31 downto 0);
signal c_memory : std_logic_vector(31 downto 0);
signal imm : std_logic_vector(15 downto 0);
signal pc_future : std_logic_vector(31 downto 2);
signal pc_current : std_logic_vector(31 downto 2);
signal pc_plus4 : std_logic_vector(31 downto 2);
signal alu_func : alu_function_type;
signal alu_funcD : alu_function_type;
signal shift_func : shift_function_type;
signal shift_funcD : shift_function_type;
signal mult_func : mult_function_type;
signal mult_funcD : mult_function_type;
signal branch_func : branch_function_type;
signal take_branch : std_logic;
signal a_source : a_source_type;
signal b_source : b_source_type;
signal c_source : c_source_type;
signal pc_source : pc_source_type;
signal mem_source : mem_source_type;
signal pause_mult : std_logic;
signal pause_ctrl : std_logic;
signal pause_pipeline : std_logic;
signal pause_any : std_logic;
signal pause_non_ctrl : std_logic;
signal pause_bank : std_logic;
signal nullify_op : std_logic;
signal intr_enable : std_logic;
signal intr_signal : std_logic;
signal exception_sig : std_logic;
signal reset_reg : std_logic_vector(3 downto 0);
signal reset : std_logic;
begin --architecture
pause_any <= (mem_pause or pause_ctrl) or (pause_mult or pause_pipeline);
pause_non_ctrl <= (mem_pause or pause_mult) or pause_pipeline;
pause_bank <= ((mem_pause or pause_ctrl or pause_mult) and not pause_pipeline);
nullify_op <= '1' when (pc_source = FROM_LBRANCH and take_branch = '0')
or intr_signal = '1' or exception_sig = '1'
else '0';
c_bus <= c_alu or c_shift or c_mult;
reset <= '1' when reset_in = '1' or reset_reg /= "1111" else '0';
--synchronize reset and interrupt pins
intr_proc: process(clk, reset_in, reset_reg, intr_in, intr_enable,
pc_source, pc_current, pause_any)
begin
if reset_in = '1' then
reset_reg <= "0000";
intr_signal <= '0';
elsif rising_edge(clk) then
if reset_reg /= "1111" then
reset_reg <= reset_reg + 1;
end if;
--don't try to interrupt a multi-cycle instruction
if pause_any = '0' then
if intr_in = '1' and intr_enable = '1' and pc_source = FROM_INC4 then -- pc_source = "00"
--the epc will contain pc+4
intr_signal <= '1';
else
intr_signal <= '0';
end if;
end if;
end if;
end process;
u1_pc_next: pc_next PORT MAP (
clk => clk,
reset_in => reset,
take_branch => take_branch,
pause_in => pause_any,
pc_new => c_bus(31 downto 2),
opcode25_0 => opcode(25 downto 0),
pc_source => pc_source,
pc_future => pc_future,
pc_current => pc_current,
pc_plus4 => pc_plus4);
u2_mem_ctrl: mem_ctrl
PORT MAP (
clk => clk,
reset_in => reset,
pause_in => pause_non_ctrl,
nullify_op => nullify_op,
address_pc => pc_future,
opcode_out => opcode,
address_in => c_bus,
mem_source => mem_source,
data_write => reg_target,
data_read => c_memory,
pause_out => pause_ctrl,
address_next => address_next,
byte_we_next => byte_we_next,
address => address,
byte_we => byte_we,
data_w => data_w,
data_r => data_r);
u3_control: control PORT MAP (
opcode => opcode, -- is it opcode or the whole instruction ??
intr_signal => intr_signal,
--NI_read_flag => NI_read_flag,
--NI_write_flag => NI_write_flag,
rs_index => rs_index,
rt_index => rt_index,
rd_index => rd_index,
imm_out => imm,
alu_func => alu_func,
shift_func => shift_func,
mult_func => mult_func,
branch_func => branch_func,
a_source_out => a_source,
b_source_out => b_source,
c_source_out => c_source,
pc_source_out=> pc_source,
mem_source_out=> mem_source,
exception_out=> exception_sig);
u4_reg_bank: reg_bank
generic map(memory_type => memory_type)
port map (
clk => clk,
reset_in => reset,
pause => pause_bank,
interrupt_in => intr_in,
rs_index => rs_index,
rt_index => rt_index,
rd_index => rd_indexD,
reg_source_out => reg_source,
reg_target_out => reg_target,
reg_dest_new => reg_destD,
intr_enable => intr_enable);
u5_bus_mux: bus_mux port map (
imm_in => imm,
reg_source => reg_source,
a_mux => a_source,
a_out => a_bus,
reg_target => reg_target,
b_mux => b_source,
b_out => b_bus,
c_bus => c_bus,
c_memory => c_memory,
c_pc => pc_current,
c_pc_plus4 => pc_plus4,
c_mux => c_source,
reg_dest_out => reg_dest,
branch_func => branch_func,
take_branch => take_branch);
u6_alu: alu
generic map (alu_type => alu_type)
port map (
a_in => a_busD,
b_in => b_busD,
alu_function => alu_funcD,
c_alu => c_alu);
u7_shifter: shifter
generic map (shifter_type => shifter_type)
port map (
value => b_busD,
shift_amount => a_busD(4 downto 0),
shift_func => shift_funcD,
c_shift => c_shift);
u8_mult: mult
generic map (mult_type => mult_type)
port map (
clk => clk,
reset_in => reset,
a => a_busD,
b => b_busD,
mult_func => mult_funcD,
c_mult => c_mult,
pause_out => pause_mult);
pipeline2: if pipeline_stages <= 2 generate
a_busD <= a_bus;
b_busD <= b_bus;
alu_funcD <= alu_func;
shift_funcD <= shift_func;
mult_funcD <= mult_func;
rd_indexD <= rd_index;
reg_destD <= reg_dest;
pause_pipeline <= '0';
end generate; --pipeline2
pipeline3: if pipeline_stages > 2 generate
--When operating in three stage pipeline mode, the following signals
--are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func,
--c_source, and rd_index.
u9_pipeline: pipeline port map (
clk => clk,
reset => reset,
a_bus => a_bus,
a_busD => a_busD,
b_bus => b_bus,
b_busD => b_busD,
alu_func => alu_func,
alu_funcD => alu_funcD,
shift_func => shift_func,
shift_funcD => shift_funcD,
mult_func => mult_func,
mult_funcD => mult_funcD,
reg_dest => reg_dest,
reg_destD => reg_destD,
rd_index => rd_index,
rd_indexD => rd_indexD,
rs_index => rs_index,
rt_index => rt_index,
pc_source => pc_source,
mem_source => mem_source,
a_source => a_source,
b_source => b_source,
c_source => c_source,
c_bus => c_bus,
pause_any => pause_any,
pause_pipeline => pause_pipeline);
end generate; --pipeline3
end; --architecture logic
|
gpl-3.0
|
sunoc/vhdl-lz4-variation
|
z_old/sha1/sha1.vhd
|
1
|
13857
|
-----------------------------------------------------------------------------------
--! @file sha1.vhd
--! @brief SHA-1 Package :
--! SHA-1用各種定義パッケージ.
--! @version 0.9.1
--! @date 2012/11/27
--! @author Ichiro Kawazome <[email protected]>
-----------------------------------------------------------------------------------
--
-- Copyright (C) 2012 Ichiro Kawazome
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
-- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
-- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
-- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種定義パッケージ.
-----------------------------------------------------------------------------------
package SHA1 is
-------------------------------------------------------------------------------
-- ハッシュのビット数
-------------------------------------------------------------------------------
constant HASH_BITS : integer := 160;
-------------------------------------------------------------------------------
-- 1ワードのビット数
-------------------------------------------------------------------------------
constant WORD_BITS : integer := 32;
-------------------------------------------------------------------------------
-- ラウンド数
-------------------------------------------------------------------------------
constant ROUNDS : integer := 80;
-------------------------------------------------------------------------------
-- ワードの型宣言
-------------------------------------------------------------------------------
subtype WORD_TYPE is std_logic_vector(WORD_BITS-1 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
-------------------------------------------------------------------------------
-- ハッシュレジスタの初期値
-------------------------------------------------------------------------------
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"67452301"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"EFCDAB89"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"98BADCFE"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"10325476"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"C3D2E1F0"));
-------------------------------------------------------------------------------
-- K[t]の値
-------------------------------------------------------------------------------
constant K0 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5A827999"));
constant K1 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6ED9EBA1"));
constant K2 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"8F1BBCDC"));
constant K3 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"CA62C1D6"));
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
-- SHA1_COREのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_CORE
generic (
SYMBOL_BITS : --! @brief INPUT SYMBOL BITS :
--! 入力データの1シンボルのビット数を指定する.
integer := 8;
SYMBOLS : --! @brief INPUT SYMBOL SIZE :
--! 入力データのシンボル数を指定する.
integer := 4;
REVERSE : --! @brief INPUT SYMBOL REVERSE :
--! 入力データのシンボルのビット並びを逆にするかどうかを指定する.
integer := 1;
WORDS : --! @brief WORD SIZE :
--! 一度に処理するワード数を指定する.
integer := 1;
BLOCK_GAP : --! @brief BLOCK GAP CYCLE :
--! 1ブロック(16word)処理する毎に挿入するギャップのサイクル
--! 数を指定する.
--! サイクル数分だけスループットが落ちるが、動作周波数が上が
--! る可能性がある.
integer := 1
);
port (
---------------------------------------------------------------------------
-- クロック&リセット信号
---------------------------------------------------------------------------
CLK : --! @brief CLOCK :
--! クロック信号
in std_logic;
RST : --! @brief ASYNCRONOUSE RESET :
--! 非同期リセット信号.アクティブハイ.
in std_logic;
CLR : --! @brief SYNCRONOUSE RESET :
--! 同期リセット信号.アクティブハイ.
in std_logic;
---------------------------------------------------------------------------
-- 入力側 I/F
---------------------------------------------------------------------------
I_DATA : --! @brief INPUT SYMBOL DATA :
in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : --! @brief INPUT SYMBOL DATA ENABLE :
in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : --! @brief INPUT SYMBOL DATA DONE :
in std_logic;
I_LAST : --! @brief INPUT SYMBOL DATA LAST :
in std_logic;
I_VAL : --! @brief INPUT SYMBOL DATA VALID :
in std_logic;
I_RDY : --! @brief INPUT SYMBOL DATA READY :
out std_logic;
---------------------------------------------------------------------------
-- 出力側 I/F
---------------------------------------------------------------------------
O_DATA : --! @brief OUTPUT WORD DATA :
out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : --! @brief OUTPUT WORD VALID :
out std_logic;
O_RDY : --! @brief OUTPUT WORD READY :
in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_SCHEDULEのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_SCHEDULE
generic (
WORD_BITS : integer := WORD_BITS;
WORDS : integer := 1;
INPUT_NUM : integer := 16;
CALC_NUM : integer := ROUNDS;
END_NUM : integer := ROUNDS
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DONE : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
O_INPUT : out std_logic;
O_LAST : out std_logic;
O_DONE : out std_logic;
O_NUM : out integer range 0 to END_NUM-1;
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_PRE_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_PRE_PROC
generic (
WORD_BITS : integer := 32;
WORDS : integer := 1;
SYMBOL_BITS : integer := 8;
SYMBOLS : integer := 4;
REVERSE : integer := 1
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DATA : in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : in std_logic;
I_LAST : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
M_DATA : out std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : out std_logic;
M_VAL : out std_logic;
M_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA1_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_PROC
generic (
WORDS : integer := 1;
PIPELINE : integer := 1;
BLOCK_GAP : integer := 0
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
M_DATA : in std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : in std_logic;
M_VAL : in std_logic;
M_RDY : out std_logic;
O_DATA : out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
end SHA1;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種プロシージャの定義.
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package body SHA1 is
-------------------------------------------------------------------------------
-- ローテート演算関数.
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector is
begin
return X(WORD_TYPE'high-N downto WORD_TYPE'low ) &
X(WORD_TYPE'high downto WORD_TYPE'high-N+1);
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return D xor (B and (C xor D));
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return B xor C xor D;
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return (B and C) or ((B or C) and D);
end function;
end SHA1;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_status_flags_as.vhd
|
9
|
20310
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
axD9bPqFNbL+7Ptct91o08A+KILxBcyYns8wvgYaMbpYlYVQN6wWmjm3pQ7UCMLsStG8hqpKZTAL
ePfutz6E8w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kCVVbAb6Da6CkFhW1lWz35u27fWp4vLK28zYf9Mjc4a0NCP22v/I2IX8+GhhxYXnHMUTV8uZabM2
D9URIxuC31ug0xEMwoKppTOIGhjUX/+R5dvrtpQrjjYMdXBKoaXexB4BfIXQsdDZTYf125pBKP83
l0uKqnOKadI4arVlzdk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sFSrhk+JJQwzwCnOxzh6Y4QUBoDTkC1vlLyLQ4rXT9sDkn3RK64OEfN3zhtPDrRP9aBYd4VZDNne
6FK0FD0AVktFONeUwWbZi8zxgT+U+r/dpBfLK8pm2aevQP2j75KBHieQkGe3ns50gv0aUD89/BM7
WZnv3LHedKDNZSEYMs4Gqe7JxkOsiVehsBOMdESCBkEwuJM4HIgsXpPq8lVystM2Sj+rv+5TuCzT
Tv6gVZhT9gmy1loiOxdsItRRa/JYCILmNX67CninRSnr2XIwpqYwZyI1f9+9k9p0xg/D9R9HWoQa
nTL/jDdgvHXfS37abY6X2+wEa1fDEvC+Ge0hLg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hrUloOmvTY4oGDIcXf2D5xdPloTUca9TgE+hGJHgJN6zkIvuYiD+eXXkVoEa7dJdz1eR/FzWYWk2
/xWHtbhOQurmnr5ksShh15XaJGzlOaTlXNv6ROKu2ES2K9+8GgBEJK91+pmv8fo+1LESRH0/K07b
iRe+3tl9bgmMJDjmssQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VFq43Rd2/dvC8LqJGUBRycB+5Bh3VNtnbYQau0imJRHxLYf5FkYWqBKxZyeknZkjuZCMSszRTkho
eEDPJWeL5JXAUb45jrLnSHYerG7mhL/srDk+w/vbav6a/IpTFIorbz0fD2k0SO6op/3QJPdiatFv
OJd95RnUfd9sda1vK1pMnm3h2ytPFqiWr1meqHBJnW34WLQgoulAu5+ODbrhbVHClGmrIjKGNP0x
2Z2PCjdWVB6AgrIemMOpTRQ1lO1P0BEBB2Ace2qiizY4RFFyXaNSKd5WNpBoGoTvrcXlLPOqr4vm
EAOsT3blrwrvYmkhv4Qz5wqfz8ixq1WlTpJQmA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_status_flags_as.vhd
|
9
|
20310
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
axD9bPqFNbL+7Ptct91o08A+KILxBcyYns8wvgYaMbpYlYVQN6wWmjm3pQ7UCMLsStG8hqpKZTAL
ePfutz6E8w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kCVVbAb6Da6CkFhW1lWz35u27fWp4vLK28zYf9Mjc4a0NCP22v/I2IX8+GhhxYXnHMUTV8uZabM2
D9URIxuC31ug0xEMwoKppTOIGhjUX/+R5dvrtpQrjjYMdXBKoaXexB4BfIXQsdDZTYf125pBKP83
l0uKqnOKadI4arVlzdk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sFSrhk+JJQwzwCnOxzh6Y4QUBoDTkC1vlLyLQ4rXT9sDkn3RK64OEfN3zhtPDrRP9aBYd4VZDNne
6FK0FD0AVktFONeUwWbZi8zxgT+U+r/dpBfLK8pm2aevQP2j75KBHieQkGe3ns50gv0aUD89/BM7
WZnv3LHedKDNZSEYMs4Gqe7JxkOsiVehsBOMdESCBkEwuJM4HIgsXpPq8lVystM2Sj+rv+5TuCzT
Tv6gVZhT9gmy1loiOxdsItRRa/JYCILmNX67CninRSnr2XIwpqYwZyI1f9+9k9p0xg/D9R9HWoQa
nTL/jDdgvHXfS37abY6X2+wEa1fDEvC+Ge0hLg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hrUloOmvTY4oGDIcXf2D5xdPloTUca9TgE+hGJHgJN6zkIvuYiD+eXXkVoEa7dJdz1eR/FzWYWk2
/xWHtbhOQurmnr5ksShh15XaJGzlOaTlXNv6ROKu2ES2K9+8GgBEJK91+pmv8fo+1LESRH0/K07b
iRe+3tl9bgmMJDjmssQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VFq43Rd2/dvC8LqJGUBRycB+5Bh3VNtnbYQau0imJRHxLYf5FkYWqBKxZyeknZkjuZCMSszRTkho
eEDPJWeL5JXAUb45jrLnSHYerG7mhL/srDk+w/vbav6a/IpTFIorbz0fD2k0SO6op/3QJPdiatFv
OJd95RnUfd9sda1vK1pMnm3h2ytPFqiWr1meqHBJnW34WLQgoulAu5+ODbrhbVHClGmrIjKGNP0x
2Z2PCjdWVB6AgrIemMOpTRQ1lO1P0BEBB2Ace2qiizY4RFFyXaNSKd5WNpBoGoTvrcXlLPOqr4vm
EAOsT3blrwrvYmkhv4Qz5wqfz8ixq1WlTpJQmA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/allocator_logic_pseudo_checkers.vhd
|
12
|
24829
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator_logic_pseudo_checkers is
port (
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
grant_N_N_sig, grant_N_E_sig, grant_N_W_sig, grant_N_S_sig, grant_N_L_sig: in std_logic;
grant_E_N_sig, grant_E_E_sig, grant_E_W_sig, grant_E_S_sig, grant_E_L_sig: in std_logic;
grant_W_N_sig, grant_W_E_sig, grant_W_W_sig, grant_W_S_sig, grant_W_L_sig: in std_logic;
grant_S_N_sig, grant_S_E_sig, grant_S_W_sig, grant_S_S_sig, grant_S_L_sig: in std_logic;
grant_L_N_sig, grant_L_E_sig, grant_L_W_sig, grant_L_S_sig, grant_L_L_sig: in std_logic;
valid_N, valid_E, valid_W, valid_S, valid_L : in std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: in std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: in std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: in std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: in std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L : in std_logic;
-- Checker outputs
err_grant_N_N_sig_not_empty_N_grant_N_N,
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N,
err_grant_N_E_sig_not_empty_E_grant_N_E,
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E,
err_grant_N_W_sig_not_empty_W_grant_N_W,
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W,
err_grant_N_S_sig_not_empty_S_grant_N_S,
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S,
err_grant_N_L_sig_not_empty_L_grant_N_L,
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L,
err_grant_E_N_sig_not_empty_N_grant_E_N,
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N,
err_grant_E_E_sig_not_empty_E_grant_E_E,
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E,
err_grant_E_W_sig_not_empty_W_grant_E_W,
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W,
err_grant_E_S_sig_not_empty_S_grant_E_S,
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S,
err_grant_E_L_sig_not_empty_L_grant_E_L,
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L,
err_grant_W_N_sig_not_empty_N_grant_W_N,
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N,
err_grant_W_E_sig_not_empty_E_grant_W_E,
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E,
err_grant_W_W_sig_not_empty_W_grant_W_W,
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W,
err_grant_W_S_sig_not_empty_S_grant_W_S,
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S,
err_grant_W_L_sig_not_empty_L_grant_W_L,
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L,
err_grant_S_N_sig_not_empty_N_grant_S_N,
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N,
err_grant_S_E_sig_not_empty_E_grant_S_E,
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E,
err_grant_S_W_sig_not_empty_W_grant_S_W,
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W,
err_grant_S_S_sig_not_empty_S_grant_S_S,
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S,
err_grant_S_L_sig_not_empty_L_grant_S_L,
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L,
err_grant_L_N_sig_not_empty_N_grant_L_N,
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N,
err_grant_L_E_sig_not_empty_E_grant_L_E,
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E,
err_grant_L_W_sig_not_empty_W_grant_L_W,
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W,
err_grant_L_S_sig_not_empty_S_grant_L_S,
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S,
err_grant_L_L_sig_not_empty_L_grant_L_L,
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L,
err_grant_signals_not_empty_grant_N,
err_not_grant_signals_empty_not_grant_N,
err_grant_signals_not_empty_grant_E,
err_not_grant_signals_empty_not_grant_E,
err_grant_signals_not_empty_grant_W,
err_not_grant_signals_empty_not_grant_W,
err_grant_signals_not_empty_grant_S,
err_not_grant_signals_empty_not_grant_S,
err_grant_signals_not_empty_grant_L,
err_not_grant_signals_empty_not_grant_L,
err_grants_valid_not_match : out std_logic
);
end allocator_logic_pseudo_checkers;
architecture behavior of allocator_logic_pseudo_checkers is
begin
-- The combionational part
-- Checkers
-- Grant_N checkers
process (grant_N_N_sig, empty_N, grant_N_N)
begin
if (grant_N_N_sig = '1' and empty_N = '0' and grant_N_N = '0') then
err_grant_N_N_sig_not_empty_N_grant_N_N <= '1';
else
err_grant_N_N_sig_not_empty_N_grant_N_N <= '0';
end if;
end process;
process (grant_N_N_sig, empty_N, grant_N_N)
begin
if ( (grant_N_N_sig = '0' or empty_N = '1') and grant_N_N = '1') then
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '1';
else
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '0';
end if;
end process;
process (grant_N_E_sig, empty_E, grant_N_E)
begin
if (grant_N_E_sig = '1' and empty_E = '0' and grant_N_E = '0') then
err_grant_N_E_sig_not_empty_E_grant_N_E <= '1';
else
err_grant_N_E_sig_not_empty_E_grant_N_E <= '0';
end if;
end process;
process (grant_N_E_sig, empty_E, grant_N_E)
begin
if ( (grant_N_E_sig = '0' or empty_E = '1') and grant_N_E = '1') then
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '1';
else
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '0';
end if;
end process;
process (grant_N_W_sig, empty_W, grant_N_W)
begin
if (grant_N_W_sig = '1' and empty_W = '0' and grant_N_W = '0') then
err_grant_N_W_sig_not_empty_W_grant_N_W <= '1';
else
err_grant_N_W_sig_not_empty_W_grant_N_W <= '0';
end if;
end process;
process (grant_N_W_sig, empty_W, grant_N_W)
begin
if ( (grant_N_W_sig = '0' or empty_W = '1') and grant_N_W = '1') then
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '1';
else
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '0';
end if;
end process;
process (grant_N_S_sig, empty_S, grant_N_S)
begin
if (grant_N_S_sig = '1' and empty_S = '0' and grant_N_S = '0') then
err_grant_N_S_sig_not_empty_S_grant_N_S <= '1';
else
err_grant_N_S_sig_not_empty_S_grant_N_S <= '0';
end if;
end process;
process (grant_N_S_sig, empty_S, grant_N_S)
begin
if ( (grant_N_S_sig = '0' or empty_S = '1') and grant_N_S = '1') then
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '1';
else
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '0';
end if;
end process;
process (grant_N_L_sig, empty_L, grant_N_L)
begin
if (grant_N_L_sig = '1' and empty_L = '0' and grant_N_L = '0') then
err_grant_N_L_sig_not_empty_L_grant_N_L <= '1';
else
err_grant_N_L_sig_not_empty_L_grant_N_L <= '0';
end if;
end process;
process (grant_N_L_sig, empty_L, grant_N_L)
begin
if ( (grant_N_L_sig = '0' or empty_L = '1') and grant_N_L = '1') then
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '1';
else
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_E checkers
process (grant_E_N_sig, empty_N, grant_E_N)
begin
if (grant_E_N_sig = '1' and empty_N = '0' and grant_E_N = '0') then
err_grant_E_N_sig_not_empty_N_grant_E_N <= '1';
else
err_grant_E_N_sig_not_empty_N_grant_E_N <= '0';
end if;
end process;
process (grant_E_N_sig, empty_N, grant_E_N)
begin
if ( (grant_E_N_sig = '0' or empty_N = '1') and grant_E_N = '1') then
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '1';
else
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '0';
end if;
end process;
process (grant_E_E_sig, empty_E, grant_E_E)
begin
if (grant_E_E_sig = '1' and empty_E = '0' and grant_E_E = '0') then
err_grant_E_E_sig_not_empty_E_grant_E_E <= '1';
else
err_grant_E_E_sig_not_empty_E_grant_E_E <= '0';
end if;
end process;
process (grant_E_E_sig, empty_E, grant_E_E)
begin
if ( (grant_E_E_sig = '0' or empty_E = '1') and grant_E_E = '1') then
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '1';
else
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '0';
end if;
end process;
process (grant_E_W_sig, empty_W, grant_E_W)
begin
if (grant_E_W_sig = '1' and empty_W = '0' and grant_E_W = '0') then
err_grant_E_W_sig_not_empty_W_grant_E_W <= '1';
else
err_grant_E_W_sig_not_empty_W_grant_E_W <= '0';
end if;
end process;
process (grant_E_W_sig, empty_W, grant_E_W)
begin
if ( (grant_E_W_sig = '0' or empty_W = '1') and grant_E_W = '1') then
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '1';
else
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '0';
end if;
end process;
process (grant_E_S_sig, empty_S, grant_E_S)
begin
if (grant_E_S_sig = '1' and empty_S = '0' and grant_E_S = '0') then
err_grant_E_S_sig_not_empty_S_grant_E_S <= '1';
else
err_grant_E_S_sig_not_empty_S_grant_E_S <= '0';
end if;
end process;
process (grant_E_S_sig, empty_S, grant_E_S)
begin
if ( (grant_E_S_sig = '0' or empty_S = '1') and grant_E_S = '1') then
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '1';
else
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '0';
end if;
end process;
process (grant_E_L_sig, empty_L, grant_E_L)
begin
if (grant_E_L_sig = '1' and empty_L = '0' and grant_E_L = '0') then
err_grant_E_L_sig_not_empty_L_grant_E_L <= '1';
else
err_grant_E_L_sig_not_empty_L_grant_E_L <= '0';
end if;
end process;
process (grant_E_L_sig, empty_L, grant_E_L)
begin
if ( (grant_E_L_sig = '0' or empty_L = '1') and grant_E_L = '1') then
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '1';
else
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_W checkers
process (grant_W_N_sig, empty_N, grant_W_N)
begin
if (grant_W_N_sig = '1' and empty_N = '0' and grant_W_N = '0') then
err_grant_W_N_sig_not_empty_N_grant_W_N <= '1';
else
err_grant_W_N_sig_not_empty_N_grant_W_N <= '0';
end if;
end process;
process (grant_W_N_sig, empty_N, grant_W_N)
begin
if ( (grant_W_N_sig = '0' or empty_N = '1') and grant_W_N = '1') then
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '1';
else
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '0';
end if;
end process;
process (grant_W_E_sig, empty_E, grant_W_E)
begin
if (grant_W_E_sig = '1' and empty_E = '0' and grant_W_E = '0') then
err_grant_W_E_sig_not_empty_E_grant_W_E <= '1';
else
err_grant_W_E_sig_not_empty_E_grant_W_E <= '0';
end if;
end process;
process (grant_W_E_sig, empty_E, grant_W_E)
begin
if ( (grant_W_E_sig = '0' or empty_E = '1') and grant_W_E = '1') then
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '1';
else
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '0';
end if;
end process;
process (grant_W_W_sig, empty_W, grant_W_W)
begin
if (grant_W_W_sig = '1' and empty_W = '0' and grant_W_W = '0') then
err_grant_W_W_sig_not_empty_W_grant_W_W <= '1';
else
err_grant_W_W_sig_not_empty_W_grant_W_W <= '0';
end if;
end process;
process (grant_W_W_sig, empty_W, grant_W_W)
begin
if ( (grant_W_W_sig = '0' or empty_W = '1') and grant_W_W = '1') then
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '1';
else
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '0';
end if;
end process;
process (grant_W_S_sig, empty_S, grant_W_S)
begin
if (grant_W_S_sig = '1' and empty_S = '0' and grant_W_S = '0') then
err_grant_W_S_sig_not_empty_S_grant_W_S <= '1';
else
err_grant_W_S_sig_not_empty_S_grant_W_S <= '0';
end if;
end process;
process (grant_W_S_sig, empty_S, grant_W_S)
begin
if ( (grant_W_S_sig = '0' or empty_S = '1') and grant_W_S = '1') then
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '1';
else
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '0';
end if;
end process;
process (grant_W_L_sig, empty_L, grant_W_L)
begin
if (grant_W_L_sig = '1' and empty_L = '0' and grant_W_L = '0') then
err_grant_W_L_sig_not_empty_L_grant_W_L <= '1';
else
err_grant_W_L_sig_not_empty_L_grant_W_L <= '0';
end if;
end process;
process (grant_W_L_sig, empty_L, grant_W_L)
begin
if ( (grant_W_L_sig = '0' or empty_L = '1') and grant_W_L = '1') then
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '1';
else
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_S checkers
process (grant_S_N_sig, empty_N, grant_S_N)
begin
if (grant_S_N_sig = '1' and empty_N = '0' and grant_S_N = '0') then
err_grant_S_N_sig_not_empty_N_grant_S_N <= '1';
else
err_grant_S_N_sig_not_empty_N_grant_S_N <= '0';
end if;
end process;
process (grant_S_N_sig, empty_N, grant_S_N)
begin
if ( (grant_S_N_sig = '0' or empty_N = '1') and grant_S_N = '1') then
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '1';
else
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '0';
end if;
end process;
process (grant_S_E_sig, empty_E, grant_S_E)
begin
if (grant_S_E_sig = '1' and empty_E = '0' and grant_S_E = '0') then
err_grant_S_E_sig_not_empty_E_grant_S_E <= '1';
else
err_grant_S_E_sig_not_empty_E_grant_S_E <= '0';
end if;
end process;
process (grant_S_E_sig, empty_E, grant_S_E)
begin
if ( (grant_S_E_sig = '0' or empty_E = '1') and grant_S_E = '1') then
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '1';
else
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '0';
end if;
end process;
process (grant_S_W_sig, empty_W, grant_S_W)
begin
if (grant_S_W_sig = '1' and empty_W = '0' and grant_S_W = '0') then
err_grant_S_W_sig_not_empty_W_grant_S_W <= '1';
else
err_grant_S_W_sig_not_empty_W_grant_S_W <= '0';
end if;
end process;
process (grant_S_W_sig, empty_W, grant_S_W)
begin
if ( (grant_S_W_sig = '0' or empty_W = '1') and grant_S_W = '1') then
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '1';
else
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '0';
end if;
end process;
process (grant_S_S_sig, empty_S, grant_S_S)
begin
if (grant_S_S_sig = '1' and empty_S = '0' and grant_S_S = '0') then
err_grant_S_S_sig_not_empty_S_grant_S_S <= '1';
else
err_grant_S_S_sig_not_empty_S_grant_S_S <= '0';
end if;
end process;
process (grant_S_S_sig, empty_S, grant_S_S)
begin
if ( (grant_S_S_sig = '0' or empty_S = '1') and grant_S_S = '1') then
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '1';
else
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '0';
end if;
end process;
process (grant_S_L_sig, empty_L, grant_S_L)
begin
if (grant_S_L_sig = '1' and empty_L = '0' and grant_S_L = '0') then
err_grant_S_L_sig_not_empty_L_grant_S_L <= '1';
else
err_grant_S_L_sig_not_empty_L_grant_S_L <= '0';
end if;
end process;
process (grant_S_L_sig, empty_L, grant_S_L)
begin
if ( (grant_S_L_sig = '0' or empty_L = '1') and grant_S_L = '1') then
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '1';
else
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_L checkers
process (grant_L_N_sig, empty_N, grant_L_N)
begin
if (grant_L_N_sig = '1' and empty_N = '0' and grant_L_N = '0') then
err_grant_L_N_sig_not_empty_N_grant_L_N <= '1';
else
err_grant_L_N_sig_not_empty_N_grant_L_N <= '0';
end if;
end process;
process (grant_L_N_sig, empty_N, grant_L_N)
begin
if ( (grant_L_N_sig = '0' or empty_N = '1') and grant_L_N = '1') then
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '1';
else
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '0';
end if;
end process;
process (grant_L_E_sig, empty_E, grant_L_E)
begin
if (grant_L_E_sig = '1' and empty_E = '0' and grant_L_E = '0') then
err_grant_L_E_sig_not_empty_E_grant_L_E <= '1';
else
err_grant_L_E_sig_not_empty_E_grant_L_E <= '0';
end if;
end process;
process (grant_L_E_sig, empty_E, grant_L_E)
begin
if ( (grant_L_E_sig = '0' or empty_E = '1') and grant_L_E = '1') then
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '1';
else
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '0';
end if;
end process;
process (grant_L_W_sig, empty_W, grant_L_W)
begin
if (grant_L_W_sig = '1' and empty_W = '0' and grant_L_W = '0') then
err_grant_L_W_sig_not_empty_W_grant_L_W <= '1';
else
err_grant_L_W_sig_not_empty_W_grant_L_W <= '0';
end if;
end process;
process (grant_L_W_sig, empty_W, grant_L_W)
begin
if ( (grant_L_W_sig = '0' or empty_W = '1') and grant_L_W = '1') then
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '1';
else
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '0';
end if;
end process;
process (grant_L_S_sig, empty_S, grant_L_S)
begin
if (grant_L_S_sig = '1' and empty_S = '0' and grant_L_S = '0') then
err_grant_L_S_sig_not_empty_S_grant_L_S <= '1';
else
err_grant_L_S_sig_not_empty_S_grant_L_S <= '0';
end if;
end process;
process (grant_L_S_sig, empty_S, grant_L_S)
begin
if ( (grant_L_S_sig = '0' or empty_S = '1') and grant_L_S = '1') then
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '1';
else
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '0';
end if;
end process;
process (grant_L_L_sig, empty_L, grant_L_L)
begin
if (grant_L_L_sig = '1' and empty_L = '0' and grant_L_L = '0') then
err_grant_L_L_sig_not_empty_L_grant_L_L <= '1';
else
err_grant_L_L_sig_not_empty_L_grant_L_L <= '0';
end if;
end process;
process (grant_L_L_sig, empty_L, grant_L_L)
begin
if ( (grant_L_L_sig = '0' or empty_L = '1') and grant_L_L = '1') then
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '1';
else
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Final Grant output checkers
-- North
process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N)
begin
if ( ( (grant_N_N_sig = '1' and empty_N = '0' ) or (grant_N_E_sig = '1' and empty_E = '0') or (grant_N_W_sig = '1' and empty_W = '0') or
(grant_N_S_sig = '1' and empty_S = '0') or (grant_N_L_sig = '1' and empty_L = '0') ) and grant_N = '0' ) then
err_grant_signals_not_empty_grant_N <= '1';
else
err_grant_signals_not_empty_grant_N <= '0';
end if;
end process;
process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N)
begin
if ( ( (grant_N_N_sig = '0' or empty_N = '1' ) and (grant_N_E_sig = '0' and empty_E = '1') and (grant_N_W_sig = '0' or empty_W = '1') and
(grant_N_S_sig = '0' or empty_S = '1') and (grant_N_L_sig = '0' or empty_L = '1') ) and grant_N /= '0' ) then
err_not_grant_signals_empty_not_grant_N <= '1';
else
err_not_grant_signals_empty_not_grant_N <= '0';
end if;
end process;
-- East
process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E)
begin
if ( ( (grant_E_N_sig = '1' and empty_N = '0' ) or (grant_E_E_sig = '1' and empty_E = '0') or (grant_E_W_sig = '1' and empty_W = '0') or
(grant_E_S_sig = '1' and empty_S = '0') or (grant_E_L_sig = '1' and empty_L = '0') ) and grant_E = '0' ) then
err_grant_signals_not_empty_grant_E <= '1';
else
err_grant_signals_not_empty_grant_E <= '0';
end if;
end process;
process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E)
begin
if ( ( (grant_E_N_sig = '0' or empty_N = '1' ) and (grant_E_E_sig = '0' and empty_E = '1') and (grant_E_W_sig = '0' or empty_W = '1') and
(grant_E_S_sig = '0' or empty_S = '1') and (grant_E_L_sig = '0' or empty_L = '1') ) and grant_E /= '0' ) then
err_not_grant_signals_empty_not_grant_E <= '1';
else
err_not_grant_signals_empty_not_grant_E <= '0';
end if;
end process;
-- West
process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W)
begin
if ( ( (grant_W_N_sig = '1' and empty_N = '0' ) or (grant_W_E_sig = '1' and empty_E = '0') or (grant_W_W_sig = '1' and empty_W = '0') or
(grant_W_S_sig = '1' and empty_S = '0') or (grant_W_L_sig = '1' and empty_L = '0') ) and grant_W = '0' ) then
err_grant_signals_not_empty_grant_W <= '1';
else
err_grant_signals_not_empty_grant_W <= '0';
end if;
end process;
process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W)
begin
if ( ( (grant_W_N_sig = '0' or empty_N = '1' ) and (grant_W_E_sig = '0' and empty_E = '1') and (grant_W_W_sig = '0' or empty_W = '1') and
(grant_W_S_sig = '0' or empty_S = '1') and (grant_W_L_sig = '0' or empty_L = '1') ) and grant_W /= '0' ) then
err_not_grant_signals_empty_not_grant_W <= '1';
else
err_not_grant_signals_empty_not_grant_W <= '0';
end if;
end process;
-- South
process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S)
begin
if ( ( (grant_S_N_sig = '1' and empty_N = '0' ) or (grant_S_E_sig = '1' and empty_E = '0') or (grant_S_W_sig = '1' and empty_W = '0') or
(grant_S_S_sig = '1' and empty_S = '0') or (grant_S_L_sig = '1' and empty_L = '0') ) and grant_S = '0' ) then
err_grant_signals_not_empty_grant_S <= '1';
else
err_grant_signals_not_empty_grant_S <= '0';
end if;
end process;
process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S)
begin
if ( ( (grant_S_N_sig = '0' or empty_N = '1' ) and (grant_S_E_sig = '0' and empty_E = '1') and (grant_S_W_sig = '0' or empty_W = '1') and
(grant_S_S_sig = '0' or empty_S = '1') and (grant_S_L_sig = '0' or empty_L = '1') ) and grant_S /= '0' ) then
err_not_grant_signals_empty_not_grant_S <= '1';
else
err_not_grant_signals_empty_not_grant_S <= '0';
end if;
end process;
-- Local
process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L)
begin
if ( ( (grant_L_N_sig = '1' and empty_N = '0' ) or (grant_L_E_sig = '1' and empty_E = '0') or (grant_L_W_sig = '1' and empty_W = '0') or
(grant_L_S_sig = '1' and empty_S = '0') or (grant_L_L_sig = '1' and empty_L = '0') ) and grant_L = '0' ) then
err_grant_signals_not_empty_grant_L <= '1';
else
err_grant_signals_not_empty_grant_L <= '0';
end if;
end process;
process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L)
begin
if ( ( (grant_L_N_sig = '0' or empty_N = '1' ) and (grant_L_E_sig = '0' and empty_E = '1') and (grant_L_W_sig = '0' or empty_W = '1') and
(grant_L_S_sig = '0' or empty_S = '1') and (grant_L_L_sig = '0' or empty_L = '1') ) and grant_L /= '0' ) then
err_not_grant_signals_empty_not_grant_L <= '1';
else
err_not_grant_signals_empty_not_grant_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Valid output checkers
process (valid_N, valid_E, valid_W, valid_S, valid_L, grant_N, grant_E, grant_W, grant_S, grant_L)
begin
if (valid_N /= grant_N or valid_E /= grant_E or valid_W /= grant_W or valid_S /= grant_S or valid_L /= grant_L) then
err_grants_valid_not_match <= '1';
else
err_grants_valid_not_match <= '0';
end if;
end process;
END;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/Checkers/Modules_with_checkers_integrated/All_checkers/Allocator_with_checkers/allocator_logic_pseudo_checkers.vhd
|
12
|
24829
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity allocator_logic_pseudo_checkers is
port (
-- grant_X_Y means the grant for X output port towards Y input port
-- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot!
empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic;
grant_N_N_sig, grant_N_E_sig, grant_N_W_sig, grant_N_S_sig, grant_N_L_sig: in std_logic;
grant_E_N_sig, grant_E_E_sig, grant_E_W_sig, grant_E_S_sig, grant_E_L_sig: in std_logic;
grant_W_N_sig, grant_W_E_sig, grant_W_W_sig, grant_W_S_sig, grant_W_L_sig: in std_logic;
grant_S_N_sig, grant_S_E_sig, grant_S_W_sig, grant_S_S_sig, grant_S_L_sig: in std_logic;
grant_L_N_sig, grant_L_E_sig, grant_L_W_sig, grant_L_S_sig, grant_L_L_sig: in std_logic;
valid_N, valid_E, valid_W, valid_S, valid_L : in std_logic;
grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: in std_logic;
grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: in std_logic;
grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: in std_logic;
grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: in std_logic;
grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L : in std_logic;
-- Checker outputs
err_grant_N_N_sig_not_empty_N_grant_N_N,
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N,
err_grant_N_E_sig_not_empty_E_grant_N_E,
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E,
err_grant_N_W_sig_not_empty_W_grant_N_W,
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W,
err_grant_N_S_sig_not_empty_S_grant_N_S,
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S,
err_grant_N_L_sig_not_empty_L_grant_N_L,
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L,
err_grant_E_N_sig_not_empty_N_grant_E_N,
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N,
err_grant_E_E_sig_not_empty_E_grant_E_E,
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E,
err_grant_E_W_sig_not_empty_W_grant_E_W,
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W,
err_grant_E_S_sig_not_empty_S_grant_E_S,
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S,
err_grant_E_L_sig_not_empty_L_grant_E_L,
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L,
err_grant_W_N_sig_not_empty_N_grant_W_N,
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N,
err_grant_W_E_sig_not_empty_E_grant_W_E,
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E,
err_grant_W_W_sig_not_empty_W_grant_W_W,
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W,
err_grant_W_S_sig_not_empty_S_grant_W_S,
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S,
err_grant_W_L_sig_not_empty_L_grant_W_L,
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L,
err_grant_S_N_sig_not_empty_N_grant_S_N,
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N,
err_grant_S_E_sig_not_empty_E_grant_S_E,
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E,
err_grant_S_W_sig_not_empty_W_grant_S_W,
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W,
err_grant_S_S_sig_not_empty_S_grant_S_S,
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S,
err_grant_S_L_sig_not_empty_L_grant_S_L,
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L,
err_grant_L_N_sig_not_empty_N_grant_L_N,
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N,
err_grant_L_E_sig_not_empty_E_grant_L_E,
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E,
err_grant_L_W_sig_not_empty_W_grant_L_W,
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W,
err_grant_L_S_sig_not_empty_S_grant_L_S,
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S,
err_grant_L_L_sig_not_empty_L_grant_L_L,
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L,
err_grant_signals_not_empty_grant_N,
err_not_grant_signals_empty_not_grant_N,
err_grant_signals_not_empty_grant_E,
err_not_grant_signals_empty_not_grant_E,
err_grant_signals_not_empty_grant_W,
err_not_grant_signals_empty_not_grant_W,
err_grant_signals_not_empty_grant_S,
err_not_grant_signals_empty_not_grant_S,
err_grant_signals_not_empty_grant_L,
err_not_grant_signals_empty_not_grant_L,
err_grants_valid_not_match : out std_logic
);
end allocator_logic_pseudo_checkers;
architecture behavior of allocator_logic_pseudo_checkers is
begin
-- The combionational part
-- Checkers
-- Grant_N checkers
process (grant_N_N_sig, empty_N, grant_N_N)
begin
if (grant_N_N_sig = '1' and empty_N = '0' and grant_N_N = '0') then
err_grant_N_N_sig_not_empty_N_grant_N_N <= '1';
else
err_grant_N_N_sig_not_empty_N_grant_N_N <= '0';
end if;
end process;
process (grant_N_N_sig, empty_N, grant_N_N)
begin
if ( (grant_N_N_sig = '0' or empty_N = '1') and grant_N_N = '1') then
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '1';
else
err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '0';
end if;
end process;
process (grant_N_E_sig, empty_E, grant_N_E)
begin
if (grant_N_E_sig = '1' and empty_E = '0' and grant_N_E = '0') then
err_grant_N_E_sig_not_empty_E_grant_N_E <= '1';
else
err_grant_N_E_sig_not_empty_E_grant_N_E <= '0';
end if;
end process;
process (grant_N_E_sig, empty_E, grant_N_E)
begin
if ( (grant_N_E_sig = '0' or empty_E = '1') and grant_N_E = '1') then
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '1';
else
err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '0';
end if;
end process;
process (grant_N_W_sig, empty_W, grant_N_W)
begin
if (grant_N_W_sig = '1' and empty_W = '0' and grant_N_W = '0') then
err_grant_N_W_sig_not_empty_W_grant_N_W <= '1';
else
err_grant_N_W_sig_not_empty_W_grant_N_W <= '0';
end if;
end process;
process (grant_N_W_sig, empty_W, grant_N_W)
begin
if ( (grant_N_W_sig = '0' or empty_W = '1') and grant_N_W = '1') then
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '1';
else
err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '0';
end if;
end process;
process (grant_N_S_sig, empty_S, grant_N_S)
begin
if (grant_N_S_sig = '1' and empty_S = '0' and grant_N_S = '0') then
err_grant_N_S_sig_not_empty_S_grant_N_S <= '1';
else
err_grant_N_S_sig_not_empty_S_grant_N_S <= '0';
end if;
end process;
process (grant_N_S_sig, empty_S, grant_N_S)
begin
if ( (grant_N_S_sig = '0' or empty_S = '1') and grant_N_S = '1') then
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '1';
else
err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '0';
end if;
end process;
process (grant_N_L_sig, empty_L, grant_N_L)
begin
if (grant_N_L_sig = '1' and empty_L = '0' and grant_N_L = '0') then
err_grant_N_L_sig_not_empty_L_grant_N_L <= '1';
else
err_grant_N_L_sig_not_empty_L_grant_N_L <= '0';
end if;
end process;
process (grant_N_L_sig, empty_L, grant_N_L)
begin
if ( (grant_N_L_sig = '0' or empty_L = '1') and grant_N_L = '1') then
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '1';
else
err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_E checkers
process (grant_E_N_sig, empty_N, grant_E_N)
begin
if (grant_E_N_sig = '1' and empty_N = '0' and grant_E_N = '0') then
err_grant_E_N_sig_not_empty_N_grant_E_N <= '1';
else
err_grant_E_N_sig_not_empty_N_grant_E_N <= '0';
end if;
end process;
process (grant_E_N_sig, empty_N, grant_E_N)
begin
if ( (grant_E_N_sig = '0' or empty_N = '1') and grant_E_N = '1') then
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '1';
else
err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '0';
end if;
end process;
process (grant_E_E_sig, empty_E, grant_E_E)
begin
if (grant_E_E_sig = '1' and empty_E = '0' and grant_E_E = '0') then
err_grant_E_E_sig_not_empty_E_grant_E_E <= '1';
else
err_grant_E_E_sig_not_empty_E_grant_E_E <= '0';
end if;
end process;
process (grant_E_E_sig, empty_E, grant_E_E)
begin
if ( (grant_E_E_sig = '0' or empty_E = '1') and grant_E_E = '1') then
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '1';
else
err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '0';
end if;
end process;
process (grant_E_W_sig, empty_W, grant_E_W)
begin
if (grant_E_W_sig = '1' and empty_W = '0' and grant_E_W = '0') then
err_grant_E_W_sig_not_empty_W_grant_E_W <= '1';
else
err_grant_E_W_sig_not_empty_W_grant_E_W <= '0';
end if;
end process;
process (grant_E_W_sig, empty_W, grant_E_W)
begin
if ( (grant_E_W_sig = '0' or empty_W = '1') and grant_E_W = '1') then
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '1';
else
err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '0';
end if;
end process;
process (grant_E_S_sig, empty_S, grant_E_S)
begin
if (grant_E_S_sig = '1' and empty_S = '0' and grant_E_S = '0') then
err_grant_E_S_sig_not_empty_S_grant_E_S <= '1';
else
err_grant_E_S_sig_not_empty_S_grant_E_S <= '0';
end if;
end process;
process (grant_E_S_sig, empty_S, grant_E_S)
begin
if ( (grant_E_S_sig = '0' or empty_S = '1') and grant_E_S = '1') then
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '1';
else
err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '0';
end if;
end process;
process (grant_E_L_sig, empty_L, grant_E_L)
begin
if (grant_E_L_sig = '1' and empty_L = '0' and grant_E_L = '0') then
err_grant_E_L_sig_not_empty_L_grant_E_L <= '1';
else
err_grant_E_L_sig_not_empty_L_grant_E_L <= '0';
end if;
end process;
process (grant_E_L_sig, empty_L, grant_E_L)
begin
if ( (grant_E_L_sig = '0' or empty_L = '1') and grant_E_L = '1') then
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '1';
else
err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_W checkers
process (grant_W_N_sig, empty_N, grant_W_N)
begin
if (grant_W_N_sig = '1' and empty_N = '0' and grant_W_N = '0') then
err_grant_W_N_sig_not_empty_N_grant_W_N <= '1';
else
err_grant_W_N_sig_not_empty_N_grant_W_N <= '0';
end if;
end process;
process (grant_W_N_sig, empty_N, grant_W_N)
begin
if ( (grant_W_N_sig = '0' or empty_N = '1') and grant_W_N = '1') then
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '1';
else
err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '0';
end if;
end process;
process (grant_W_E_sig, empty_E, grant_W_E)
begin
if (grant_W_E_sig = '1' and empty_E = '0' and grant_W_E = '0') then
err_grant_W_E_sig_not_empty_E_grant_W_E <= '1';
else
err_grant_W_E_sig_not_empty_E_grant_W_E <= '0';
end if;
end process;
process (grant_W_E_sig, empty_E, grant_W_E)
begin
if ( (grant_W_E_sig = '0' or empty_E = '1') and grant_W_E = '1') then
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '1';
else
err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '0';
end if;
end process;
process (grant_W_W_sig, empty_W, grant_W_W)
begin
if (grant_W_W_sig = '1' and empty_W = '0' and grant_W_W = '0') then
err_grant_W_W_sig_not_empty_W_grant_W_W <= '1';
else
err_grant_W_W_sig_not_empty_W_grant_W_W <= '0';
end if;
end process;
process (grant_W_W_sig, empty_W, grant_W_W)
begin
if ( (grant_W_W_sig = '0' or empty_W = '1') and grant_W_W = '1') then
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '1';
else
err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '0';
end if;
end process;
process (grant_W_S_sig, empty_S, grant_W_S)
begin
if (grant_W_S_sig = '1' and empty_S = '0' and grant_W_S = '0') then
err_grant_W_S_sig_not_empty_S_grant_W_S <= '1';
else
err_grant_W_S_sig_not_empty_S_grant_W_S <= '0';
end if;
end process;
process (grant_W_S_sig, empty_S, grant_W_S)
begin
if ( (grant_W_S_sig = '0' or empty_S = '1') and grant_W_S = '1') then
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '1';
else
err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '0';
end if;
end process;
process (grant_W_L_sig, empty_L, grant_W_L)
begin
if (grant_W_L_sig = '1' and empty_L = '0' and grant_W_L = '0') then
err_grant_W_L_sig_not_empty_L_grant_W_L <= '1';
else
err_grant_W_L_sig_not_empty_L_grant_W_L <= '0';
end if;
end process;
process (grant_W_L_sig, empty_L, grant_W_L)
begin
if ( (grant_W_L_sig = '0' or empty_L = '1') and grant_W_L = '1') then
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '1';
else
err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_S checkers
process (grant_S_N_sig, empty_N, grant_S_N)
begin
if (grant_S_N_sig = '1' and empty_N = '0' and grant_S_N = '0') then
err_grant_S_N_sig_not_empty_N_grant_S_N <= '1';
else
err_grant_S_N_sig_not_empty_N_grant_S_N <= '0';
end if;
end process;
process (grant_S_N_sig, empty_N, grant_S_N)
begin
if ( (grant_S_N_sig = '0' or empty_N = '1') and grant_S_N = '1') then
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '1';
else
err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '0';
end if;
end process;
process (grant_S_E_sig, empty_E, grant_S_E)
begin
if (grant_S_E_sig = '1' and empty_E = '0' and grant_S_E = '0') then
err_grant_S_E_sig_not_empty_E_grant_S_E <= '1';
else
err_grant_S_E_sig_not_empty_E_grant_S_E <= '0';
end if;
end process;
process (grant_S_E_sig, empty_E, grant_S_E)
begin
if ( (grant_S_E_sig = '0' or empty_E = '1') and grant_S_E = '1') then
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '1';
else
err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '0';
end if;
end process;
process (grant_S_W_sig, empty_W, grant_S_W)
begin
if (grant_S_W_sig = '1' and empty_W = '0' and grant_S_W = '0') then
err_grant_S_W_sig_not_empty_W_grant_S_W <= '1';
else
err_grant_S_W_sig_not_empty_W_grant_S_W <= '0';
end if;
end process;
process (grant_S_W_sig, empty_W, grant_S_W)
begin
if ( (grant_S_W_sig = '0' or empty_W = '1') and grant_S_W = '1') then
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '1';
else
err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '0';
end if;
end process;
process (grant_S_S_sig, empty_S, grant_S_S)
begin
if (grant_S_S_sig = '1' and empty_S = '0' and grant_S_S = '0') then
err_grant_S_S_sig_not_empty_S_grant_S_S <= '1';
else
err_grant_S_S_sig_not_empty_S_grant_S_S <= '0';
end if;
end process;
process (grant_S_S_sig, empty_S, grant_S_S)
begin
if ( (grant_S_S_sig = '0' or empty_S = '1') and grant_S_S = '1') then
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '1';
else
err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '0';
end if;
end process;
process (grant_S_L_sig, empty_L, grant_S_L)
begin
if (grant_S_L_sig = '1' and empty_L = '0' and grant_S_L = '0') then
err_grant_S_L_sig_not_empty_L_grant_S_L <= '1';
else
err_grant_S_L_sig_not_empty_L_grant_S_L <= '0';
end if;
end process;
process (grant_S_L_sig, empty_L, grant_S_L)
begin
if ( (grant_S_L_sig = '0' or empty_L = '1') and grant_S_L = '1') then
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '1';
else
err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Grant_L checkers
process (grant_L_N_sig, empty_N, grant_L_N)
begin
if (grant_L_N_sig = '1' and empty_N = '0' and grant_L_N = '0') then
err_grant_L_N_sig_not_empty_N_grant_L_N <= '1';
else
err_grant_L_N_sig_not_empty_N_grant_L_N <= '0';
end if;
end process;
process (grant_L_N_sig, empty_N, grant_L_N)
begin
if ( (grant_L_N_sig = '0' or empty_N = '1') and grant_L_N = '1') then
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '1';
else
err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '0';
end if;
end process;
process (grant_L_E_sig, empty_E, grant_L_E)
begin
if (grant_L_E_sig = '1' and empty_E = '0' and grant_L_E = '0') then
err_grant_L_E_sig_not_empty_E_grant_L_E <= '1';
else
err_grant_L_E_sig_not_empty_E_grant_L_E <= '0';
end if;
end process;
process (grant_L_E_sig, empty_E, grant_L_E)
begin
if ( (grant_L_E_sig = '0' or empty_E = '1') and grant_L_E = '1') then
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '1';
else
err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '0';
end if;
end process;
process (grant_L_W_sig, empty_W, grant_L_W)
begin
if (grant_L_W_sig = '1' and empty_W = '0' and grant_L_W = '0') then
err_grant_L_W_sig_not_empty_W_grant_L_W <= '1';
else
err_grant_L_W_sig_not_empty_W_grant_L_W <= '0';
end if;
end process;
process (grant_L_W_sig, empty_W, grant_L_W)
begin
if ( (grant_L_W_sig = '0' or empty_W = '1') and grant_L_W = '1') then
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '1';
else
err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '0';
end if;
end process;
process (grant_L_S_sig, empty_S, grant_L_S)
begin
if (grant_L_S_sig = '1' and empty_S = '0' and grant_L_S = '0') then
err_grant_L_S_sig_not_empty_S_grant_L_S <= '1';
else
err_grant_L_S_sig_not_empty_S_grant_L_S <= '0';
end if;
end process;
process (grant_L_S_sig, empty_S, grant_L_S)
begin
if ( (grant_L_S_sig = '0' or empty_S = '1') and grant_L_S = '1') then
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '1';
else
err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '0';
end if;
end process;
process (grant_L_L_sig, empty_L, grant_L_L)
begin
if (grant_L_L_sig = '1' and empty_L = '0' and grant_L_L = '0') then
err_grant_L_L_sig_not_empty_L_grant_L_L <= '1';
else
err_grant_L_L_sig_not_empty_L_grant_L_L <= '0';
end if;
end process;
process (grant_L_L_sig, empty_L, grant_L_L)
begin
if ( (grant_L_L_sig = '0' or empty_L = '1') and grant_L_L = '1') then
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '1';
else
err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Final Grant output checkers
-- North
process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N)
begin
if ( ( (grant_N_N_sig = '1' and empty_N = '0' ) or (grant_N_E_sig = '1' and empty_E = '0') or (grant_N_W_sig = '1' and empty_W = '0') or
(grant_N_S_sig = '1' and empty_S = '0') or (grant_N_L_sig = '1' and empty_L = '0') ) and grant_N = '0' ) then
err_grant_signals_not_empty_grant_N <= '1';
else
err_grant_signals_not_empty_grant_N <= '0';
end if;
end process;
process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N)
begin
if ( ( (grant_N_N_sig = '0' or empty_N = '1' ) and (grant_N_E_sig = '0' and empty_E = '1') and (grant_N_W_sig = '0' or empty_W = '1') and
(grant_N_S_sig = '0' or empty_S = '1') and (grant_N_L_sig = '0' or empty_L = '1') ) and grant_N /= '0' ) then
err_not_grant_signals_empty_not_grant_N <= '1';
else
err_not_grant_signals_empty_not_grant_N <= '0';
end if;
end process;
-- East
process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E)
begin
if ( ( (grant_E_N_sig = '1' and empty_N = '0' ) or (grant_E_E_sig = '1' and empty_E = '0') or (grant_E_W_sig = '1' and empty_W = '0') or
(grant_E_S_sig = '1' and empty_S = '0') or (grant_E_L_sig = '1' and empty_L = '0') ) and grant_E = '0' ) then
err_grant_signals_not_empty_grant_E <= '1';
else
err_grant_signals_not_empty_grant_E <= '0';
end if;
end process;
process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E)
begin
if ( ( (grant_E_N_sig = '0' or empty_N = '1' ) and (grant_E_E_sig = '0' and empty_E = '1') and (grant_E_W_sig = '0' or empty_W = '1') and
(grant_E_S_sig = '0' or empty_S = '1') and (grant_E_L_sig = '0' or empty_L = '1') ) and grant_E /= '0' ) then
err_not_grant_signals_empty_not_grant_E <= '1';
else
err_not_grant_signals_empty_not_grant_E <= '0';
end if;
end process;
-- West
process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W)
begin
if ( ( (grant_W_N_sig = '1' and empty_N = '0' ) or (grant_W_E_sig = '1' and empty_E = '0') or (grant_W_W_sig = '1' and empty_W = '0') or
(grant_W_S_sig = '1' and empty_S = '0') or (grant_W_L_sig = '1' and empty_L = '0') ) and grant_W = '0' ) then
err_grant_signals_not_empty_grant_W <= '1';
else
err_grant_signals_not_empty_grant_W <= '0';
end if;
end process;
process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W)
begin
if ( ( (grant_W_N_sig = '0' or empty_N = '1' ) and (grant_W_E_sig = '0' and empty_E = '1') and (grant_W_W_sig = '0' or empty_W = '1') and
(grant_W_S_sig = '0' or empty_S = '1') and (grant_W_L_sig = '0' or empty_L = '1') ) and grant_W /= '0' ) then
err_not_grant_signals_empty_not_grant_W <= '1';
else
err_not_grant_signals_empty_not_grant_W <= '0';
end if;
end process;
-- South
process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S)
begin
if ( ( (grant_S_N_sig = '1' and empty_N = '0' ) or (grant_S_E_sig = '1' and empty_E = '0') or (grant_S_W_sig = '1' and empty_W = '0') or
(grant_S_S_sig = '1' and empty_S = '0') or (grant_S_L_sig = '1' and empty_L = '0') ) and grant_S = '0' ) then
err_grant_signals_not_empty_grant_S <= '1';
else
err_grant_signals_not_empty_grant_S <= '0';
end if;
end process;
process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S)
begin
if ( ( (grant_S_N_sig = '0' or empty_N = '1' ) and (grant_S_E_sig = '0' and empty_E = '1') and (grant_S_W_sig = '0' or empty_W = '1') and
(grant_S_S_sig = '0' or empty_S = '1') and (grant_S_L_sig = '0' or empty_L = '1') ) and grant_S /= '0' ) then
err_not_grant_signals_empty_not_grant_S <= '1';
else
err_not_grant_signals_empty_not_grant_S <= '0';
end if;
end process;
-- Local
process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L)
begin
if ( ( (grant_L_N_sig = '1' and empty_N = '0' ) or (grant_L_E_sig = '1' and empty_E = '0') or (grant_L_W_sig = '1' and empty_W = '0') or
(grant_L_S_sig = '1' and empty_S = '0') or (grant_L_L_sig = '1' and empty_L = '0') ) and grant_L = '0' ) then
err_grant_signals_not_empty_grant_L <= '1';
else
err_grant_signals_not_empty_grant_L <= '0';
end if;
end process;
process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L)
begin
if ( ( (grant_L_N_sig = '0' or empty_N = '1' ) and (grant_L_E_sig = '0' and empty_E = '1') and (grant_L_W_sig = '0' or empty_W = '1') and
(grant_L_S_sig = '0' or empty_S = '1') and (grant_L_L_sig = '0' or empty_L = '1') ) and grant_L /= '0' ) then
err_not_grant_signals_empty_not_grant_L <= '1';
else
err_not_grant_signals_empty_not_grant_L <= '0';
end if;
end process;
----------------------------------------------------------------
-- Valid output checkers
process (valid_N, valid_E, valid_W, valid_S, valid_L, grant_N, grant_E, grant_W, grant_S, grant_L)
begin
if (valid_N /= grant_N or valid_E /= grant_E or valid_W /= grant_W or valid_S /= grant_S or valid_L /= grant_L) then
err_grants_valid_not_match <= '1';
else
err_grants_valid_not_match <= '0';
end if;
end process;
END;
|
gpl-3.0
|
quicky2000/top_mandelbrot_1b
|
truncator.vhd
|
1
|
1378
|
--
-- This file is part of top_mandelbrot_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity truncator is
Port ( i : in STD_LOGIC_VECTOR (31 downto 0);
o : out STD_LOGIC_VECTOR (15 downto 0));
end truncator;
architecture Behavioral of truncator is
begin
o(15 downto 0) <= i(23 downto 8);
end Behavioral;
|
gpl-3.0
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@addr@dec@m1/_primary.vhd
|
3
|
326
|
library verilog;
use verilog.vl_types.all;
entity AddrDecM1 is
port(
addr : in vl_logic_vector(31 downto 0);
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
F2_ENVMPOWEREDDOWN: in vl_logic;
addrDec : out vl_logic_vector(8 downto 0)
);
end AddrDecM1;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/network_2x2_NI_FI_packet_drop_Rand_SHMU_credit_based_tb.vhd
|
3
|
10835
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
------------------------------------------------------------
-- This file is automatically generated!
-- Here are the parameters:
-- network size x:2
-- network size y:2
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.TB_Package.all;
USE ieee.numeric_std.ALL;
use IEEE.math_real."ceil";
use IEEE.math_real."log2";
entity tb_network_2x2 is
end tb_network_2x2;
architecture behavior of tb_network_2x2 is
-- Declaring network component
component network_2x2 is
generic (DATA_WIDTH: integer := 32; DATA_WIDTH_LV: integer := 11);
port (reset: in std_logic;
clk: in std_logic;
--------------
RX_L_0: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_0, valid_out_L_0: out std_logic;
credit_in_L_0, valid_in_L_0: in std_logic;
TX_L_0: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_1: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_1, valid_out_L_1: out std_logic;
credit_in_L_1, valid_in_L_1: in std_logic;
TX_L_1: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_2: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_2, valid_out_L_2: out std_logic;
credit_in_L_2, valid_in_L_2: in std_logic;
TX_L_2: out std_logic_vector (DATA_WIDTH-1 downto 0);
--------------
RX_L_3: in std_logic_vector (DATA_WIDTH-1 downto 0);
credit_out_L_3, valid_out_L_3: out std_logic;
credit_in_L_3, valid_in_L_3: in std_logic;
TX_L_3: out std_logic_vector (DATA_WIDTH-1 downto 0);
--fault injector signals
FI_Add_2_0, FI_Add_0_2: in std_logic_vector(4 downto 0);
sta0_0_2, sta1_0_2, sta0_2_0, sta1_2_0: in std_logic;
FI_Add_3_1, FI_Add_1_3: in std_logic_vector(4 downto 0);
sta0_1_3, sta1_1_3, sta0_3_1, sta1_3_1: in std_logic;
FI_Add_1_0, FI_Add_0_1: in std_logic_vector(4 downto 0);
sta0_0_1, sta1_0_1, sta0_1_0, sta1_1_0: in std_logic;
FI_Add_3_2, FI_Add_2_3: in std_logic_vector(4 downto 0);
sta0_2_3, sta1_2_3, sta0_3_2, sta1_3_2: in std_logic;
--------------
link_faults_0: out std_logic_vector(4 downto 0);
turn_faults_0: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_0: in std_logic_vector(7 downto 0);
Cx_reconf_PE_0: in std_logic_vector(3 downto 0);
Reconfig_command_0 : in std_logic;
--------------
link_faults_1: out std_logic_vector(4 downto 0);
turn_faults_1: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_1: in std_logic_vector(7 downto 0);
Cx_reconf_PE_1: in std_logic_vector(3 downto 0);
Reconfig_command_1 : in std_logic;
--------------
link_faults_2: out std_logic_vector(4 downto 0);
turn_faults_2: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_2: in std_logic_vector(7 downto 0);
Cx_reconf_PE_2: in std_logic_vector(3 downto 0);
Reconfig_command_2 : in std_logic;
--------------
link_faults_3: out std_logic_vector(4 downto 0);
turn_faults_3: out std_logic_vector(19 downto 0);
Rxy_reconf_PE_3: in std_logic_vector(7 downto 0);
Cx_reconf_PE_3: in std_logic_vector(3 downto 0);
Reconfig_command_3 : in std_logic
);
end component;
component NoC_Node is
generic( current_address : integer := 0;
stim_file: string :="code.txt";
log_file : string := "output.txt");
port( reset : in std_logic;
clk : in std_logic;
credit_in : in std_logic;
valid_out: out std_logic;
TX: out std_logic_vector(31 downto 0);
credit_out : out std_logic;
valid_in: in std_logic;
RX: in std_logic_vector(31 downto 0);
link_faults: in std_logic_vector(4 downto 0);
turn_faults: in std_logic_vector(19 downto 0);
Rxy_reconf_PE: out std_logic_vector(7 downto 0);
Cx_reconf_PE: out std_logic_vector(3 downto 0);
Reconfig_command : out std_logic
);
end component; --component NoC_Node
-- generating bulk signals...
signal RX_L_0, TX_L_0: std_logic_vector (31 downto 0);
signal credit_counter_out_0: std_logic_vector (1 downto 0);
signal credit_out_L_0, credit_in_L_0, valid_in_L_0, valid_out_L_0: std_logic;
signal RX_L_1, TX_L_1: std_logic_vector (31 downto 0);
signal credit_counter_out_1: std_logic_vector (1 downto 0);
signal credit_out_L_1, credit_in_L_1, valid_in_L_1, valid_out_L_1: std_logic;
signal RX_L_2, TX_L_2: std_logic_vector (31 downto 0);
signal credit_counter_out_2: std_logic_vector (1 downto 0);
signal credit_out_L_2, credit_in_L_2, valid_in_L_2, valid_out_L_2: std_logic;
signal RX_L_3, TX_L_3: std_logic_vector (31 downto 0);
signal credit_counter_out_3: std_logic_vector (1 downto 0);
signal credit_out_L_3, credit_in_L_3, valid_in_L_3, valid_out_L_3: std_logic;
--fault injector signals
signal FI_Add_2_0, FI_Add_0_2: std_logic_vector(integer(ceil(log2(real(31))))-1 downto 0) := (others=>'0');
signal sta0_0_2, sta1_0_2, sta0_2_0, sta1_2_0: std_logic :='0';
signal FI_Add_3_1, FI_Add_1_3: std_logic_vector(integer(ceil(log2(real(31))))-1 downto 0) := (others=>'0');
signal sta0_1_3, sta1_1_3, sta0_3_1, sta1_3_1: std_logic :='0';
signal FI_Add_1_0, FI_Add_0_1: std_logic_vector(integer(ceil(log2(real(31))))-1 downto 0):= (others=>'0');
signal sta0_0_1, sta1_0_1, sta0_1_0, sta1_1_0: std_logic :='0';
signal FI_Add_3_2, FI_Add_2_3: std_logic_vector(integer(ceil(log2(real(31))))-1 downto 0):= (others=>'0');
signal sta0_2_3, sta1_2_3, sta0_3_2, sta1_3_2: std_logic :='0';
signal link_faults_0 : std_logic_vector(4 downto 0);
signal turn_faults_0 : std_logic_vector(19 downto 0);
signal Rxy_reconf_PE_0 : std_logic_vector(7 downto 0);
signal Cx_reconf_PE_0 : std_logic_vector(3 downto 0);
signal Reconfig_command_0 : std_logic;
signal link_faults_1 : std_logic_vector(4 downto 0);
signal turn_faults_1 : std_logic_vector(19 downto 0);
signal Rxy_reconf_PE_1 : std_logic_vector(7 downto 0);
signal Cx_reconf_PE_1 : std_logic_vector(3 downto 0);
signal Reconfig_command_1 : std_logic;
signal link_faults_2 : std_logic_vector(4 downto 0);
signal turn_faults_2 : std_logic_vector(19 downto 0);
signal Rxy_reconf_PE_2 : std_logic_vector(7 downto 0);
signal Cx_reconf_PE_2 : std_logic_vector(3 downto 0);
signal Reconfig_command_2 : std_logic;
signal link_faults_3 : std_logic_vector(4 downto 0);
signal turn_faults_3 : std_logic_vector(19 downto 0);
signal Rxy_reconf_PE_3 : std_logic_vector(7 downto 0);
signal Cx_reconf_PE_3 : std_logic_vector(3 downto 0);
signal Reconfig_command_3 : std_logic;
--------------
constant clk_period : time := 1 ns;
signal reset, not_reset, clk: std_logic :='0';
begin
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
reset <= '1' after 1 ns;
-- instantiating the network
NoC: network_2x2 generic map (DATA_WIDTH => 32, DATA_WIDTH_LV => 11)
port map (reset, clk,
RX_L_0, credit_out_L_0, valid_out_L_0, credit_in_L_0, valid_in_L_0, TX_L_0,
RX_L_1, credit_out_L_1, valid_out_L_1, credit_in_L_1, valid_in_L_1, TX_L_1,
RX_L_2, credit_out_L_2, valid_out_L_2, credit_in_L_2, valid_in_L_2, TX_L_2,
RX_L_3, credit_out_L_3, valid_out_L_3, credit_in_L_3, valid_in_L_3, TX_L_3,
--fault injector signals
--FI vertical signals
FI_Add_2_0, FI_Add_0_2,
sta0_0_2, sta1_0_2, sta0_2_0, sta1_2_0,
FI_Add_3_1, FI_Add_1_3,
sta0_1_3, sta1_1_3, sta0_3_1, sta1_3_1,
--FI horizontal signals
FI_Add_1_0, FI_Add_0_1,
sta0_0_1, sta1_0_1, sta0_1_0, sta1_1_0,
FI_Add_3_2, FI_Add_2_3,
sta0_2_3, sta1_2_3, sta0_3_2, sta1_3_2,
-- should be connected to NI
link_faults_0, turn_faults_0, Rxy_reconf_PE_0, Cx_reconf_PE_0, Reconfig_command_0,
link_faults_1, turn_faults_1, Rxy_reconf_PE_1, Cx_reconf_PE_1, Reconfig_command_1,
link_faults_2, turn_faults_2, Rxy_reconf_PE_2, Cx_reconf_PE_2, Reconfig_command_2,
link_faults_3, turn_faults_3, Rxy_reconf_PE_3, Cx_reconf_PE_3, Reconfig_command_3
);
not_reset <= not reset;
-- connecting the PEs
PE_0: NoC_Node
generic map( current_address => 0,
stim_file => "code_0.txt",
log_file => "output_0.txt")
port map( not_reset, clk,
credit_in => credit_out_L_0,
valid_out => valid_in_L_0,
TX => RX_L_0,
credit_out => credit_in_L_0,
valid_in => valid_out_L_0,
RX => TX_L_0,
link_faults => link_faults_0,
turn_faults => turn_faults_0,
Rxy_reconf_PE => Rxy_reconf_PE_0,
Cx_reconf_PE => Cx_reconf_PE_0,
Reconfig_command => Reconfig_command_0
);
PE_1: NoC_Node
generic map( current_address => 1,
stim_file => "code_1.txt",
log_file => "output_1.txt")
port map( not_reset, clk,
credit_in => credit_out_L_1,
valid_out => valid_in_L_1,
TX => RX_L_1,
credit_out => credit_in_L_1,
valid_in => valid_out_L_1,
RX => TX_L_1,
link_faults => link_faults_1,
turn_faults => turn_faults_1,
Rxy_reconf_PE => Rxy_reconf_PE_1,
Cx_reconf_PE => Cx_reconf_PE_1,
Reconfig_command => Reconfig_command_1
);
PE_2: NoC_Node
generic map( current_address => 2,
stim_file => "code_2.txt",
log_file => "output_2.txt")
port map( not_reset, clk,
credit_in => credit_out_L_2,
valid_out => valid_in_L_2,
TX => RX_L_2,
credit_out => credit_in_L_2,
valid_in => valid_out_L_2,
RX => TX_L_2,
link_faults => link_faults_2,
turn_faults => turn_faults_2,
Rxy_reconf_PE => Rxy_reconf_PE_2,
Cx_reconf_PE => Cx_reconf_PE_2,
Reconfig_command => Reconfig_command_2
);
PE_3: NoC_Node
generic map( current_address => 3,
stim_file => "code_3.txt",
log_file => "output_3.txt")
port map( not_reset, clk,
credit_in => credit_out_L_3,
valid_out => valid_in_L_3,
TX => RX_L_3,
credit_out => credit_in_L_3,
valid_in => valid_out_L_3,
RX => TX_L_3,
link_faults => link_faults_3,
turn_faults => turn_faults_3,
Rxy_reconf_PE => Rxy_reconf_PE_3,
Cx_reconf_PE => Cx_reconf_PE_3,
Reconfig_command => Reconfig_command_3
);
-- connecting the fault generators
gen_fault(sta0_1_0, sta1_1_0, FI_Add_1_0, 56,1138666221,1960809222);
gen_fault(sta0_0_1, sta1_0_1, FI_Add_0_1, 64,751500117,1520623220);
gen_fault(sta0_2_0, sta1_2_0, FI_Add_2_0, 70,1730004934,1255590821);
gen_fault(sta0_0_2, sta1_0_2, FI_Add_0_2, 45,332821241,1061603537);
gen_fault(sta0_3_1, sta1_3_1, FI_Add_3_1, 53,750959745,841254867);
gen_fault(sta0_1_3, sta1_1_3, FI_Add_1_3, 46,1831451386,1574401837);
gen_fault(sta0_3_2, sta1_3_2, FI_Add_3_2, 57,929911280,1401056219);
gen_fault(sta0_2_3, sta1_2_3, FI_Add_2_3, 59,322054547,1380824540);
end;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/logic_builtin.vhd
|
9
|
30405
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IVwhzgVHKFB2TP6SX83tVoOReksmVLTwykf7EqjmQmvQHoRHd7DcADhY7xJsPg7C6AXuV0ijYLXr
UbOd4nCOWg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qffey7EIYZkXN7F3er0naudl0RZTjehjK9zR6KRkkCRNvskh6Ltybmp3Kd6+6mra3PF/dWkVmdwA
suVsKeek1YL6zOCS9PYNm0/5SqZM1xRrzFShKjwJu3RnbV0DzvzFKQQK8/WRorsHLsCGfuRCzT6S
b1gBkUS19r2rk5spy8s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Gihy4ZyPY3p7KAoPuAI/ElRDSpenpQzZDGMv6EShcwtmNILVFk90x9LoON5eORjc/LAZJE6HEpNi
bfCHy4zrppEKnbhz1kQMFByO9QPMkfHYd/fuf6eajfN+1V2UuX/WtPwH4y1Ubwv8mnniCFz9DoMN
/lHKB7iamvikJnL5LtoUE6QB4CtYYWfe0fTtvtLUzblpo17sGfzW+ep3XS6AaQ22I51MYMCYFMXg
YCtr/uWS2LyGcU76PxbPrIlwV0v4DUob+n8VJYS6y4zyHE9j3FdOco0Vcziz6c5BQGV2/G4XxBQS
i7Z/29GMeWA3rqOkYCz6YyeYC8IV9QFsmtf2dA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IxLnGj+xM6+dZLqf3uATSWp/dwUBgRfH13V8tqLmJpsFK/NMjL34R1lQEXNXNGyYX6CJWf3MjAlC
i6GAY2qF3rqdtq14W/A/6EnJ0bTFHV/4cPv9FesmSh+vLO4XwraeA9RtWUCHsJt2rDofPfsb+ZET
cM/g1BwjjV53NSW3IDk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HRaaP0NOEY3nvHDqjhUaRDp/0XP/wvXeKZ3qEAEk5uMToNTgxbOxLE5Gf3QQgNDwCh9uzHIMUW8Z
MDefVnLQ+MDZH+aVLDxu+bo3v4kC7OeF3OXEkK+3N+c/r63olXTVDCSJZqwBDapVxk6XpPaOFLrD
cTxVnvNx+Hha5zL1qHETTJGflNlcrXb7o8w1JkzfboT1UGHgmxyvYmoZOVAmal8I/X5w42XTwrBx
wV/wM7kcyKb/OlpvcD75eiviglvjssUhN4DXmNOi6A+0b4EvDTNL3klRt9CqJ2t0WuSLNZx1qasM
UUH+8pxQgDaVj+4JCJD5ACiUREI2Jo63N2/myg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20768)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/ScanRegister_for_SIBFCX.vhd
|
3
|
2121
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ScanRegister_for_SIBFCX is
Generic (Size : positive;
BitOrder : string; -- MSBLSB / LSBMSB
SOSource : natural;
ResetValue : STD_LOGIC_VECTOR);
Port ( SI : in STD_LOGIC;
CE : in STD_LOGIC;
SE : in STD_LOGIC;
UE : in STD_LOGIC;
SEL : in STD_LOGIC;
RST : in STD_LOGIC;
TCK : in STD_LOGIC;
SO : out STD_LOGIC;
CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0);
ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0);
ue_mux_out : out STD_LOGIC_VECTOR (Size-1 downto 0));
end ScanRegister_for_SIBFCX;
architecture ScanRegister_arch of ScanRegister_for_SIBFCX is
signal and_ce, and_se, and_ue: std_logic;
signal internal_si: std_logic_vector(Size downto 0);
signal cs_reg: std_logic_vector(Size-1 downto 0);
signal u_reg: std_logic_vector(Size-1 downto 0):=ResetValue;
signal se_mux, ce_mux, ue_mux: std_logic_vector(Size-1 downto 0);
begin
-- Basic Combinational Logic
and_ce <= CE and SEL;
and_se <= SE and SEL;
and_ue <= UE and SEL;
internal_si(Size) <= SI;
-- TDR Shift Register Core
SCAN_REGISTER: for i in Size-1 downto 0 generate
-- Multiplexers
se_mux(i) <= internal_si(i+1) when and_se = '1' else cs_reg(i);
ce_mux(i) <= CaptureSource(i) when and_ce = '1' else se_mux(i);
ue_mux(i) <= cs_reg(i) when and_ue = '1' else u_reg(i);
-- Flip-Flops
cs_reg(i) <= ce_mux(i) when TCK'event and TCK = '1';
process(RST,TCK)
begin
if RST = '1' then
u_reg(i) <= ResetValue(Size-1-i);
elsif TCK'event and TCK = '0' then
u_reg(i) <= ue_mux(i);
end if;
end process;
-- Internal Connections
internal_si(i) <= cs_reg(i);
end generate;
-- Outputs
MSBLSB_SO : if BitOrder = "MSBLSB" generate
SO <= internal_si(SOSource);
end generate;
LSBMSB_SO : if BitOrder = "LSBMSB" generate
SO <= internal_si(Size-1-SOSource);
end generate;
ScanRegister_out <= u_reg;
ue_mux_out <= ue_mux;
end ScanRegister_arch;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_status_flags_sshft.vhd
|
9
|
22948
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TRcoZtqjn2mG6m34VrAup3Ue3mXUdsp9Svi1yOdUyuJ18aNmZzMqBL74/JYXAGMp7kyUseTthYI3
PrI0QWq4hg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FPMMqj9RaKUiXlcYwEXFaXQj6iTZvDXB3ovRbqMXkBKErB6okVtT7N25cw0P4DrPAX3uTf1/DjZP
g9FGVgqB8LxAQapqrXO27frLQX/jtpP5BRN/3UyLQQ5tmeo2wvGsRYc6Ptmw5XXPXjfubR1uMIvq
n/KnJrhMohYd24Nm6S0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hmQ19Z9b511LayHM++uE0XsD77aCTvi2D5+tVo/slhNcSgfFOCWou7QB72DRXWqLm1hI0yRUpD++
6M4B9gDAGymLNt4kJfLjIH35OM6bL+USAdP5LzqI73Y5A9Ex2dA7XXtW38Kapk4g+yOtlcspGQ3v
mipApCouubIDIKzAKfit6i+3D5zl06BKC5+/oMSR90fI/572drXx35dvozy88MbJh8nfL5OjT9y6
tufG7IpOsbuhtIaWR26UAUyCxZ5GOOwUvB2fS9q4Es/JzlrcdmqBkwl4ixHzLmdkNChDQXjCJVtg
DP9+/cLV+QeiYTROca+/iv6JtjPVTSGXYAp7KA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KGFNy15frm7DKeh26HgilQgudk+s92inzv6E6/4SwA14yIblinRVK3XaIL/wyVEMSS/Nvx17YpaU
YVWGfrmqIII72ql+J97vctL8UoKOHRk+u89CmFhhNIsJnQoGfxdh8DOXKgydwIo8DtyknF+rigwD
Wrn0cHGnf+DzHJ1IlOw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IRcwaJ9nbddmu/9RW2bhH0oH/sFHVn1S2tsx6hwo1+XOm3bHWHP+ulk+4hbdqZE1Olkl9QC1qtoY
ePy+TB2nSwbY99tK/zHUTTzEr9V7pe7cC+aCydi5fu9j5sMPnFmsQadzUgekG4OiSkic9/qlG8tY
Nia8+0GODU2GsN9eE2823xR9kslK5c5LHAFyOnzohuZuaNQpgH9FgGbNWtWQ6Q+SNaCkDDwUMJRB
XMWJMBZ7ADG8CfZuEbYaJpB3sP361wZWR2CVzTkDaWPuOvVZnunE0ob6QwvKmIm6q/myrsa7qCip
ZmcNqxskerCWcqETss8HlKwYY/ryLcmRLUXsbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15248)
`protect data_block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`protect end_protected
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Chip_Designs/IMMORTAL_Chip_2017/plasma_RTL/ram_xilinx_2.vhd
|
3
|
181455
|
---------------------------------------------------------------------
-- TITLE: Random Access Memory for Xilinx
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 11/06/05
-- FILENAME: ram_xilinx.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements Plasma internal RAM as RAMB for Spartan 3x
--
-- Compile the MIPS C and assembly code into "test.axf".
-- Run convert.exe to change "test.axf" to "code.txt" which
-- will contain the hex values of the opcodes.
-- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd",
-- to create the "ram_image.vhd" file that will have the opcodes
-- correctly placed inside the INIT_00 => strings.
-- Then include ram_image.vhd in the simulation/synthesis.
--
-- Warning: Addresses 0x1000 - 0x1FFF are reserved for the cache
-- if the DDR cache is enabled.
---------------------------------------------------------------------
-- UPDATED: 09/07/10 Olivier Rinaudo ([email protected])
-- new behaviour: 8KB expandable to 64KB of internal RAM
--
-- MEMORY MAP
-- 0000..1FFF : 8KB 8KB block0 (upper 4KB used as DDR cache)
-- 2000..3FFF : 8KB 16KB block1
-- 4000..5FFF : 8KB 24KB block2
-- 6000..7FFF : 8KB 32KB block3
-- 8000..9FFF : 8KB 40KB block4
-- A000..BFFF : 8KB 48KB block5
-- C000..DFFF : 8KB 56KB block6
-- E000..FFFF : 8KB 64KB block7
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.mlite_pack.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity ram_2 is
generic(memory_type : string := "DEFAULT";
--Number of 8KB blocks of internal RAM, up to 64KB (1 to 8)
block_count : integer := 8);
port(clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end; --entity ram
architecture logic of ram_2 is
--type
type mem32_vector IS ARRAY (NATURAL RANGE<>) OF std_logic_vector(31 downto 0);
--Which 8KB block
alias block_sel: std_logic_vector(2 downto 0) is address(15 downto 13);
--Address within a 8KB block (without lower two bits)
alias block_addr : std_logic_vector(10 downto 0) is address(12 downto 2);
--Block enable with 1 bit per memory block
signal block_enable: std_logic_vector(7 downto 0);
--Block Data Out
signal block_do: mem32_vector(7 downto 0);
--Remember which block was selected
signal block_sel_buf: std_logic_vector(2 downto 0);
begin
block_enable<= "00000001" when (enable='1') and (block_sel="000") else
"00000010" when (enable='1') and (block_sel="001") else
"00000100" when (enable='1') and (block_sel="010") else
"00001000" when (enable='1') and (block_sel="011") else
"00010000" when (enable='1') and (block_sel="100") else
"00100000" when (enable='1') and (block_sel="101") else
"01000000" when (enable='1') and (block_sel="110") else
"10000000" when (enable='1') and (block_sel="111") else
"00000000";
proc_blocksel: process (clk, block_sel) is
begin
if rising_edge(clk) then
block_sel_buf <= block_sel;
end if;
end process;
proc_do: process (block_do, block_sel_buf) is
begin
data_read <= block_do(conv_integer(block_sel_buf));
end process;
-- BLOCKS generation
block0: if (block_count > 0) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"afafafafafafafafafafafafafafafaf2308000c241400ac273c243c243c273c",
INIT_01 => X"8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f230c008c8c3caf00af00af2340afaf",
INIT_02 => X"acacacac0003373cac038cac8cac8cac8c243c40034040033423038f038f8f8f",
INIT_03 => X"000300ac0300000034038c8c8c8c8c8c8c8c8c8c8c8c3403acacacacacacacac",
INIT_04 => X"8c343c00af03af270003278f0300ac008f34af0000000014008f8fafaf03af27",
INIT_05 => X"008f000c2400142480008f0010af03afaf270003278f0300ac008f3c00103000",
INIT_06 => X"008f8f0010af24af03afaf270003278f8f030000140080008f000c000080af24",
INIT_07 => X"03000004008faf24008f000c0024008f0010000c0024008f00102c008faf3000",
INIT_08 => X"0c000080af24008f0010af27000c8f008f002727afafaf03afaf270003278f8f",
INIT_09 => X"3c03af270003278f8f030000140080008fa0248faf24008f0014248024008f00",
INIT_0A => X"0000000003278f8f030000008c3c0010000c0003afaf270003278f0330008c34",
INIT_0B => X"243c000c343c243c24000c343c243c24000c243c000c243c000c243c03afaf27",
INIT_0C => X"24243c243c243caf243caf24000c24243c243c243caf243caf24000c243c000c",
INIT_0D => X"000c343c243c243c243caf243caf24000c343c243c243c243caf243caf24000c",
INIT_0E => X"243c000c000c243c000c243c000c000c243c000c243c000c000c243c000c243c",
INIT_0F => X"3c000c243c0010000c243c0014008c3c000c243c000c243c000c000c243c000c",
INIT_10 => X"af240010af24afaf03afaf270003278f8f0300000c24000c0024008c3c000c24",
INIT_11 => X"0c8f24000010008f001400008f8faf24008f0010af001400000014008f8f0010",
INIT_12 => X"24008faf240010008f8c002400008f3c000c0024008c002400008f3c000c2400",
INIT_13 => X"0c243c0010ac3c24008c3c000c243c0014248f001428008faf24008f000c24af",
INIT_14 => X"0087000c24000c8faf00008f870010a7afafafaf03afaf270003278f8f030000",
INIT_15 => X"0087a730240097af240010008f8c00008f000087000c24000c00008c00008f00",
INIT_16 => X"000c243c0010ac3c24008c3c000c243c0014248f000c8f2400000c243c001428",
INIT_17 => X"87000c24000c8faf0000008f870010a7afafafafaf03afaf270003278f8f0300",
INIT_18 => X"87a730240097af240010008f8c00008f000087000c24000c00008c00008f0000",
INIT_19 => X"008c00008f000087000c24000c8faf0000008f2400870010a7000c2400142800",
INIT_1A => X"000c240014280087a730240097af240010008f8c00008f000087000c24000c00",
INIT_1B => X"000c00008c00008f0000343c87000c24000c8faf0000000014008f870010a724",
INIT_1C => X"24000c240014280087a730240097af240010008f8c00008f0000343c87000c24",
INIT_1D => X"0c24000c00008c00008f0000343c87000c24000c8faf00000014008f870010a7",
INIT_1E => X"2400000c243c0014280087a730240097af240010008f8c00008f0000343c8700",
INIT_1F => X"af270003278f8f0300000c243c0010ac3c24008c3c000c243c0014248f000c8f",
INIT_20 => X"0c24000c00008c002400008f3c000c24000c8faf00008f8f0010afafaf2403af",
INIT_21 => X"008f8f0010af000c24001428008faf24008faf240010008f8c002400008f3c00",
INIT_22 => X"10008f8c002400008f3c000c24000c00008c002400008f3c000c24000c8faf00",
INIT_23 => X"0010ac3c24008c3c000c243c0014248f000c243c001428008faf24008faf2400",
INIT_24 => X"8f0000008fa000278f0000008f0010afaf03afaf270003278f8f0300000c243c",
INIT_25 => X"00af008000278f0010af001428008faf24008fac008f002700008fa400270000",
INIT_26 => X"10008f8c002400008f3c000c24000c0024008c002400008f3c000c24000c8f24",
INIT_27 => X"24000c0024008c002400008f3c000c24000c8f2400af0084002700008faf2400",
INIT_28 => X"3c000c24000c8f2400af008c002700008faf240010008f8c002400008f3c000c",
INIT_29 => X"8faf24008faf240010008f8c002400008f3c000c24000c0024008c002400008f",
INIT_2A => X"8f8f0300000c243c0010ac3c24008c3c000c243c0014248f000c243c00142800",
INIT_2B => X"000c24000c00008c3c000c24000c8faf00008f8fafaf24af2403afaf27000327",
INIT_2C => X"8c243c000c24000c00008c243c000c24000c8faf00008f8faf240010008f8c3c",
INIT_2D => X"008f8c243c000c24000c00008c243c000c24000c8faf00008f8faf240010008f",
INIT_2E => X"240010008f8c243c000c24000c00008c243c000c24000c8faf00008faf240010",
INIT_2F => X"008faf240010008f8c243c000c24000c00008c243c000c24000c8faf24008faf",
INIT_30 => X"0014248f000c243caf240010008f8c243c000c00008c243c000c24000c8faf24",
INIT_31 => X"28008c3caf03af27000003278f8f0300000c243c0010ac3c24008c3c000c243c",
INIT_32 => X"a324af03af270003278f0324001000ac3c24008c3cac008f0024003c8c3c0010",
INIT_33 => X"14003c8c340010240010248c3c00100083a4248fa3001000102400100094008f",
INIT_34 => X"af270003278f0324a4008fa30010ac3cac243cac3cac008c3c240018008c3c00",
INIT_35 => X"0010240010248c3c00100083a4248fa3001000102400100094008fa324afaf03",
INIT_36 => X"3cac0024003c8c248c3c001028008c3c0004008f0010008faf008c34af008c34",
INIT_37 => X"af008fafaf03af270003278f0324a4008fa30010ac243cac3cac3cac3c24008c",
INIT_38 => X"10afafafafaf03afaf270003278f038f00140080a00080af24008faf24008f00",
INIT_39 => X"8f8faf240010af240010248f0004008fa3001428008faf24008fa02400278f00",
INIT_3A => X"008f001028008faf0000000014008f8faf00000014008f8f0010af24af000000",
INIT_3B => X"1000008c008f00008f240014008fa000278f0000302430008f00100000302430",
INIT_3C => X"8f0010008c008fa02400278faf24008f0014248f0000100004008faf24008f00",
INIT_3D => X"2700248c008f0010ac008f00008f24000c8f0000008f2700100000008f248c00",
INIT_3E => X"af03af270003278f0300ac343c343cafaf03af270003278f8f0300000c8f0000",
INIT_3F => X"008fafaf03af270003278f038f0014008faf00008f24008faf302c008f0010af"
)
port map (
DO => block_do(0)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"b8afaeadacabaaa9a8a7a6a5a4a3a2a1bd000000a560a4a0bd1d8404a5059c1c",
INIT_01 => X"b9b8afaeadacabaaa9a8a7a6a5a4a3a2a1a50086c6c406bb00bb00ba5a1abfb9",
INIT_02 => X"9392919000405a1a06e0a606a606a606a6a50584e0029b401bbd60bb60bbbabf",
INIT_03 => X"00e000c4e0000085a2e09f9d9c9e979695949392919002e09f9d9c9e97969594",
INIT_04 => X"42420200c4a0bebd00e0bdbec0004300c302c2000007624000c2c3c5c4a0bebd",
INIT_05 => X"00c20000040062024300c20000c4a0bebfbd00e0bdbec0004300c30200404200",
INIT_06 => X"00c2c30000c202c4a0bebfbd00e0bdbebfc0000040004200c20000400042c343",
INIT_07 => X"c000004100c2c24200c20000404200c200000000404200c200404200c2c24243",
INIT_08 => X"00400042c34300c20000c2c20000c440c660c2c3c6c5c4a0bebfbd00e0bdbebf",
INIT_09 => X"02a0bebd00e0bdbebfc0000040004200c24303c2c24200c2006202434200c200",
INIT_0A => X"00000000e0bdbebfc002020042020040000000a0bebfbd00e0bdbec042004242",
INIT_0B => X"44020000440245020600004402450206000044020000440200004402a0bebfbd",
INIT_0C => X"04450246024702a24202a202000004450246024702a24202a202000044020000",
INIT_0D => X"00004402450246024702a24202a20200004402450246024702a24202a2020000",
INIT_0E => X"4402000000004402000044020000000044020000440200000000440200004402",
INIT_0F => X"0200004402000000004402004000420200004402000044020000000044020000",
INIT_10 => X"c2020000c202c0c0a0bebfbd00e0bdbebfc00000000400004005004202000044",
INIT_11 => X"00c40500004000c200406200c2c3c24200c20000c000400007624000c2c30000",
INIT_12 => X"4200c2c202006200c24362420300c30200004005004262420300c30200000400",
INIT_13 => X"004402000043024300420200004402006202c300404200c2c24200c2000004c2",
INIT_14 => X"00c20000040000c4c24300c3c20000c0c0c6c5c4a0bebfbd00e0bdbebfc00000",
INIT_15 => X"00c2c2424200c2c202006200c2436200c30200c200000400004000426200c302",
INIT_16 => X"00004402000043024300420200004402006202c30000c4050000004402004042",
INIT_17 => X"c20000040000c4c2006200c2c30000c0c0c7c6c5c4a0bebfbd00e0bdbebfc000",
INIT_18 => X"c2c2424200c2c202006200c2436200c30200c200000400004000426200c30200",
INIT_19 => X"00426200c30200c20000040000c4c2006200c24300c20000c000000400404200",
INIT_1A => X"00000400404200c2c2424200c2c202006200c2436200c30200c2000004000040",
INIT_1B => X"00004000426200c302624202c30000040000c4c2000007624000c3c20000c202",
INIT_1C => X"0200000400404200c2c2424200c2c202006200c2436200c302624202c3000004",
INIT_1D => X"000400004000426200c302624202c30000040000c4c20007624000c3c20000c2",
INIT_1E => X"05000000440200404200c2c2424200c2c202006200c2436200c302624202c300",
INIT_1F => X"bfbd00e0bdbebfc00000004402000043024300420200004402006202c30000c4",
INIT_20 => X"0004000040004262420300c3020000040000c4c26200c2c30000c0c0c202a0be",
INIT_21 => X"00c2c30000c000000400404200c2c24200c2c202006200c24362420300c30200",
INIT_22 => X"6200c24362420300c302000004000040004262420300c3020000040000c4c262",
INIT_23 => X"000043024300420200004402006202c30000440200404200c2c24200c2c20200",
INIT_24 => X"c2030200c24382c4c2030200c20000c0c0a0bebfbd00e0bdbebfc00000004402",
INIT_25 => X"00c2004262c3c20000c000404200c2c24200c24300c362c30200c24382c40200",
INIT_26 => X"6200c24362420300c30200000400004005004262420300c3020000040000c405",
INIT_27 => X"0400004005004262420300c3020000040000c40500c2004262c30200c2c20200",
INIT_28 => X"020000040000c40500c2004262c30200c2c202006200c24362420300c3020000",
INIT_29 => X"c2c24200c2c202006200c24362420300c30200000400004005004262420300c3",
INIT_2A => X"bebfc00000004402000043024300420200004402006202c30000440200404200",
INIT_2B => X"0000040000400042020000040000c4c26200c2c3c0c202c202a0bebfbd00e0bd",
INIT_2C => X"434202000004000040004242020000040000c4c26200c2c3c202006200c24302",
INIT_2D => X"00c2434202000004000040004242020000040000c4c26200c2c3c202006200c2",
INIT_2E => X"02006200c2434202000004000040004242020000040000c4c20200c2c2020062",
INIT_2F => X"00c2c202006200c2434202000004000040004242020000040000c4c24200c2c2",
INIT_30 => X"006202c300004402c202006200c2434202000040004242020000040000c4c242",
INIT_31 => X"42004202c4a0bebd0000e0bdbebfc00000004402000043024300420200004402",
INIT_32 => X"c202c4a0bebd00e0bdbec0020000004302430042024300c36242030243020040",
INIT_33 => X"40620243020000020062024302004000c24303c2c000000043030040004200c2",
INIT_34 => X"bebd00e0bdbec0024000c2c00000400243030240024300630302004000420200",
INIT_35 => X"0000020062024302004000c24303c2c000000043030040004200c2c202c0c4a0",
INIT_36 => X"02438242040243024402004042004202004000c2004000c2c2004202c2004202",
INIT_37 => X"c200c2c5c4a0bebd00e0bdbec0024000c2c00000430302400240024302430042",
INIT_38 => X"00c0c7c6c5c4a0bebfbd00e0bdbec0c200400042430063c46400c3c34300c200",
INIT_39 => X"c2c3c2020000c202006202c3004100c2c000404200c2c24200c2430362c3c200",
INIT_3A => X"00c200404200c2c2000007624000c3c2c20007624000c3c20000c202c2006200",
INIT_3B => X"4062004200c26200c203004000c26283c4c3020242424200c200000202424242",
INIT_3C => X"c20040004200c2430362c3c2c24200c2006202c3000000004100c2c24200c200",
INIT_3D => X"c362034200c200004300c26200c2030000c4406200c2c30040628200c2044300",
INIT_3E => X"c4a0bebd00e0bdbec0004363034202c5c4a0bebd00e0bdbebfc0000000c44062",
INIT_3F => X"00c2c5c4a0bebd00e0bdbec0c2004000c2c26200c34200c2c2424200c20000c0"
)
port map (
DO => block_do(0)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"00000000000000000000000000000000ff00000800ff1800350035003300b200",
INIT_01 => X"000000000000000000000000000000000000072000002000d800d800ff700000",
INIT_02 => X"0000000000000010000000000000000000010060006060000000000000000000",
INIT_03 => X"0000000000201000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000200000f000ff00000000e800000000800010100000000000000000f000ff",
INIT_05 => X"0000000000000000000000000000f00000ff00000000e8000000002000ff0000",
INIT_06 => X"0000000000000000f00000ff0000000000e80000ff0000000000002000000000",
INIT_07 => X"e80000ff000000ff000000002000000000000000200000000000000000000010",
INIT_08 => X"0020000000000000000000000007002800380000000000f00000ff0000000000",
INIT_09 => X"20f000ff0000000000e80000ff0000000000000000ff000000000000ff000000",
INIT_0A => X"0000000000000000e8161600002000ff000100f00000ff00000000e800000000",
INIT_0B => X"2a00000256922700000002561227000000002a0000002a0000002a00f00000ff",
INIT_0C => X"032800280028000028000000000200280028002800002800000000002a000000",
INIT_0D => X"0002230029002900290000290000000002430028002900290000290000000002",
INIT_0E => X"2a00000400002a0000002a00000500002a0000002a00000300002a0000002a00",
INIT_0F => X"0000002b00000000002b00000000330000002b0000002b00000200002a000000",
INIT_10 => X"0000000000000000f00000ff0000000000e8000000000001200030330000002b",
INIT_11 => X"010000300000000000ff10000000000000000000000000100000000000000000",
INIT_12 => X"0000000000000000000010241800000000012000300010241800000000000000",
INIT_13 => X"002b00000033000000330000002b000000000000ff0300000000000000000000",
INIT_14 => X"0000000000000000001000000000000000000000f00000ff0000000000e80000",
INIT_15 => X"000000ff00000000000000000000100000100000000000000020000010000010",
INIT_16 => X"00002b00000033000000330000002b0000000000000100003000002b0000ff00",
INIT_17 => X"000000000000000010000000000000000000000000f00000ff0000000000e800",
INIT_18 => X"0000ff0000000000000000000010000010000000000000002000001000001000",
INIT_19 => X"0000100000100000000000000000001000000001000000000000000000ff0000",
INIT_1A => X"00000000ff00000000ff00000000000000000000100000100000000000000020",
INIT_1B => X"00002000001000001010ff3f0000000000000000101000000000000000000000",
INIT_1C => X"0000000000ff00000000ff000000000000000000001000001010ff3f00000000",
INIT_1D => X"000000002000001000001010ff3f000000000000000010000000000000000000",
INIT_1E => X"003000002b0000ff00000000ff000000000000000000001000001010ff3f0000",
INIT_1F => X"00ff0000000000e80000002b00000033000000330000002b0000000000000100",
INIT_20 => X"000000002000001029180000000000000000000010000000000000000012f000",
INIT_21 => X"00000000000000000000ff000000000000000000000000000010291800000000",
INIT_22 => X"00000000102a180000000000000000200000102a180000000000000000000010",
INIT_23 => X"000033000000330000002b000000000000002c0000ff00000000000000000000",
INIT_24 => X"001c1c0000001000001e1e000000000000f00000ff0000000000e80000002b00",
INIT_25 => X"3000000010000000000000ff0000000000000000000010001000000010001000",
INIT_26 => X"00000000102c18000000000000000120003000102c1800000000000000010000",
INIT_27 => X"00000120003000102c1800000000000000010000300000001000100000000000",
INIT_28 => X"000000000001000030000000100010000000000000000000102c180000000000",
INIT_29 => X"000000000000000000000000102c18000000000000000120003000102c180000",
INIT_2A => X"0000e80000002b00000033000000330000002b000000000000002c0000ff0000",
INIT_2B => X"000000000020002c0000000000000000100000000000430012f00000ff000000",
INIT_2C => X"002c0000000000002000002c0000000000000000100000000000000000002c00",
INIT_2D => X"0000002c0000000000002000002c000000000000000010000000000000000000",
INIT_2E => X"0000000000002c0000000000002000002c000000000000000010000000000000",
INIT_2F => X"0000000000000000002c0000000000002000002c000000000000000000000000",
INIT_30 => X"0000000000002c00000000000000002c0000002000002c0000000000000000ff",
INIT_31 => X"0000330000f000ff000000000000e80000002b00000033000000330000002b00",
INIT_32 => X"000000f000ff00000000e8ff0000103300000033000000001035180033000000",
INIT_33 => X"0010400080000000000000320000000000000000000000000000000000000000",
INIT_34 => X"00ff00000000e8000000000000ff33003300003200000035007f000000330000",
INIT_35 => X"00000000000033000000000000000000000000000000000000000000000000f0",
INIT_36 => X"000010352000007f330000000000330000000000000000000000008000000080",
INIT_37 => X"0000000000f000ff00000000e8000000000000ff330000330032003300000033",
INIT_38 => X"000000000000f00000ff00000000e80000ff0000000000000000000000000000",
INIT_39 => X"000000ff0000000000000000000000000000ff00000000000000000010000000",
INIT_3A => X"0000000000000000101000000000000000100000000000000000000000100000",
INIT_3B => X"0010000000001800000000000000001800001616000000000000001616000000",
INIT_3C => X"00000000000000000010000000ff00000000ff0000000000ff000000ff000000",
INIT_3D => X"0010000000000000000000180000000006002810000000000010100000000000",
INIT_3E => X"00f000ff00000000e80000fc0000200000f000ff0000000000e8000006002810",
INIT_3F => X"00000000f000ff00000000e80000ff000000100000ff00000000000000000000"
)
port map (
DO => block_do(0)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"4c4844403c3834302c2824201c181410980e00ac04fd2a001800b0000000f001",
INIT_01 => X"504c4844403c3834302c2824201c18141000cc2410200060125c1058fc005450",
INIT_02 => X"0c08040000083c0048080c440840043c006000000800000801681360115c5854",
INIT_03 => X"00080c000810121900082c2824201c1814100c08040000082c2824201c181410",
INIT_04 => X"00200000082504f80008100c2500000000100012100d1b020014101410250cf0",
INIT_05 => X"001800980d00040a000018001318251014e80008080425000000080000fa0200",
INIT_06 => X"001020001e101c2025181ce00008181014250000e90000001800982500001801",
INIT_07 => X"250000e0001010fc0010009825570014000700982530001400090a0014140f06",
INIT_08 => X"9825000010010010001810140016a025a42514a8a8a4a025989c60000820181c",
INIT_09 => X"002504f80008a0989c250000e400000010000d1010ff001000080a00ff001000",
INIT_0A => X"000000000818101425030000000000fd003c00251014e8000808042501000020",
INIT_0B => X"8000008878348c0002008878340c000100ae680000ae540000ae3c0025181ce0",
INIT_0C => X"2184009c00b40010d800140200e7070c0024003c00106000140100ae680000ae",
INIT_0D => X"00e7450174008c00a40010c800140400e72105fc0014002c00105000140300e7",
INIT_0E => X"f800008b00ae680000aee000006300ae680000aec80000fe00ae680000aea800",
INIT_0F => X"0000ae5000001300ae4800000700100000ae380000ae2800001400ae680000ae",
INIT_10 => X"1403004b10031c18252024d8000820181c250000980a0005250a25100000ae5c",
INIT_11 => X"05100a250026001400eb2a00101414020014000b140004100d1a020014100011",
INIT_12 => X"0100181c0100030010002170800018000005250a250021708000180000983a00",
INIT_13 => X"ae9c00000510000100100000ae7400000d011c00b2e800101002001000982018",
INIT_14 => X"001000983a00d4181807002810002c1014302c28252024d80008282024250000",
INIT_15 => X"001010ff0100101401000300180021002c80001000982000d425000021002c80",
INIT_16 => X"00aee400000510000100100000aed800000d01140005300a2500aec40000d120",
INIT_17 => X"1000983a00d418181218002810002d101434302c28252024d800082820242500",
INIT_18 => X"1010ff0100101401000300180021002c80001000982000d425000021002c8000",
INIT_19 => X"000021003080001000983a00d4181812180028230010002f1000980a00d00600",
INIT_1A => X"00980a00ce06001010ff0100101401000300180021003080001000982000d425",
INIT_1B => X"00d42500002100348021ffff1000983a00d4181812100d1a0200281000341001",
INIT_1C => X"0100980a00c90a001010ff010010140100030018002100348021ffff10009820",
INIT_1D => X"982000d42500002100388021ffff1000983a00d41818100d1a02002810003310",
INIT_1E => X"0a2500aef00000ca0a001010ff010010140100030018002100388021ffff1000",
INIT_1F => X"24d80008282024250000aee400000510000100100000aed800000d011400053c",
INIT_20 => X"982000d425000021ec8000100000983a00d41c1c21001018002b101418342520",
INIT_21 => X"001018002b1000980a00d20a00101001001014010003001c0021ec8000100000",
INIT_22 => X"03001c0021148000100000982000d425000021148000100000983a00d41c1c23",
INIT_23 => X"000510000100100000aed800000d011400ae140000d20a001010010010140100",
INIT_24 => X"10030000100c21101003000010001f1014259094680008282024250000aee400",
INIT_25 => X"2518000c21101000871000de0a0010100100103c001021108000101c21104000",
INIT_26 => X"030018002158800010000098200005250a250021588000100000983a0005180a",
INIT_27 => X"200005250a250021588000100000983a0005180a2518001c2110400010140100",
INIT_28 => X"0000983a0005180a2518003c2110800010140100030018002158800010000098",
INIT_29 => X"10100100101401000300180021588000100000980a0005250a25002158800010",
INIT_2A => X"9094250000aee400000510000100100000aed800000d011400ae300000760a00",
INIT_2B => X"00982000d42500800000983a00d41c1c240018141018211434252024d8000898",
INIT_2C => X"04800000982000d4250004800000983a00d41c1c2500181410010003001c8000",
INIT_2D => X"001c08800000982000d4250008800000983a00d41c1c2600181410010003001c",
INIT_2E => X"010003001c0c800000982000d425000c800000983a00d41c1c27001410010003",
INIT_2F => X"001410010003001c10800000982000d4250010800000983a00d41c1c12001410",
INIT_30 => X"000d011000ae400010010003001c14800000d4250014800000983a00d41c1cee",
INIT_31 => X"0f002400082504f8000008282024250000aee400000510000100100000aed800",
INIT_32 => X"000110250cf00008080425ff0002252400010024000000082130800024000013",
INIT_33 => X"0b24000000001f01000401f0000006000000321000002a000732000600000010",
INIT_34 => X"14e80008100c25030000100000d82c00280100f00000003000fc000600240000",
INIT_35 => X"003401000401280000060000005d1800003f00075d0006000000180001041825",
INIT_36 => X"00002170800000fc200000100f00200000160008001a00040800000004000004",
INIT_37 => X"0000101410250cf00008181425030000180000c32c01002800f0002000010020",
INIT_38 => X"0a104c48444025383cc00008100c250000f20000000000140100141001001000",
INIT_39 => X"184018ff0003180100050a48000500402f00f30f001010010010102021101000",
INIT_3A => X"0010000a0a00101c12100d1b02001c4810100d1b02001c48003e140e1c121800",
INIT_3B => X"0b2a0000004c2300140f000c001c102110140300ff57ff001000080300ff30ff",
INIT_3C => X"4c000b0000004c102d21101414ff0014000aff1800000200c0001414ff001400",
INIT_3D => X"20230f00004c000c00004c2300140f00f844252100142000122a2300140f0000",
INIT_3E => X"10250cf000080804250000180360000c082504f8000840383c250000f8442521",
INIT_3F => X"00080c082504f80008100c250000f1001010240010ff001000ff010000000d00"
)
port map (
DO => block_do(0)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(0),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block0
block1: if (block_count > 1) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"00008f8faf000c8faf0000008f00008fafaf03afaf270003278f030000008f00",
INIT_01 => X"8f0000003c8fac008fac008fac008f30008fafafafafaf03af270003278f8f03",
INIT_02 => X"0010248f001428008faf24008faf00008f0030003000008f8c008f0010afac00",
INIT_03 => X"10240014008f8f001024001000008f8c008f001024001000008f8c008f001024",
INIT_04 => X"03af270003278f0300008faf03af270003278f0300001024001028008c008f00",
INIT_05 => X"343c000c243c000c343c34af24afaf03afafaf27000003278f030000343c8faf",
INIT_06 => X"240004008c340010ac24ac343c24ae000c242424000c243cac008f343caf008c",
INIT_07 => X"008fae000c242424000c24000c0024008f000c243c0014248faf000c8faf008c",
INIT_08 => X"00000000000003278f8f8f0300000c00142c008fac008f24af000c8f0010af24",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000102040912000000",
INIT_0F => X"fffffffffffffffffffffffffffffffffffffffffffffefcf9f2e4c992000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000ffffff",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000606060606050000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000010101010101000000",
INIT_14 => X"3d3d3d3d3d3d676620740a0a747320650a000000000000000000000000000000",
INIT_15 => X"694c7363726d69546e616f6269546f6175206467730a00696920746c6c67730a",
INIT_16 => X"4e490a007420696c54004546455000454d500a6469540030617261736d657061",
INIT_17 => X"544c4c0a0a53200a4c2000454e490a0044414f4c41454e490a0044414f4c4145",
INIT_18 => X"0000000000000000000054204945540a54204d0a542043422f440a2054494920",
INIT_19 => X"00000000000000000000000000000000000000000000000000000000ff000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000102040912000000000000000000000000000000",
INIT_1F => X"fffffffffffffffffffffefcf9f2e4c992000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000ffffffffffffffffffffffffffffff",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000606060606050000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000010101010101000000000000000000000000000000",
INIT_24 => X"7566542055000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000072756570695300736e61756369670a0a727475526e2068616e",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"6200c2c3c20000c4c2430300c30200c2c5c4a0bebfbd00e0bdbec0620200c202",
INIT_01 => X"c240026202c34000c24000c24300c24300c2c0c7c6c5c4a0bebd00e0bdbebfc0",
INIT_02 => X"006202c300404200c2c24200c2c24300c2404202424300c24300c20000c04300",
INIT_03 => X"0002006200c2c300000200404300c24300c200000200404300c24300c2000002",
INIT_04 => X"a0bebd00e0bdbec00200c2c4a0bebd00e0bdbec000000002004042004200c200",
INIT_05 => X"4202000044020000440205c202c5c4a0b0bebfbd0000e0bdbec002624202c3c4",
INIT_06 => X"0200400042020000400243630302020000040510000044024300c34202c20042",
INIT_07 => X"00c20200000405100000040000400500c200004402006202c3c20000c4c20042",
INIT_08 => X"000000000000e0bdb0bebfc000000000404200c24300c302c20000c40000c242",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"00000000000000000000000000000000010204091224489123468d1a34000000",
INIT_0F => X"fffffffffffffffffffffffffffffefcf9f2e4c99224489123468d1a34000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000ffffff",
INIT_11 => X"0000000000000000000000000000000000000003030303030300000000000000",
INIT_12 => X"02010000000000000000000000000000010101020515100b0500fb1a150f0a05",
INIT_13 => X"0000000000000000000000000000000000000000000001504f4e4d4c4b050403",
INIT_14 => X"0a3d3d3d3d3d0a747369540a656d707247000000000000000000000000000000",
INIT_15 => X"6e69736379656e65737470696e656e63622f6920740a006f762f69697420740a",
INIT_16 => X"554d0a0073746c206f00444144410053414c0a6f6e6500306e206c206220726c",
INIT_17 => X"4949540a0a45500a4546005347460a0021534e414c52554d0a0021494e414c52",
INIT_18 => X"0000000000000000000020544f52200a20544f0a2054545420450a00454f562f",
INIT_19 => X"00000000000000000000000000000000000000000000000000000000ff000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"00000000010204091224489123468d1a34000000000000000000000000000000",
INIT_1F => X"fffffefcf9f2e4c99224489123468d1a34000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000ffffffffffffffffffffffffffffff",
INIT_21 => X"0000000000000003030303030300000000000000000000000000000000000000",
INIT_22 => X"00000000010101020515100b0500fb1a150f0a05000000000000000000000000",
INIT_23 => X"0000000000000000000001504f4e4d4c4b050403020100000000000000000000",
INIT_24 => X"20203a5441000000000000000000000000000000000000000000000000000000",
INIT_25 => X"00000000000000206d74616e65007420746e6f6e690a006b2074542074696420",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"100000000000070000101f00001000000000f00000ff00000000e8101400001f",
INIT_01 => X"001814100f000000000000000000000000000000000000f000ff0000000000e8",
INIT_02 => X"0000000000ff0000000000000000100000180010001000000000000000000000",
INIT_03 => X"0000000000000000000000001000000000000000000000100000000000000000",
INIT_04 => X"f000ff00000000e817000000f000ff00000000e8100000000000000000000000",
INIT_05 => X"00200000320000007801e100000000f0000000ff0000000000e81010ff1f0000",
INIT_06 => X"7f00000000800000007f00ff0f7f00000700007f000032000000000020000000",
INIT_07 => X"000000000700007f000000000120003000000032000000000000000800000000",
INIT_08 => X"0000000000000000000000e810000100ff0000000000007f0000080000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0101010101010101010101010101010000000000000000000000000000000000",
INIT_0B => X"0202020201010101010101010101010101010101010101010101010101010101",
INIT_0C => X"0202020202020202020202020202020202020202020202020202020202020202",
INIT_0D => X"0303030303030303030303030303030303030303030303030303030303030202",
INIT_0E => X"0000000000000000010204091224489123468d1a3468d1a2458a152b56030303",
INIT_0F => X"fffffffffffffefcf9f2e4c99224489123468d1a3468d1a2458a152b56000000",
INIT_10 => X"0000000000000000000000000000000000080808080707000000000000ffffff",
INIT_11 => X"000000000000000000000000000000000101039e9b9794918e0f0c0906030000",
INIT_12 => X"46230000000000000000000095a8c0e00d50c1a1439e5b17d4914e4f0cc98643",
INIT_13 => X"1212121212000000000000000000202429303a48619123c7a4815d3a17b08d69",
INIT_14 => X"003d3d3d3d3d0069686e650073616c6165121212121212121212121212121212",
INIT_15 => X"67730a65206d67730a69657467730a7474206e616954006e69206f63696d6954",
INIT_16 => X"4d4550003a65656674002149215300542041006e6773003020746c73656e696c",
INIT_17 => X"4f43494d0044410044410054205453000a53205443204d4550000a4c20544320",
INIT_18 => X"0000000000000000000000454e414f420045524d00454f5253524100534e4920",
INIT_19 => X"00000000000000000000000000000000000000000000000000001212ed515302",
INIT_1A => X"0101010000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0101010101010101010101010101010101010101010101010101010101010101",
INIT_1C => X"0202020202020202020202020202020202020202020202020101010101010101",
INIT_1D => X"0303030303030303030303030303030303030202020202020202020202020202",
INIT_1E => X"1224489123468d1a3468d1a2458a152b56030303030303030303030303030303",
INIT_1F => X"9224489123468d1a3468d1a2458a152b56000000000000000000000001020409",
INIT_20 => X"0000000000080808080707000000000000fffffffffffffffffffefcf9f2e4c9",
INIT_21 => X"000000000101039e9b9794918e0f0c0906030000000000000000000000000000",
INIT_22 => X"95a8c0e00d50c1a1439e5b17d4914e4f0cc98643000000000000000000000000",
INIT_23 => X"0000202429303a48619123c7a4815d3a17b08d69462300000000000000000000",
INIT_24 => X"6379204552121212121212121212121212121212121212121200000000000000",
INIT_25 => X"0000000000000000622063676e000a7469696d676e4200737770205568732072",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"250014101400dc101025400020400024242025181ce000080804252500000c00",
INIT_01 => X"20250224ff1000001c000018000014ff0010041c181410250cf0000820181c25",
INIT_02 => X"0004010400ea080000000100000421000425ff2b010700000000140013000000",
INIT_03 => X"0c04000400181c00140300042a002400001c001f0200042a0024000018002a01",
INIT_04 => X"2504f80008080425420008082504f80008100c25250002050004020000002000",
INIT_05 => X"500000ae6c000080407d0010013c38252c3034c80000080804254224feff0808",
INIT_06 => X"fc002e000000003300fc00fffffc0000f90303fc00aea8000000143000140000",
INIT_07 => X"00100000f90303fc00980a0005250a251000aecc00001a011c1c009118180000",
INIT_08 => X"0b070503000008382c30342525006000ca650010000020fc20009d1800091001",
INIT_09 => X"9d97958b89837f716d6b67656159534f4947433d3b352f2b29251f1d1713110d",
INIT_0A => X"5b514b3d393733251b19150f0d0701fbf1efe9e5e3dfd3c7c5c1bfb5b3ada7a3",
INIT_0B => X"231d0b09fdf7f3ebe7dfd3cfcdc9c1bbb7b1afa5a399918d857f7b756f67615d",
INIT_0C => X"efe7e3ddd7cfc5bdb3aba5a195938d878381776b69655f5957514b413b39332d",
INIT_0D => X"d1cbc7b9b3ada9a1978f8b7773716d5f5b5955473d3b37352b291d130501f9f5",
INIT_0E => X"010204091224489123468d1a3468d1a2458a152b56ac59b367cf9e3c78e5dfd7",
INIT_0F => X"f9f2e4c99224489123468d1a3468d1a2458a152b56ac59b367cf9e3c78000000",
INIT_10 => X"070001020301010000000101010102030718110a03fcf5231c150e0700fffefc",
INIT_11 => X"0000010303010100010059647285a0c80b90212807e6c5a483a5846342210007",
INIT_12 => X"8a4500030103030001000100ae6472856dc80b90212807e6c5a483a584634221",
INIT_13 => X"38373635340005010300010001005d689c8b41d117a245c8833ef9b46f5914cf",
INIT_14 => X"003d3d3d3d3d006e696773007420616c6e2b2c2d2e2f30313233343d3c3b3a39",
INIT_15 => X"20740073616f2074006f722020740069727367646e65000a73646e6170756e65",
INIT_16 => X"422052002073646161000a4c00530020545300652074000a3168656d72756d20",
INIT_17 => X"4e4150550021530021490020544948000a4550495543422052000a4546495543",
INIT_18 => X"0908070605040302010000535354504900535945005352415520440054205344",
INIT_19 => X"6159534f4947433d3b352f2b29251f1d1713110d0b07050300002246cb153520",
INIT_1A => X"0d0701fbf1efe9e5e3dfd3c7c5c1bfb5b3ada7a39d97958b89837f716d6b6765",
INIT_1B => X"cdc9c1bbb7b1afa5a399918d857f7b756f67615d5b514b3d393733251b19150f",
INIT_1C => X"95938d878381776b69655f5957514b413b39332d231d0b09fdf7f3ebe7dfd3cf",
INIT_1D => X"73716d5f5b5955473d3b37352b291d130501f9f5efe7e3ddd7cfc5bdb3aba5a1",
INIT_1E => X"3468d1a2458a152b56ac59b367cf9e3c78e5dfd7d1cbc7b9b3ada9a1978f8b77",
INIT_1F => X"3468d1a2458a152b56ac59b367cf9e3c78000000010204091224489123468d1a",
INIT_20 => X"010102030718110a03fcf5231c150e0700fffefcf9f2e4c99224489123468d1a",
INIT_21 => X"7285a0c80b90212807e6c5a483a5846342210007070001020301010000000101",
INIT_22 => X"ae6472856dc80b90212807e6c5a483a584634221000001030301010001005964",
INIT_23 => X"01005d689c8b41d117a245c8833ef9b46f5914cf8a4500030103030001000100",
INIT_24 => X"616f4953542b2c2d2e2f30313233343d3c3b3a39383736353400050103000100",
INIT_25 => X"0000000100000000656e6b2064000a656f636d206e6500216f756f41652c7465",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(1)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(1),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block1
block2: if (block_count > 2) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(2)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(2),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block2
block3: if (block_count > 3) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(3)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(3),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block3
block4: if (block_count > 4) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(4)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(4),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block4
block5: if (block_count > 5) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(5)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(5),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block5
block6: if (block_count > 6) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(6)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(6),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block6
block7: if (block_count > 7) generate
begin
ram_byte3 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(31 downto 24),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(31 downto 24),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(3));
ram_byte2 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(23 downto 16),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(23 downto 16),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(2));
ram_byte1 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(15 downto 8),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(15 downto 8),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(1));
ram_byte0 : RAMB16_S9
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000"
)
port map (
DO => block_do(7)(7 downto 0),
DOP => open,
ADDR => block_addr,
CLK => clk,
DI => data_write(7 downto 0),
DIP => ZERO(0 downto 0),
EN => block_enable(7),
SSR => ZERO(0),
WE => write_byte_enable(0));
end generate; --block7
end; --architecture logic
|
gpl-3.0
|
1995parham/FPGA-Homework
|
HW-4/src/p7/p7.vhd
|
1
|
810
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 05-05-2016
-- Module Name: p7.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity p7 is
end entity;
architecture rtl of p7 is
component ring_counter
generic (N : integer := 4);
port (clk, start : in std_logic;
Q : out std_logic_vector(N - 1 downto 0));
end component;
for all:ring_counter use entity work.ring_counter;
signal clk : std_logic := '0';
signal start : std_logic := '1';
signal Q : std_logic_vector(3 downto 0);
begin
clk <= not clk after 50 ns;
start <= '0' after 75 ns;
m:ring_counter port map (clk, start, Q);
end architecture;
|
gpl-3.0
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@client_@f@m/_primary.vhd
|
3
|
868
|
library verilog;
use verilog.vl_types.all;
entity Client_FM is
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
ahbMode : in vl_logic;
lastCycle : in vl_logic;
DS_FM_HTRANS1 : out vl_logic;
DS_FM_HREADY : in vl_logic;
DS_FM_HRESP : in vl_logic;
addrClkEn : out vl_logic;
dataClkEn : out vl_logic;
hRegReq : in vl_logic;
hRegWrite : in vl_logic;
hFMInvalidXfer : in vl_logic;
pRegReq : in vl_logic;
pRegWrite : in vl_logic;
pFMInvalidXfer : in vl_logic;
clientReady : out vl_logic;
clientError : out vl_logic;
dataPhAck : out vl_logic
);
end Client_FM;
|
gpl-3.0
|
hanw/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/compare.vhd
|
9
|
11685
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SC47WbDm2WnrYCHTmzsALGK0lcvqEtndfKnpUm/1Li8iGJv67zGxAH5r7t1K+mtqeqDMkuU0jk9O
Qw1TzRjzCw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jLkpnfppwLDLSuu/a6WMWvTJ9AXUpBVqeKeK4IcJiQy/6uuRD8fV6/tERFyvlG/UdJF/4sYiBKVF
wRg2zaR9QamYCA7sw6PSic+jxWW6+whSv3Tu9NBFe+/fuMxQ5PZMDAK6QG7JY18FWhtXKpYUx5KP
qrWS+3NUftPztLE7Z0w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gZ3yuuORF3u3liEnr1VR7TH8vY+EWZq7Ns5sUxBlSilcThMOhPg/JuMoYQ+w5nTi/7XGVa8pfqF9
WVxAOYepAxqhC6+wf7vcaatqH8RmkPC3tASzEthLz06b9zpjdh6UjykYbZUd5T6JxuhxoOLdNPj6
Ufx5TIW8GcUxPmc6nqIE+3mmTyynjXFZ27Y53vqazh0KXutx1KAs+3kqjY4HHn90cY+fs0cPMbi0
XCXKUTVM1R28HwtdnbBvDsQEqg5sNwnIdNDNH39V47Zpyv6iApeNl0sofOLRg21xX7AW9NF2iGBI
jtoizc8frP13vPJP4G34VLmSMbYGe8Himnp4Hg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Yqf6+nAfPtFbxvhDlJTiBpJ72C9ti+zp19vAmQRjVODLsIa9qvrByHRh1OCrKVSsowMLBSgu5JBQ
87oulp32NcroYKLciVdd1o/nxHq05knHK7JCXdsJytb3gz8Fzutk0C+xjMHgvtH8m6uK5VFNwmSV
bUZaNyDRQvoraylOovI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OyHCSvsx5p0fO3MzDTzcYYHxHBOrZaEjzlpGMhFbPOjBsUWPH+HJIV+bECmLGonEBpAvM28cK7Um
n6ERXN5DgPE4vuFWb3FuNWLfDVyAtpQzw7DLibM1iwzOEVuNIQs+Xd+CMW5Uctgr4T1+76dVHuZo
/6zLuiBpvhvi/3lU5aXoa14RFmQsmMwX8sCwfcSbN7UsQWKRRxVsB6ZFGEHk6a961NrcnMv6wdJ8
Ocd4dgQOo94Upb7HipOkzKg6hUixtOJ2bL6NjNJZSU5NkvqW9a9LQCzMlxzNYivhy1nGNBOwWqUu
Sa7+8311YLBnEpdn3669yqvxX4wJgm3AAGhPdQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6912)
`protect data_block
64HeAudmdYthjwN8Ueo8y+HfO6PNa/7lucGpH45Z4TKZYkdBWOCHFVCXwLdXfbwqEp6ud6xjE0/Q
9tkXaIin58CLGR1TZwSCMBZd5PoMc8FN8oAbBcGKZJborl+0tfkpkUTsjr9ts75TSysg59wvdVSl
nXyouWwlI9r11oe/e+gFQr4xezy6ZyvQG8MZ75WZccEpe99f1XJeolePD+yIKNkIoInkZV2INj76
86G/7X8RL0L49ONj/kzQCO4rT4UdCzKlpMEX3r7KO3591t8whdYULrfjR9tk/q18kWQZY7/wJfOR
XKxYlYo4PGkpRS1EdhnzXM1+NVW3hCmIkQvV3TJLMa8r1iSHP9+5pc+0kd8+pE2tw1N7QvwS7u1m
gAAsuTJxCn8GLaX9BQEM+4SAeXMJ7bREkjP1ufpI8tED5GoWx8vqV5DJDNvTKgAQpQpcCNpksiW2
LZypt6p8q1PtWXYjXsTLHJZXZ647BVAu43DqoiIoz9IIyBU1Sce6oizX0utoIp0wDihujVriWjnO
yqQvQrv6DmSdFgbupS4Y2GUKr1XrK4Ju6i3y52EtkaokDb5micMB2OPpOjnR/D46uyqd7VB/Q0CH
rfzLz6WSiUBdyBLBc1xrLVvwn1Ts+VwUHNsPxSRt2gONQ3aC5+iIWQlalzZXvnIlRALh0KtmDsoP
ofC5y8KCB5PHBd0X/qJuln8mNH7sMDw6yH8xxjCDvH82ZLGDF0c2OwpUuOM1jzCVLaA4ChO11w0t
HrLObLolhlOfwsE9fUZnIkxGXcltr46WQBdlbcHKXRUbQ+xxOhS/wV+c1ADMCaFPrU/KgkCeXMJq
vXOeDyKpn0rbVvdrRpeZuHznDPGbB5qciysN7kA0WlTdSviCOsu28EB06/s0AgSX1q0Z4nSg2cpp
o4nOASZhKqIMQn3B7nXvLbNJ+NkM+A9g624OKmPdX9s9ARlW3AR4ooKn7V2yieNMRkbdQG5JYh9x
dkS6VhZ4PR2kdWKEvRquGncFTAi5+e/AtSbczl11r9SqVLtV17JIOisJ0wjpilSf+Y7yf2oASePj
aSFsHQAqKI8zbJGgyiiALr6PwL6LMziKyTXkXFNHBE4iZVbuPan9G4Jny9KWdMLFB7gFXbRT7EFH
YfYR6sB5O1Hx6UfeKBaTCA1UmZsQ6mNWdrj/FgSF78OfGEsdglZo+TmJghL97mpJuA1K1aVtO7R/
UZXkAQAwK07rISAHjdg9zAWLRB4JodgVWrCh3YmrSUMvwC+US45BDl4EW3M7eObBFodlInQb7UV6
F6VyB7MVwXsfM38PUv6e7DR3SAt8OgFpMQZh6kZeUDePmh6o8dRZz1c/gu2JQUtzyX9VI7Xk7c7A
dxXBO7O5tE0pL/impk3+Gf7pwJ/SvTBQSy+KTN7OdXAjlFRGrM24MEOtCHoyjQclZT0giLWyUv0g
Dw06W07vu5A/gCnFOgYrksYMMQnuvx68qU6HWD5Hh1KWXr888hRP8V5Tv+wbeZdxNKo3DmipnYLn
s9cwQbndqX63T1OxPgjQj9iBv0THuIG9s0PWYcD7ru7aggSZkgfYYMWXdbc69GwcMPQzSsrgc49I
oQhXp3TP6ObepnrWdzxyLpJTHsuXCMaPB7oQDmo9ClsaCrMKtzzAvcdwjncsvdqxijZJK4oGePN5
/oE77Xx+gt7+k9+ua9vYIaxfRSzfvi4C0pkG3JyyNwWnqEeL9jCcyjGr58ql4ZR3vTNCJERB5+S8
Y5mpyF5SOdYSyauEjCRsIWggIYR9U9tj79ewSIlXjVT4jrJLHzbd1T9S+2uTGtOKid47GYKpbnO2
uZQBppmGLnm5L++hvCMKhz5Ypli5cZsRCOvJxeevTWXCjLCdaNUGQIcdrdb3h/lC9p/B/oXVR6SF
EUtwquHalJIdZrN1A7Y9rQE7xf9lQQMFPxTqKrFAZaHjhAEW4quUs588v8S5kzmpWJRyjs35L/2m
DKgkdBt5NUqVy97sZcBJi/oh7HAow4TurOueWmYebSYYGsFkq1wzATmNhN7Vx1p4JsaBHM1dEuVC
fn5AceOZmqfVd9SDonUmjgFDAt+L/Kltk5iZ2HfV1iOIyYOJ/Gbt98oP5qNqFBjOoxkH1rV93mtu
daWN4O2QfpiQUDw9jUr9JUvcgqH8GjO3gt3HMDkPMQK8h5CSLJsNrJ9KFxnleyML8lnDG8Cvoywb
V/rfbW83mCiqML1skhf5Pg7nDExjj+2b3CpQ6oKNGYqtn0KqMbebgA9WtEuF5iRTcNfgfI9WW4MJ
AUHD5L2z2aZXzrne0Wf35KIyfUHHiGNNaqGbsh5aOhg3gurfnhTqUFOK1T6IY2YdSFTsOQW+cjqk
KAokX5P1RrjCgtKpDVCK0Z6EQlj9+0jJMzLGg4ZIUt/9GRFj7zt2wGdbQUmXVmiO2To5GlTSzu0/
KEgOBgRKBw7HJErqgmzKvLiQIEifwbxCC/1LyGfveOdAxI2BEaqpdlxKVZg7KmJ4YTY1MJZusjsc
e94b87ipm8g5aEO4FLfBs6YlMk0aSOd3YYCNUiqpupbANiv8IQXFk2GsN6rsWv298L9KC7iyARog
RDUB+BqIYa5m4xEgMkv+53zWtcMlrpOyGJdbUiEWstsny0DWhWvjYzzFgdk0r5Huxq+t/Z7DSOeu
EB0qgzOR8QTrUC6Yu4WPzqC8UzNV7ATQUfOkLUOiTbfKamiXFhT4PwiQLBhlbS1To8xepGmVhYCt
U0dFEKNmOYkjiDApzpI7UtVVlf2fbMn5Q0/k1ECActPvmYmlmCSO73D7OSNqMBhm3dFDFRkEk2UM
kwDPh4iv4+VNvQkWF8r32B3DK6YNnvA4Xrwl4t0pGTsoie89EKowkGr0UlPc9Q4BWsBPI7DnariE
YpGcsD3qRGREu803nroiPBuSYTMj1CH52+SKoihmfHLphWL51xlXA1wyRqPEJkN8oO/qubcjq5Gv
qb2RgPiOeJ0O2XIqDPfsUWtHIUxEeTwPUsNXlrXvZyd8LHh4YAf4aJFnqTnLEYkJUD9nnaLEZ8he
uDukPRsMgdM46A+xMqdFk4qQ2vyNeuRb9cvqp3jOsh7V4LDvQVYoCJoYGEcf7OZ1nKJ5u2FofVEY
bbCyY9oJjwolRl/r3TSTAYrxM3XmA7tOe1lkkFmuAoksuGZo8PLNqVuk47B98lK4Ku0Xkp6vo1ll
WGRxTiwQZ9Xs7vkEs4Zmj441jhFEmL0XPp+137VyiIL0j5STyC40UnYX4ONXE3uZEswg0rAtbPS8
kYMngxcToW2rgB5XSv6UCTDYReG4CXFKmnC972hMXHM/aHY06Or/jV67nPZlmdaqBGVECDz5vnFD
KnlgHX7VIl33fdBihCyHloIVKnVcVgwmzWo+MSVPlVCNvnvadshpudRnEG44nEkbdzKLRwC7c7qg
naEa8Rf9JgZD5nG0jHZhq3WM9TeICcwyeGbIjCOxk8jGvxQbdEVF0DyidEGYOjRlKG3EmNbXRRVT
0tzYIgqrBC0tRreldILI0qKRsy2gxgDl/N2+zzUfkyqcMRkbvm+9XEr50W8VcYWdg+3VV983zYIV
5jjfELIayAA1E4Rvgd38zUqspGwBlc12JHdc1RVzfrT6iGZ03WCKhuO6Uq8GsjLzVFZQLaWTc9eI
sqz+iDd1acMx2FrjN/tl8sE7hQMEnHvCOqhArcu7Vdrn7ad5H7or4VvHZMyfGjRPgdIJzleCEFgR
3Jnfjr30Sq9NGvjMNMbQMXj3e5HjrJuoNKGimhksatWlOdr8GgbsYMMdHa1/MI+BMI7r5XZdYg9B
Unfir+L/jfNDLqfwiVhVj1Zq4X9opA1wkoPIsjf+UdH3D97s6PT4OW4X8L2UoLU7M62+9gACPK5W
MmVW509xjr0N6xc8lEiDxxJIMVMq+l5o1BC5762rpszmgtaGcJl2Gw/gt/ORSFZhRUjZILcuxTAE
r6ONOVfSiUN9s2uFXTz95a5RNgnRYpezMkRX5eNLJ8qLWf1Ags6RLjRHP4tA0sEtkL82HagMdfZ5
E7Ke0vLS5zYB4LO1QqbNQ+u1ZGSLe7++zpdkfVCWKIb8M7BSIwVTrBrvjHjtqpDd5FhyruZfzau6
Im1/GzcEyh+fRffNzMSFXrRmxkh7XKyFSUpbSjORilog8t+NwoXYg+hqgwQtXUXVz4BUFb8cj1LR
g05MpgRjiwfFZh7peeNkq6oJ08mJx73hijSZsKRgqdZdRyWlb0ye4CKxKVJxo2nOux/8dbpmIFFy
46bcZ/T1j6dcZp7Yvl23ngEqyip1+GP0hAbq6MSYe8lXI/SJMifgQ9Fixshp5fTUegPj5NivOU/y
lIVlA+oE/NXOoQ+0vx+yH/n/5yIdbA6Vh+f7pjQ3F5gTDSICOE2CMe3FdLGMp+sx/WYymV62E6k5
fdjVk/zWIpCiCKrmTMuLpL2t998h1rMY8rH3IIfuNqwSWV6vzr32+dA+BOpUv7Xa5QOb2jxYiUPm
1oppkyMOuPGSJmMRzey0dvszu2jEq17nue8F7yIwJaFy+/q8oht3CfmjjGVg1dIlh+iuJo8ky5dT
7wYvkcf/ieL3a5FtF7xlj/gtZI6/yLWe4hX41xpqWV+BGE7SoxL6ywZ3XnH1HEEfniVIjkPc2COu
21jeg1lMOj775n22CIJRFNwwPDKJ77V/m2oe+rXpYPpTeEX/Tnp8KfgOcCAnl+nFeVq3Hv+3I62S
6vhBcqqXCzHYfcxASi/pMveynTWbKAAHHXJgQBQp2saBSuKm0Wq707SsS8hIkaHfAAK4KoLT7Yk4
tZu1AvMYjVFiCHMFg3AD6cv2Ingu6B4YaB7EXjYFfKShT1ufTWnhzdMAzVCisJP5ftE6BnSea32P
RX7DSjVR4ESdTg53qps5iygxpO0+FTXpBFFbY1IiKBjQaBOHy6CAxW/n5Rn07nYNRApZcY9ocwk/
K64IwPXE/MtuIAObOFTznBd0Q5hRR91UKQgJK2ACQmsTHChXTGxdBJAH4W50GQDGtvekt5o/PJuU
5iDQuvGUmkYbnvMsYggjzAC0NCL60eNSx8bXsqMqlfc22unm4Y6b4+MOODXoP9GAIQHOPf6frz5Y
/GUHSl78tFAmeWcwinz6689hxmX6DUxOPug0IjDWJHjFIsZXnxJqY4FCc29McEoIb7kDEiyyvWoi
iT1fzhxWqipUemQHpLkZlQtUq0p6dPbxrdDPz03NOkRzs0bm91rx2jqW2vsSQRUZaxEKAbD43mWZ
BT5UHtC5MLxzIkGvAPl3/hPSBBJtyLjFD72kzhybE59urRT2wfYDO5EwhxBNEu8igPychEHI2hzn
vrlQ8gLsyijRcz/D01WMRaQju2ef4wnZMFOJna6OzaH3Wf0BtpnnMGQZ3O6AUrnO1X/Iiw/L0nhx
65yDguY496QT8cpCfB57pOvaryFyVovioA2e6nXOMupQVOkZ0m4VOwTUuBQ/RV7bB5M5LKce/4yP
JM6QtRXHGKGLdI4I4whzPNL5wwBfmpFaMVhWAE5DaLu4/9SxKKqZd9mlRrHu8vjdwqQH5E0Jq8+M
Q5x9/d2/fX2Fxk2oceTGzTvysp749lca3pMTb0nF6zbG2KZuYc3UGfSlVzCY5Yb0HzG6CriGRO/W
086+nx8HLhpKATf3OyfzELS8al77kAv4PHmfJT5tU2f9A3xMLJIj8ygcwHQv8NEWMUnGfDzF6NW5
xCgT9Zf6nx4nL+nL2GVVTQCE1Ap0IPpz14T1L4RS2hiCIOAf1UVw+eP56slgm1rlYY3pAW9IC7Dm
lZ0JV2X/8yBALPgkHOC2VkqtVuHzkYFmcIzdXONEWJXWAd/qb5mxMGxs/gbxX3tyQuVpBYVKdnn9
tf6cg+VYVItw9+N5NIGzIu2Pa61BUDV1/dhW6u5YsRQ3bGWd4hU53Cqih+qKuFdnNGMY3kBSedpU
G4ab0kQbd9cbVxjRaRQMIKJ5iT8OPIwrLLfFdV71liB+bTjA/9k4zWeqDi/RDewA4uAe2rpdiLEp
twpzI39hIQ7SKAIgHTpW2zDHNnugyg1l5AXFKYVlckHEVm3Pq1I81fk0SJ7SP9HPtXfRhgXwab44
rMUFERShqQDZey8tzdjSVpiesl+80ISjJM4W/lSrfXLHEFE+S+aYGMTewelow3J0l5mVna5wjPaM
8ow5XncfB7Pwb33NvxsQkn54SyCDcqMkBuoA5ebnm6Xtp261WxdnLJ0iyFA8FqmSBJHx/1KoywEd
F1vCAFzsjs5FwR1h3WrDJw3YlaPrf17RBPbSvbIyIPKTTN7XOohHDqplneXfHDB/TKLijcwaiMgm
hXUARR3je4D/oJB+hj3/hpoyzPqgXYAiRKbAgIWqzYK3mH8HH/aG/kODsXN6tx5Y53U9cQnm9Zdu
MSHmb3c7bl67ONU90Je7lti+n5cv2v/9cGpugTgJRGMHixb1WhpzvWykEjG8/4J2/VdDaCd5Qb8u
PNx+L4AnuwCnnMuz3z/xBX193IsmtwTjC3QsberZokI5Q9r/UcLCcekDh/aGzoXUfluj10dvOgO3
gCJjZiHWjUFCTL9AmBHEI/SRRhK0Kck5f6VWxjDVuMXBaVXE5SCossdmneivRKkY/nOiWGRzIxP4
C/h5EHuaUcZm3CbC7gmbO4CL/gxMrKwmiY9G1GNKHU0qAcsoQvozHwTkgQ6H/TTo4KmIubpiuWxF
lOHHla44F9M476u6RUhg8V74LGB8Kifw8qSfbBIgp6YoQ9SwTUCY1Ik5RB6loAVowyD657JONek+
MTUFn/BP+b1BF6zABKvWYb01sNYiN0v7/Q2oiYnWD4jHmUR9mBKiE67M/zZb4xc/as+CaTg7yHYC
szUGVtEuV2ojdvtsptwQuFzSHsrLtpzVuYlZ40+GXFn5Vz98mbcR0xIYHAVI0oG/QXIbV5inhKiL
JuGp367/Zs47aWxLAfc+R5689BoddMf/TNfTgbJpO7Zh9URvhXDwODWjDYv3py1eirDdG1CUiep5
53Wyrq5WQhuJuJcCXwFo9Xd5+2IIXRAmTtA/8pfEghV5yeM3yK1j6ebMBcz6XoWwj+9B/oVSOZms
woQkGVKJAM59HlPugaT3PpoWHyUgD9TBpfCrbN3E/9F9TnMOLeNUiQzZpf6ulzunz5MPExhFtW9M
B3vYx7jikU1QTZDSMS56CaM1ETmq759D7Tj9QcX4inYzFuI13GhZ1hBgkWFoX+yeLAgYvOdrBLFS
/2ljpkxGNlwRigpEAAGc9NIRlTfA56p0aTCBze15ZVxD3Bc7jaGb0x5/p3TwJ9fKOlMBUbPPQawR
ujAeUPe8DgT+hrvfZ+NppSGyV6plCox28d2BJYTyIu/55E2FMdW5R4H+8QrjVb5MVt7LODwQ36DD
D5jMcKGryKpTLfeqHArcNEEyu7YYN9SZsoypTnXju7ZHMPFvvsobAlJj1KGpJA5qXemqNb8JdxBd
YqIjOlLCMy8ENs/1OeMQOJL+CIQ1jexSn9RDsX3sCQcJxfJQJFqCMeA5RZ0F3beV65E4LOvFmUkJ
RzXWWk+YbCs1ae310NvTO/5+nui8v32zDkmuiJFVJzyN9QNEhNwtCuskR9ycbv6D7SIJ4BlszRRA
XTQCsh6dmqSzcu5ltF2UJpyy7eJuqWdiMRXAojML6T/nvELvyF4cpmFF8UtsDBOm0aQlw+paJ+p1
BDIrdaYsLjdw8t0ZA+K9yEiTX0WDNtwQ4U0ndrIKKRdlMcRKWXih+Jbw+bpxgCbebHTfXapwOqxe
HslvkKZ1iD2Mh0PPAI+cfH40f6J1laCAsWOCR2AVMpGoVLjPGYfYIVRLVZoI9v3fHgW+xDOwK2ug
I10ZZTvAVY/C06d+wJML4NqC1czyaHdwN0uBcQg0Ady2UYbdijb6RFpDMCRnKNFlfAXgHvedPPB1
3viso40oREIbosYTz2PsksnlO/UbSO1+Y86BUwwTyjJM/ls7AO8xh0iZOhgq9NZOW3ci+LdT6pu9
8qWdukqcSgAip2oVckQD115um/3vHFGb7twHzIeE4OF20NlDyGIZ+J5Cy7Kv/20V1i7jbq2tWdL9
ScKQmSQhQnBEt6M4s0VWWfo+luFM83Z8ZSa/J/NkVM7Cw2s10r+sgbI6F8BYqwdHcM3naOyPtaDH
lURjdt3rRT2puHR+eMj7qic+y4HLp60IywzX9556fABuh+IWjYgm6OrDPs3oCCCNWRdraMof4Iyi
FuoIybNV9GzAYEtaVU+j/5bsV3b2jnRei4OrX+JcNFCfjHIazMEHV66DEOvfyqi3dHH4BY5XJqFe
oQoqmmy3DmNYepXToLw5khgAzecYdw3V1kd5qvOEYUwZle1m1NDB5+rdDuFOiBl/8+k67SMkt6pJ
r/UZ3bghJXkQMueJCl/z2dqk6POCvoD1kU/TRXHtyYvZvilo25riKb/4c5gin3DJHyaXD2l5p9Vm
LCkCsngyzw7zXSnbHoK6uHoENB2GpEcbhVU8olSk9z2ZNoRm0VVvqV4Jwlvt/0nfYObLgPjplnPk
nIiGXLBtPfptm6FbUr5Iuo+rMUrTxoswQawN7Lb2/aVEh3FWlPVoQVVz0Jm7RCMPrtXzVr/5cMGZ
bNQigiGIqQ//Fx075BRQwgUnYmeObVCgdl0Sxn4lMRqrZbGEMyCIjIo2mU1Vml9SlIEntn60QW0/
pMtwvXSLfMASNmNyhXz+Cl4OzaHCNTm2Whn9iHHYTTnzI25zu0Blb77E0TZ4k3ftSmERw0UJ53Bk
gMroteM3FbzwrUkwu4gekpVkjvXcapWPEf6uwRm+ceGeeBY6PdXpEJdPy3MpqlK9TwCLJjtbOuU2
C91j9yQERrtPfdGXHnYtbPzxf/nJ0yJgonNi0yitDKtm/wz2vF7bC+32ceE2JgxErP78TQIKPPet
vWeXs1ZcD/i/E8kUr19J67uP4HeGa4LF4e5ovEEYECXm1VyFMFdYF/zNhvTUJaEojXEx+R1868Xb
S3Jw+367CJylqq9HKGmKisOPkPQxqQkB74ZipUUs5LN1GCAhYIbTQuBVHZWXgLuUNP2mHlg/VCWl
yC/jJ/63NvMUu5HonWkvGekJCNXZVUUa1sfMMqs4sGnkFBCro704qoIIlXvoqBZ0rreuKS0qkCC0
FmixnJtN8KH0Sv+j30Sd/7MyPHLLo67XSY4u3OHmc94ONYZDrmIj51eMbK9J3iyoB1hj+fL3Kx+N
QY9afbya/54/OxrzTRCi
`protect end_protected
|
gpl-3.0
|
julioamerico/prj_crc_ip
|
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/mti/user_vlog/COREAHBLITE_LIB/@b@f@m_@a@h@b@s@l@a@v@e@e@x@t/_primary.vhd
|
2
|
2196
|
library verilog;
use verilog.vl_types.all;
entity BFM_AHBSLAVEEXT is
generic(
AWIDTH : integer := 10;
DEPTH : integer := 256;
EXT_SIZE : integer := 2;
INITFILE : string := " ";
ID : integer := 0;
ENFUNC : integer := 0;
ENFIFO : integer := 0;
TPD : integer := 1;
DEBUG : integer := -1
);
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
HSEL : in vl_logic;
HWRITE : in vl_logic;
HADDR : in vl_logic_vector;
HWDATA : in vl_logic_vector(31 downto 0);
HRDATA : out vl_logic_vector(31 downto 0);
HREADYIN : in vl_logic;
HREADYOUT : out vl_logic;
HTRANS : in vl_logic_vector(1 downto 0);
HSIZE : in vl_logic_vector(2 downto 0);
HBURST : in vl_logic_vector(2 downto 0);
HMASTLOCK : in vl_logic;
HPROT : in vl_logic_vector(3 downto 0);
HRESP : out vl_logic;
EXT_EN : in vl_logic;
EXT_WR : in vl_logic;
EXT_RD : in vl_logic;
EXT_ADDR : in vl_logic_vector;
EXT_DATA : inout vl_logic_vector(31 downto 0);
TXREADY : out vl_logic;
RXREADY : out vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of AWIDTH : constant is 1;
attribute mti_svvh_generic_type of DEPTH : constant is 1;
attribute mti_svvh_generic_type of EXT_SIZE : constant is 1;
attribute mti_svvh_generic_type of INITFILE : constant is 1;
attribute mti_svvh_generic_type of ID : constant is 1;
attribute mti_svvh_generic_type of ENFUNC : constant is 1;
attribute mti_svvh_generic_type of ENFIFO : constant is 1;
attribute mti_svvh_generic_type of TPD : constant is 1;
attribute mti_svvh_generic_type of DEBUG : constant is 1;
end BFM_AHBSLAVEEXT;
|
gpl-3.0
|
1995parham/FPGA-Homework
|
HW-1/src/p4-1-2/p4-2.vhd
|
1
|
1843
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 04-03-2016
-- Module Name: p4-2.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity sixteen_bit_comparator is
port (a, b : in std_logic_vector(15 downto 0);
l, g, e : in std_logic;
eq, gt, lt : out std_logic);
end entity sixteen_bit_comparator;
architecture structural of sixteen_bit_comparator is
signal a0_b0_eq, a0_b0_gt, a0_b0_lt : std_logic;
signal a1_b1_eq, a1_b1_gt, a1_b1_lt : std_logic;
signal a2_b2_eq, a2_b2_gt, a2_b2_lt : std_logic;
signal a3_b3_eq, a3_b3_gt, a3_b3_lt : std_logic;
entity four_bit_comparator is
port (a, b : in std_logic_vector(3 downto 0);
l, g, e : in std_logic;
eq, gt, lt : out std_logic);
end entity four_bit_comparator;
for all:four_bit_comparator use entity work.four_bit_comparator;
begin
c0: four_bit_comparator port map (a(3 downto 0), b(3 downto 0), open, open, open,
a0_b0_eq, a0_b0_gt, a0_b0_lt);
c1: four_bit_comparator port map (a(7 downto 4), b(7 downto 4), open, open, open,
a1_b1_eq, a1_b1_gt, a1_b1_lt);
c2: four_bit_comparator port map (a(11 downto 8), b(11 downto 8), open, open, open,
a2_b2_eq, a2_b2_gt, a2_b2_lt);
c3: four_bit_comparator port map (a(15 downto 12), b(15 downto 12), open, open, open,
a3_b3_eq, a3_b3_gt, a3_b3_lt);
eq <= a3_b3_eq and a2_b2_eq and a1_b1_eq and a0_b0_eq;
gt <= a3_b3_gt or (a2_b2_gt and a3_b3_eq) or (a1_b1_gt and a2_b2_eq and a3_b3_eq)
or (a0_b0_gt and a1_b1_eq and a2_b2_eq and a3_b3_eq);
lt <= a3_b3_lt or (a2_b2_lt and a3_b3_eq) or (a1_b1_lt and a2_b2_eq and a3_b3_eq)
or (a0_b0_lt and a1_b1_eq and a2_b2_eq and a3_b3_eq);
end architecture structural;
|
gpl-3.0
|
Project-Bonfire/EHA
|
RTL/Router/credit_based/RTL/LBDR_packet_drop.vhd
|
3
|
5789
|
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop is
generic (
cur_addr_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
Rxy_reconf: in std_logic_vector(7 downto 0);
Reconfig : in std_logic;
Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
packet_drop_order: out std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic
);
end LBDR_packet_drop;
architecture behavior of LBDR_packet_drop is
signal Cx, Cx_in: std_logic_vector(3 downto 0);
signal Temp_Cx, Temp_Cx_in: std_logic_vector(3 downto 0);
signal reconfig_cx, reconfig_cx_in: std_logic;
signal Rxy, Rxy_in: std_logic_vector(7 downto 0);
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal N1, E1, W1, S1 :std_logic :='0';
signal Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: std_logic;
signal Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: std_logic;
signal grants: std_logic;
signal packet_drop, packet_drop_in: std_logic;
signal ReConf_FF_in, ReConf_FF_out: std_logic;
begin
grants <= grant_N or grant_E or grant_W or grant_S or grant_L;
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
N1 <= '1' when dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) else '0';
E1 <= '1' when cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) else '0';
W1 <= '1' when dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) else '0';
S1 <= '1' when cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) else '0';
process(clk, reset)
begin
if reset = '0' then
Rxy <= Rxy_reconf;
Req_N_FF <= '0';
Req_E_FF <= '0';
Req_W_FF <= '0';
Req_S_FF <= '0';
Req_L_FF <= '0';
Cx <= std_logic_vector(to_unsigned(Cx_rst, Cx'length));
Temp_Cx <= (others => '0');
ReConf_FF_out <= '0';
reconfig_cx <= '0';
packet_drop <= '0';
elsif clk'event and clk = '1' then
Rxy <= Rxy_in;
Req_N_FF <= Req_N_in;
Req_E_FF <= Req_E_in;
Req_W_FF <= Req_W_in;
Req_S_FF <= Req_S_in;
Req_L_FF <= Req_L_in;
ReConf_FF_out <= ReConf_FF_in;
Cx <= Cx_in;
reconfig_cx <= reconfig_cx_in;
Temp_Cx <= Temp_Cx_in;
packet_drop <= packet_drop_in;
end if;
end process;
-- The combionational part
process(Rxy_reconf, ReConf_FF_out, Rxy, Reconfig, flit_type, grants, empty)begin
if ReConf_FF_out= '1' and flit_type = "100" and empty = '0' and grants = '1' then
Rxy_in <= Rxy_reconf;
ReConf_FF_in <= '0';
else
Rxy_in <= Rxy;
if Reconfig = '1' then
ReConf_FF_in <= '1';
else
ReConf_FF_in <= ReConf_FF_out;
end if;
end if;
end process;
process(Faulty_C_N, Faulty_C_E, Faulty_C_W, Faulty_C_S, Cx, Temp_Cx, flit_type, reconfig_cx, empty, grants) begin
Temp_Cx_in <= Temp_Cx;
if reconfig_cx = '1' and flit_type = "100" and empty = '0' and grants = '1' then
Cx_in <= Temp_Cx;
reconfig_cx_in <= '0';
else
Cx_in <= Cx;
if (Faulty_C_N or Faulty_C_E or Faulty_C_W or Faulty_C_S) = '1' then
reconfig_cx_in <= '1';
Temp_Cx_in <= not(Faulty_C_S & Faulty_C_W & Faulty_C_E & Faulty_C_N) and Cx;
else
reconfig_cx_in <= reconfig_cx;
end if;
end if;
end process;
Req_N <= Req_N_FF;
Req_E <= Req_E_FF;
Req_W <= Req_W_FF;
Req_S <= Req_S_FF;
Req_L <= Req_L_FF;
process(N1, E1, W1, S1, Rxy, Cx, flit_type, empty, Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF, grants, packet_drop) begin
packet_drop_in <= packet_drop;
if flit_type = "001" and empty = '0' then
Req_N_in <= ((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0);
Req_E_in <= ((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1);
Req_W_in <= ((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2);
Req_S_in <= ((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3);
if dst_addr = cur_addr then
Req_L_in <= '1';
else
Req_L_in <= Req_L_FF; -- Added to remove latch possibility. Correct ??
end if;
if ((((N1 and not E1 and not W1) or (N1 and E1 and Rxy(0)) or (N1 and W1 and Rxy(1))) and Cx(0)) or
(((E1 and not N1 and not S1) or (E1 and N1 and Rxy(2)) or (E1 and S1 and Rxy(3))) and Cx(1)) or
(((W1 and not N1 and not S1) or (W1 and N1 and Rxy(4)) or (W1 and S1 and Rxy(5))) and Cx(2)) or
(((S1 and not E1 and not W1) or (S1 and E1 and Rxy(6)) or (S1 and W1 and Rxy(7))) and Cx(3))) ='0' and dst_addr /= cur_addr then
packet_drop_in <= '1';
end if;
elsif flit_type = "100" and empty = '0' and grants = '1' then
Req_N_in <= '0';
Req_E_in <= '0';
Req_W_in <= '0';
Req_S_in <= '0';
Req_L_in <= '0';
else
Req_N_in <= Req_N_FF;
Req_E_in <= Req_E_FF;
Req_W_in <= Req_W_FF;
Req_S_in <= Req_S_FF;
Req_L_in <= Req_L_FF;
end if;
if flit_type = "100" and empty = '0' then
if packet_drop = '1' then
packet_drop_in <= '0';
end if;
end if;
end process;
packet_drop_order <= packet_drop;
END;
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.