repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
straywarrior/MadeCPUin21days
PC_REG.vhd
1
1,357
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 23:36:20 11/21/2015 -- Design Name: -- Module Name: PC_REG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity PC_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; stall : in STD_LOGIC; PC_in : in STD_LOGIC_VECTOR (15 downto 0); PC_out : out STD_LOGIC_VECTOR (15 downto 0) ); end PC_REG; architecture Behavioral of PC_REG is begin process (reset, clk) begin if (reset = '0') then PC_out <= (others => '0'); elsif (clk'event and clk = '1' and stall = '0') then PC_out <= PC_in; end if; end process; end Behavioral;
gpl-2.0
855f6636a8e8fffe7ed2e8ddb1624580
0.540899
3.956268
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/tmp/_cg/_dbg/Instruct_Memory.vhd
1
10,224
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Generated from core with identifier: xilinx.com:ip:blk_mem_gen:7.3 -- -- -- -- The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port -- -- Block Memory and Single Port Block Memory LogiCOREs, but is not a -- -- direct drop-in replacement. It should be used in all new Xilinx -- -- designs. The core supports RAM and ROM functions over a wide range of -- -- widths and depths. Use this core to generate block memories with -- -- symmetric or asymmetric read and write port widths, as well as cores -- -- which can perform simultaneous write operations to separate -- -- locations, and simultaneous read operations from the same location. -- -- For more information on differences in interface and feature support -- -- between this core and the Dual Port Block Memory and Single Port -- -- Block Memory LogiCOREs, please consult the data sheet. -- -------------------------------------------------------------------------------- -- Source Code Wrapper -- This file is provided to wrap around the source code (if appropriate) -- and is designed for use with XST LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY blk_mem_gen_v7_3; USE blk_mem_gen_v7_3.blk_mem_gen_v7_3; ENTITY Instruct_Memory IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instruct_Memory; ARCHITECTURE spartan3e OF Instruct_Memory IS COMPONENT blk_mem_gen_v7_3 IS GENERIC ( c_family : STRING; c_xdevicefamily : STRING; c_elaboration_dir : STRING; c_interface_type : INTEGER; c_axi_type : INTEGER; c_axi_slave_type : INTEGER; c_has_axi_id : INTEGER; c_axi_id_width : INTEGER; c_mem_type : INTEGER; c_byte_size : INTEGER; c_algorithm : INTEGER; c_prim_type : INTEGER; c_load_init_file : INTEGER; c_init_file_name : STRING; c_init_file : STRING; c_use_default_data : INTEGER; c_default_data : STRING; c_rst_type : STRING; c_has_rsta : INTEGER; c_rst_priority_a : STRING; c_rstram_a : INTEGER; c_inita_val : STRING; c_has_ena : INTEGER; c_has_regcea : INTEGER; c_use_byte_wea : INTEGER; c_wea_width : INTEGER; c_write_mode_a : STRING; c_write_width_a : INTEGER; c_read_width_a : INTEGER; c_write_depth_a : INTEGER; c_read_depth_a : INTEGER; c_addra_width : INTEGER; c_has_rstb : INTEGER; c_rst_priority_b : STRING; c_rstram_b : INTEGER; c_initb_val : STRING; c_has_enb : INTEGER; c_has_regceb : INTEGER; c_use_byte_web : INTEGER; c_web_width : INTEGER; c_write_mode_b : STRING; c_write_width_b : INTEGER; c_read_width_b : INTEGER; c_write_depth_b : INTEGER; c_read_depth_b : INTEGER; c_addrb_width : INTEGER; c_has_mem_output_regs_a : INTEGER; c_has_mem_output_regs_b : INTEGER; c_has_mux_output_regs_a : INTEGER; c_has_mux_output_regs_b : INTEGER; c_mux_pipeline_stages : INTEGER; c_has_softecc_input_regs_a : INTEGER; c_has_softecc_output_regs_b : INTEGER; c_use_softecc : INTEGER; c_use_ecc : INTEGER; c_has_injecterr : INTEGER; c_sim_collision_check : STRING; c_common_clk : INTEGER; c_enable_32bit_address : INTEGER; c_disable_warn_bhv_coll : INTEGER; c_disable_warn_bhv_range : INTEGER; c_use_bram_block : INTEGER ); PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT blk_mem_gen_v7_3; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF spartan3e : ARCHITECTURE IS "blk_mem_gen_v7_3, Xilinx CORE Generator 14.7"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF spartan3e : ARCHITECTURE IS "Instruct_Memory,blk_mem_gen_v7_3,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF spartan3e : ARCHITECTURE IS "Instruct_Memory,blk_mem_gen_v7_3,{c_addra_width=5,c_addrb_width=5,c_algorithm=1,c_axi_id_width=4,c_axi_slave_type=0,c_axi_type=1,c_byte_size=9,c_common_clk=0,c_default_data=0,c_disable_warn_bhv_coll=0,c_disable_warn_bhv_range=0,c_elaboration_dir=C_/Users/Brett/Documents/GitHub/ECE368-Risc/ipcore_dir/tmp/_cg/,c_enable_32bit_address=0,c_family=spartan3,c_has_axi_id=0,c_has_ena=0,c_has_enb=0,c_has_injecterr=0,c_has_mem_output_regs_a=0,c_has_mem_output_regs_b=0,c_has_mux_output_regs_a=0,c_has_mux_output_regs_b=0,c_has_regcea=0,c_has_regceb=0,c_has_rsta=0,c_has_rstb=0,c_has_softecc_input_regs_a=0,c_has_softecc_output_regs_b=0,c_init_file=BlankString,c_init_file_name=Instruct_Memory.mif,c_inita_val=0,c_initb_val=0,c_interface_type=0,c_load_init_file=1,c_mem_type=1,c_mux_pipeline_stages=0,c_prim_type=1,c_read_depth_a=32,c_read_depth_b=32,c_read_width_a=16,c_read_width_b=16,c_rst_priority_a=CE,c_rst_priority_b=CE,c_rst_type=SYNC,c_rstram_a=0,c_rstram_b=0,c_sim_collision_check=ALL,c_use_bram_block=0,c_use_byte_wea=0,c_use_byte_web=0,c_use_default_data=0,c_use_ecc=0,c_use_softecc=0,c_wea_width=1,c_web_width=1,c_write_depth_a=32,c_write_depth_b=32,c_write_mode_a=WRITE_FIRST,c_write_mode_b=WRITE_FIRST,c_write_width_a=16,c_write_width_b=16,c_xdevicefamily=spartan3e}"; BEGIN U0 : blk_mem_gen_v7_3 GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_elaboration_dir => "C:/Users/Brett/Documents/GitHub/ECE368-Risc/ipcore_dir/tmp/_cg/", c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instruct_Memory.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 1, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ) PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, clkb => clkb, addrb => addrb, doutb => doutb ); END spartan3e;
mit
5eae559c6d0dfbcdac9828060a821a49
0.56651
3.369809
false
false
false
false
michel-castan/LILASHOME
doc/index_171.vhd
1
1,978
-------------------------------------------- -- généré par LILASV4 -- -------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.Numeric_Std.all; use IEEE.std_logic_unsigned.all; entity tst_code_logic_Moore is port( clk : IN std_logic := 'U'; rst : IN std_logic := 'U'; monter : OUT std_logic := 'U'; descendre : OUT std_logic := 'U'; DS : IN std_logic := 'U'; DI : IN std_logic := 'U'; MS : IN std_logic := 'U'; MI : IN std_logic := 'U'; MA : IN std_logic := 'U'; DA : IN std_logic := 'U'); end entity tst_code_logic_Moore; architecture a_tst_code_logic_Moore of tst_code_logic_Moore is -- déclaration des variables modules -- déclaration des signaux internes -- déclaration des variables locales type typeEtat is (CabineArretDescente, CabineArretMontée, CabineEnMontée, CabineEnDescente); signal etatCourant : typeEtat := CabineArretDescente; begin process (rst, clk) begin if (rst='1') then etatCourant <= CabineArretDescente; monter <= '0'; descendre <= '0'; elsif (clk'EVENT and clk='1') then case etatCourant is when CabineArretDescente => if DS='1' then etatCourant <= CabineEnMontée; monter <= '1'; descendre <= '0'; elsif DI='1' then etatCourant <= CabineEnDescente; monter <= '0'; descendre <= '1'; end if; when CabineArretMontée => if MS='1' then etatCourant <= CabineEnMontée; monter <= '1'; descendre <= '0'; elsif MI='1' then etatCourant <= CabineEnDescente; monter <= '0'; descendre <= '1'; end if; when CabineEnMontée => if MA='1' then etatCourant <= CabineArretMontée; monter <= '0'; descendre <= '0'; end if; when CabineEnDescente => if DA='1' then etatCourant <= CabineArretDescente; monter <= '0'; descendre <= '0'; end if; end case; end if; end process; end architecture a_tst_code_logic_Moore;
apache-2.0
692bceac6d6b850cdabc3aec3c6b5ebe
0.59084
3.26412
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_decoder_GNM4LOIHXZ.vhd
14
901
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_decoder_GNM4LOIHXZ is generic ( decode : string := "01"; pipeline : natural := 1; width : natural := 2); port( aclr : in std_logic; clock : in std_logic; data : in std_logic_vector((width)-1 downto 0); dec : out std_logic; ena : in std_logic; sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_decoder_GNM4LOIHXZ is Begin -- DSP Builder Block - Simulink Block "Decoder" Decoderi : alt_dspbuilder_sdecoderaltr Generic map ( width => 2, decode => "01", pipeline => 1) port map ( aclr => aclr, user_aclr => '0', sclr => sclr, clock => clock, data => data, dec => dec); end architecture;
mit
5ed54a88ee0a0fee923346e1c92527dc
0.653718
2.925325
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg.vhd
1
71,560
------------------------------------------------------------------------------- -- axi_sg ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- Description: This entity is the top level entity for the AXI Scatter Gather -- Engine. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_1.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 7/1/10 v1_00_a -- ^^^^^^ -- CR567661 -- Remapped interrupt threshold control to be driven based on whether update -- engine is included or not. -- ~~~~~~ -- GAB 7/27/10 v1_00_a -- ^^^^^^ -- CR569609 -- Remove double driven signal for exclude update engine mode -- ~~~~~~ -- GAB 8/12/10 v1_00_a -- ^^^^^^ -- CR572013 -- Added ability to disable threshold count reset on delay timer timeout in -- order to match legacy SDMA operation. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- Added ch1_aclk and ch2_aclk to allow for asynchronous operation -- Added C_ACLK_IS_ASYNC parameter to set mode of clock synchronization -- ~~~~~~ -- GAB 10/21/10 v2_01_a -- ^^^^^^ -- Rolled version to v2_01_a -- Updated to axi_datamover_v3_00_a -- Updated tstrb ports to tkeep ports -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- Updated AXI Datamover to incorperate new ports and ***_TDATA_WIDTH parameters -- ~~~~~~ -- GAB 2/2/11 v2_02_a -- ^^^^^^ -- Update to AXI Datamover v2_01_a -- ~~~~~~ -- GAB 6/13/11 v3_00_a -- ^^^^^^ -- Update to AXI Datamover v3_00_a -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library axi_datamover_v5_1; use axi_datamover_v5_1.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- AXI Master Stream out for descriptor fetch C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_INCLUDE_DESC_UPDATE : integer range 0 to 1 := 1; -- Include or Exclude Scatter Gather Descriptor Update -- 0 = Exclude Descriptor Update -- 1 = Include Descriptor Update C_INCLUDE_INTRPT : integer range 0 to 1 := 1; -- Include/Exclude interrupt logic coalescing -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_INCLUDE_DLYTMR : integer range 0 to 1 := 1; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in usec C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- dm_resetn : in std_logic ; -- -- -- Scatter Gather Write Address Channel -- m_axi_sg_awaddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_awlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_awsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_awprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_awcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_awvalid : out std_logic ; -- m_axi_sg_awready : in std_logic ; -- -- -- Scatter Gather Write Data Channel -- m_axi_sg_wdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_wstrb : out std_logic_vector -- ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0); -- m_axi_sg_wlast : out std_logic ; -- m_axi_sg_wvalid : out std_logic ; -- m_axi_sg_wready : in std_logic ; -- -- -- Scatter Gather Write Response Channel -- m_axi_sg_bresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_bvalid : in std_logic ; -- m_axi_sg_bready : out std_logic ; -- -- -- Scatter Gather Read Address Channel -- m_axi_sg_araddr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- m_axi_sg_arlen : out std_logic_vector(7 downto 0) ; -- m_axi_sg_arsize : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arburst : out std_logic_vector(1 downto 0) ; -- m_axi_sg_arcache : out std_logic_vector(3 downto 0) ; -- m_axi_sg_arprot : out std_logic_vector(2 downto 0) ; -- m_axi_sg_arvalid : out std_logic ; -- m_axi_sg_arready : in std_logic ; -- -- -- Memory Map to Stream Scatter Gather Read Data Channel -- m_axi_sg_rdata : in std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rlast : in std_logic ; -- m_axi_sg_rvalid : in std_logic ; -- m_axi_sg_rready : out std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- -- -- -- Channel 1 Interrupt Coalescing Signals -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; -- m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- -- -- -- Channel 1 AXI Update Stream In -- s_axis_ch1_updt_aclk : in std_logic ; -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- -- -- Channel 2 Interrupt Coalescing Signals -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic ; -- -- -- Channel 2 AXI Update Stream In -- s_axis_ch2_updt_aclk : in std_logic ; -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- -- -- Error addresses -- ftch_error : out std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant AXI_LITE_MODE : integer := 2; -- DataMover Lite Mode constant EXCLUDE : integer := 0; -- Define Exclude as 0 constant NEVER_HALT : std_logic := '0'; -- Never halt sg datamover -- Always include descriptor fetch (use lite datamover) constant INCLUDE_DESC_FETCH : integer := AXI_LITE_MODE; -- Selectable include descriptor update (use lite datamover) constant INCLUDE_DESC_UPDATE : integer := AXI_LITE_MODE * C_INCLUDE_DESC_UPDATE; -- Always allow address requests constant ALWAYS_ALLOW : std_logic := '1'; -- If async mode and number of descriptors to fetch is zero then set number -- of descriptors to fetch as 1. constant SG_FTCH_DESC2QUEUE : integer := max2(C_SG_FTCH_DESC2QUEUE,C_AXIS_IS_ASYNC); constant SG_UPDT_DESC2QUEUE : integer := max2(C_SG_UPDT_DESC2QUEUE,C_AXIS_IS_ASYNC); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- DataMover MM2S Fetch Command Stream Signals signal s_axis_ftch_cmd_tvalid : std_logic := '0'; signal s_axis_ftch_cmd_tready : std_logic := '0'; signal s_axis_ftch_cmd_tdata : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover MM2S Fetch Status Stream Signals signal m_axis_ftch_sts_tvalid : std_logic := '0'; signal m_axis_ftch_sts_tready : std_logic := '0'; signal m_axis_ftch_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_ftch_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal mm2s_err : std_logic := '0'; -- DataMover MM2S Fetch Stream Signals signal m_axis_mm2s_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_mm2s_tkeep : std_logic_vector ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal m_axis_mm2s_tlast : std_logic := '0'; signal m_axis_mm2s_tvalid : std_logic := '0'; signal m_axis_mm2s_tready : std_logic := '0'; -- DataMover S2MM Update Command Stream Signals signal s_axis_updt_cmd_tvalid : std_logic := '0'; signal s_axis_updt_cmd_tready : std_logic := '0'; signal s_axis_updt_cmd_tdata : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); -- DataMover S2MM Update Status Stream Signals signal m_axis_updt_sts_tvalid : std_logic := '0'; signal m_axis_updt_sts_tready : std_logic := '0'; signal m_axis_updt_sts_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal m_axis_updt_sts_tkeep : std_logic_vector(0 downto 0) := (others => '0'); signal s2mm_err : std_logic := '0'; -- DataMover S2MM Update Stream Signals signal s_axis_s2mm_tdata : std_logic_vector (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal s_axis_s2mm_tkeep : std_logic_vector ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0) := (others => '1'); signal s_axis_s2mm_tlast : std_logic := '0'; signal s_axis_s2mm_tvalid : std_logic := '0'; signal s_axis_s2mm_tready : std_logic := '0'; -- Channel 1 internals signal ch1_ftch_active : std_logic := '0'; signal ch1_ftch_queue_empty : std_logic := '0'; signal ch1_ftch_queue_full : std_logic := '0'; signal ch1_nxtdesc_wren : std_logic := '0'; signal ch1_updt_active : std_logic := '0'; signal ch1_updt_queue_empty : std_logic := '0'; signal ch1_updt_curdesc_wren : std_logic := '0'; signal ch1_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_updt_ioc : std_logic := '0'; signal ch1_updt_ioc_irq_set_i : std_logic := '0'; signal ch1_dma_interr : std_logic := '0'; signal ch1_dma_slverr : std_logic := '0'; signal ch1_dma_decerr : std_logic := '0'; signal ch1_dma_interr_set_i : std_logic := '0'; signal ch1_dma_slverr_set_i : std_logic := '0'; signal ch1_dma_decerr_set_i : std_logic := '0'; signal ch1_updt_done : std_logic := '0'; signal ch1_ftch_pause : std_logic := '0'; -- Channel 2 internals signal ch2_ftch_active : std_logic := '0'; signal ch2_ftch_queue_empty : std_logic := '0'; signal ch2_ftch_queue_full : std_logic := '0'; signal ch2_nxtdesc_wren : std_logic := '0'; signal ch2_updt_active : std_logic := '0'; signal ch2_updt_queue_empty : std_logic := '0'; signal ch2_updt_curdesc_wren : std_logic := '0'; signal ch2_updt_curdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_updt_ioc : std_logic := '0'; signal ch2_updt_ioc_irq_set_i : std_logic := '0'; signal ch2_dma_interr : std_logic := '0'; signal ch2_dma_slverr : std_logic := '0'; signal ch2_dma_decerr : std_logic := '0'; signal ch2_dma_interr_set_i : std_logic := '0'; signal ch2_dma_slverr_set_i : std_logic := '0'; signal ch2_dma_decerr_set_i : std_logic := '0'; signal ch2_updt_done : std_logic := '0'; signal ch2_ftch_pause : std_logic := '0'; signal nxtdesc : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ftch_cmnd_wr : std_logic := '0'; signal ftch_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal ftch_stale_desc : std_logic := '0'; signal ftch_error_i : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal ch1_irqthresh_decr : std_logic := '0'; --CR567661 signal ch2_irqthresh_decr : std_logic := '0'; --CR567661 ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ftch_error <= ftch_error_i; -- Always valid therefore fix to '1' s_axis_s2mm_tkeep <= (others => '1'); -- Drive interrupt on complete set out --ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; -- CR567661 --ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; -- CR567661 ch1_dma_interr_set <= ch1_dma_interr_set_i; ch1_dma_slverr_set <= ch1_dma_slverr_set_i; ch1_dma_decerr_set <= ch1_dma_decerr_set_i; ch2_dma_interr_set <= ch2_dma_interr_set_i; ch2_dma_slverr_set <= ch2_dma_slverr_set_i; ch2_dma_decerr_set <= ch2_dma_decerr_set_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch Manager ------------------------------------------------------------------------------- I_SG_FETCH_MNGR : entity axi_vdma_v6_2.axi_sg_ftch_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_run_stop => ch1_run_stop , ch1_desc_flush => ch1_desc_flush , ch1_updt_done => ch1_updt_done , ch1_ftch_idle => ch1_ftch_idle , ch1_ftch_active => ch1_ftch_active , ch1_ftch_interr_set => ch1_ftch_interr_set , ch1_ftch_slverr_set => ch1_ftch_slverr_set , ch1_ftch_decerr_set => ch1_ftch_decerr_set , ch1_ftch_err_early => ch1_ftch_err_early , ch1_ftch_stale_desc => ch1_ftch_stale_desc , ch1_tailpntr_enabled => ch1_tailpntr_enabled , ch1_taildesc_wren => ch1_taildesc_wren , ch1_taildesc => ch1_taildesc , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_curdesc => ch1_curdesc , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control and Status ch2_run_stop => ch2_run_stop , ch2_desc_flush => ch2_desc_flush , ch2_updt_done => ch2_updt_done , ch2_ftch_idle => ch2_ftch_idle , ch2_ftch_active => ch2_ftch_active , ch2_ftch_interr_set => ch2_ftch_interr_set , ch2_ftch_slverr_set => ch2_ftch_slverr_set , ch2_ftch_decerr_set => ch2_ftch_decerr_set , ch2_ftch_err_early => ch2_ftch_err_early , ch2_ftch_stale_desc => ch2_ftch_stale_desc , ch2_tailpntr_enabled => ch2_tailpntr_enabled , ch2_taildesc_wren => ch2_taildesc_wren , ch2_taildesc => ch2_taildesc , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_curdesc => ch2_curdesc , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , nxtdesc => nxtdesc , -- Read response for detecting slverr, decerr early m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rvalid => m_axi_sg_rvalid , -- User Command Interface Ports (AXI Stream) s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready , s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_ftch_sts_tready => m_axis_ftch_sts_tready , m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata , m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep , mm2s_err => mm2s_err , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , updt_error => updt_error_i , ftch_error => ftch_error_i , ftch_error_addr => ftch_error_addr ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Queue ------------------------------------------------------------------------------- I_SG_FETCH_QUEUE : entity axi_vdma_v6_2.axi_sg_ftch_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control ch1_desc_flush => ch1_desc_flush , ch1_ftch_active => ch1_ftch_active , ch1_nxtdesc_wren => ch1_nxtdesc_wren , ch1_ftch_queue_empty => ch1_ftch_queue_empty , ch1_ftch_queue_full => ch1_ftch_queue_full , ch1_ftch_pause => ch1_ftch_pause , -- Channel 2 Control ch2_ftch_active => ch2_ftch_active , ch2_desc_flush => ch2_desc_flush , ch2_nxtdesc_wren => ch2_nxtdesc_wren , ch2_ftch_queue_empty => ch2_ftch_queue_empty , ch2_ftch_queue_full => ch2_ftch_queue_full , ch2_ftch_pause => ch2_ftch_pause , nxtdesc => nxtdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , ftch_stale_desc => ftch_stale_desc , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ch1_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ch1_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ch1_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ch1_ftch_tlast => m_axis_ch1_ftch_tlast , -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ch2_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ch2_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ch2_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ch2_ftch_tlast => m_axis_ch2_ftch_tlast ); -- Include Scatter Gather Descriptor Update logic GEN_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 1 generate begin -- CR567661 -- Route update version of IOC set to threshold -- counter decrement control ch1_irqthresh_decr <= ch1_updt_ioc_irq_set_i; ch2_irqthresh_decr <= ch2_updt_ioc_irq_set_i; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_updt_ioc_irq_set_i; ch2_updt_ioc_irq_set <= ch2_updt_ioc_irq_set_i; ------------------------------------------------------------------------------- -- Scatter Gather Update Manager ------------------------------------------------------------------------------- I_SG_UPDATE_MNGR : entity axi_vdma_v6_2.axi_sg_updt_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control and Status ch1_updt_idle => ch1_updt_idle , ch1_updt_active => ch1_updt_active , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , ch1_updt_interr_set => ch1_updt_interr_set , ch1_updt_slverr_set => ch1_updt_slverr_set , ch1_updt_decerr_set => ch1_updt_decerr_set , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_updt_idle => ch2_updt_idle , ch2_updt_active => ch2_updt_active , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , ch2_updt_interr_set => ch2_updt_interr_set , ch2_updt_slverr_set => ch2_updt_slverr_set , ch2_updt_decerr_set => ch2_updt_decerr_set , ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , s2mm_err => s2mm_err , ftch_error => ftch_error_i , updt_error => updt_error_i , updt_error_addr => updt_error_addr ); ------------------------------------------------------------------------------- -- Scatter Gather Update Queue ------------------------------------------------------------------------------- I_SG_UPDATE_QUEUE : entity axi_vdma_v6_2.axi_sg_updt_q_mngr generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel 1 Control ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_active => ch1_updt_active , ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set_i , -- Channel 1 Update Descriptor Status ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set_i , ch1_dma_slverr_set => ch1_dma_slverr_set_i , ch1_dma_decerr_set => ch1_dma_decerr_set_i , -- Channel 2 Control ch2_updt_active => ch2_updt_active , ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set_i , -- Channel 2 Update Descriptor Status ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set_i , ch2_dma_slverr_set => ch2_dma_slverr_set_i , ch2_dma_decerr_set => ch2_dma_decerr_set_i , -- S2MM Stream Out To DataMover s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Channel 1 AXI Update Stream In s_axis_ch1_updt_aclk => s_axis_ch1_updt_aclk , s_axis_ch1_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_ch1_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_ch1_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_ch1_updtptr_tlast => s_axis_ch1_updtptr_tlast , s_axis_ch1_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_ch1_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_ch1_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_ch1_updtsts_tlast => s_axis_ch1_updtsts_tlast , -- Channel 2 AXI Update Stream In s_axis_ch2_updt_aclk => s_axis_ch2_updt_aclk , s_axis_ch2_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_ch2_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_ch2_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_ch2_updtptr_tlast => s_axis_ch2_updtptr_tlast , s_axis_ch2_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_ch2_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_ch2_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis_ch2_updtsts_tlast => s_axis_ch2_updtsts_tlast ); end generate GEN_DESC_UPDATE; -- Exclude Scatter Gather Descriptor Update logic GEN_NO_DESC_UPDATE : if C_INCLUDE_DESC_UPDATE = 0 generate begin ch1_updt_idle <= '1'; ch1_updt_active <= '0'; -- ch1_updt_ioc_irq_set <= '0';--CR#569609 ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set_i <= '0'; ch1_dma_slverr_set_i <= '0'; ch1_dma_decerr_set_i <= '0'; ch1_updt_done <= '1'; -- Always done ch2_updt_idle <= '1'; ch2_updt_active <= '0'; -- ch2_updt_ioc_irq_set <= '0'; --CR#569609 ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set_i <= '0'; ch2_dma_slverr_set_i <= '0'; ch2_dma_decerr_set_i <= '0'; ch2_updt_done <= '1'; -- Always done s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); m_axis_updt_sts_tready <= '0'; updt_error_i <= '0'; updt_error_addr <= (others => '0'); ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '0'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '0'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; -- CR567661 -- Route packet eof to threshold counter decrement control ch1_irqthresh_decr <= ch1_packet_eof; ch2_irqthresh_decr <= ch2_packet_eof; -- Drive interrupt on complete set out ch1_updt_ioc_irq_set <= ch1_packet_eof; ch2_updt_ioc_irq_set <= ch2_packet_eof; end generate GEN_NO_DESC_UPDATE; ------------------------------------------------------------------------------- -- Scatter Gather Interrupt Coalescing ------------------------------------------------------------------------------- GEN_INTERRUPT_LOGIC : if C_INCLUDE_INTRPT = 1 generate begin I_AXI_SG_INTRPT : entity axi_vdma_v6_2.axi_sg_intrpt generic map( C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_INCLUDE_DLYTMR => C_INCLUDE_DLYTMR , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION ) port map( -- Secondary Clock and Reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ch1_irqthresh_decr => ch1_irqthresh_decr , -- CR567661 ch1_irqthresh_rstdsbl => ch1_irqthresh_rstdsbl , -- CR572013 ch1_dlyirq_dsble => ch1_dlyirq_dsble , ch1_irqdelay_wren => ch1_irqdelay_wren , ch1_irqdelay => ch1_irqdelay , ch1_irqthresh_wren => ch1_irqthresh_wren , ch1_irqthresh => ch1_irqthresh , ch1_packet_sof => ch1_packet_sof , ch1_packet_eof => ch1_packet_eof , ch1_ioc_irq_set => ch1_ioc_irq_set , ch1_dly_irq_set => ch1_dly_irq_set , ch1_irqdelay_status => ch1_irqdelay_status , ch1_irqthresh_status => ch1_irqthresh_status , ch2_irqthresh_decr => ch2_irqthresh_decr , -- CR567661 ch2_irqthresh_rstdsbl => ch2_irqthresh_rstdsbl , -- CR572013 ch2_dlyirq_dsble => ch2_dlyirq_dsble , ch2_irqdelay_wren => ch2_irqdelay_wren , ch2_irqdelay => ch2_irqdelay , ch2_irqthresh_wren => ch2_irqthresh_wren , ch2_irqthresh => ch2_irqthresh , ch2_packet_sof => ch2_packet_sof , ch2_packet_eof => ch2_packet_eof , ch2_ioc_irq_set => ch2_ioc_irq_set , ch2_dly_irq_set => ch2_dly_irq_set , ch2_irqdelay_status => ch2_irqdelay_status , ch2_irqthresh_status => ch2_irqthresh_status ); end generate GEN_INTERRUPT_LOGIC; GEN_NO_INTRPT_LOGIC : if C_INCLUDE_INTRPT = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_NO_INTRPT_LOGIC; ------------------------------------------------------------------------------- -- Scatter Gather DataMover Lite ------------------------------------------------------------------------------- I_SG_AXI_DATAMOVER : entity axi_datamover_v5_1.axi_datamover generic map( C_INCLUDE_MM2S => INCLUDE_DESC_FETCH, -- Lite C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_M_AXIS_MM2S_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_MM2S_STSFIFO => 0, -- Exclude C_MM2S_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_MM2S_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_MM2S_DRE => 0, -- No DRE C_MM2S_BURST_SIZE => 16, -- Set to Min C_MM2S_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_MM2S_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_INCLUDE_S2MM => INCLUDE_DESC_UPDATE, -- Lite C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, -- 32 or 64 C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_S_AXIS_S2MM_TDATA_WIDTH => C_M_AXI_SG_DATA_WIDTH, -- Fixed at 32 C_INCLUDE_S2MM_STSFIFO => 0, -- Exclude C_S2MM_STSCMD_FIFO_DEPTH => 1, -- Set to Min C_S2MM_STSCMD_IS_ASYNC => 0, -- Synchronous C_INCLUDE_S2MM_DRE => 0, -- No DRE C_S2MM_BURST_SIZE => 16, -- Set to Min; C_S2MM_ADDR_PIPE_DEPTH => 1, -- Only 1 outstanding request C_S2MM_INCLUDE_SF => 0, -- Exclude Store-and-Forward C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => m_axi_sg_aclk , m_axi_mm2s_aresetn => dm_resetn , mm2s_halt => NEVER_HALT , mm2s_halt_cmplt => open , mm2s_err => mm2s_err , mm2s_allow_addr_req => ALWAYS_ALLOW , mm2s_addr_req_posted => open , mm2s_rd_xfer_cmplt => open , -- Memory Map to Stream Command FIFO and Status FIFO I/O -------------- m_axis_mm2s_cmdsts_aclk => m_axi_sg_aclk , m_axis_mm2s_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => s_axis_ftch_cmd_tvalid , s_axis_mm2s_cmd_tready => s_axis_ftch_cmd_tready , s_axis_mm2s_cmd_tdata => s_axis_ftch_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => m_axis_ftch_sts_tvalid , m_axis_mm2s_sts_tready => m_axis_ftch_sts_tready , m_axis_mm2s_sts_tdata => m_axis_ftch_sts_tdata , m_axis_mm2s_sts_tkeep => m_axis_ftch_sts_tkeep , -- Datamover v4_032_a addional signals not needed for SG --sg_ctl => (others => '0') , m_axi_mm2s_aruser => open , m_axi_s2mm_awuser => open , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_sg_araddr , m_axi_mm2s_arlen => m_axi_sg_arlen , m_axi_mm2s_arsize => m_axi_sg_arsize , m_axi_mm2s_arburst => m_axi_sg_arburst , m_axi_mm2s_arprot => m_axi_sg_arprot , m_axi_mm2s_arcache => m_axi_sg_arcache , m_axi_mm2s_arvalid => m_axi_sg_arvalid , m_axi_mm2s_arready => m_axi_sg_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_sg_rdata , m_axi_mm2s_rresp => m_axi_sg_rresp , m_axi_mm2s_rlast => m_axi_sg_rlast , m_axi_mm2s_rvalid => m_axi_sg_rvalid , m_axi_mm2s_rready => m_axi_sg_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tkeep => m_axis_mm2s_tkeep , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => m_axis_mm2s_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => m_axi_sg_aclk , m_axi_s2mm_aresetn => dm_resetn , s2mm_halt => NEVER_HALT , s2mm_halt_cmplt => open , s2mm_err => s2mm_err , s2mm_allow_addr_req => ALWAYS_ALLOW , s2mm_addr_req_posted => open , s2mm_wr_xfer_cmplt => open , s2mm_ld_nxt_len => open , s2mm_wr_len => open , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => m_axi_sg_aclk , m_axis_s2mm_cmdsts_aresetn => dm_resetn , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_s2mm_cmd_tready => s_axis_updt_cmd_tready , s_axis_s2mm_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_s2mm_sts_tready => m_axis_updt_sts_tready , m_axis_s2mm_sts_tdata => m_axis_updt_sts_tdata , m_axis_s2mm_sts_tkeep => m_axis_updt_sts_tkeep , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_sg_awaddr , m_axi_s2mm_awlen => m_axi_sg_awlen , m_axi_s2mm_awsize => m_axi_sg_awsize , m_axi_s2mm_awburst => m_axi_sg_awburst , m_axi_s2mm_awprot => m_axi_sg_awprot , m_axi_s2mm_awcache => m_axi_sg_awcache , m_axi_s2mm_awvalid => m_axi_sg_awvalid , m_axi_s2mm_awready => m_axi_sg_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_sg_wdata , m_axi_s2mm_wstrb => m_axi_sg_wstrb , m_axi_s2mm_wlast => m_axi_sg_wlast , m_axi_s2mm_wvalid => m_axi_sg_wvalid , m_axi_s2mm_wready => m_axi_sg_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_sg_bresp , m_axi_s2mm_bvalid => m_axi_sg_bvalid , m_axi_s2mm_bready => m_axi_sg_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => s_axis_s2mm_tdata , s_axis_s2mm_tkeep => s_axis_s2mm_tkeep , s_axis_s2mm_tlast => s_axis_s2mm_tlast , s_axis_s2mm_tvalid => s_axis_s2mm_tvalid , s_axis_s2mm_tready => s_axis_s2mm_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end implementation;
gpl-2.0
d6be6370e2f1a125a76efada351d4cab
0.3872
4.245876
false
false
false
false
frznchckn/polarbear
hw/cores/uart_bfm/hdl/vhdl/uart_bfm_pkgbdy.vhd
1
1,887
-------------------------------------------------------------------------------- --| --| Filename : uart_bfm_pkgbdy --| Author : Russell L Friesenhahn --| Origin Date : 20130828 --| -------------------------------------------------------------------------------- --| --| Abstract --| --| Package definition that provides functions and procedures that model a --| UART interface to provide BFM capabilities. --| -------------------------------------------------------------------------------- --| --| Modification History --| --| --| -------------------------------------------------------------------------------- --| --| References --| --| --| -------------------------------------------------------------------------------- package body uart_bfm is function parityCalc ( s : std_ulogic_vector(7 downto 0); parityType : std_ulogic ) return std_ulogic is variable result : std_ulogic := parityType; begin for i in 7 downto 0 loop result := result xor s(i); end loop; return result; end parityCalc; procedure uart_tx_byte ( parity : in string := "none"; -- none | odd | even numStopbits : in integer := 1; -- 1 | 2 byte : in std_ulogic_vector(7 downto 0); -- signal clk : in std_ulogic; signal tx_bit : out std_logic ) is begin tx_bit <= '1'; -- tx_bit <= '0' after 8695 ns; wait for 8681 ns; tx_bit <= '0'; wait for 8681 ns; for i in 0 to 7 loop tx_bit <= byte(i); wait for 8681 ns; -- tx_bit <= byte(i) after 8695 ns; end loop; if parity = "odd" then tx_bit <= parityCalc(byte, '1'); wait for 8681 ns; elsif parity = "even" then tx_bit <= parityCalc(byte, '0'); wait for 8681 ns; end if; tx_bit <= '1'; -- wait for 8681 ns; -- tx_bit <= '1' after 8695 ns; end uart_tx_byte; end uart_bfm;
unlicense
4b0a370585f0c6c5f6e56e1e2a92872b
0.442501
4.120087
false
false
false
false
nulldozer/purisc
top_level.vhd
2
39,097
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_level is PORT( --ONLY PHY CONNECTIONS IN TOP LEVEL CLOCK_50 : IN STD_LOGIC; SW : IN STD_LOGIC_VECTOR(17 downto 0); HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7 : OUT std_logic_vector(6 downto 0); ENET0_MDC : OUT STD_LOGIC; -- Management data clock reference ENET0_MDIO : INOUT STD_LOGIC; -- Management Data ENET0_RESET_N : OUT STD_LOGIC; -- Hardware reset Signal ENET0_RX_CLK : IN STD_LOGIC; -- GMII/MII Receive clock ENET0_RX_COL : IN STD_LOGIC; -- GMII/MII Collision ENET0_RX_CRS : IN STD_LOGIC; -- GMII/MII Carrier sense ENET0_RX_DATA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- GMII/MII Receive data ENET0_RX_DV : IN STD_LOGIC; -- GMII/MII Receive data valid ENET0_RX_ER : IN STD_LOGIC; -- GMII/MII Receive error ENET0_TX_CLK : IN STD_LOGIC; -- MII Transmit Clock ENET0_TX_DATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -- MII Transmit Data ENET0_TX_EN : OUT STD_LOGIC; -- GMII/MII Transmit enable ENET0_TX_ER : OUT STD_LOGIC ); end; architecture purisc of top_level is component io_controller PORT( CLOCK_50 : IN STD_LOGIC; RESET : IN STD_LOGIC; wb_mem_adr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wb_mem_sel_o : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); wb_mem_we_o : OUT STD_LOGIC; wb_mem_cyc_o : OUT STD_LOGIC; wb_mem_stb_o : OUT STD_LOGIC; wb_mem_ack_i : IN STD_LOGIC; wb_mem_dat_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wb_mem_dat_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wb_mem_err_i : IN STD_LOGIC; ENET0_MDC : OUT STD_LOGIC; -- Management data clock reference ENET0_MDIO : INOUT STD_LOGIC; -- Management Data ENET0_RST_N : OUT STD_LOGIC; -- Hardware reset Signal ENET0_RX_CLK : IN STD_LOGIC; -- GMII/MII Receive clock ENET0_RX_COL : IN STD_LOGIC; -- GMII/MII Collision ENET0_RX_CRS : IN STD_LOGIC; -- GMII/MII Carrier sense ENET0_RX_DATA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- GMII/MII Receive data ENET0_RX_DV : IN STD_LOGIC; -- GMII/MII Receive data valid ENET0_RX_ER : IN STD_LOGIC; -- GMII/MII Receive error ENET0_TX_CLK : IN STD_LOGIC; -- MII Transmit Clock ENET0_TX_DATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -- MII Transmit Data ENET0_TX_EN : OUT STD_LOGIC; -- GMII/MII Transmit enable ENET0_TX_ER : OUT STD_LOGIC; -- GMII/MII Transmit error M : IN STD_LOGIC_VECTOR(15 DOWNTO 0); arq_n : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); tx_len : IN STD_LOGIC_VECTOR(15 DOWNTO 0); flag_ready : OUT STD_LOGIC; flag_done_clear : OUT STD_LOGIC; flag_ack : IN STD_LOGIC; flag_done : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hex_val0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val1 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val3 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val4 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val5 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val6 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hex_val7 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end component; component io_memory_controller PORT( wb_rst_i : IN STD_LOGIC; wb_clk_i : IN STD_LOGIC; --connections to magics mem_addr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); mem_data_w : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); mem_we : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mem_gl_en : OUT STD_LOGIC; mem_gl_data_r : IN STD_LOGIC_VECTOR(31 DOWNTO 0); --WISHBONE slave wb_adr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); -- WISHBONE address input wb_sel_i : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- WISHBONE byte select input wb_we_i : IN STD_LOGIC; -- WISHBONE write enable input wb_cyc_i : IN STD_LOGIC; -- WISHBONE cycle input wb_stb_i : IN STD_LOGIC; -- WISHBONE strobe input wb_ack_o : OUT STD_LOGIC; -- WISHBONE acknowledge output wb_dat_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); -- WISHBONE data input wb_dat_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); -- WISHBONE data output wb_err_o : OUT STD_LOGIC; -- WISHBONE error output M : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); flag_ready : IN STD_LOGIC; flag_ack : OUT STD_LOGIC; flag_done_clear : IN STD_LOGIC; arq_n : IN STD_LOGIC_VECTOR(15 DOWNTO 0); tx_len : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); end component; component global_memory PORT ( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; --compute group 0 ADDRESS_A_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG0 : IN STD_LOGIC; ENABLE_CG0 : IN STD_LOGIC; DATA_A_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG0 : OUT STD_LOGIC; --compute group 1 ADDRESS_A_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG1 : IN STD_LOGIC; ENABLE_CG1 : IN STD_LOGIC; DATA_A_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG1 : OUT STD_LOGIC; --compute group 2 ADDRESS_A_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG2 : IN STD_LOGIC; ENABLE_CG2 : IN STD_LOGIC; DATA_A_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG2 : OUT STD_LOGIC; --compute group 3 ADDRESS_A_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG3 : IN STD_LOGIC; ENABLE_CG3 : IN STD_LOGIC; DATA_A_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG3 : OUT STD_LOGIC; --IO controller ADDRESS_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_IO : IN STD_LOGIC; ENABLE_IO : IN STD_LOGIC; DATA_RET_IO : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --DONE signals DONE_C0 : OUT STD_LOGIC; DONE_C1 : OUT STD_LOGIC; DONE_C2 : OUT STD_LOGIC; DONE_C3 : OUT STD_LOGIC; DONE_C4 : OUT STD_LOGIC; DONE_C5 : OUT STD_LOGIC; DONE_C6 : OUT STD_LOGIC; DONE_C7 : OUT STD_LOGIC; RCC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end component; component Compute_Group PORT ( ADDRESS_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); IO_ENABLE : IN STD_LOGIC; DATA_TO_W : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : OUT STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; GLOBAL_EN : OUT STD_LOGIC; IDENT_IN : IN STD_LOGIC_VECTOR (1 DOWNTO 0); DATA_OUT_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); STALL_GLOB : IN STD_LOGIC ); end component; component convert_to_seven_seg port ( data_in : in std_logic_vector(3 downto 0); hex_out : out std_logic_vector(6 downto 0) ); end component; signal ident_cg0 : std_logic_vector(1 downto 0); signal ident_cg1 : std_logic_vector(1 downto 0); signal ident_cg2 : std_logic_vector(1 downto 0); signal ident_cg3 : std_logic_vector(1 downto 0); signal address_a_cg0_sig : std_logic_vector(31 downto 0); signal address_b_cg0_sig : std_logic_vector(31 downto 0); signal address_c_cg0_sig : std_logic_vector(31 downto 0); signal address_0_cg0_sig : std_logic_vector(31 downto 0); signal address_1_cg0_sig : std_logic_vector(31 downto 0); signal address_w_cg0_sig : std_logic_vector(31 downto 0); signal data_to_w_cg0_sig : std_logic_vector(31 downto 0); signal w_en_cg0_sig : std_logic; signal enable_global_cg0_sig : std_logic; signal stall_global_cg0_sig : std_logic; signal data_a_cg0_sig : std_logic_vector(31 downto 0); signal data_b_cg0_sig : std_logic_vector(31 downto 0); signal data_c_cg0_sig : std_logic_vector(31 downto 0); signal data_0_cg0_sig : std_logic_vector(31 downto 0); signal data_1_cg0_sig : std_logic_vector(31 downto 0); signal address_a_cg1_sig : std_logic_vector(31 downto 0); signal address_b_cg1_sig : std_logic_vector(31 downto 0); signal address_c_cg1_sig : std_logic_vector(31 downto 0); signal address_0_cg1_sig : std_logic_vector(31 downto 0); signal address_1_cg1_sig : std_logic_vector(31 downto 0); signal address_w_cg1_sig : std_logic_vector(31 downto 0); signal data_to_w_cg1_sig : std_logic_vector(31 downto 0); signal w_en_cg1_sig : std_logic; signal enable_global_cg1_sig : std_logic; signal stall_global_cg1_sig : std_logic; signal data_a_cg1_sig : std_logic_vector(31 downto 0); signal data_b_cg1_sig : std_logic_vector(31 downto 0); signal data_c_cg1_sig : std_logic_vector(31 downto 0); signal data_0_cg1_sig : std_logic_vector(31 downto 0); signal data_1_cg1_sig : std_logic_vector(31 downto 0); signal address_a_cg2_sig : std_logic_vector(31 downto 0); signal address_b_cg2_sig : std_logic_vector(31 downto 0); signal address_c_cg2_sig : std_logic_vector(31 downto 0); signal address_0_cg2_sig : std_logic_vector(31 downto 0); signal address_1_cg2_sig : std_logic_vector(31 downto 0); signal address_w_cg2_sig : std_logic_vector(31 downto 0); signal data_to_w_cg2_sig : std_logic_vector(31 downto 0); signal w_en_cg2_sig : std_logic; signal enable_global_cg2_sig : std_logic; signal stall_global_cg2_sig : std_logic; signal data_a_cg2_sig : std_logic_vector(31 downto 0); signal data_b_cg2_sig : std_logic_vector(31 downto 0); signal data_c_cg2_sig : std_logic_vector(31 downto 0); signal data_0_cg2_sig : std_logic_vector(31 downto 0); signal data_1_cg2_sig : std_logic_vector(31 downto 0); signal address_a_cg3_sig : std_logic_vector(31 downto 0); signal address_b_cg3_sig : std_logic_vector(31 downto 0); signal address_c_cg3_sig : std_logic_vector(31 downto 0); signal address_0_cg3_sig : std_logic_vector(31 downto 0); signal address_1_cg3_sig : std_logic_vector(31 downto 0); signal address_w_cg3_sig : std_logic_vector(31 downto 0); signal data_to_w_cg3_sig : std_logic_vector(31 downto 0); signal w_en_cg3_sig : std_logic; signal enable_global_cg3_sig : std_logic; signal stall_global_cg3_sig : std_logic; signal data_a_cg3_sig : std_logic_vector(31 downto 0); signal data_b_cg3_sig : std_logic_vector(31 downto 0); signal data_c_cg3_sig : std_logic_vector(31 downto 0); signal data_0_cg3_sig : std_logic_vector(31 downto 0); signal data_1_cg3_sig : std_logic_vector(31 downto 0); signal address_io_sig : std_logic_vector(31 downto 0); signal data_to_w_io_sig : std_logic_vector(31 downto 0); signal data_read_io_sig : std_logic_vector(31 downto 0); signal w_en_io_sig : std_logic; signal enable_io_cg0 : std_logic; signal enable_io_cg1 : std_logic; signal enable_io_cg2 : std_logic; signal enable_io_cg3 : std_logic; signal enable_io_global : std_logic; -- signal test_reg : std_logic_vector(31 downto 0); signal RESET_n : std_logic; signal RESET : std_logic; signal wb_adr : std_logic_vector(31 downto 0); signal wb_sel : std_logic_vector(3 downto 0); signal wb_we : std_logic; signal wb_cyc : std_logic; signal wb_stb : std_logic; signal wb_ack : std_logic; signal wb_dat_o : std_logic_vector(31 downto 0); signal wb_dat_i : std_logic_vector(31 downto 0); signal wb_err : std_logic; signal M : std_logic_vector(15 downto 0); signal hex_val0 : std_logic_vector(3 downto 0); signal hex_val1 : std_logic_vector(3 downto 0); signal hex_val2 : std_logic_vector(3 downto 0); signal hex_val3 : std_logic_vector(3 downto 0); signal hex_val4 : std_logic_vector(3 downto 0); signal hex_val5 : std_logic_vector(3 downto 0); signal hex_val6 : std_logic_vector(3 downto 0); signal hex_val7 : std_logic_vector(3 downto 0); signal mem_we : std_logic_vector(4 downto 0); signal to_hex_0 : std_logic_vector(3 downto 0); signal to_hex_1 : std_logic_vector(3 downto 0); signal to_hex_2 : std_logic_vector(3 downto 0); signal to_hex_3 : std_logic_vector(3 downto 0); signal to_hex_4 : std_logic_vector(3 downto 0); signal to_hex_5 : std_logic_vector(3 downto 0); signal to_hex_6 : std_logic_vector(3 downto 0); signal to_hex_7 : std_logic_vector(3 downto 0); signal test_float_a : std_logic_vector(31 downto 0); signal test_float_b : std_logic_vector(31 downto 0); signal test_float_c : std_logic_vector(4 downto 0); signal test_float_d : std_logic; signal test_float_e : std_logic_vector(31 downto 0); signal arq_n : std_logic_vector(15 downto 0); signal tx_len : std_logic_vector(15 downto 0); signal flag_ready : std_logic; signal flag_ack : std_logic; signal flag_done : std_logic_vector(7 downto 0); signal flag_done_clear : std_logic; signal DONE_C0 : std_logic; signal DONE_C1 : std_logic; signal DONE_C2 : std_logic; signal DONE_C3 : std_logic; signal DONE_C4 : std_logic; signal DONE_C5 : std_logic; signal DONE_C6 : std_logic; signal DONE_C7 : std_logic; signal perf_counter : unsigned(63 downto 0); signal counter_active : std_logic; signal ready_rising_edge : std_logic; signal done_rising_edge : std_logic; signal all_done : std_logic; signal all_done_buff : std_logic; signal ready_buff : std_logic; signal RCC : std_logic_vector(3 downto 0); signal enable_io_global_raw : std_logic; begin RESET_n <= SW(17); RESET <= not RESET_n; --IO CONTROLLER SIGNALS HARDCODED FOR NOW ident_cg0 <= "00"; ident_cg1 <= "01"; ident_cg2 <= "10"; ident_cg3 <= "11"; -- address_io_sig <= "00000000000000000000000000000000"; -- data_to_w_io_sig <= "00000000000000000000000000000000"; -- w_en_io_sig <= '0'; -- enable_io_cg0 <= '0'; -- enable_io_cg1 <= '0'; -- enable_io_cg2 <= '0'; -- enable_io_cg3 <= '0'; -- enable_io_global <= '0'; enable_io_cg0 <= mem_we(0); enable_io_cg1 <= mem_we(1); enable_io_cg2 <= mem_we(2); enable_io_cg3 <= mem_we(3); ------ w_en_cg0_sig <= mem_we(0); ------ w_en_cg1_sig <= mem_we(1); ------ w_en_cg2_sig <= mem_we(2); ------ w_en_cg3_sig <= mem_we(3); w_en_io_sig <= mem_we(4); enable_io_global <= enable_io_global_raw or w_en_io_sig; ioc : io_controller PORT MAP( CLOCK_50 => CLOCK_50, RESET => RESET, wb_mem_adr_o => wb_adr, wb_mem_sel_o => wb_sel, wb_mem_we_o => wb_we, wb_mem_cyc_o => wb_cyc, wb_mem_stb_o => wb_stb, wb_mem_ack_i => wb_ack, wb_mem_dat_o => wb_dat_o, wb_mem_dat_i => wb_dat_i, wb_mem_err_i => wb_err, ENET0_MDC => ENET0_MDC, -- Management data clock reference ENET0_MDIO => ENET0_MDIO, -- Management Data ENET0_RST_N => ENET0_RESET_N, -- Hardware reset Signal ENET0_RX_CLK => ENET0_RX_CLK, -- GMII/MII Receive clock ENET0_RX_COL => ENET0_RX_COL, -- GMII/MII Collision ENET0_RX_CRS => ENET0_RX_CRS, -- GMII/MII Carrier sense ENET0_RX_DATA => ENET0_RX_DATA, -- GMII/MII Receive data ENET0_RX_DV => ENET0_RX_DV, -- GMII/MII Receive data valid ENET0_RX_ER => ENET0_RX_ER, -- GMII/MII Receive error ENET0_TX_CLK => ENET0_TX_CLK, -- MII Transmit Clock ENET0_TX_DATA => ENET0_TX_DATA, -- MII Transmit Data ENET0_TX_EN => ENET0_TX_EN, -- GMII/MII Transmit enable ENET0_TX_ER => ENET0_TX_ER, -- GMII/MII Transmit error M => M, flag_ready => flag_ready, flag_ack => flag_ack, flag_done_clear => flag_done_clear, flag_done => flag_done, hex_val0 => hex_val0, hex_val1 => hex_val1, hex_val2 => hex_val2, hex_val3 => hex_val3, hex_val4 => hex_val4, hex_val5 => hex_val5, hex_val6 => hex_val6, hex_val7 => hex_val7, arq_n => arq_n, tx_len => tx_len ); iomc : io_memory_controller PORT MAP( --connections to magics mem_addr => address_io_sig, mem_data_w => data_to_w_io_sig, mem_we => mem_we, mem_gl_en => enable_io_global_raw, mem_gl_data_r => data_read_io_sig, wb_clk_i => CLOCK_50, -- WISHBONE clock wb_rst_i => RESET, -- WISHBONE reset wb_adr_i => wb_adr, -- WISHBONE address input wb_sel_i => wb_sel, -- WISHBONE byte select input wb_we_i => wb_we, -- WISHBONE write enable input wb_cyc_i => wb_cyc, -- WISHBONE cycle input wb_stb_i => wb_stb, -- WISHBONE strobe input wb_ack_o => wb_ack, -- WISHBONE acknowledge output wb_dat_i => wb_dat_o, -- WISHBONE data input wb_dat_o => wb_dat_i, -- WISHBONE data output wb_err_o => wb_err, -- WISHBONE error output M => M, flag_ready => flag_ready, flag_ack => flag_ack, flag_done_clear => flag_done_clear, arq_n => arq_n, tx_len => tx_len ); Compute_Group_0 : Compute_Group PORT MAP ( ADDRESS_A => address_a_cg0_sig, ADDRESS_B => address_b_cg0_sig, ADDRESS_C => address_c_cg0_sig, ADDRESS_0 => address_0_cg0_sig, ADDRESS_1 => address_1_cg0_sig, ADDRESS_W => address_w_cg0_sig, ADDRESS_IO => address_io_sig, DATA_IO => data_to_w_io_sig, IO_ENABLE => enable_io_cg0, DATA_TO_W => data_to_w_cg0_sig, W_EN => w_en_cg0_sig, CLK => CLOCK_50, RESET_n => RESET_n, GLOBAL_EN => enable_global_cg0_sig, IDENT_IN => ident_cg0, DATA_OUT_A => data_a_cg0_sig, DATA_OUT_B => data_b_cg0_sig, DATA_OUT_C => data_c_cg0_sig, DATA_OUT_0 => data_0_cg0_sig, DATA_OUT_1 => data_1_cg0_sig, STALL_GLOB => stall_global_cg0_sig ); Compute_Group_1 : Compute_Group PORT MAP ( ADDRESS_A => address_a_cg1_sig, ADDRESS_B => address_b_cg1_sig, ADDRESS_C => address_c_cg1_sig, ADDRESS_0 => address_0_cg1_sig, ADDRESS_1 => address_1_cg1_sig, ADDRESS_W => address_w_cg1_sig, ADDRESS_IO => address_io_sig, DATA_IO => data_to_w_io_sig, IO_ENABLE => enable_io_cg1, DATA_TO_W => data_to_w_cg1_sig, W_EN => w_en_cg1_sig, CLK => CLOCK_50, RESET_n => RESET_n, GLOBAL_EN => enable_global_cg1_sig, IDENT_IN => ident_cg1, DATA_OUT_A => data_a_cg1_sig, DATA_OUT_B => data_b_cg1_sig, DATA_OUT_C => data_c_cg1_sig, DATA_OUT_0 => data_0_cg1_sig, DATA_OUT_1 => data_1_cg1_sig, STALL_GLOB => stall_global_cg1_sig ); Compute_Group_2 : Compute_Group PORT MAP ( ADDRESS_A => address_a_cg2_sig, ADDRESS_B => address_b_cg2_sig, ADDRESS_C => address_c_cg2_sig, ADDRESS_0 => address_0_cg2_sig, ADDRESS_1 => address_1_cg2_sig, ADDRESS_W => address_w_cg2_sig, ADDRESS_IO => address_io_sig, DATA_IO => data_to_w_io_sig, IO_ENABLE => enable_io_cg2, DATA_TO_W => data_to_w_cg2_sig, W_EN => w_en_cg2_sig, CLK => CLOCK_50, RESET_n => RESET_n, GLOBAL_EN => enable_global_cg2_sig, IDENT_IN => ident_cg2, DATA_OUT_A => data_a_cg2_sig, DATA_OUT_B => data_b_cg2_sig, DATA_OUT_C => data_c_cg2_sig, DATA_OUT_0 => data_0_cg2_sig, DATA_OUT_1 => data_1_cg2_sig, STALL_GLOB => stall_global_cg2_sig ); Compute_Group_3 : Compute_Group PORT MAP ( ADDRESS_A => address_a_cg3_sig, ADDRESS_B => address_b_cg3_sig, ADDRESS_C => address_c_cg3_sig, ADDRESS_0 => address_0_cg3_sig, ADDRESS_1 => address_1_cg3_sig, ADDRESS_W => address_w_cg3_sig, ADDRESS_IO => address_io_sig, DATA_IO => data_to_w_io_sig, IO_ENABLE => enable_io_cg3, DATA_TO_W => data_to_w_cg3_sig, W_EN => w_en_cg3_sig, CLK => CLOCK_50, RESET_n => RESET_n, GLOBAL_EN => enable_global_cg3_sig, IDENT_IN => ident_cg3, DATA_OUT_A => data_a_cg3_sig, DATA_OUT_B => data_b_cg3_sig, DATA_OUT_C => data_c_cg3_sig, DATA_OUT_0 => data_0_cg3_sig, DATA_OUT_1 => data_1_cg3_sig, STALL_GLOB => stall_global_cg3_sig ); level_2_memory : global_memory PORT MAP( CLK => CLOCK_50, RESET_n => RESET_n, --compute group 0 ADDRESS_A_CG0 => address_a_cg0_sig, ADDRESS_B_CG0 => address_b_cg0_sig, ADDRESS_C_CG0 => address_c_cg0_sig, ADDRESS_0_CG0 => address_0_cg0_sig, ADDRESS_1_CG0 => address_1_cg0_sig, ADDRESS_W_CG0 => address_w_cg0_sig, DATA_TO_W_CG0 => data_to_w_cg0_sig, W_EN_CG0 => w_en_cg0_sig, ENABLE_CG0 => enable_global_cg0_sig, DATA_A_TO_CG0 => data_a_cg0_sig, DATA_B_TO_CG0 => data_b_cg0_sig, DATA_C_TO_CG0 => data_c_cg0_sig, DATA_0_TO_CG0 => data_0_cg0_sig, DATA_1_TO_CG0 => data_1_cg0_sig, STALL_CG0 => stall_global_cg0_sig, --compute group 1 ADDRESS_A_CG1 => address_a_cg1_sig, ADDRESS_B_CG1 => address_b_cg1_sig, ADDRESS_C_CG1 => address_c_cg1_sig, ADDRESS_0_CG1 => address_0_cg1_sig, ADDRESS_1_CG1 => address_1_cg1_sig, ADDRESS_W_CG1 => address_w_cg1_sig, DATA_TO_W_CG1 => data_to_w_cg1_sig, W_EN_CG1 => w_en_cg1_sig, ENABLE_CG1 => enable_global_cg1_sig, DATA_A_TO_CG1 => data_a_cg1_sig, DATA_B_TO_CG1 => data_b_cg1_sig, DATA_C_TO_CG1 => data_c_cg1_sig, DATA_0_TO_CG1 => data_0_cg1_sig, DATA_1_TO_CG1 => data_1_cg1_sig, STALL_CG1 => stall_global_cg1_sig, --compute group 2 ADDRESS_A_CG2 => address_a_cg2_sig, ADDRESS_B_CG2 => address_b_cg2_sig, ADDRESS_C_CG2 => address_c_cg2_sig, ADDRESS_0_CG2 => address_0_cg2_sig, ADDRESS_1_CG2 => address_1_cg2_sig, ADDRESS_W_CG2 => address_w_cg2_sig, DATA_TO_W_CG2 => data_to_w_cg2_sig, W_EN_CG2 => w_en_cg2_sig, ENABLE_CG2 => enable_global_cg2_sig, DATA_A_TO_CG2 => data_a_cg2_sig, DATA_B_TO_CG2 => data_b_cg2_sig, DATA_C_TO_CG2 => data_c_cg2_sig, DATA_0_TO_CG2 => data_0_cg2_sig, DATA_1_TO_CG2 => data_1_cg2_sig, STALL_CG2 => stall_global_cg2_sig, --compute group 3 ADDRESS_A_CG3 => address_a_cg3_sig, ADDRESS_B_CG3 => address_b_cg3_sig, ADDRESS_C_CG3 => address_c_cg3_sig, ADDRESS_0_CG3 => address_0_cg3_sig, ADDRESS_1_CG3 => address_1_cg3_sig, ADDRESS_W_CG3 => address_w_cg3_sig, DATA_TO_W_CG3 => data_to_w_cg3_sig, W_EN_CG3 => w_en_cg3_sig, ENABLE_CG3 => enable_global_cg3_sig, DATA_A_TO_CG3 => data_a_cg3_sig, DATA_B_TO_CG3 => data_b_cg3_sig, DATA_C_TO_CG3 => data_c_cg3_sig, DATA_0_TO_CG3 => data_0_cg3_sig, DATA_1_TO_CG3 => data_1_cg3_sig, STALL_CG3 => stall_global_cg3_sig, --IO controller ADDRESS_IO => address_io_sig, DATA_TO_W_IO => data_to_w_io_sig, W_EN_IO => w_en_io_sig, ENABLE_IO => enable_io_global, DATA_RET_IO => data_read_io_sig, --DONE flags DONE_C0 => DONE_C0, DONE_C1 => DONE_C1, DONE_C2 => DONE_C2, DONE_C3 => DONE_C3, DONE_C4 => DONE_C4, DONE_C5 => DONE_C5, DONE_C6 => DONE_C6, DONE_C7 => DONE_C7, RCC => RCC ); flag_done <= DONE_C7 & DONE_C6 & DONE_C5 & DONE_C4 & DONE_C3 & DONE_C2 & DONE_C1 & DONE_C0; all_done <= DONE_C7 and DONE_C6 and DONE_C5 and DONE_C4 and DONE_C3 and DONE_C2 and DONE_C1 and DONE_C0; -- process (SW, hex_val0, hex_val1, hex_val2, hex_val3, hex_val4, hex_val5, -- hex_val6, hex_val7, test_reg) begin -- if (SW(0) = '1')then to_hex_0 <= hex_val0; to_hex_1 <= hex_val1; to_hex_2 <= hex_val2; --to_hex_3 <= hex_val3; to_hex_3 <= RCC; to_hex_4 <= hex_val4; to_hex_5 <= hex_val5; to_hex_6 <= flag_done(3 downto 0); to_hex_7 <= flag_done(7 downto 4); --to_hex_6 <= hex_val6; --to_hex_7 <= hex_val7; -- else -- to_hex_0 <= test_reg(3 downto 0); -- to_hex_1 <= test_reg(7 downto 4); -- to_hex_2 <= test_reg(11 downto 8); -- to_hex_3 <= test_reg(15 downto 12); -- to_hex_4 <= test_reg(19 downto 16); -- to_hex_5 <= test_reg(23 downto 20); -- to_hex_6 <= test_reg(27 downto 24); -- to_hex_7 <= test_reg(31 downto 28); -- end if; -- end process; hex_convert_0 : convert_to_seven_seg port map ( to_hex_0, HEX0 ); hex_convert_1 : convert_to_seven_seg port map ( to_hex_1, HEX1 ); hex_convert_2 : convert_to_seven_seg port map( to_hex_2, HEX2 ); hex_convert_3 : convert_to_seven_seg port map( to_hex_3, HEX3 ); hex_convert_4 : convert_to_seven_seg port map( to_hex_4, HEX4 ); hex_convert_5 : convert_to_seven_seg port map( to_hex_5, HEX5 ); hex_convert_6 : convert_to_seven_seg port map( to_hex_6, HEX6 ); hex_convert_7 : convert_to_seven_seg port map( to_hex_7, HEX7 ); --PERFORMANCE COUNTER LOGIC; --buffering signals for edge detector process (CLOCK_50, RESET_n, flag_ready, all_done) begin if (RESET_n = '0') then ready_buff <= '0'; all_done_buff <= '0'; elsif (rising_edge(CLOCK_50)) then ready_buff <= flag_ready; all_done_buff <= all_done; end if; end process; --edge detector ready_rising_edge <= (flag_ready xor ready_buff) and flag_ready; done_rising_edge <= (all_done_buff xor all_done) and all_done; --counter enable process (CLOCK_50, RESET_n, ready_rising_edge, done_rising_edge) begin if (RESET_n = '0') then counter_active <= '0'; elsif (rising_edge(CLOCK_50)) then if(ready_rising_edge = '1') then counter_active <= '1'; elsif(done_rising_edge = '1') then counter_active <= '0'; end if; end if; end process; --counter itself process (CLOCK_50, RESET_n, counter_active, ready_rising_edge) begin if (RESET_n = '0') then perf_counter <= "0000000000000000000000000000000000000000000000000000000000000000"; elsif (rising_edge(CLOCK_50)) then if (counter_active = '1') then perf_counter <= perf_counter + 1; elsif (ready_rising_edge = '1') then perf_counter <= "0000000000000000000000000000000000000000000000000000000000000000"; end if; end if; end process; end;
gpl-2.0
87ed4171afcd2ab2d090e32c01279920
0.448244
3.684573
false
false
false
false
freecores/t48
rtl/vhdl/system/t8048.vhd
1
7,425
------------------------------------------------------------------------------- -- -- T8048 Microcontroller System -- -- $Id: t8048.vhd,v 1.11 2006-07-14 01:13:32 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8048 is port ( xtal_i : in std_logic; reset_n_i : in std_logic; t0_b : inout std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_b : inout std_logic_vector( 7 downto 0); t1_i : in std_logic; p2_b : inout std_logic_vector( 7 downto 0); p1_b : inout std_logic_vector( 7 downto 0); prog_n_o : out std_logic ); end t8048; library ieee; use ieee.numeric_std.all; use work.t48_system_comp_pack.t8048_notri; architecture struct of t8048 is signal t0_s : std_logic; signal t0_dir_s : std_logic; signal db_s : std_logic_vector( 7 downto 0); signal db_dir_s : std_logic; signal p2_s : std_logic_vector( 7 downto 0); signal p2l_low_imp_s : std_logic; signal p2h_low_imp_s : std_logic; signal p1_s : std_logic_vector( 7 downto 0); signal p1_low_imp_s : std_logic; signal vdd_s : std_logic; begin vdd_s <= '1'; t8048_notri_b : t8048_notri generic map ( -- we don't need explicit gating of input ports -- this is done implicitely by the bidirectional pads gate_port_input_g => 0 ) port map ( xtal_i => xtal_i, xtal_en_i => vdd_s, reset_n_i => reset_n_i, t0_i => t0_b, t0_o => t0_s, t0_dir_o => t0_dir_s, int_n_i => int_n_i, ea_i => ea_i, rd_n_o => rd_n_o, psen_n_o => psen_n_o, wr_n_o => wr_n_o, ale_o => ale_o, db_i => db_b, db_o => db_s, db_dir_o => db_dir_s, t1_i => t1_i, p2_i => p2_b, p2_o => p2_s, p2l_low_imp_o => p2l_low_imp_s, p2h_low_imp_o => p2h_low_imp_s, p1_i => p1_b, p1_o => p1_s, p1_low_imp_o => p1_low_imp_s, prog_n_o => prog_n_o ); ----------------------------------------------------------------------------- -- Process bidirs -- -- Purpose: -- Assign bidirectional signals. -- bidirs: process (t0_b, t0_s, t0_dir_s, db_b, db_s, db_dir_s, p1_b, p1_s, p1_low_imp_s, p2_b, p2_s, p2l_low_imp_s, p2h_low_imp_s) function port_bidir_f(port_value : in std_logic_vector; low_imp : in std_logic) return std_logic_vector is variable result_v : std_logic_vector(port_value'range); begin for idx in port_value'high downto port_value'low loop if low_imp = '1' then result_v(idx) := port_value(idx); elsif port_value(idx) = '0' then result_v(idx) := '0'; else result_v(idx) := 'Z'; end if; end loop; return result_v; end; begin -- Test 0 ----------------------------------------------------------------- if t0_dir_s = '1' then t0_b <= t0_s; else t0_b <= 'Z'; end if; -- Data Bus --------------------------------------------------------------- if db_dir_s = '1' then db_b <= db_s; else db_b <= (others => 'Z'); end if; -- Port 1 ----------------------------------------------------------------- p1_b <= port_bidir_f(port_value => p1_s, low_imp => p1_low_imp_s); -- Port 2 ----------------------------------------------------------------- p2_b(3 downto 0) <= port_bidir_f(port_value => p2_s(3 downto 0), low_imp => p2l_low_imp_s); p2_b(7 downto 4) <= port_bidir_f(port_value => p2_s(7 downto 4), low_imp => p2h_low_imp_s); end process bidirs; -- ----------------------------------------------------------------------------- end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.10 2006/06/20 00:47:08 arniml -- new input xtal_en_i -- -- Revision 1.9 2005/11/02 23:41:43 arniml -- properly drive P1 and P2 with low impedance markers -- -- Revision 1.8 2005/11/01 21:38:31 arniml -- wire signals for P2 low impedance marker issue -- -- Revision 1.7 2004/12/03 19:44:36 arniml -- removed obsolete constant -- -- Revision 1.6 2004/12/02 22:08:42 arniml -- introduced generic gate_port_input_g -- forces masking of P1 and P2 input bus -- -- Revision 1.5 2004/12/01 23:09:47 arniml -- intruduced hierarchy t8048_notri where all system functionality -- except bidirectional ports is handled -- -- Revision 1.4 2004/10/24 09:10:16 arniml -- Fix for: -- P1 constantly in push-pull mode in t8048 -- -- Revision 1.3 2004/05/20 21:58:26 arniml -- Fix for: -- External Program Memory ignored when EA = 0 -- -- Revision 1.2 2004/03/29 19:40:14 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.1 2004/03/24 21:32:27 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
70704b010ccff791fc0ed36e1e69b0c7
0.529966
3.472872
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_case_statement_GNWMX2GCN2.vhd
4
837
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_case_statement_GNWMX2GCN2 is generic ( number_outputs : integer := 2; hasDefault : natural := 1; pipeline : natural := 0; width : integer := 16); port( clock : in std_logic; aclr : in std_logic; input : in std_logic_vector(15 downto 0); r0 : out std_logic; r1 : out std_logic); end entity; architecture rtl of alt_dspbuilder_case_statement_GNWMX2GCN2 is begin caseproc:process( input ) begin case input is when "0000000000000100" => r0 <= '1'; r1 <= '0'; when others => r0 <= '0'; r1 <= '1'; end case; end process; end architecture;
mit
2871540fb7a26b8206e6d9a42ac65b2d
0.653524
2.926573
false
false
false
false
frznchckn/polarbear
hw/cores/uart/tb/uart_tb.vhd
1
2,661
library ieee; use ieee.std_logic_1164.all; -- use ieee.math_real.all; -- use ieee.numeric_std.all; -- use ieee.std_logic_unsigned.all library uart_bfm; use uart_bfm.uart_bfm.all; -- library uart_rx; -- use uart_rx.uart_rx; entity uart_tb is end uart_tb; architecture tb of uart_tb is component uart is port( Clk : in std_ulogic; Rst : in std_ulogic; BaudRateGen : in std_ulogic_vector(19 downto 0); NumStopBits : in std_ulogic_vector(1 downto 0); UseParity : in std_ulogic; ParityType : in std_ulogic; -- rx BitRx : in std_ulogic; ByteTx : out std_ulogic_vector(7 downto 0); ByteTxValid : out std_ulogic; ParErr : out std_ulogic; StopErr : out std_ulogic; -- tx ByteRx : in std_ulogic_vector(7 downto 0); ByteRxValid : in std_ulogic; BitTx : out std_ulogic; TxBusy : out std_ulogic ); end component; signal byte : std_ulogic_vector(7 downto 0) := X"A5"; signal uart_tx : std_logic; signal clk : std_ulogic := '1'; signal rst : std_ulogic := '1'; signal dout : std_ulogic_vector(7 downto 0); signal doutValid : std_ulogic; signal uartByteRx : std_ulogic_vector(7 downto 0); signal uartByteRxValid : std_ulogic; signal uartBitTx : std_ulogic; signal uartTxBusy : std_ulogic; signal test_done : std_ulogic := '0'; begin uart_0 : uart port map ( Clk => clk, Rst => rst, BaudRateGen => X"00036", NumStopBits => "01", UseParity => '1', ParityType => '1', BitRx => uart_tx, -- ByteTx => dout, -- ByteTxValid => doutValid, ByteTx => uartByteRx, ByteTxValid => uartByteRxValid, ParErr => open, StopErr => open, -- tx ByteRx => uartByteRx, ByteRxValid => uartByteRxValid, BitTx => uartBitTx, TxBusy => uartTxBusy ); P_CLK : process begin clk <= '0'; loop wait for 5 ns; clk <= not clk; exit when test_done = '1'; end loop; assert test_done = '0' report "test run completed" severity note; -- loop -- clk <= not clk after 5 ns; -- exit when test_done = '1'; -- end loop; wait; end process P_CLK; rst <= '0' after 15 ns; -- clk16 <= not clk16 after 271 ns; P_STIMULUS : process begin wait for 100 ns; uart_tx_byte("odd", 1, x"A5", uart_tx); uart_tx_byte("odd", 1, x"FF", uart_tx); uart_tx_byte("odd", 1, x"00", uart_tx); uart_tx_byte("odd", 1, x"15", uart_tx); wait for 150 us; test_done <= '1'; wait; end process P_STIMULUS; end tb;
unlicense
be164c8f09857a90241b49c986e10b80
0.572341
3.229369
false
true
false
false
Ttl/bf_cpu
uart.vhd
1
7,909
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity uart is generic ( CLK_FREQ : integer := 32; -- Main frequency (MHz) SER_FREQ : integer := 9600; -- Baud rate (bps) PARITY_BIT : boolean := true -- Parity bit enable/disable ); port ( -- Control clk : in std_logic; -- Main clock rst : in std_logic; -- Main reset -- External Interface rx : in std_logic; -- RS232 received serial data tx : out std_logic; -- RS232 transmitted serial data -- uPC Interface tx_req : in std_logic; -- Request SEND of data tx_end : out std_logic; -- Data SENDED tx_data : in std_logic_vector(7 downto 0); -- Data to transmit rx_ready : out std_logic; -- Received data ready to uPC read rx_data : out std_logic_vector(7 downto 0) -- Received data ); end uart; architecture Behavioral of uart is -- Constants constant UART_IDLE : std_logic := '1'; constant UART_START : std_logic := '0'; constant RST_LVL : std_logic := '1'; -- Types type state_tx is (idle,data,parity,stop1,stop2); -- Stop1 and Stop2 are inter frame gap signals type state_rx is (idle,data,parity); -- RX Signals signal rx_fsm : state_rx; -- Control of reception signal rx_clk_en : std_logic; -- Received clock enable signal rx_rcv_init : std_logic; -- Start of reception signal rx_par_bit : std_logic; -- Calculated Parity bit signal rx_data_deb : std_logic; -- Debounce RX data signal rx_data_tmp : std_logic_vector(6 downto 0); -- Serial to parallel converter signal rx_data_cnt : std_logic_vector(2 downto 0); -- Count received bits -- TX Signals signal tx_fsm : state_tx; -- Control of transmission signal tx_init : std_logic; -- Resets tx_clk when tx_req is asserted signal tx_start : std_logic; -- TX start signal for FSM signal tx_clk_en : std_logic; -- Transmited clock enable signal tx_par_bit : std_logic; -- Calculated Parity bit signal tx_data_tmp : std_logic_vector(7 downto 0); -- Parallel to serial converter signal tx_data_cnt : std_logic_vector(2 downto 0); -- Count transmited bits -- Return a counter value that minimizes the error between real and wanted baud rate. function counts(clk_freq, ser_freq : integer) return integer is variable tmp : integer := (clk_freq*1_000_000)/ser_freq; begin if abs(Real(clk_freq*1_000_000)/Real(tmp)-Real(ser_freq)) < abs(Real(clk_freq*1_000_000)/Real(tmp-1)-Real(ser_freq)) then return tmp; else return tmp - 1; end if; end counts; begin tx_start_detect:process(clk) variable tx_req_old, tx_init_old : std_logic; begin if clk'event and clk = '1' then -- Falling edge detection if tx_init_old = '0' and tx_req = '1' and tx_fsm = idle then tx_init <= '1'; else tx_init <= '0'; tx_start <= tx_req_old; end if; -- Default assignments tx_req_old := tx_req; tx_init_old := tx_init; -- Reset condition if rst = RST_LVL then tx_req_old := '0'; tx_start <= '0'; tx_init <= '0'; end if; end if; end process; tx_clk_gen:process(clk) variable counter : integer range 0 to conv_integer(counts(CLK_FREQ, SER_FREQ)); begin if clk'event and clk = '1' then -- Normal Operation if counter = counts(CLK_FREQ, SER_FREQ) or tx_init = '1' then tx_clk_en <= '1'; counter := 0; else tx_clk_en <= '0'; counter := counter + 1; end if; -- Reset condition if rst = RST_LVL then tx_clk_en <= '0'; counter := 0; end if; end if; end process; tx_proc:process(clk) variable data_cnt : std_logic_vector(2 downto 0); begin if clk'event and clk = '1' then tx_end <= '0'; if tx_clk_en = '1' then -- Default values tx <= UART_IDLE; -- FSM description case tx_fsm is -- Wait to transfer data when idle => -- Send Init Bit if tx_start = '1' then tx <= UART_START; tx_data_tmp <= tx_data; tx_fsm <= data; tx_data_cnt <= (others=>'1'); tx_par_bit <= '0'; end if; -- Data receive when data => tx <= tx_data_tmp(0); tx_par_bit <= tx_par_bit xor tx_data_tmp(0); if tx_data_cnt = 0 then if PARITY_BIT then tx_fsm <= parity; else tx_fsm <= stop1; end if; tx_data_cnt <= (others=>'1'); else tx_data_tmp <= '0' & tx_data_tmp(7 downto 1); tx_data_cnt <= tx_data_cnt - 1; end if; when parity => tx <= tx_par_bit; tx_fsm <= stop1; -- End of communication when stop1 => -- Send Stop Bit tx <= UART_IDLE; tx_fsm <= stop2; when stop2 => -- Send Stop Bit tx_end <= '1'; tx <= UART_IDLE; tx_fsm <= idle; -- Invalid States when others => null; end case; -- Reset condition if rst = RST_LVL then tx_fsm <= idle; tx_par_bit <= '0'; tx_data_tmp <= (others=>'0'); tx_data_cnt <= (others=>'0'); end if; end if; end if; end process; rx_debounceer:process(clk) variable deb_buf : std_logic_vector(3 downto 0); begin if clk'event and clk = '1' then -- Debounce logic if deb_buf = "0000" then rx_data_deb <= '0'; elsif deb_buf = "1111" then rx_data_deb <= '1'; end if; -- Data storage to debounce deb_buf := deb_buf(2 downto 0) & rx; end if; end process; rx_start_detect:process(clk) variable rx_data_old : std_logic; begin if clk'event and clk = '1' then -- Falling edge detection if rx_data_old = '1' and rx_data_deb = '0' and rx_fsm = idle then rx_rcv_init <= '1'; else rx_rcv_init <= '0'; end if; -- Default assignments rx_data_old := rx_data_deb; -- Reset condition if rst = RST_LVL then rx_data_old := '0'; rx_rcv_init <= '0'; end if; end if; end process; rx_clk_gen:process(clk) variable counter : integer range 0 to conv_integer(counts(CLK_FREQ, SER_FREQ)); begin if clk'event and clk = '1' then -- Normal Operation if counter = counts(CLK_FREQ, SER_FREQ) or rx_rcv_init = '1' then rx_clk_en <= '1'; counter := 0; else rx_clk_en <= '0'; counter := counter + 1; end if; -- Reset condition if rst = RST_LVL then rx_clk_en <= '0'; counter := 0; end if; end if; end process; rx_proc:process(clk) begin if clk'event and clk = '1' then -- Default values rx_ready <= '0'; -- Enable on UART rate if rx_clk_en = '1' then -- FSM description case rx_fsm is -- Wait to transfer data when idle => if rx_data_deb = UART_START then rx_fsm <= data; end if; rx_par_bit <= '0'; rx_data_cnt <= (others=>'0'); -- Data receive when data => -- Check data to generate parity if PARITY_BIT then rx_par_bit <= rx_par_bit xor rx; end if; if rx_data_cnt = 7 then -- Data path rx_data(7) <= rx; for i in 0 to 6 loop rx_data(i) <= rx_data_tmp(6-i); end loop; -- With parity verification if PARITY_BIT then rx_fsm <= parity; -- Without parity verification else rx_ready <= '1'; rx_fsm <= idle; end if; else rx_data_tmp <= rx_data_tmp(5 downto 0) & rx; rx_data_cnt <= rx_data_cnt + 1; end if; when parity => -- Check received parity rx_fsm <= idle; if rx_par_bit = rx then rx_ready <= '1'; end if; when others => null; end case; -- Reset condition if rst = RST_LVL then rx_fsm <= idle; rx_ready <= '0'; rx_data <= (others=>'0'); rx_data_tmp <= (others=>'0'); rx_data_cnt <= (others=>'0'); end if; end if; end if; end process; end Behavioral;
lgpl-3.0
d7d4e4a49cc4d031608d62100ec2776b
0.571122
2.671959
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_counter_GNKAA2ZBZG.vhd
4
1,632
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_counter_GNKAA2ZBZG is generic ( use_usr_aclr : string := "false"; use_ena : string := "false"; use_cin : string := "false"; use_sset : string := "false"; ndirection : natural := 1; svalue : string := "1"; use_sload : string := "false"; use_sclr : string := "true"; use_cout : string := "false"; modulus : integer := 8388608; use_cnt_ena : string := "true"; width : natural := 24; use_aset : string := "false"; use_aload : string := "false"; avalue : string := "0"); port( aclr : in std_logic; aload : in std_logic; aset : in std_logic; cin : in std_logic; clock : in std_logic; cnt_ena : in std_logic; cout : out std_logic; data : in std_logic_vector((width)-1 downto 0); direction : in std_logic; ena : in std_logic; q : out std_logic_vector((width)-1 downto 0); sclr : in std_logic; sload : in std_logic; sset : in std_logic; user_aclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_counter_GNKAA2ZBZG is Begin -- DSP Builder Block - Simulink Block "Counter" Counteri : lpm_counter Generic map ( LPM_WIDTH => 24, LPM_DIRECTION => "UP", LPM_MODULUS => 8388608, LPM_AVALUE => "0", LPM_SVALUE => "1", LPM_TYPE => "LPM_COUNTER" ) port map ( clock => clock, cnt_en => cnt_ena, aclr => aclr, sclr => sclr, q => q); end architecture;
mit
daf3c2ee560b1d0dbf3077bd5974318c
0.609069
2.833333
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Modules/Reg.vhd
1
752
---- Engineer: Brett Bourgeois library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; USE work.UMDRISC_pkg.ALL; entity Reg is generic (regSize : integer:= BITREG_16); port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; DataIn : in STD_LOGIC_VECTOR(regSize-1 downto 0); DataOut : out STD_LOGIC_VECTOR(regSize-1 downto 0); Full_Instr : out STD_LOGIC_VECTOR(regSize-1 downto 0) ); end Reg; architecture Behavioral of Reg is begin Process(CLK, RST) begin if(RST = '1') then DataOut <= (others =>'0'); elsif (CLK'event and CLK = '0') then -- trigger on falling edge DataOut <= DataIn; Full_Instr <=DataIn; end if; end process; end Behavioral;
mit
8e836668cb6c13f9ffb4fd66dc8cf40f
0.655585
3.056911
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_SBitLogical.vhd
20
3,567
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_SBitLogical is generic ( lpm_width : positive := 8 ; lop : LogicalOperator := AltAND ); port ( dataa : in std_logic_vector(lpm_width-1 downto 0); result : out std_logic ); end alt_dspbuilder_SBitLogical; architecture SBitLogical_SYNTH of alt_dspbuilder_SBitLogical is signal worand : std_logic_vector(lpm_width-1 downto 0); signal ndataa : std_logic_vector(lpm_width-1 downto 0); signal result_int : std_logic; begin u0: alt_dspbuilder_sAltrBitPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion) port map (d => result_int, r => result); ------------------AND-------------------------------- go1p:if lop = AltAND generate gi:for i in 0 to lpm_width-1 generate worand(i) <= '1'; end generate gi; result_int <= '1' when (worand=dataa) else '0'; end generate; ------------------OR-------------------------------- go2p:if lop = AltOR generate gi:for i in 0 to lpm_width-1 generate worand(i) <= '1'; ndataa(i) <= not (dataa(i)); end generate gi; result_int <= '0' when (ndataa=worand) else '1'; end generate; ------------------XOR-------------------------------- go3p:if lop = AltXOR generate gif:if (lpm_width>2) generate process(dataa) variable interes : std_logic ; begin interes := dataa(0) xor dataa(1); for i in 2 to lpm_width-1 loop interes := dataa(i) xor interes; end loop; result_int <= interes; end process; end generate; gif2:if (lpm_width<3) generate result_int <= dataa(0) xor dataa(1); end generate; end generate; ------------------NOR-------------------------------- go4p:if lop = AltNOR generate gi:for i in 0 to lpm_width-1 generate worand(i) <= '1'; ndataa(i) <= not (dataa(i)); end generate gi; result_int <= '1' when (ndataa=worand) else '0'; end generate; ------------------NAND-------------------------------- go5p:if lop = AltNAND generate gi:for i in 0 to lpm_width-1 generate worand(i) <= '1'; end generate gi; result_int <= '0' when (worand=dataa) else '1'; end generate; ------------------NOT (Single Bit only)--------------- go6p:if lop = AltNOT generate result_int <= not (dataa(0)); end generate; end SBitLogical_SYNTH;
mit
11f8ab2be67e3ae1f0eb2c84be918d92
0.601906
3.62132
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_tc_v6_1/51f55007/hdl/v_tc_v6_1_vh_rfs.vhd
1
449,533
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nxsayYmmKiFJfoVyDB29Hm+zFReU442BGv0wB6d2jZZ9w3633r3nsnsUZecnkuGqv1Wf4FdC+dHz e9QlAnz9/w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SPWSZ5kEYuPwVSbl5IEvDu1zYhJfPo0y4BM2qIcfrG8H7PAmj2s94M7763DEUdeXXFaE1mVyBULO kg1rGg8x0YjMnN+SaiFPTAFhfYo6sWQWDrbRgFy02Nc9vpyqTDw77Ht3Z4jjYLm1O4N0seHZ/Luz 43RM99fk8fCF3ngLIOE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bfY/SU8O9yKQKJEWOd5iN02jyUg0S0qMJv3vs1AFu2PYa9DnDREYoAU5FoXn+LgBUmJd1BwKJ89h DGxc2cmjM1BbeYfZ7YqfMhsn3GlBKlPnWhZVnOyZ0hasoXy++dWHRMqSZ6t/yk6h1PI4KodQjQCB K+FgZt/cxmYbUED78pod9/hAHX3dg9piXgM8JPTeHVCNUWA0RbSsqCFVOeFDQe8PKkohzsabQSg1 1YFhTocmLDSPVZIJEhdB5fVh17oT/NmitggeET5L7OFk3hfQzV1kn8A5ylQMrM0aCKlHMGNLeeDL c27yxtQdpGiKxO5VmY5gt/X4dsBUmSr3Hund+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c7v8zPAGjTafjiVR9jxsy7ZTOnmyJqoAFE66fpcPQbDQ2PM2vJLoXAkKWarEEAnCv+uO/BPU5maw xhWRmkQSQgiL0X28zJ+DQ4XQRl0QrvCCJmubAJuNf88mi3iSxAz2oyL+w+IxKunkK20Nei26vHDR kkMJarJ96a5wSWUV5fw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block liaJ2sdv1KlzR4+7I09wjOPdxTXGZ5Ks5hhxYNI4RMThDnhbr7nmVh4JiAMvz0/6f4F/rcohCsCc KK3UU4WpB/3Ug3mggzrhcgA6Ul6cwGAGaCkYBjNidjQ8f/hU1UeMCSGPP0EFuMtnt1MdzU47WXtc 3/Fex5nZv8kL04DowRaVfn2wAjc6VOp0rhJXpQ0onuclCkxA0SwS+Vsu5jpqfI/ITHxbB5XTYfgI 4KZg8y5RY+4u1vgrUiVew+xC2hCP3W+nAA55+xGyJ27wYo4a5TJL5e2XIaC2gFQPaXhtbJVaA1AP AatIYpQ9EStvtx3FYhHY/GJnaSCBddf1WyrX4g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 331024) `protect data_block bSUr1/x9dlSY6MnUAH8AAPSbMhhaii3OT/TpEmepg2mQ0Uc8wARVbsBctmSEaBX4wVtcDhgUiYnA eGLQySwhyYJYsrO2ZAbayjRFoRZ2oFyTl3b89S2Xceb/mpIEb6iToGbOx8LtpICjd4CRpoC5Rvxs lj/W1FhxR9724pTWOWgD7K4G4tw2gKY+Nb0gKhsDQkSB4yvFUZxQ4ErF6SEnfzaaDMC7pwM7QM1P 6FqcmvpPA8VzCgdRcwm9S3eO9fkN7Pb5Jlpd1EkjuFg5ZG7y4HI6PIlGvfbcu4dST5xQ317pH9Wu Wrmms2dQDOh/Wf9IBa+ZCK5FncR5eszyPFVIiorhKKkQCKJoqwHf9kkPNdWmSNBlDR4+q0jLMGR3 3yOM21B8mMn1XCESg2h+tCBbir2Os/5CxUXXEAcAQL2fxUKMInoE8v3fBu864SJDf2xWdb76SiFG BM8aIctEnoXpgqGjj/6MaqxSmJ4ORbA5MPTOUkvxSM3eGeviVg/mDEOB1TcnCbh4Njj/vzHiuY9J k8EqjYvBueQwDitcKtsci6U4I7xM8wwTis7p3Ao+0Rc7aa1ciBIPkSyGUatftIPHzc8gYAmb0rfC 1AHycPDUdAAuysFM5Nys4chFApWAvbikPCGroyqGE7nwfJwSDV981PJr5KrFF0I1350TJ1OP8G8X KUrU0IlTMXL6iS/MEWPx3yM/5aV19Fo7zihWCOhvbeRL+RkzNnl+oEaXjE8i/TIpnDA8CXoQDnDN MxpCBo7BB/PEii6FJK6RfW2YdxppYNgYRLRCVaGti9DjkO+fq7fmDEShTGzN62joqDN6om9qCMCd 2fXYDYAAfxKh3p4YTM2F57kpylyENhWYWxiNx8PcC6ZXv3AkG+XwjyVL0yS4niiMsd315uNchU1R 993KjQWF6wZm48DOrXfgMX8dBdFyU3mU4RfUfUw7DtuFPVVmxCvv1GnfrsFApf5ndp3egRaYGFsN BUVA15o98XLuyZ1QJ+7s69Yfs7wIdLgUpwc2tji0vTXppTgzu1tlBebzLK4lEidIVNgivahkZFNS 9udO9pIYZKVvYPcqq0+3wbic5ykTJWUizRFzCyAVh1FozXwF310/as6ZmcLNJ3oVHzMMBjq9+Rta AakqJpkjAPD7njPur60sKRoEyS+JR1waWnk8McEGkAyq8W2h96fJf9DT7lo5n44akGGOsLTgD+WP aeHExPlJV42yL0tBjS/uAJ0RbBdkH+nsxOP4JFqakPuWLbVxYRf/FocWe1VycOfXuRwhY9VajUgz 0HI4CG+i0N9tF1ziuMNTtq3fHSc3KnivZrX7wnYH7ZUhQpgn3dluP0EeNRB0Mf8EJouGICTNOD/N LEzN0XeQaNAluLrTmXLJr6iCLLsjfQsCqP+Ev8cTXwbols+X5+qw0F9Q779sjnNbCcsMJxP1kNK5 c4o1qudv5Do8E8Q+KqZeYf/IHlXAOy5eDTaUUk8RPDtROmt3GVa4AjA/mQSJymv+LboRSIKA6Ft6 gvL8e1F+/2nhI8otfAgecznkvNLAM8IYnOF/gQVTRuKgYxfRh4KnNjabi1SoiBaKT9WIkHR3z4rk gvNqzgCk7awGIdzoqbTreFszLr4Jq9E2ueRCc0hse10C8FVE6TdrFFHIZIDpxIkwKCnzlAo3G4na 8g2kbQd4YYaMq5F+GV3acztVkOFujzAHxsJYjM6OlwAIQhkx7xWhHIl5a41/R44NR3ByJbQwDxmp pMMib7jytmEfuuWnzjKAN2hS1/rt1eZut4h32H7kEBH6Fvn8n2EemWuLf3WimWzMffyiNABb8hnp 3PDakfXAAfF2grT+Qd5JgrFyZ70/XpbhiBmLrsAdIiSbtESOml7A6Da9anzkqY4B8ViDpn1o7kWq uT5aK1KfyPjqpGTv/ugrP32XPQ3K7UtbSUiTvl9p62yvnCeokj4iYullVz/0xkGus4lXc3wl0yMP kWFAu7uvzgaE+ccYAGYwG0q8iRTrL6xGXZYaCZYQX80ebs5Nja3CacH4N01HhIcfrdhYzX0Cls4W 3fNMT+JL4GnblPhMECN6bUt2ube8nAFxgGVLvMFdKHQPedqhcS57sWmKACbMmRMjxcZNiXOCh0ZR jdEaP2s1Io5a0P4EphrGAHGYTUmP6wW1KOZlaSEVBOYlN/0shi4KcPpvQEhKFYaKNusopsTwmPbH ijU9uUTdvcV6GGyzWu/KUtXpf2G+FAj9aaAvEpVoS+EY7T9AxULYY0Zdj8vTFERqleyWzeXJwCHd JFvYwFW3sVDhhesSiOiQdxOeHDeLv/N373HcIt0l2lccYVS9pGpC3bcH/HESSWf4HZeaaL4OS3ew eNfLv4kD7fvkBPcTjgGFv4/KZhZUKwTpUEA/oymyboeUxNUzSL+1nYJsLuiQG3e11fFlfr3axPDO GcN3jb7fFaBJkEnFfapDIeLyoVYTAiG35nNRuE2uonaUuHd+BYaxanucB0xDqJgm8UvqSklUhGOy m55GgJIbQj+Ktf7G8YfagqxY5nlCke8RVZBKt6QwmOlcmM+Ujoqu4p4uW7AbzZEsdeMP9jN9oMF1 AGez+0thxz5LoIko6Lt2lH6XV3V8y3Zg1U2vwdjt6Fn//ac+gPE5sqMq0bmIFYLvzQOgXy2d6q5c ghM8JY4LVBpF4DgGNpSqUIuHPO7QYIsy4aFt9fA/slGXGeY78quaC8tbKfvbm/hK1eCY8wDGkIbw dhARCOCmdn4JUtj8u6x2Fq0Y/C8CrnUQynwx9vIEvGoAt1Ft1B9g0IINonZueYw2Rj951R92F3Ql GfP1NGt5oi17cjhiihc1VfmWxrXfbj/gx8uXPlWbr2/wxNo2S3BdjfYC1+Acj/w3kfGADwzrH0qV hQoXs+X2MvbsWvqBS1oSFBVzRXZHi6FscPLxfJimR0IkI262L78bG6YQFFvRwGOz82JivHUfu/LU LUyN0Tob16iw52CpOwIMiUnEp+cO8MyWeVenZsD/qdFe/oYoO2FgE5UuvkT4+1n5Nrb80/8H62DO tsPhn3C8ufSGc6LLrrFv1THwVst/RG0JrI5Zpj48FCa1EPc9D22t/2Khi2oNfVPLpjDzt6pHZCmm Vb2xsHzM2CTcy8JAAxNDg6G3cNQa8rf2QOvrjhxCPQSw9DrbA1DZ2kEExyE0PIXXY1APFngDHy+E pmfJuuY+6Kod3PkpmpNA1C8QY2XMSTqYulNWw6ENRL4RrPK3l5VuihHqqMe2WLBlPFFhG97mWCT6 Bnev4OiAJNvKpdB/ldP6qHRKRSHJgmJdgV0e5ItPJwttobLJZYxSp1ERITOtOfKwzZcVjso8Bb+H wOSFbqiE3i5+7v8B83JIVAZf6urTYj3wWweik9/9c5gnrHciUSzteIHkdF+OFIU7ipJSniJJQG2s Epxj/eLqbFYBvv32WShP9JwPTlqxdML5+O3Pbj8Z8GuH68wHFPzGbEpk9g2IG4xeRiofn035bq+S kChii3G/EPe5KfkPyn2DCg9OT/Tsn3GlN+GDqSm/4d0QI1dfZw2BZ1NVFhHF3ig1L3UlJBfwOuVM MofpJeL6PnaIOiRph8AOZFXKak62FK18QVOrIjBiAbr42NXpIvpZB6dKgHWYCHTPf7SjpK76ig9R j6H1ibZ2iiL1koZCwpBIU8Jphgl+OrnBA/C9sPMkc1mlWC840Nyz7m6YoDP069Io979DjkY8J1Kg nydPOVJnXUHUvEJ7o6fphJ9/s/afwcBdXh1fasYVsW50pTUzmGwbGpQgNXkBp6BwXBB/XOoh9bdO 8bcjaLTj2EMftiJP8cJdUJRwgU3F/uCUUCIoS17gvLUf6SZyCf2J/nXtYK42++suBEkHeeW61DY9 XzVDNoWvHskkzIZsDO3Y+A2vySZ6vowg/FNyfyVWLhBA1ADUgSlXUk3DqJU8F6nLTcHO87wlrqnO X0Q4yQ4GO2UN7OJdoMTWGUTNwNS0hHLvnkwO6KsszgDUaZCUWPOeoM9h1KySv8VH6V5wAgiVCFai 4W9jxS0jHF7MPQCu0dcbVbgSOyXmpqKsKhq7dW1tA/tlrVs6gnI1uOsDEQmXH/0iOkIh5fBu9tce qnZ4VYCiHwGpwX+mvZiPjTjD96P14+xh55aBX4W87osJFYPPB1qYrY6R6U/tsm8m6BYdtz7SILZ4 J6tYJN5QQvXHAQ1Zhtcx2tq0zdWhhpuwRB15yhoBXFF8hKC42BmO2WCaCKgfLnNspxRgqgIXleQy IRS8u/K8QF0RboWUUItF/rE2R+h10+nZcLIhtNOxDs2oh4NVRzcChczQwLSepEenjn7BwvNARe/5 ZsNeQDWzQUq3X/9tD994LvPcbpFJF+kEDurQnZFw6oG8hHjvzDOZml+2V3eLKgYqzBiuExdosGmg oObLZjDIdPgrQNyVEvdhpc1haMEqj26YM1iWSHfPmTpieij3R2O4o8b+t4VZnFn5YMNYjI6sLJcH jcm1Bqu09BymfX//LB3MTWnxGF1CBi+rvS/U8FPp84iNQQWhL5jJjTKnJ9YwdZNz40SpFMs51vEa aSQFHzPwBVH6mdmm3tNcqo+Nib1x1/mUX5s19IOOLFW46HFpUUru0WSbe+Hciy+gN282w2dcO0PX +zYL4bdEzszZgohInynL0axJxtrUEieNdF7hhSpUqjYCDrTenIVY6LMXdxk9QtNqYvYFbMctZkYC QeltwqSOldQeyO9Sfg+6438R3eLxvtp782jJtnnZDBjygz+fiusG0WqwQAbofCcfCN79MgQL32RJ HeITlpndBrNSOauwyE9aHqv4pa/NnmMTlGBWA4OLu4OZBFFC4Onl7r6lqXjh2f/o7qiB20axvjtp 8S3WbQn31bWqqiHV4X/Nu5JHbked3md6/v0WN1fmfmP3SYhp8paKBB/H/GKGp2y78pIUlaxzjhA7 63CUfKpWYP4jwaRJbuVOYerAqAGU8TEO30KJhob/3ZZ177RLTpYyTqlZWsNFxIEWzR572LIqlMtH fnCx7x6QY1whgD+avb7TnNWf8EhySUOqbyavZgCagI4RBTTzunfhozqDH6v6tXoFIGQ5XTbht1KU NLvUEVa7ifd5nJWLm0VP2sP0BWF/edaG0x1Z3++dBGDZ9Zo99jfuE2Gm9XbP+jpwOFXGqhAzOmnu fbKEOKD84NfLzzXikOXS0KeQoKm30x/P0IPkUIcnsH7fY3ENY7U6Vi23sdZAGZurUY5PC2jnavLV HftCZK6uEhLduLMN4nbz0HJowwKyrM1BE6Oe5iSJ81I+v6hqOVP7y2zU/g380BBzpMWoHj7L+VfR 01KRu+P0Lx6XH9nd62OdhQTPikoRWcQe7oANxMsfjkMMmZNP0etCopMp2a0I+v6uPw76NqMmznqM BtplcG1uFiOz4LuGLzbMPtkuaLjit2xCShgsZwm55NxoCecEhrO40W8uB1YWcLsi9IWXKElu+4+5 nfbeK3daGpgn2LCGlKWPXwjzPLIwKyo8mRB5N+6OAlHHseAdOJI7XYXEOoikfqQ02aS1mSRHawK3 HGBW1y66EW55IWRWBrU8QrxSYoEY0TCDfrb9dceVIt58Ek5J6VERxlrxsxJDT1ei9xrE0hZ537JL 0esS3B1C6A9wpxoPi/7/wwPa9NYRGEka5zp6DxKyVpHGn5sb/JM7BPzS0IZdCQlavFuKoS68Nafh 4EiSilGh8g//DruIpgceARd1mB7MNeYpOfVa0428DF9V5hKJKE8cseYnHpDCTPs6lxIKfq5f73d3 MUhVfqauS4f2ojxo9a9/a2WFpIxjCZ9VVMhH66Al2PLXsuPkYN6BnNrtpw2q0317X+s23KVurjHX URLb538apKT41L90scXjLRi4D+dFjdX8Hbm4V+Nv8FnskKjX/hcJkctWBRgWG3a9YamFAuW7wOJQ bCFfSnnecKDZOWTasOakee8h2qX8NMVuuVYdW7KSESFdRfefdOsJsP9XTF4Lab0SAr9oe8qTjgmm vUiiaMYr6xYOVTYPxspcB/ZxXYTUZIkNPZtD07/HHqIrzSMCyHSdDY8ICbtV64QorYVTC9vfWmxi 2V6lFo2mqAWuhYBNUHWbWV3gvW9Ywb9C8FvW2DjfWnVW952Bh9hIF054esM7xtZGeSiIz75VAScj QHYpPzcN0NSAyqZjLU74Ul4JvBOm/ZDExL5GIBmqYPNVv9vH1dWv1LySmYXMnl8AUcDT71YQGQjH zvgYQdzDa96EJOAYTA3zrYEKup4Xf0BBI+yenZeqT2+h1PELs3dOp3/m3XH9vm/L5uwOuBPmwTJW f6DukklyIftZXFc6877r1Kcxpnmb1tKN8twiNjQyIzfUmD0+/tinDsd3ZebieKmhze2HfVCksy4h gcmXhjEP+TB5hLwc6yd+kEu0EYzjmXNybe50tFa4l9leUJwliPzTErWug77OgVk4n2mgp2G2dr/k k6GLXqy0s17WsVm4imq3+DcjJpbLoTb0ei6p9rGJhRMrYZpkpJNxIxHuQQbTuV9Fo9iwWGXSQnZL maGhykksebNkR8TZprpnVer8gISA5hrkpBhpWFvhnSqtWO4H/wfGvkMJKeAjan5L7HVg3lOmEjgc 3USgIleSrWhk2PKbkyK1WxkTRXYQ3wk6+TEFt+tuAVWLAH22lIu0qnk+Nq1HxNR/JZssUJOoUpwq 0/hHikkZ6XCNcyHJDV2xjoLdfKxNp+T2DtWO4OZ5+SU6H55qvAXeuR3bpTV8enxbz5ZDp5rmetmp MQN1i8JWIIo8suisSlG84WZaATfIGDwDBJGU17RrHeXxGcqiyMXUeiuoFtp3tciWvg2kTJkzo64K J1PFedk2BG+MxI+1MJSE+9LzGxFW9XghKFGS2JhA67zgmP74dAfKtJoM5CNYBM2IZM78ehFSCak3 hYQBEaPLeKiCinh/eSmHb72vaT9yJ6/QkBidyb7hSheDnadW1XibkAeKdq/NRY0RZ8K/W3oVRuZG gHO/pHNaLIjsWrzoDErhqYlLQWf+WTBZXNnHd96GPW7O1jQGFpBoXAz4lWbc3oWWEN55BR+wlYiw H+YGw8Ow9HWSUyeoPGFXRsFffSsK88ZMZQqZn/TSeDVu5TlAqvLRzI4yIKM5Wi0rBjmIy7DgxxcH MkIy/Tmn1ybo/3HDRjE7K90Kvh1BjTcJSVwRFA0vA1V0NJ1cvdjfarPLxjSF2h/U/Tpb4JjEKOwr eOiuN9p8A1KsrvWRSsgNDJJVnU1NvLthbsXKhcy5uXBKC2SmnSrvFoHEmQFaGCXtiezxRTMxNoBm Am43E8fqqYKaobp3FO7j2wdi4KIg7lCPIz8vVin/key7jrrcvYVsgZyUMwpxjS3ZxlaUQ23ygyFE 7X32V0XOdZ1FAM48UpzzNFuGrbe0EPvWcDgKD9QH1hH7wanTDYsDsowYUM31AO0WFoN7g4CceCO4 R+blQfaYY8lbn03cfvi7iMRxTq1v7PYmAW9HGMQnE+bi/4JGzPgZO5WZQPLvZqB9IeSStwBrHokm ugzUbCB477OzL50RTiwezww1IqgiXfmVBnpfJXAaiYddl4cxkVMYOd16cwka0DbKr7fCUorH0Jg0 eQA2Bzti+bbL0k0TkZxcVcrTJU1fTcAHkB4HoXz5CKadxUX1hRnimauOysmrLAp3vfJg+12d7Hqu +2csdvrzhSSsK0L53DNggJZZ+eNIVYnXEP4aRpnHif9MoaUkEU3ln/uVI9QOvxJQDcoMc0wYdlDL tzvtCNin3Smwu4RvjGQ/QHpw86fLch+SPESM/R6w0tLOXfGeLgD4iriqficYpXWdMaM6fpcgHiUa btAWvhd8cKP3TE3Ubv+MM4PXP89bdMHqvrrzws90eoRuXU1Y1PQpE6RIxAvSwlT/rKLYwPEuznkv ZWmEUAn0V0fvyIUTGGBaPZAOYGbkUjf6pnrQQhtN9lASDVdXL4VTRAyt/7ZNcs+N0Elupx8MCk82 KFRaNBI/D75cqHgfvIJh7pybQ+h5DBfHdn7ysZTi/JdcaEo9QEt0stijfdNMZipvigSEbuuo7Lu7 r38LbMctQ2jHsavCGTKZa/jRqGViEGWbLXTmmc81fqDVON+JpqzU87MyezV0GluyPnbJr2FiYdar 150wOATM/actfo0r354Utg0EDlb/Nb7nlDABO3qj3g4c7rZNjIVohLFxkSArvzmUP+RTE9lJ1Tzn PuGkDZXONhbwLv9heWhTUfkbxUgsKJ7ejqpjbrCoO4XQ86755aKrQYBAMIyB8CUWrykGv2Pr40yp CKaSukqYzEzK1RIpRAuHiIWE4VcOO7SPWnclg4SJU8wNhc77C/oo9VU1AZXqsswzHUDKBe/9reE6 qF+dalMoEW9L5ODsIo7yW93+9WXHXI+D5bpTvT2/wO9+x0fUI4NehhCarMuloFO3cwIlggQNGU2r lbRxdMGkTxbcSa6GD0aAgd04a1EnSOzLA9bLE/9ew/vpmXNsKi1PlRFzT3DhDXV2SctcjByy92PL eqXTpkhZZ6+/1v7EFaYNyMD8Jcc9CszykBJvLPtlfZsJBgKkWKj45Vxc1S54gS0SlneMYncT9dSV SoL5AIMEG2zGCyBJ+b9fm4HC82zWO3orgUvl51F43xEHxlJYIJDA0OK1eQxR46akyNBz3WCaYJlg EGTPdZSzDxXrPhtDjIGZaTX4yxRxU7ORopry3JoNKcoNXkNQZqp1e+YHLD38UT1CNLUWCAYxk0YD o2T+6UHD1Ppfm8p7Pmewt6oUL4WMib3pdG6P3O26D9DafJpMs4CIwuvuidpoybX5z1TpsTQ5jfJP +s+dI+rtnFbswTCrKOSaYqw2Kl3A89DrIARigSTr/5OchgzsrMKvXTp1+FfA58nXuPGanntPO764 v5+Cs7wh1MIuQJ7BpPYzWt+YThbaw0Ilf0cFlioLLnplkC5nY9kCaNh9b6vCU4b/Prce9k/85O0i WPeDMllD2ZAzpwVG8itar9NtJAOH7y7gLlI48F2GFx3Uf+Mcapjm/BHH25D+8pfhCWoCAHrZ008o xRvWDQylZ8a9YY+gklhihctXcWAbmU0DruwqCvQduFW9pjD+2w5DGiQFV7jRg8ZUEHgSH+JqQs8s suD5GkRW7+/GhytNR/OSbM8yUvh+8V6JWv9eufPTWUtgvQ1NA/dx7IGRd7Cz4zoGxURtevNgL6iq dZyLaPpwCc7JXYO/xWok5qW+dlLftK0HJszHRx2qwjGSphBZJMrgA4NjGOsH3054SpyTLh0K1pek hVu66r6h8j8MD21XU+k9KJ+3KhhR/GeQnl6p7AB5i+CX6tSYFoCwWGCT75U8R5FK3ZXM/vQWsPWD 3zKqcNZp5fUjVrPR5ZQR+rWdOWZcdDfJZubZ60Pb2Ncz+28mlqj7KmAK2IsBVMpXqXGErZICIJLb 1t3MZu4eBW8nP9XWd65e5TWKlq1xOQ6xlzGNguxuTUt+kru4DZrq4gynW4ikUb1BhxNR/mSOLGss Gi6NmgIab9H9mfj6B0DzWTXOL58VCQqAH05JLmEIJ8eygSdBCsm4mfCTWgK3W36LKUBydZKKsc0t 1CQRLFEDW6dKucJi+jC2eDf540H1RaoA3gnb4rRwpA8Ity0uJNpH8ip2O0hnsPIwYQwTaX1bXTPN 0TnfpGUgrXg1d3m00u+XuZTkB9xWsJ+QdoHSeszpuWzXdRKHEY97T8PHVSCjACO04ij+vYjrZEe0 PKLarBsUDSaa5C4GnqlHhTw8d+t0ZRIRHdBwcBMDZTLXTpzjF9dP6KigTrgw/+nMalGUkiH224su OZq30sTeuMnmETt5ZattyrUAW8J3bJueu8Ct8yTfkk5HBV52mnUfWsEnoU/j+8ecMrFBUQEhkTKn acgVmsJhNxi4Ld3B7cPmfqWT/weylV0yAtRz1Ig0Vr1pdqhbKzbG3gouy5IB6OIxGPqdx3FzwUNI oFsmGJ6iKISflPPxMCDNEJeCWZdH/rKt9Hh6kEpnQ/RJ7BPtnj4OP/mPPK12ZZyztgMmyQbfTl1l FocLE6oHXW08fvhvwhpLY1i0+u+6TaAceY0mYZMj75QgNe9NvJdAhAY/pjWD1YYy3vUPrenbshZQ dBwQbtVJ0XqNt5x7WsB7R49d/VOUkw9zMtfp4nOL6yJVczbB9TFBROggo8ZFlhJJoi+SMCTfiJMZ U0lWhizaqhQ3oxsQ4AVoQjag5UZpOUbLuXgVBzJiGyQga6ZNvUATp8wVgKMjSlielLsHjwpJNXc/ Ukq5r6uZwgyxtWKKaM4zD1hgbTEgOqHUhMwY/QSrpFVNnBet+aCOXG309uor/GIVMK2qwUE61hJd 5HtG3umZKqRV+DlBBHfRZ+ST8TPrFh/BE+M81Yrynsn+ejr4vozo+BOJjEWLaUpH32/YIPSC3AXc ec0ms2DX3FH5EYXcuJUUWNxzLlWH3IU4wfQ3jL2YEABMmDsY32/BLjhNPOMSRs/X4HI2DoceMLa7 6qGBZsQTOlx5m9P9lR02TGfujcuTXLIaUTuNYSfl9LKFnCNvDvIQaDgAV9HU8zZGwrp/EQAg8fSx suCZMUyxzC2bTonnhy2i1GURaDBapJPqVi3KeYFJQUQH5hkrr5lDouc3QbQb9PTHr5Ld6TcFMeh9 fplDJhfoV4wAExKj7fvDAl6+icKi83W3IktzrfZZd6R43jW7Jvdj2GlHm3RslOkGa//d1kpHNz2R 33K8KB9VY8X73Rn+goZxCqv6pLi5qCj88EMd6KK2K+hD4XhkZPcdAO1auHk6H3ACLw2I7fmwxHwz 3iYtHuHNVXmCf6wjCIiBlwCalXhWMQ58WnZQd9SnZAS5/k8mdtfwG1eBZyIdnKa8T3YJJGHcDyLt NWImu+RoWmr9DXql4LEnSL0B3nFyvGg7UyB4I8HmF1AeBAInjRwj6cLIW/hnk+4+y5FbT5C214fN w/UBSCETpO0GxrTXX6IROAOarZCEL7E1dPRRGAr0yKR5bRlXwwrrGVKlEUmllw02jIAVBwMG9wvP p1BlaNck59rxyeWsMNmXGf8SCzYybACEBPMJI3zmWBToSbdCCZz5KxbugRP14dfiUZnJ1AGUDmF8 kzwNdnXK07XzTD2nXWCozcu2d552eCkCuZj5qvIanMkNK/nnOvdOYQ+eKuNkUP/dHuxpFZqLg6h0 35aU8cQYZRAsvZfdKSJN3T1Zpz2jqgXiatBpUbJBWVBa/H9vrb+d89e2xI2Y2u/57IDYvNnEDGHJ yk+9SYUXui/A+Tk9CgqdggqvD33A9hilNpECaKU4b6pAd/aKWx0nK7VO19QZjGQmA7e6M8u0Cucd FqLCKpP7pe8cozLxkj5/5JNv97IEMVzEqURS4HKBi+nh1svIEPo8KspHFzquxlTDxmF7zFo17625 CHV8IamYPAVGy1BP/TYYVaz1XAcraiPQinevyBlkTUbKP0RHfV53dxpNxRcp9vLiezXwuO0HV2k8 qKoy7M9/g/lrae3/pulEVNn0ojvwDuiId0HJhVzkI4sm+IQC1CQ3tQoVal0rQsuaD0TEBHfen24x 28VWgR0OVll3jWUgcrr4XfTu8AWrDRXaK/Qoe/RbdDUFWcib20NER7aLIJa8SKXLC/hkxq3RsQoG gD9kZYealEL24hK8q7/4VeBT0J/3PtkKnhgn2Yy4opy6Fir0dfyu1f9wuDEfLdluwg/OGGBbEXTA WOYx7H2JjEIMrWFlkejqOyT6X9V+kJz/hmssfl+kw9KIA5+BBkAtnhBMjafMvCrGTeeSe3i2lAMM hLBNq+yXChr/PcGeND79DIPX+b9Bh8d+Qgx9MRZDn0E6n5zGfVBRtLmBkDewqU4SBGkuHoUKRmzF PeRHIMt+b6NGD862ibYF7eizNSmKrH9aRtHkoN7+AG1QyR4tinJv87fhzgqOyGeN0VtQXklYMhKZ hEVTbKpBa/trDyRXALpq5OW5IUMb9BEFguX0PZ2I5k+5ltM39y31yDXuYBYDuTpxr3e6yYhpOFri +8ltx/zldup9uS1RuqtwHeO3UZt1T/DbnXW+88wXfNPn9CCzSf2SvAAKfcq5OHeFxeXpMlwY8yyf nW12RAO9kiXEdyekGceE8o7HLF28dbUk1M5MMO/NbpPBuaDyuS3D8na6bKCfOLe1JN4lY5zFHICC UCrqQwYLthYjD+ighmU9/m6z4SiuDS3Klswivo9haOIQa/XLVuaUSW+Jap3Fiii4YyR86lbWwkR+ 6ILGrotV5cwdvqKv+0nQKGrhg+gW6biKQ8cDwg0qPxO/fn2B870pbiCFGN971fcQXV3R/p74jbW1 /M/mfcQD1OHJ4AC4AIS498cUEWpvFGxoH4xMEFZdy8pnCZTqD7tKYckTT8c4KbxiU3iHpd22588l zWejhqI67eDZV60lqoTXFlLet7xxtLrC4uHxUJP6asHrspaIc3aMSGuv4Ql098CzxDSLO4nhifpw B80hQnSYyiq5emOLFT6zVpSALrNlj3i+YZ6ZbeD7RgV6QGE1OB4n+PoypkSDesIeMIowLyo2zpik A31ycBOAKgJGHRPR46s7HOJusAUSwJZ2r4IViB5BsAroqQrEpvd0SiPbeV7ABtiilK/KgPZ5VCfC JD1K8KfsfZ45uyBxbWOASGbZP09QUUrbhzeBElSsud0ihTjQp6xhCmcFdJTQvBCq9apgejP38wPu 5vAfzjrqoRDsyF/HOJgoaThTZIpHTvqaksfrj1J4dZLY99UphUkhzld7AxAoxhEvcU7hP/8nOeP3 jNHYXahRBnauDCW0SK5s1ZVP4vRj6Gg/PF54pBp87s04QZ4iIucOVuWMQPe+yaBjXQckUkHMSrV2 i4czDtIDizmgACpBGmOM71RIhtixLU70CaWrQiNWKBfdoX5UiFRa+7hz4bdLClUZ7NJvVHKGJWZB Sq5m30zcC28kCfc7R42SKr8il4owzNPzFVmrHLYL2TU2hsPNVcBsT5GRh+RkZTpySdlUM/sBVPMf ZQLs+WPRRTvAG9U0aVZGs2vt5KmuGNzeXcx15oPvmEx0o7MqFHHpi6xIH4YrAj/MAv9ph3gNpmdL vClglBS1tpkk6VVZEyhSvS6YClHHB4caAbIKZ5zTr2wnLBHEFeGa99KyNcEjRnigBEHQdoM5aheC O+ZyPb7Aeo8w/rtVbCufqBnz8D4xs8DguqUUgnRU2bn/t2QPlNhuPqBp18Yoc/D0rGlCPS+BUNI5 JDddb+2ladfd/qg7vahktbdm/GKCTsqO9qlNxXpI22uuSjjGC8jCmIPXaWT07w4c1djKr5dVL+9K d0E/jL5PsEPyvcf40CSCpGem8XwuJq4fDV14SJOkGmOOpdyIYH+1yBi4hckhFDmjZcN3FPU3/Per qb4OohrcPHV2FM+qSp75G+pd7HZ3UHLq7YfSqN9XDeS95PoL2VMHUVOvkpr+leXDX+dratmy4/7v wG2w1ELL5uj/Ib4N0N5ofD1glFeOCXBbPohDlawQPYeyuy4rRDmv4Qf7DDhjrhWnW0Ox9xUb3C3D /gdPEm7EgyDHKhbOx0symokWcSdEmONZvt73hzqxlKVl+gvobi04GUtv2/FZh6hAZ0dwXXQwqzVN raDCc0tXfA4UDuzftKx5Yu5mDihfg0dwJuFvaoNE8wSg6BwbeFdlYXm6iGnK8gReJG29A4twltoO KN7RvSu3iZv319y7fWBWbWRgFPMxqaFjGIrHu/KrWCn4IeHBHtHXLwH51tPaySQAd0dgqqscVn9x ngDdA4GePmKhgN0ErUa0g9hwEhHazIb7pFO5jiwn/lRf7saYPbJNp9ZNS06qK/RDcbwuTgsooHVc bEN3DW5Yy2bihBlOWpR8Tjh1Gtw4kwwv5G9jCdE1zzLaJrJP/GXA1NSCx2q7iV27c2iU6VFhWfvg Knw08KklR3mg6OQxy1H5iABqEGBIKKIhQDJZVyhOE0DtqAfKtDT5erJMLayF/S8KrQRMbedlnnpe JhZRAdHrpQdZK00WshiC8tYq49B97CCDAS0Q2tpePwiJ6FgBMxaYzAWg1gKYVVfaCQ37X2pwJHTT alsWanVvMOC42zcXxGhp5p1ia7UzytQdArQlG8BZ05HXE8N7k1y+d+nvyCknTSNvz7+DmoGSGBRO EVXJ9ri1KKLmvlKNESTSnYR54CqegfLEPnpRJ4Hy8xBljj5Jat5or7T5BEf7RC46Om3Tqp4sdOFy UsGJAtGpwgcVJumscHIvKu2OQUmo3N+q920K30vaf15jA8PnodvCykyBaFOZm3EG9U2kZsI5FPx9 Xz6sdDgqNaaYJsMcHO/5LHTjTksYgTyYZAsEPIxs5GQ0166kmTzQTgUSmLYhrcKTsNC2XJP0t+Jl a1lbnfHcxtgKOCeMMX9rmM4+RMpno9AX2QPxmG8uZbuCx2bB4lOSEIUcygAJqsS4kPhXdT3+/dZI wxvmjvUbdsgT/Aa5WdTkM7g7+ZNbHkYafJBYwxmjCrpinx6uZZ7RS8aukcHika0+PKFwYi4b2UJv PPd+4zP3+60SS1nnZhls71cNm+/JWe8ExpqcVmCyIw5Jc+d7yszlh4wYsrKeKPCxXFWRqwMuKdst DuggOIDwRvmppBLOtjm6xs6kEglYMbHi7DybGfnY6kvVveVf0dl+dRfaYen12d/uZLVcub3a1Ykp YrLOPVDEt9H1dCPaEiIG+6ZKI63sABTEF98ma3ZqJ3R8S6AkpJOHGqOwSShqqSPOh6jSOPRZCpDe IGHdUvLYXjJoOIP8d5ETZfgRjnxz1dmxYXHq19+qv1JBV9KQwcjsOMZyR5KGv2/C4Ysusr42FFsb JQPA7ZnHn56vnjHln0usCTwF0zsce1MzERu6jmU3MJ+bIC00npWkYQjMxnbutvye2bq7925X4mOq TfPQ/ncEeDcG9KZ48WV5DbEUCnUx/VOS6tG09iPYXckz1ToSNwspeMce+FKV1742cA6dQ/ILhJFL 1IJ8J1S9CeKEPJzh2/kKSlk/z+AZ9nYhkn/WV0/jLI82AvxtEiKJoD8zAeVVc8K55HTjiyv2Gi+F /7ePIy/ORdTq5KSrcNHEondF/4dtGfBWZ0A9HKUyTNNK4H2lhgFJyJNk29Syb2c9NZOHCvRvJPHh lEcGYH3i2fJRNf/e5ierhVzufldDBD2DTLFotzyDs1avhUHVOewHGr8/iLvW5OR5S4DpLbj1Upc1 dF9cEiEgd/mPg6A5MRUJNVspmojzvgG5rJzhyCUjC55cHiQDZ443rxSY9TfuntH6lkkpq3It4Dpa Zu45QPv7XtV59Kl5N3EXXo+rLOLSrOnx3RBDSylxqic6BNPLeYSrL+cKOrYYsp+TguQjTuiwSEwt 9KwVqGKYUEGcn56NEOH95iPjsi+cQmQh7w/lRc538cX5HW7LeFor074r2vj53jCz/CKLYAqPQRam zbhJc+bIKIf8nq88W770T1n4Qfb5J0m2YaYySQbtT8vPv7/LEaFjc1aDpaMar9+vaH7D3rUbHeGV EqDil/vxue3w7rESwZCE3LPhmUIo7VlymNkP8XNFl9PTtZ8j++3pcf38ZfPopwCfjmPcagszSmbJ nu5wY0AzHRR9ihAeEqEO4cxr6knbT5lX5RSqT/Hbi3DSyBBJBzq5w0AALWKJkFxmtybpu0JZvzgT bHfxTvBSS17ajeIjpZt/KC87VTInwAkbMNw0agoDwD1DxJK40oFA0Clhr5s7KtIbZltd2tw/wQ/g o6dZfcqRHStuNjfHpQ2jpEWIE1xYINKvlz3o3S7yyvnJL31JXVXZ4dnntbB9xiE9vMt5W9DIwHhq Yd8u5GuJpcUV1vCzQhW/eXm1yC5GzUCslKS023mfoBV4z7j6rPgDiJOFS8WHD+plcFIjZH0C5ouG bTExf7P9kbTPGFEq6qh9Z7f1RgmmGqGlu2r72OVVVmFqqK4n/SKKjbaH6w1DQ+8IRyMFjlnNaUUZ oQvKrSuaIaZicFGe4/gosF/Z4iWGseEsmoHiOv8LZxatupsfSLhcGO361+cPaoY60/L2kgwGWwn5 C53dmoSoH1RfULOPecyNrwEvjwpoLSwSsUIOMy9rCU6m1uCFDRFF6BC4aaHthh0TIDfxgVa/Eo6w nLchpdcH4Azsmwy9ESAEB3f9yZqUxfDK2zd9wqpef16Y5lrhk5I2ZhjTwMNXPP/CL8KpDTMaYXH0 PLLbpGzks6pJ+4rwKKPmL8Sj1Al/eJs+8d7i+RuZaQwGk9S5GXVQ+J6voeDy49Lg7sTtX5FgIybo GUt4fdx5V/UxCy29RBXl/2sA7an1PyHSYSTuIkfK+9nwnfe8s8ArkyeexOxp2GjkeFZu/t4QI2a0 uKAPNTYhqDDf4W5/AJUB4LC+xVSf//jpyBT5xO6lnPMnUjzUmENC/FaFQbLcKIFVnsOCAs1ebBOw ntikeyQndy9Q0aNeSHUEBzh6EJOdRA99XqSC0N+Sm1cIDo/tg4M0C1qzggsQlReu5Irvg+KSPtPM qtj00tfCJgdEM9dkv8OHTsgHj7CNoUkiQjoiVPFU3E1T33Dwd7VBHTxvq/8VDcnPb7i1upa43C30 nes53WPGs2tl51S3m5H2xRDERyHjTcHedR7hg87Q+OTSZph66dcdet+P6HKNbH11hONZa1ZzVClT ai0u9PgLG0YVguqGTceyP5c/JnSrjyPy1iS4wMjpT0MP8xJmogsvAILHm/Ogt6DQb6js1ueJB4Dx ztcgqO4wwNUBTExM4hJNaVLcK2J7rxew6cMEbU4ZZfYlEuAcVl21Z47VI09zuL6/OEBYF/Vn623A pKj7/7OR6C2SUvn9/rz2V7TfiiAxIzxGMjNjzo8iBhpC5uScb34tlvdtgdKfpTkaP0cavmMB62aN nxB5YkY4cTR9aIQBPNhnElQxBZHAThu94uQCwMwoNT09hjyD+wjiiXmecqsMgic7yZUqpHnZIjmO VaGKp+7bm2lLXEfrOW9tmyX2su0bxs/6JEEIdNaJl0pKkHzWRVl3jy9V69uXcmzXB/I6UuEVIg+5 ZJ0bu2LxfZW0sGrJUcx+Y+8VDXMumhGYUNHyq+hMQzVFIaaYmZGEr3fhSCAJU+nRU2nJsD3S3P4k 2xnzVHZ/Urac2c4XoH2MzhiusPrIDVkT23NtIJ6QPaNFS33qsAgXoLLvn1sLUySmU3O+FfkBkk9q GgMlF2xVTrqc9OaCwVN0KwFZEUUDYDcA3V1YQLR2Vly1UD6tGfRYdmcyilf0NgGkWo7sF7eANsZO 8Ow4H1SLKxbqA8KpEIZrqngzQ0sYpokFvyzXH3NQLYEzIuTYWN5tSqC4bec4UrVU1C4FdFl/JMx1 iFRAmKCHheOXA2eYdShG3KiuinmiP5smzLCjVE78l65fynDYaCueg3zwEyl3mGh6JzYQhGqnb8kb pUQNh1b+AVVDwPvTINuOOOGURA3kcRabd1o5MUxtNEfPAmWm2WulNeyZ2jKFdLqYnkkKhc7g6lCw MqcxP5Q8AKa9/cMDYPGj1o+0GvauEvLUw8DGQUrwqRxMBbRj3fln/lD3fsKfvcAjyHXSuoXRg0P/ zf6sTzYXVHO1vuEoYWSkYWW485EUVAvmn8hBB4eFVSkMxJLF6+5WEtOhzuADYTQ7KP67pBwQSo55 /dWQMWjZ8woAMxFOIKnDZkoLuL2aK5WE57cdYL7KsNEDMdrUniCYYl9xSNP80KmikiEyBh2rj+ce /7CF7cpRGr5wk2+7cRpIsD8UdTUqaQgyequfynt/SuxL11iOKim3yaFAG12IXz1Iyp0SwNwYxFVM xBdU5oNpqMabaJM0AVzxfOP7MwV6GpxgEYh8EdRQVIJ54Sad1XBCgMmyAchHQAsr1CLpcovjJBT3 cfpUo2AyMx5A39T7l5FLU0+vhlR3Wb9n/e9WkD5RF14yY2QNr5jp1tuW0lwQS2Bmw7J7pCQCxNaZ OyMYAtKjlWl7L6n3V5IPIF5JPhMiHauJKeJiHF/hh5fq2WYHww4HqI42eNpjWCrkFWmbFq5VFEk7 +Agt5s22eeOxW/QS0r0aIdNmDF4WUQh32W6ZvPvjHOnDYmgfcslgiAwlp1klHlo3f0WM9nS13/6+ 5eESinzKAdbXs5vlINQmMdS4q56kKhoAaHNN7NcvcpFgnuS88t5uqRms5VbAKvylYKtPChbbo3Cb buZzn/AHSdk03UXnEWYxUPunG1QXOiX9JOTbBzn+fEFyIQ9sd8PJJaJHNRZdAMXZ3CgNPrlcMocJ 3WLh4UTBLoneHt3TzhtVOasOAwFDfcOgKph3h6CpjU4blr4WVFucmKlRMk2ClIzqcQFj0kE/k3sj /fSjRgOf5uCX99iEAM4bt5Z/ho3l0ARis7DWQ3qKyL7NvSgvEUqQ8z3mrOMhOAslrfhVqktRHYjx RfTj88JAU8cUlq5iSq6Q4vgT/J9Dy3wmyksqOsl06DR8F/jNRYTbUIk5FqfeSUxL5GCeGOs4OnTo VApzc+m6S6h13sMYL17k3v8dUbejVM2Cvbh9rlARFap/xpO/ZWDbkRiqua5nm6arSUEkOb87qYry cKnb5Hk31lsopvCCYNEIoU3tlKl7o1Wr1CHFR1k8v+AQMrtwS5PfApnbrmurPJspUIoMcRMF9+J5 b7qHbo/47IY4nIzWRoAOilJZLGT+H7kWZ60ingyF94Rx7FLc+oZxKo6N9390psVnFmMvFLYSZblF 08e7AtRK7hPqRta1PoTQsBLCe/0saet9qyLa+06Lx8Se/wFUKAEKr7jenFlt7pqN2FZ6Ms+Td2EL m30yw8OBXBcO1bcUOqNP0ddqPtVmGCdZn7QWFguzqPPFWseliBPcKX/zAbJw40FjigoVTmJg2CNo xS0ASmVO3tKWtb/Gd2JYEaXWVfUSguT3/26Qm2PnMNAlg/liSA4cVpud8FJsZzyYzwaJfh92pz13 aXksmolQ1J0IRFSMdUsMmDWIG4oiadsaUqBEc6/7aZfQxosXldn4BU7Rjq/B8lt3k2BNbuIT8DMj tuPROcLht6pTi+oYOiM9EucEV6NAtyfXyRYfMRT4QL2pfWndUT/Q4IYC+C7x2VUyFYzeJs57pOcM 8JwJ8xAAxtd3HU6qms07Lpve7r46/E43MrrDsyaNjukrmTHfBKKBIYRaqxAf3y+TIh/LPdKzL8lW mOirFTSxaEGiWrs4JzMU4nPdWiFitlTvi6JGHEf0tI0um6tLHFbX8fUoNkLNUrYeHgfdrb4optAX 0PXbsbY//bJVVXE8NLckmH9po500BCIvxEmLRpxcPdq1V3Uo4LgcL1nrOTXMxTgyBWVg7netIXQo YEeO/SOi/ZRLvjuzvdN6TywuzJ9wjg7NupjhgqRRhQSAQX3lmFRTEMBB2QTuqKEgzCoaC3V2Th8i HDC0KLN7+dlCTNUvHk04eDGMOVKoczrwOYKROvJqOoU5JWbymeV+Go9dt6EOcvSElWq8PRx4IsRs sr2blUlFrn0idsTw/a0lcoapQT1Q+f3k+6pDpJJW4pi0X66p2D21anYh+QWt+L0VuY6/nR+ne5Rv vtrbTu2vrS9HhAbKw4uUr2z+60BKS/L6vMNJnE4ME9jrkHlz0axy9WVuDLq/YQPYhyoTGuOjKdKv KHWyp9TTHoH2wQWiOonN3q7Qxxm1w1rHSnFpBWSaBY1FzW9DLBilkmO0/DU8pOfgG2o+Ujg5vJKl on7Eq/wS15pj4U8l/uFjdTXCS9YFtDvT4i4BddRJVjchGFqDRuQnNAKEhb/zM/stDvftq9C9LNGU Ezr57snvxJwdf0aegD0G7KyNjronT5gFozYu/IXf5dOzQiF62ewEr8iceIHaHLuNrpxBOERrDl/E gkjzmuHrHiJNOXT8ejw146VB3UWbXeZQymxZ64IJ9fq2ncQb3eKWeltbg8CFnq0P/+J6IWeu5jLW EX3eNTLZasCg5xfIaHgU+BT0csjtEbWHBFmrBhBgH4fdo222Wbdb9oqdghLeks1mSzU3fDK9mMUy LkE5k+UuatCWeYq2UL+Oir/TDu8MgeSRjSRITHdai6G6BHA+sdD27oqKFiPwsGACIKlsVBHdY7Vc phnZlAW+NUYDM6HKBtno+8gNh3pRxT3XYmSqb9b21D8PxzyVJLRwrIoElJyMrU65XNl4nMCRF9gj iH29WZwJXq93oHmUN8PMwvU5pKyDIRirkU8wo7MdST3eQtjOl0O832lmNBJKXaDEAPN6gO+BHueK McYjQk0vWlFqGjF+1QPgnH+Ri5ZkgSHVxbDgqQmmgxyiAo7zpQczGbRFTNSJXoXRrE5qqQBDnCZS 9SYXybzLLTPRGQOMAB9H1hPJ8igCjbIa7+eQo2ce/KpPyidHILx83+cLyj3OITdInXdg052K+q9Q kcwJ9hnLX+pOFAnnhyZdREoSMoN0EGUc1Ewu6TnMDDa6inR/RexbXg4Qn5lAsyRWLAh8NIA9vpW4 x6t50BoQT1JpKTYo01zhyow3EUcFF1lHjJiTe4n1GH4GeSec0XoSJZRcoiSqQfG9c2GqIyx09H4M uj2cIfDDf1OYHcC6D7Gvd0d3tXrcdNXJisxTPEC1V070mvjFdJnajmoco0q/yx6ALyo7NSC5eTrF cNoo1pb9CDjpd9uLj5MiaZMa8xqiFRTzZpPfjBQZpXZ92xugBBHd9Bv5D3ZlFQt5nh81NzdMa1iu IyVCQ2Um/e+f2zDQvnUq1I+n9VPDfrlOqkkgMsI5nle7UoREaAWJheCOkYKiUYP8D+EbJ28QvcCq yhTyzL2gHhpbDQQRs+2f3QhEEk7ANoSfo/mdLvtyPc5UaPSR4Z3DSxCSb0QwgJLYp19zOW5O/FSe W/ve8ZufXvksueLFwzVqm/jrf/Ly7vfbELmeB11w4TyHub3Iu6sYW9+vZw4l7UPCtZIGwC9DcO5E v/V/66y4P9365q88SCGXThDWnrrildWwP+44THh/i9KTA7kX8KqnRgsHHCjvcgNnmEA1EQCCp3qe hHRFE6WJxnlJQIYuYrZ7Q0ZGfQ5kDB/UXUS9MCjIh7gkOEwB/2bJi2VAt2XG9Ug/Y2PQX2EXUbFO FSTEwrUXJ//0jd1vu6QUApusiFt/NRvsYX+iraduNA6tWzUdK1Khw+MxtlTC9+33r6lEAzC89caD xkoI2mGmhwpEILIKcc52Dd0YIl7C11bGZyT+CYZvgJJKpz/M+S1+npugBvNMiv3PGUJMt4yi6/Go +Ye+tBkD6HyO/el0I/8L9605OlzAESQrrPkGnlDWcCNbtUIiSmxB2zaKNslz/311KesZqI7xdeUt tOsK1C5FCxdi4GzlioCsjGyBCxD3gP41IYVdk7sGw/bidtd3GIMV72dYg+gRkJTL8vnJpjECpkMZ UoCFFUJCuHTmJCPzVTAkJA2JLhPcbyl+a9BRzdBCP5XhiswrOTJcJgGJG68wYuLQ0Du5hX314S1U njkOR8uyYEH17EsdL8sgv0L+HUTmyO4iaBbBIFIfHW74CR6C0oUZYLjXCwguE1/Sgm5YaY8O0mm2 5csNBabkqeGGFabLhWHQpgr/KBFUApRmpJmef8eLssRhCXV+q2zQYThw4yXSMisYnwTbiDhUUZlK luNrQb9mgxcp4o8WWPdys1dNhmm8nuF1A8VQIIVxIzupvsD6zszQDuQ222xUvCru4Wo8Bq6JqIgd zS/DHfWZivr0uj9I1O/kg2veHV7nxIz7r3WMurLU1G+rxbb3Fta7Dh+PUh0WxCV4uuttHi5RkTpv fBwO0ZKqLWanXalqC5xv+MfTuaCF75EjuqI+GZcufuc0uZWQNCEIyIj8+es/tIJqZsnk0u/EoCCS jTC4YMW6bsKUQZU+rrgf7xrw8+l87nX3BUbYYEFBFcJErk8Zar3t3RzPFEw4H/PDz2O3kPe+Nzlo XDuodV3/rYZV06XBFydbshPJmjBz93XQtL98OKWz9yJ8EdUeMF+M6OBzJuDKXgbXmQZoRqnh8SPl XB3Vf5uJX6Q20E+/fSOAfjvehYgAcDhrttNa+KCB1G6LeIuU8OoCAZmx3xYAVJTZSpD4F/aEEqWs Y/Q9G/kcfho1yD6cINlmJ7WDHUNwKHmljM6Sh8TVXczx4ncNhF6yTXcCrFYGYytaxpv6Kf9ftkjb u5LhppA3xj8P47wnQw6MeZAwCywAw+uzCtr226fKQBsUCru2EgpADQkTIVgWfizdEEmAFPSvAg2n Lb6yagUoZHe2qGdEJdrN3Vi+R6TxogogXVaMBgaQKGa31lkS+tYTSn9wxgX9kOW52XuMgT18ZIEt fIg6dxQbr2Td5RKlbS71Ix+8KCjRTWT7MlVqr96nsJb3k9FO+mXWVHWtJthsyW6a9oIP3hC+ERxp 1Zrujga9UiBZpECTOO3rFejUwXJjdY9DEkYq3WVKqIy0S1jxSIsKcRFUtI732SOb3qUQ4i4sI24P sAHl93D7J9ByHi/VGdWYkQUjbmpBIIh44g/rZr/CUdMx8rBusnlWSanG/wxgLFnMlIT6k2cZ6kGR u0Rcq3b9+w02eVQfBywsS2gGkPqCi/SWrmqsz0jz7+vuGW8oY16fmvJahgV2L8GlTDBhiyegswns /JHjrMLqXwmv/d1csVBFTmq4yFZW6FXhnQG+5ruz17WTtf+jM+Xq0KOUxNtFp8dtE3urMbQKzeUV yhPSxJed9CQjjTCJSht2/lTbEr+/bwZ38xHP1u7aypEIfZP/zG6nPj1vbazUobUUENP8KNnkv4XY 1Ycjvi+5JC59qS/khL3o0H+F9jDLQ16wfWTOMtQbu+33vA7awylHOKzhqAKFnLblYbIAXtLxudxZ t/lcdXJUCzPUYsFs3yeMUyXGpj6fbPO0dfcEwMengn1bLXRaOQ6HdJ7POBMG5rcM5DvFo+B+N5S4 q2OQIJzw5jA0+vyBbaI5480/HsYXZLeCWtRO5oJp3EgxWKd+OAp7zsFs3+o4baNWjwb3Sr021RMX HD+KRR+hcaXQtkbwXLBBbkB5iho14mfVipWCVwjBrgpDw4gJd4zipIe8DLeeXl0K0x9uZIqmucrf DN/FJzsPkccG48soI97trf6bffOffrdOOZh6yDyxTCSJYjjcC/vcW8ZSH6WIsDYbMWvdrRWr1YLB tDma/ZbtBnqui6kXvpMpB7XPb8QM5llCguvd0SbVa4/us2S5lSCtkUIKsQqnG1KgAr3ib8mWr7Q5 h07awfjMGyXmu589JkRqNfwaSEQ0oMO0+JAbAikFC8DrkJ9+VN4ce/rtlmlboMVrPAUO9mkI7Zbb Hpc3L5E4AAhAQ5eC1hc7YJq1Vmb9DMtfOcnKk1/LlD7LDjDgZROHBBd8NByNMd/NiJ/WXS5CeH2m N9/88acRIcSFN2xHMBK4cBDqA4E9Se40mHdtQZU2xPUnL9FFRHcgfQObXTWi4Gpy306jtQNwonhi SjpgxVdCjRQwZAh3JgfLyVgGlmS+H/Zo4h63q0ODqQ/bAFDFeS28O8t2n2Wc7NhtoTEnrTAesP1K ieNLg1TxkfXb9ndXzGsc1KJgn+ZAU9Tp74V0NMH3e+MMqf0Q0YYC9y0dw0NINQN+ZGP23sNyEgb4 ItWEERgRWR8db5Y7xWNfGzYbm9JJWZjzZUXEquHrK1/KHCnbm5Cbc55/iPq/k+McEcML8SehDqPs p76GlwaZ3SRAEE3ax1icW1SX+DXvRtKU49gsCxnzVQWoGJjQW/2E92e7dbAK6CRr68huLge/KUgL eP5FNey+EwSy/yxTdgrQEsC4vwG0N0HUqPmpBDIZErabgENni8eG9vgOB/vXFd947ZReEUa5gIlB frH94/mhwslbb8Hcd3n2jl6COpuLkbxZySViGOF6xhdLyJnIiO/GKiiiOAg9Xjn89M0PkbLqG4VX fu8LzyiY/ZsX6vdz3oiIrLiYm/ujxkBznurrJd+KHBvDLIDRcbk/T/kXf60nIU0032ntvaYwIuyF yhQ6NtczATwYAXmadYoziNWXgA4fLvM1Q0uUpCLmruQFvxIYKNkl7IaolZIpyMkOqrclPmDcJRxw 6k8lRrUFi911+6kPB00CQFn63oH5a0x2XtXI7VKz3Y6qKMM5wJJG2kYThCRCMzZRWCsy+urSeJfc +58S+z+rbU1BRlvk4SgPriPmfN8MTr5wjT4aukOw3p3sKtMiitcIEQ4JI2zY1XxsTgF/EWC0QkGY buAlBzPTFl9gVXCVYiqc81w+w4HtaXaqemx7KPadRASR7UvBehhvigC41Fs2XJVHN9bCWZx3pqp0 TeB/kjuBsQqaEhkREahRCJJFSCCawzPHC4msq5Ar3LdtWVIJ+kQWYlQrHRbLMeaOPMVJzsn8pXi9 T76s9/qnxslUhNU9o/zQ9WuxxDymMsRUfAF6SzALrNxdfN1VRZ8Oo2TlbXAGUjoHroqq1QLIrnvi PdfkuD3sNOZcdwj6RpK6Krx/kOGu7sqLv7Y5mPiuNDl0lcfNOPKEkusGaL/Ol18+ocgWe8b3b/+N 5fVxElZIcOHa3uZNUJMsYQjC19tVHwUmuq+i/p7B63tTnAE2RMlstNDSENHDV2SlxRai1CcR1PXV P2nVsdJl5eTDvo/B+W8k8kBmNxBxbqbEj5+8O6i2SUdY2TkcDbF3MrjbE2eFNMBSwQE3MTlf9vEO +9f0vsKt6SqOtfx+E3KVcaGdBxnxyftCy0ySAnl53qBKT6oEDqqfVZBK0v7l4npnXvkVEN3D4xRZ 9fu/dIQTe0F0rRCC1LIEh7TVJ03zrbR/L1fy7rre6124te2XWEAO4yDXqdtzL1NzB3uFehuIAI+n aDgVFqalf/MKQDwDDPlstwD3BL1o9WwozmB/4vxg9TByqrkVATWlNmTTG45jbhV/3Hgn/XOBZ0qB dYPUfTm39mMYFTcIThgMqz6sm5QOJ/G8CO6UQVnDlfeYtOKeg7FRlPSw0klC+yzooEndophryMH9 e1rxV1LGnPVFsCp32qd6SWCBenLu1aXerNDSkiG36oJKlud1QgNjZQj1zGiUaRJJ9yPM1HABWV0n CrzJcdwfjQaeKEi4JjuLor/ZuyvbowYqqEK8ZR1cU4xGyt+vjfRapI+w0sqPkRkJT4h6jmXyO1L0 TmFhPavCxgAl02MW2BU7M4EYrB9sVE6MmNKOazd0voykkhM7j6PxnScW3HisoigsJ/IVLPYF3F8o qPTIYNbyhGRsuB0du0AlYFzeU9UR8Nq9ph8l1nDl3tTPzZqggzlc5SxVJiaq4hf/qPT+T+RZcwSD wzy3ynao4L7HiNGLOdmsbOVSZjPVGu/Td96IdjoKNzjo3tqlRzEWAPX7h/jiHAXeX+2EmG54qBbK FE6aEkIpEcqGNAbBMo8lARRCkDmjqnIG9wwQPpcz90LRBBsma1Sxy+apfdJzihNKDzdGu7BSz8XJ xyn6AtWh8678xKo7E3ne6wPd31TTgPF/A6ZstnWgB2Fc6WJ2gJFgHDsaNYIf6wOUhq7A+rPf1+5Q 6Lc8o9eAEY/4GZYL1V1GAuw2DgF9N/B6yPDlhmcQowdZ1QgouBeG90jSuPZ8fArTQG/fqAwKVtzg q+H5UjHyXgY3exDPrVddC+UxwpqADXPyY4MD+eKf7LGWRT1FN/o/o2a1O8wGukXVgduUtajvs2Tb kSTMHeVXQ3b2WtYpyqoKm8OaAxfQvik1IBMz2tuzRPXHAiwMEE8PKTNFLN9zWqwvpN6Z8ByvNTyz J1+les5J5uedOYavna3e1G9HpH1jLgDI5M15E2SeTzGd8exfHSvUsUqaml4/H0OYJ+FCYHWNbcon 0H78GlKMlNVNE+WM48/PL2NonIF+ds82BHMsdpVOsNJEd/azCBR/PwWoauJOjCCTdPyUiLFpzawO bYAje+ifikBDIBuUKG862k/fh/91Ox9c1lC9vG7tMGKTWY3tgIX8Nur+3AMcmEmKsnIfT6xrJ9AE sG+19FFy4BghAkqUIEUC7J+W3N2LW3g1vObP+Z+ChXqqrGJGQj9NwEg7NpFCUo2vloYuQxnszAKi 5yb7CG+8cLLPzwAlKJQpMxolOlz17AVziddW5kIy9/e4PEKLtG4sRcjfiCQ1PoBR+EmshFtutipX 4tyejsEoIcHBJxZ4A5mUcdEUQPLLRiTjvAxW12YkqsbrKjE991x/TElPI4EIQv3tdkqkFZ11WhLa cxg4wdoX/rL4PORWj3C980oETzADoqarnRKvtybosJOmDIkOmmjJccTxGsmV106TJSGjtkn9AsP+ Cg1OIBGoWu9PMq1i6AHQ8xUrWY+iTpJmrAXrwErnb2fibzEw+YJNSYtIyHwg2tY3XU1/Dd6TN3aE 6Reo34mRClX69kwIjd+IiYTSFnqReOyTrJYwkzsp415AEO7iDxpW+9s5NL86Ne45If4vgM9yrcEZ zzuXy6xFi45An4cUV5o+iHkNTWe/h5/yoOJTHEKVhtit6t7FMsBGdf3EnDR8nNxfLOtfPDxCgIF2 Zox91LmGn6C1XFRGUhCb4bMzXb+PjbpQykMlPfVO7OzV0eN06qlrydhsz1CL6uwi4ADtMRDBgLnQ EtHQfMalmEQd672Mb/dfj1dWtHx1UvQHHoB1stqI6CaP4WB9l+zgHa0Mduy/IvFfiEufdJU3xkXk 2sAtG5b7smTMRFRocTPdAuJtwCRdCCU4QqH+tq0USo8Rrq5l3ai1YJpDi1T0bjwr1rDJ0uNxc9bC t/TK40RrT6viq2rZfALd7wu8DaWK8wl/TvBneTTpaVv8t6PzKm2KV5cJ9uT8cTwwTU/1cELEJZ+e jobHu1n3gq1YVqyfkJxDYLCgqZ82JRzOs/+rm91/DXQEs5CutFGLuDWvXBwfjse7C7K19yreBznl dQxWIvltJExnUWszvGsKW33bxgfeWpidbVSyHv4ullB5Y28CmLcKr5i9+3Z0+eXj6dy+6nhkPoYj nY+SPcc3PbucuhQUMRyoPD2WA/+DsVRcIrZj0A6JEo652vWzH5F+YL2omaS6BNY0aVwp6xgrTgSf O0COc5uYstC4QTQt8qTnvZ01SKB9EPf3PVsd8bpnhD9kK4N0Ax0t1OBE4/7g//uBM/0Kvyq9tjna 4DQp4PZgVbpOSb2aXs5ADgsJlc9JHHbrCbX0wjpr9lXkI9R32tWVSd9eTGPXZfT2MSIQ7A7EaknR HxkWygeu6CSdKheR3iDkxa5YLsPRz5uq55UXbmRQI4DHDcvVKvGeojfvK8rg1oZqtLXvfgULqKqs EmoAUtqc/pOR53LEWMzMskWB2aEnLlXDObZzJHwY4+gha7/ghBlTDOZMk0x3It6dzq4t8Ba3d3Lo XU6QCeHBMRSFEwhH+w/SQdNCSmrh4Sq8qmcerPbf5gZVZnaEjistISFfb1/5oDc7KuXQQSpKBtKv AndhecsBNsfJkK1YMt7o0cO3dYYukpS+QvF2mknh2mm+mtgnl3nUNsxBmddF6LECsESuYSj6+3xM akoyzkoiQpTXjVRs5uec0YNGFOhRHMr6hLAY/qPwharXex5lX2U5yW9VWaSZpNY+qRgmLRRE7F0p d4mCpMLSLK7Vy5fYEe0XpkB8RCij8Tn72xBV0FA9c6EdKeTm3j2os8czymA6tD8fzPb5cnR5xxWP LRJkIb+uL45zAet99xbfjiPTvzxgicWQmUWarM6ZKk5YDaM33Rk6sSttsaE8dENP6mNnNAoPeXpH omLR8JyX6TY7KES0QQPC+rt71YnLh+RoZUj0eSsym4fa+dxuOmb16+kRt6Uf88ssrTS8snf+Zjhs PKiIGejAhBsxIrBbdsQ8+ykF8/yT3cf4jCfoK16+2j3RV4FyugnK9NKrOmt7yubUHrfuJ9fQEHxn y67gWyjqcA0nL/RBnTWcmvEp82AVeJ22n7LxxIqXn9bCoxHXAlJP/zNnIDmLWtyVdx6vuqIyONch JYsdUzFkx9x61FTmoXLYu39x3Xv6URUFRat1p2jIXNO/TmF+GShq69iPNT9Ij3Y0VYRoRwv3wDKA ujP0OE1lMsKHmy8gDmfVD+29WgdaibRoKHB2Ga7UdtGLDsbxW0FyYNX9+qRqPQ2LBbTd3Me617wl M00LDzeti0L6P1yWBnw2V1wNMoLDOD49AF2BYcjCD0dfDiPV6LW5oF0ZjfYvLvZML9SrFDG0//UK obv74Q+IY5TZYv5mNjHo4btQgOIlnsNPxKllP/SN6yLTFZdf84VlqIYhhBlZwtHPrXW562ICA9da oiui98ifbFz7/cQdeJ0oKva8dsvPTP1Hc+I/cFHRcVu3gf/LDiIC51d2N6f714PT0RzThmq9QkeW NkaUhG6FDVtKEWJ0zUKAQ0g1ieDJRYOZWiah7pnDTxpvsJCdC/fR5JO2OHYbvcQYCLxSG9tU+f57 H3e7NqsoPQgicVicXieQarAg/JOGrRCVIQamlp9XACLT71cXLoPkBC5oUYLOmvA6tXcJfZc9YS+X dATs4gIRyyol9a5DQcwuStoP1QpGWNOPT8tSt5f3rsh/AozguXshfvDiVJqFpist//xWQj/9pSWd Kkv2uqXpShNXfsDVCdaxhqlLUNDtc8n0fCpnThnsXQZ3yZjIT8XFNJe2SAxuQqjkttGc2AUoAP8I VFh9mEZ91IB3rwdn+kmfly28poOj94D5MsOIy+79J7ZPtXFmxyeAKpxvXBNUKuH2F0f+GHv7CT4A jGLOm5j/sG57QyyoUpMEs0gOLJWafzEPq4PNBNG/fsNuqRduBfPHLKpJHs14YC34iShXiEFfTbEV rELMrQtbvdhtg3pVMKZS7tTlXqGSKXiKRL1UkN068dgJqrVtMABERNaAuqV/crHSNqo/K7R9ShDz CS+ymoF4mnmGZdNIXmxVdNsiFW3SD+mHSas2t0kSzAhYTYNX5XW4VAX4zibuRvk+e/qGw2tO6BZ6 r+/z7TiRnEE0Lr+itPApGYaVBMZWbB7luumjyc50btP0YmHigg/2HaNt1nu/J0iOUNmccqWYaf7w HBOrW6kTgOb/rixVyf0fQftpel6K8EWBABhty/TkTH17ytGyXj+/JA48F3Vm9xJ27TWelIN+kIn3 WY4pWFkthLuMwhoI8nvgQiyG+PeEk77eA6V3AhOK7hTqXY+HWNw06Zc344yR53mEWHAjzZQM3jZ6 VffIIWWDv/WDyTAzY9lQTMWz4A8MJxX04dYlEh8FGW+hhJe+TbwYjz8N4G5CjbnX9u2D7c0ZHqVl VIjUeI8OV8e5f+8prYC7Wc3p+sVg4f2QuvjBWBEL9SthJYkoRFtKkCgLEiSKA2fjcGU0tjKNEofZ 3+57DvbWLzY3RZH87o0YAtdLooy7kCV7g5Jvto0NCaihcuINJyIMW88N+LC4GV359+gmggxIx+gb kvteyYDIQB80vVSgllW0LXHxPtSFsLHcY2tDqxc9aLRX+tMqbDAQQ0VLiDmLwZbzDRtciiJ9fUpg sxVLc4f+OZcmSztfK4YfdMZor1rFZZeMRmQURg68DRuO5361pVMitpnjqmvWo/WnRCsr/TbG4uZG NdiwIfmYji+7b69UlzWuvLiOk9zvnAh1+g9YlN/88iFGBHeU2C4onGVcfUpJfCVdyDol2XT1jAgs akz3G6rWibXHeLK7EnzuCIWWbqsMH2HPZQ3tOtAW9jfddvMxSF/kjcBWwIiz40Vbbn6sBAz2bx8c f58GsnkNcVcExcoFnUnGD7FOmnMPT015fO/O8R1qnhMRToeOoXLOwNH5L65i3/TbmyCcJ2T30RpR N/+z7xyZ8d7j7+QbmwrBQqZ9VAFegwhnj18xvt0Ch0M+eSeAq0AWVTr1lqfKIj0RCxnhK/7rAIrq 3MteBy1BNnBf2nU1Fu/mm6/J7ahfAphZJdFKWi1Tg508scIHnmO9fUv2KpRau66VIGp/NF3ReMou zBa2Tjy+PeakJw5a1zNsrR5DE/cRHo0CyD+3a0vg+ipfFBqXZMSfw0NS9afboHM5gVJE9anOf+cz j0HdfFl71zNcrfaunadzHaFPTsco7nZaknFiGfHpJydIVqqahNEkUXkyhFY0+/szLzXNhWW2mkKq BCORe+OMCDNPBvU2wEIOQDtUxEIIfbRSLR7E/bbAB5lhYR4Uhz63c6yuWImyv4HhZ+09gExpMfI8 OuxZPNwiJRw8kyJTWEbQm3kC+2pi9BCrDdoxre0xUjzioZ3BlcGW0UPyV1+OIWcSkXydo8eW3ukP ArXYQlASOAdvRy/khBierik1LJxSujE+zE5TqTJIDrtF43JnGsJwnHUGePJFwwrP8bt8fOKhjP45 UIpxE3ICWnrmbPbfoveowJPkBOmv7wvJzDekFnvHmxOftAk3i5TyRWMEM7xW2Vj8FQ9rEkqlmdEi W/ilN27gtP7gujcLdTz5TDv8QRU6kKRBBnuafo9AdHkgDtouPOq5o4eAYULoy7Q6CH0uJQv2LUac 3Vn0Kh+NR/NKGkSXBdznE+bcZ6UPMDN284Jm9n2RBc9f/EeQJnmADdFpS8m3K00JQ1EGkX3tFE4C Cq0TFzbfkSKWToY9ZKMcz1ADjd84NWldsbnlxG0q42uU2x6LUvx6NdOaI107JdfmU2Xm305BWfKC UX/SRCdmD7s5q0QwjO19d2SFDOauE0v1ORPF1OjDKF+F1FStLV3Y0HivIZ1Q3ZPfSnksmcSPjVup 67b0TMr4UMV4GU830jnmw9K7y8labSbYOv/Jd5UE+Ul9rDe6h+VzoovNwC3Cev0iYkd0dOTMOLJF HOP1PtiI1wtfc7gjhE91rPZK5XswHIXam8TPPpCd6b2Xz6O7G0G14nkFSgqr5I2t9h08hpEFaJO6 adLQXjImgxaw5Qhm58u3PWmnLCOTs0reAl3USj8koY8eVXTHVMHXf3rG0q13RqFW4u4rmENtULwa GTPyX8Z660aYW+FINrn/BnlD+Z6TB1radnQqVWvzasxk+l39tnr3MAuvt9RgnQ+P6KYeaNiDkW7c 5T3u2YJolkt9evHTFahnwmRc5GC17Lr7v41qH6qKaEC99uoo0tmwD1LzSolb4XyzM+7mJwJZKHV9 z9Tm1+BqWD5gcqyU7UJog9YrmMiPgKMB5itPvxQt7vewiO2oJuBkVqq2lcVNWViQjvWUg//3lPer XTnqxj1+KuzhLEPxRD59uEbBl1GDHGKaPD8qarA1GjFnPpU2KIgFZBgX2oQZTCDkE+w5ifaMvd6n 5aq3W8g5CCuD5RFlZpu8BEl/GWqvVLEH5+CGMleB7p7QiqI93cyUo6+NaVO3Pyw0QjBf6in9kBSw W8cxLPuavY+9esSGjFEhY6NliZMnWXi1oEkIY3fUrb/ClQYkeo9GTd5QgSKft6FWQ96Q3BSVxidO iyWxqn1UEksYEuuBnPWXH8CKo8j810m6v1Yv4pSKcNuxBDdzoaB3AUx2rltFJClOjKQoQ1uCX6Ur OTEFmkZr+//4xDSctYR5U9yhHqvkiL9aCiANgt2gjghkdEbVRyPQVFPxMAq46kmdR6MrSZ+TNfoL 7kunFE1MGEbAurXk59Zm3b/Z2HXfVCIBH84/bCFPkwztTh4S7w5FTqB2ubxwEA37tB0EZNQw/7oz 0/KYcJbmC0cVfH3QfhcA0hjGITmsAqq0ofgJXwGqZvpgeGpYONTf/sDtFqvL9BYRjOx3+w+rc9mM XjymgkWMGaOpr0u+5kP2P6RGe0kDd3smNkClLB46I+ztOct6ET7v5PziHi1GvxD+mr4qFtr/hGxx wKPuW36PZbzwFMPUIlGtMSNiNSW3Mia5ogTsHulGYCuZ/60y70nEGxdYQeNjYUHDiMd+WVU2b4ea LkdT+xUJTlgHA8bRWY0Qj0Vv672/uNvyP6BNvE6gMcOMLTyEQFaf+yrifSDPOfOVZLSWOyAy6lVo BpN05rbAh3ZTUo5pDX2cZlyVcqvqunkf9pl9VxP+sACjITzVeHU2lzDpU+IuLa92PNR6oxCsgO/X dEqGktIhuKCnu8VpetR73A0pVXBeSWkIULkAEpueITV+MFCAKtOVTKnb0/xO87rJGbyltpOtoRKc NYD2uwUEGctczle/Hkgc2g8BWnWEVEf6PyKKQfPTg6T/1a2iSr8auuLmAj9kmalqBAfOrN5I9GX/ LI2jacE5Q2XHgfEnZqSDjEIaKDYZanF2F6kiTC6pKJ8SvpPo7R2ffGX5mG92yc98TeMophJHEK+e 1YKWII3RsgDcBe2k0bsYyE8GdaOCYPoNx5n+Y/y7gWacn8v9mk70qB4v0PR8ig85m2bC8oxaHH6A wtHWmSuY4KWqt2XhkVbZex0Zmb4a/Pf1buQJMHRjFRdIkIzpzsfbOFK+ElVFbc+ISq1ap6JaAqbC RdxV7b8bRtOxmJKJ4mvl3gSqTIETRcLSYc2EeuKhAqCcmv/LPBGH/ky8GtFn1sv8eiI2Ywsbr39F GFZnTxikM3VFIDs6emsJZt+u09FdFe0f9XGnCC5rQItKOju3PZKAKLQLkjP56ASU5/HrkqU3Kty5 n+xAE7ECcmFFdqWlLH5kvXcPX6fFBmyhAdri/pmySjbevHfaMHBzvvT5c5bIC6xT+mvGbCN8+ts/ qyqxuYF9bIbb3FtVsWyFmDSKWT6g5LiT0eiBwAVnkhhPGe3u92B8BxrLNpNYMWPzGeGXK1R3rjwC TbBbPXgQJ+JPbqAZ8X47+nuVcOM17eT/zQcxqIEOgyg7SbON7X8/6X1pCX46ShBQdEKqJ1jaLNvq ALGQUQpTSPMlhXc3cEv/1ZK/1QM/Pu2aTdtgkUoghsg2hfe+QSwlBKM5yEpcfzRhghk0rpdTftoN GAMO46xiR8Xw3wET5XJ7aawzg8g+diZ9WLs0ol0WCPOesP9uFAZef4pafUo47IuCgEXxY4Dx3mU6 KgF5xwFhe9eONCx8VXtOVkOzjWvlu/BGOZjJDMEO87A0dl+F763ZMcJAiQXsVU65Vw0/Mo6SqjXy rhlOZYQoOkYtUDZ1+RFq04vonCKFlugCCLfeiHZFzuGzyjopEPOiJupLbTPaPgynrZ/pD3n3f6mO dlXex5eU4zoIWb6pXh75aCsOi5FebBHZtUMqguczMqIbzK9dE9OHcULN0gB0pHShKyE3FmAOgp7z PDjCKd8HNydpAvJxXoUuh89OxVwAqzKtH4bFt9IGmFs4aiOkd9TjOQ6Yl7IUvC88rqY+Vf86LZFv 65wvroUpw2xJ+wUMIJA9VQGCAl8dgtVaLnm7PsWgI79k8xUVfJoy+CKyfHJv+z9LsFOWUK3/PYci IkZf+jc/CsCphjrM7movWJxISoBsAOLCFvBe2FTCbXBi0uYe+CeKUVpCaaw89421XrRHrps6dehK Ky0iCjEvH6XG295+1HF2n0ooqwsJp5V/YFac6ntxx/vQKYAU00gb5dZAas+jQY2vBoK4Cwyv7U7N 1BvaL0Z3Z9DspZEE3pEIrqjr6f1p+loEAyLWfHAm2+ZkhHE2rXmqeHiCpajHBGCUx0Agsqgkzbqd oIuTeAyvWLZjHiJ1syzGzLQLOmu1tPI15n6jGib8TrKGXmMj6di9AfAyolI1wd75O2uHNhpZUSAj +OPeUcVws5UWMWfyXWTC5T5KBYTXk8tIhXCwSiIt4af76XruVe+sLaAyNso+zP4OtmRC/GaW4bgS nweRYG6Ji53Zrkz5kaPplqOHIq6ktGM6Awq9cIL+jzlOtdk0nX3VNHtMpgzTi8SFV37MNSKU4ZDQ BitmjVdpYJ8GeUvb1AjfFGqTV0Rpah3K4X8ld2hwx37yMpUwNXd6ecFxWv48hVKhz3wxBnWWPioP 67SUgrtf1qbxBPUpidLcuVrvOCZPOJtf/s1cUSqF9hiYyMgWMrBKDZexPR79zzkYyL0FCJrlBm6x XM2IDvSOR06ymzZ1qOGg/W9CRnqkaQWxOBpQ++B3JZ/ahve60R1l355YhXywF9a3jAT08x7Rkas7 D+YNSf7HqLY7+33U5vtn5n0ADZz78spFY6A/UObZ9G6Xerdf4Bn379uimhsihDqnqz2hhh22xd5p dbmU9Pqfm0jr+9QFwVoOElVBub6HeDu//YmPL9GRVQeJxP8cSA7+pUacaw8AMKeFAleOAYDp1Mlr i2wCZVcCtaYqKRsVYCM4uIYQArCcssdDRrsWksgU9Ymgl3qW8yhyskxRA2xND/hTeOZc8C6F64e4 L/TyIQwcpRYJ5zTbkckMjDLl5pbWnkP0Ucfso4Gy19wkLz4kt2pLPSDg37kejZDwPa3jekG9/T24 KKgiohSrQyFV4MPJef4DuklM2BdN2JERQzNrPV7YON531weXSTjC8SPtl2yx3UrqOgeR+HhhIO6m t8jgEcwL0zmnRZiqtbAhJvLU0Evntifln5b+pbxZQixlHWxX3gx+YsrhR56Hl60TvlNBqcywYlQN cc2fQGTDwS+k8dopu0P4QwY5XijGMdyFLXXRprsAXYHp664pUNWRDcU/myLOBUMvl8s6Dxs0a0cr C6aAVbsKkJwsVt312fBY7avHt3ALKTpw1hiDQf6abbjCWhq4Ad2IHvCdwszaKCGFnuyCD5f55hyv nH6beHXLNrPZ5Nx52hlGKfy96uWM4eIw3ueQUk/+eGAPHMtnxWBoHs6W3DmqQ3hNK22JkCxWwnv8 /TFgKP8UZmsKCLf6nHFcmHXjcZzjukU1C6bghDVE9kwFlF+ugTfpJt4OZNsfK+KIyN/9oSGSKu6n 3v1efuMApYjvUhW89mWtaU9gAk1FVLGEZDtkYGkrtrJJu2QIC/Yd/61vXiYd27rte9jT7v8cJCN4 qt+MT+mx9avbUSIxUuJCJ6XVMG2NrmjsK6/I2KKBBNqGxA9IBBRgi5uR1BddWC1iaJ3WqqPxjW1Y yOQT0FETe0jk6vvfWxw488r7m5ayuyYQB+9AZ9FAQnKTUG8tLLgYto5TGnhwzJUJWMeK+lJskl43 0F4jNy1PPMA85uV3e4fQ0tkkd/yTF0e4jONdzMKwZLDmzrcYpxQomLJrg2KraY7ferA0/Mzb++OP jsQ+aqCnYojFerb3C4qiwakDhke0btMZBHSMcxKECQwRqBGmnXKtspiWeYfvJuXnzvDzmp5sqfOJ 907VPnnmkiZV/oD1YZ1xs1O4KytGjgkmQTltJPUIEuneZ+vz8fUG+qFo+veEHpFSZ9WOyVZwjhKY SCbE4nk31cfAqwuhKLQ3LwkXpvHUxXMwRlrpa1UI305ZMxflxg18ws5P/STYGnrNiiMeWjiejy+G honqqWqYkoOiMDH29C3TmIpFwLfPqIRBOiBROQIAropGegGmxeuDtcSR6yiKBEzJAiC6EO+xRfYK Nup5nX/J48kzd8SoXYjT4YOwmmN1PHPsHeV266IPNyMoZZYgPjzb/iQZaXSyqX6AaF2+eFPGuUE7 qrG4WMSqVFExVjgKgzKmNg94xkhEigAPCx2yfdvzcGuc5meiHk/vxNcV1vTqQqypbRV/QR6gKmYa 4Mh/ddiuWOd7NRMTRKk6tyfGBNEwQ1Sr7027eX737XXPY77Qj73uadQunyYa/WGUPDh3VCGR+Gfc WiWEzYTVHOrVBY78Jx9w/NYAB88chAFQAhcTl4NGnvUYAGkSHjAuOwrj1b/dvwUcZDPvdBTtARnQ bKMNvWVj1MaJx9/YN46DmcSgd7cHEnBBctf4qB1hsDlMDshm4MXMCH2/ZgzyExMh8uM3JPdd/xhM iF1IA683ogOw7QcF5aGjJwqe2vuvnvPqk5qaXFwfmzVRuvbOPD3PH9zk03X2h3CkO6Zx0qWOf6DF WCm2UqdKhVpjBlTPK4hhRg7JfRwIKQVO6yYIVw99gO/O6NYz16FxTRkS+xg6Ea8sMti9wJeSNIMl 9gCmlJbng5XFkkDZ3SP51nhLpEj9P7nO1q1LAm4xqzp9EF6OfMnv/0/R+pb/4w+RId85BiO89JXf L2Md/Vp22Gwym7hdiVe6QkaUMO1VOFImdCVJTonPUshLyqApzf9NByxfeE+r3hvNmdJuUshvikeO 8NIZPUh1w2cek6j0IwgNIzhrB9EFjss2pv7gsi/5F0/qd1Z7uqUJq6nJb8WG8r0fR4RzfcNWI1gw GxUMmrKlCqWFqt30jUQUjImVsOCgGfsTGXK4WJuJTrZBTrHidvHz4RW9t4M6KAsHd1DlLlfEhBca eNNR0VQmjqq1ohDMJ1lmJl2BmQlvd2GDpj4IwpWsoolAzdmJ1XVgVf8/44eE1vbaIs1WW8QPKwpk S8Z+OQnkGzASFH4ssTEc79rD3OXueCmxoNCNF0pOw2nlaJbKcrAOVhy8kcx8tRYkg76CEKvfyFch zM9Iy+RWl77gynhZPZXZoETc58b15pmzzw6F9/6VYTPL2NW/bovLyE1sGu5It8hzgXG0CfvmN9zw bbnAEtM5B7nC3O/NrV6qU4cs8d/59IcvAMaCoE3hX+MnVA0mH2fwMPd/tBT+Xasu1Oo15yZMYs71 QD6DfrZHjFymqZHN4yoB7E9X+s/LdlzvX3h8Q0A5VANX4WgiU3OpY4ckEbd9NxYnX+QTjVCFgv5y 1JekS/98AqkfKBPypEv6z5Ft8abMYfpnwR+x054UK4mhGEL2shmzfKlq25OOPpg1d6N9ubgpVVl3 DdbZ8qtgsmpRNWqd8gHPH+VygKDfoWvuokf08h/Q16yTudrdUkFBeQzwRD9CmN7yeaVGBgFqtbEh 2/EvyeSJ7hcG1pBsaAiw6jwkwg5xBw3PNMZIhZuw4LaqMTQVHmZ7DJrcAGdOzn6rBMcdXBTIHAr6 HQNDA/1f3oj7+CuZrRLsITzHNVUDB3Zs4ZqBBy1VH7KyLa0vCYNWJAjSj1FZWbNk/NMGAQ9Q9if0 RI99SeIOc1E11Z3Xcd4xWSTxMjlf7LEtbnYcD0UJTCyjjRnUYMXUkidwEKctcCN0p0lZYROjvexb 3xzpY/IOFdJ195T9VcJYGjQtBGMzfdKNIf0ttN0PR9iBLswaLmlaGw1UQNNg8CvYcLMv77sT5yT2 EX/FEIyRmr6VLP6SH++Xo7QJYSXhHoDOjVeIlnIjcjqVdzduZvqL0eHlDy0jvf0v3C+i/nEdZRax xERZ/zsdhZYc0uhNr0Gd5T9V2Dje5FfVu/CdpzNc4jSGSxKTKCzmLZ6WYJ6d79M2xHAqmqfBHCiO ydn7Q/jVfAKVe3i3Xv7Ufu1vHziKtmJHA5UEIL0KV4/miRCSDLGkRsi6aDSgOkUrv9wBjFowDNbQ tZ16/MjoFkHITgxVPwnPoGNQStG23VDXRgJO2IAoBTzUuSGGRRSWwnwN+GODs7nHG2iU3Vyw7Cnz UJxRXMv57sHSLBGLbpbwxqp8tjNZ32Q5wmMZIQHAeJdUJbWEyUsY8UxgNESH+4wUrGGBgzM5AnZ9 njVg+XUf7TzDayANhu55WnxtocbILhzTLk1DclN1lSVIXjD+Mh016FTYIzkeHNfoEUtYUJSRCSZF RH6uaS62XdGFNlP08ZPitSJJmAL8/3PWZZPhafvrahslzVGgvJGnogyGEidoVoGXdIZ4Cn/630c6 5He+87mdGnT9HDhADTLA6WbaIkkKsx6J/pEaHHfEQZZbNA7n8dQCX51OemtJLaZyzv1dBwxdFnNh 9+PEPOszsMc/eA5dzMu/o32hXwtN3Nvmv/ox88vMtTUPUpv8s2h/9g54xnpZ8XpfTL9oY92FIhnR xJvX0SPVXdtzxBEW+RWePxgDBk8A3mDRRmA0xnt86JP69idmwGZ2evQM7wcnlFWapU6bWGQsj1pW Sjsy1dHBepgFxfDnGxq2Fbd5EZiCuaKwFFnMreZB6iq+PUkQH/az1aRoELBq0o4CCWVq7syZctH/ nzN0RwcRtzhz6ObY5FTKKa2G9kEutyoUzsFJDXS6OfMJuJkyu+IEeHQkzi7+i0L8EQdistORSm38 En3cGxjlBAsLvC4pA2CfcLQjETR4TIefsyViYd82zFTwb/Kby0Y0AJM74c11zkMlG9y7OoPLguVj ThaecZMUygZVoUXB12rI8wepD90Juogb/TSr7hsU4YmGRt/yKuWWJC2Q48YbjglYN2U9qHLgIfPV ur7ruOTpwHq5YlC9/wos2oQlBdGsAiftXv7Chu0r7o9Xg9L3Xg2A7i/fqJgj7xxH7A4HbGtkL2h2 8ERUHBPBk4bvtAhp8Dcol2vh34g2Svvd5YaZ+v8l2AtudnjJy9EHTBjczqgKrxWj5FGGBKSeYER8 z0inH6GagKPgdV3WfCr0gVufeZBwD2yJ/tpvAuB7RIwbX/v/rSjeqw9E907eu1zocsdxPh0AT+9a sXXmG16YlOY3abGt0df8RoLbhVkWoIFkF0LwVZ9JKCMB1HhO9+useqLrQF+nSKVH04PPX909IHmZ 2MbvlOR8VPqiGaRI81GP1DPQVq6abM7KPrfUea4yHAFLi5V1wsG7x9Qo6DG+eDdxp5PZ9Rpwxyrl Il9ifDbQkWaOoxbWKwsAQFjv9X9T70zY74EVCECBZ+KAqVZM47rthlIRFG0XgkV9h5IoNFkjnF2U dkhwG75d2QXMmtkMJM2Gs4UZcbb1iS3s64USvFzsIVyg2B0Xu0rWNmLKpVRvvHMLz5h8tfAWc9so xFcGgKsyV50WBtoBhsToUkzzXiE5I8m8ZSXzJMjSWfjxSv2O1a1D70atOaNOFrvhSpDYX4ueF64V opY9DnS9AKY6SWtQQi+nCdIPomVU5hw5HPoCaosZ0q51xpgermWfKtnNRJWha7huBygMX7rtWsDo jXlm0tE2Ezvmt9iX73InWXNjEV/90h2oaIh1FZTqVGeU9+0JWR0M0hLxU4uw51C71RLqC0MUqesp +mwluVt8Vho0PS2KEKgDCoh616UbHseei0I64PDroicbWCKuAzYklftO0yszzBkbFKzmXB+EE+eU CmRIvc/1O7Lj9YRb2q2C3MdPLtyPJyc4YRZW39WLwwdYdllBq2xIGxfRfpP9Oh4GdScGSejU4MID yfxhoQQvOFXHQ1XYekQxLokrLS6fzrnywE7vaXdkwdMVVL6LrePaJzO/h18EI3gZ0+ZHf14tDRWT GkmEaR1dWQUhUlkSB938jazJCuERjTq1rSH2WeHC6G7M/y0FEjaoXdPIwry5Rv275gPRovjZSf3O bncBL6esuwGlKRThqnGA7QxQARY04rNZPZRV36nktpaLaKTDATt1a0khbgl89p6i0nVHAKkWKAA1 kbM3nXxIXtYPO2aXpcHucfHuTEh2u7NwsfY2aLmzcu7/I5PcpZUViLqt69YOr5BwelG7krJrJMJY 4G8Qo0HC5YPEJRo85jQ+vGWL67HBf1tltvSIY5z0kmihKoPIfJf5/2iUfOGmHVj06iTjUx0Nf1n+ BzI7SGfuzA773p5CdORpIY1euEwM7x0OqARf0dy+UCFjLNtSRMVEfD0qFSzaTCnjoCCDn89nj1NA CQIDFaAj+28h/yFWgRJ4rMAs1+w3nWZXqwgxb/zEYm7H71rLnHlUIDB7mg8f2HExcS2+Noj01hGh eYF7Y5TZzhLqzPUVyI3WHzsNzxwKs3hp1Wy4MF1Ti9smJJBQ+GDfHdEY5wWcnLpn4qOlHC8EYMHW ADu/uvo/E0NKuqBYVjaIm46zgaEbESDvjFQkcmSS2GvU706GQG4k1k5X+8f6L4Us1Cz1KkhCnhfc yC4ESQ2s/uAwXCnsD13q9QCBXIsfrxXJj7/yH7ceTYRZqnCBfl6v0VrctJdf0/Dv6Rcmz3+R+Ye3 c3FOH5fvWHNUFaOEtjMqunr6WjphrUSzYXX+sbMyXUAjs93Bn5m4n7tZpQ/OmKU2szD8xC2wc0EH ySH3ZxqdzYBC3KfudZDPDFRqtsbH7BDa6z+97KqM5ReMSWNfcZ/Y3biJorfGKtP0TISAILi0+dog MGWoq9z+OCN+MPKPEpD5DvrQbZWekQW5iwwe8PgMtFuiA/bZm/ZuvytwQ65oMcSiHTv7l4qR4Yn2 fwHEi7PSp6YT/0CNx+3h30cWSJZMF/38H6eDb3jK4Y+0WaPDMdz6t6wMQMgoptWtKV8h04JhshKg w2bwREusDQQV7y4fWtX8q2J4lXaQJUVjvOERTAIas5iWGabMwuHLQ/MRk++TcBLvgsc81UMic9RW 9nydal4KJj8ReUJDuctqWRH4xhZPHNDVARKlTmv2UxdoWFpUaD+8+URQdWHv5VqxeOsx40nBrYvs XJ4aMRrD6H8kXzySYadrBeBtDnkvrI+qjFs7BSUon0DT3KRZd6EJlK7LuW6thS3ys5pTLLC8FYfk 2E75suNeHT8S+vWt0MUfDri5lO1DK90PhxkiT/5ZRVI7uGeTeuUa936xZwuXmkCzr2UWK1q7vjoJ pEZ0h5JAE3IVUg0g5GBpghkiFcqsrCHtIKXBiITk49VCGKB+4XDBZjWmnZZVnfRsapyU6onLWQwO qsY4a6Da01n/O5M70kfO97QTQKoCs6EESt8G29SdcURvi9GfriUbDtfP2j+YG9znleVMM7ugT2HE qk3TIU7OFb1HAjP0mXfTaY0wf7YCDVxmwBE7rzanQeSY3ALlvwb+ys4UVAFOzVupYjsd229JWS6v EEFAYKq3iDLWvtyOkENkW+fhtQeuYJjPZyVmAiesXw9sDLArOMcsDshZ4Jrq4/jig5c4wAztyfJR OQqm40cQ7vw22nUFXK2xkOac/nTAPdR1xcy1Xl6cYaZA72ebvwK/5Lv/CoRy7Pb82lo2fO5WdfI/ RKFRc+IQULe1UQpRrd5aBbPAEO49Aqokx1Z2rq0eitcQQLS2QoEvyGGSkeTkgxg0Z4RQYfN4L3L8 UzBRXlFqXN2ICAbQ54fUHGSTQ0pFPMAiSIveJyvEBOBi3h2IXxO4t2SnCsxPWGkPOyZS559KKl5s fS+sDQwh8Od6bpO0NSC2zhGq1nKic5mjLWrImMjUOS+5XD/8zmvt4r+Xxws8V7tFAWsBaml8FTp8 nlBN4aICIQXw8dG01HsOQ5hYZzRffCEGDFPAwyFITnUTIH3h+JCj62c8enCtH/wDxSlgr9DEvSNk sSGqQIQFdaMXXf3NZY2I5VyYfk5PpjCDlNQOd0erfgkvDV4rXE9afoqy8X12+I0fQkSKxLFTucx4 jsRvmMjkKGIxxyCfoz6MKnd/m27FUKUqp1MwELit6d0O0+f4XZvFBnKmhUczW5gwDc33sqs9pDkI nGJ0psM6gG+RAxEWVfWWOyHY5X7JXo1uESNJj/8JkS5mlj3QgS2QXB5PiALeT6OSkFYWLz2+szw3 FODJWiDaDw5XIhehe6qlVNHe1SqXQQAuQrE9hghonZ96yDbgnSNRF/s4hyW2HcYfq8BEGcCuxj5z ummnX9bfThkB8wqbf4GwJlzLGcOT33c6hL6KYFtXmPE8DLok9sKZi/mIG6MefA9ZGX3meCDbMvX6 HG80kqp8yIMdY/X1mS/wgLDlHSwZkKd89fhXuef47rme6JZgwQ5ZOqWlxFYfubi1apKLp7Gu73G1 PMOu0mq6VQ/K04Xo2Z5oviPlzj1+iQD50hmX889FshGxsuAEEB3uwXmqh7GFnq17QhEw/QdI6Lna xtFSJBE0vB6PiHcE5bCjnt45jmQrt0ivujSbbGr/4z6ts4Npd4bGOuWSfbYqGWnV/Fm0OyfTTkXy SLkkoXVYr71d77aWdT8v/0JjO6Ezbxd2ttZ+8DND6i/X4qKUJad0cDr8ytyEBc7jy+fQk9GLjPTP MTBPrhxZk5SfrCJf6J8dq1reIfQR05m8Dob05gEn3tTugA2rV+5hFxthvsLiY9fF8JvuqXAbV9tg DD6V4uyJevmFsl8vHrXOlednkblVVmY2B2fAQqxdcuquHNMHV5n5ex38x59kgS7WU/XmgUHvqUcr CyAIZ0tabcikGS4PXFmOjym++3CQYytywcSHotRjy2L/ePWPqpCW1qV5RtTxlp1zwxm9ZZ0vOQ+N H3tr3V+ZhIDn68LekpCqgzx9MJ2cKwC5OkVowhQ5EpRHLIZENrduKeFJDaXs4jX7lxFKzk5ADklF CnAYBW9QspysgPSW7D0pcXNFqkoVyw9uq+1lSptMIqi72hs01rc9wfVHBIpDINC+Jj6+hI402G2F XEJD2fZEDFET8UcArHmrmQa4v2p4UR9fS8h2O4XBIW+vjAknuFm5txhkVYjyxcbngYr/5fGZ3cnC oDRcARDLDo3QuO/wNZmlViaadxHtbdMSXxHFA2Ix4iMLHgW9Tfj7AZMeoetDrs5tGKqJ04hVLLxV oADqOM5Uv3azKtSkzeSgOdx07+wbbFUanum5CmyZitijIlkMv4DaR0ojpWoAO4lnXNteAtT31jIO sr3xGHfqOMaJshGQ3cd8jGVylcdm2t/Ley+sZpUCdpX126mccwJlV5Hguv50zz4N5ku7TdlGw6r+ er1B4YmmRnNBbCXiaGekUaITyOYtSQLYxoNymO3TvFK7QtwW67MiB/BtXftGSvPtICVwc6ZLzaP8 AXH8I9FFqT7UFG/10dRL/R9ZlRSVVGJprtimVxMxqdo6bMoV7aAfcxsgxfRXnSIQQ7xJ69TIbXvl 3mqUinkK5hwL44Nalb2hd2dbwK5aOt3jDk1Pah4e3VG8KA/NzR4fdkx1o+KBXM8vSxe8NTsbw5U1 wXd9lRISZTtJKtm85BbmZhaBKPnGiimWECMQmQ5Lo9o5K5VUvfxiP+9U3vDI18ttUS5tJIEUPFHp iTAJOClYsXaTV4O1+VHhY45XGWR1JrZBOpjM+ReKc6fCu8rGg+9+ea6lvb/dR1+ElnEfiMo8XfS8 R2Yvy/xvluMh5iXqhOwb5d0dejFHK+qYUTP7K8S5Y/+KA01rD2KxvHZYds7KvTIMRO4nRCI82lKR LeTfTAzNv4qGT7pbArxyT8g5GyYeKwE/xteivE/xqCCWzkBCoZtev4qH518ubJsOQTWzFR5KROxR PVyvAYeoh2gCwShTYFN8Q33unMsI1TqYrug0RUO9+QCw+APG/G4zdv9gxt6DsYrti8GDFfmyallA rEEjjZdPSkc3TYiOc0lfluf4CRGyKpOP2uGWcfIUZS5DyBS73TE6zSIpPVvj39UOztflDIKAdL1C BQ4DpxExRKMhwDy8tk/hiV+6q1/CWo0hfvJzqZflZ/QwZgRyWcbqrIwsdO9RRP/vPCOpmjMvCcgt QkNAc3WYW7U7TS5NRpez05kPubpFTJU1xEJe+XchiNRsTm6mUqstqh3gnQImP7xckXef6X4HmQQm oBLoDQvi2qmsSGswx1t21jfeycg1J0eN44ZZ2MUR8cdLhbZk8bZWPYlePeuMNbENH2HBZrSFAIKJ 7VPD3ah6jwBgkWjPfZf0kTwF9+NT1eDX4cLD/1NsPLTciAev2hTEs3ptbaK9f4Dk8QOVvqOvSC+G HpFvvvoSbveKNTH57bZ5R+mQGXwnrS0zvfhde/TdeH+GtyBCYwaLkk4yMhtbI2jmullAgc+GUcjS tmCSTRZ7GkKmHON16OwhOsmXHnHNlgAPVivf1O6ibVt/VF8HO6MyuaQEGWdme13OuQkEQrIbbVTm feJqtt7z1xDAyVpNtpO6JOPOL42a5+T+dJtXnqRu06QdUmRUOybIT7csuN5NRMnpLrx5hkhy0Evl MmKWrXApXUMW8vC+wgCyJtrf4rUr8tZguyW9eoWnf3yWAfH1uXRiftRaqsNm5DU5Qkm41M1g3ZIo 8dHe4HeZH1PmsongJYfbrFRBcAKF5EM5/RbcjLIEOmcEMsagItOlIHvVO/LsJ7W/Eu0EOx/0g7LJ VIq+EatTW1egj0Xm3v5pbr5sZtut++g8TDX4GgLytc2GHnoXSTfBEj8Bxa+lZkjCRVsO1hLGh1Yi O4rpWg/xnqot8eLraKnhAGamrJmZc/lyXOpifiMYA/uwNuxypPRz8FzyUmz0aBxWHI7gdM9BE/vs td3zXpjkJddZNXx3NRM53oR0vCOsMiv0Dz4/dRVtxA2afyKjeaYD2Khw7dkGeqi7hrER8CDsfs+Z xSHKFIY0Vn8/nDCmIIYJhia+fPXkjXt+DF0YctmWC4XYaNycr3JCeCnIddp0yyH1D7qkKnqQK5oq JLe9eUWdwQLx2VeKAij9Pn3C3ZSp0O9WdeL1yxBgharc1X2rZ0Joos/JXJVqaDzmMeKMpzq4l0zg MZmeklhzJ2j2V2H3R8ntXC1bnwqRFH0lmBV5k4T4mmZYCA72UOf0lbVjfxG4wPCl9O/x3FCxR9uO 0iqJC0vizXn1coXSL/B5ZBg9PjfgcI5vmoRUodG6J3OLBcGAp+SQG8N1Pkf8ROXYwBrQG3LerBmF H4DOMvo2/t9mx1JWWLQ9aDVIjo4NcPJURBIxnF3nGOBCPcyvJq0xRbVOWaTk6KVymJZxYaafYcAO 4H5GR0h09ASlRoikgoq+8BY33OGHkZwMDd87Ri8DnNpbzOSF0w0Sv/0HJeEqdHbdK1FRHZaJCz61 FaGyXRFpX10vGRTiCKH5JpsUkUIcSgIvGiDLNvincokL1aNhKGhCEgvynDiDyyaX9dyjLTqFTEe0 c2bTz2EPQYOoLoX7TintyoromjlK1fTv3mjNXXxJ90Ez7s3BegNrMpGA9BatwLs+H9g/JdsX3v/+ BtFrn3WQBjTsYh+k9y5JMDVwLVLvQPC0O3xvs9AgzUb0mECubL8ciK93MBUKRd+cOV5wIpo0Qw1q 0mCZxwxlYa5T84SGQZ8PxnjRg8w4Hv9fVsagDISqGZwmORbctItz+rhH4VCL2eZVQ/xv85Q6z7Gx U8Csx0T1YsoUJ+DZgaqbdat83QyRr7/bQBeR5Y4ayul4abQKIT6JgvY44ZjKl6ruzudaihKmljfK lyp8LrxWbZWVheVMI8S+2Vq/SuXZDImZU48fcE4VB5BI3DFLp5CJ3eEbMqJzB+Wq26jAIpGV1eg6 E2IKgg7FKA73plhJ4bba5X3Ju6L7RktWbuf0lIi4uE1tX0Zo9yCZh8k0sqgKgVR5wFHSz7Xaamnl bI2Mf6E76V6vc4xcUYxLIh45vimUVXuXCRFEyL664AyZ/Pm4+cl3a4qURYO9dOJw5KZczrczNl4F rd8xyeBzvWL7k1vOsOKvLeAmb3UYhAfO5N4Ebzqby1KIYIeEwQsS0dru9OsBnh8ADkpVXMkmtua9 +Kb9xygVC1W0MuE1jlxnvQw99Jhsw8cjaZwA6Gr7RzA18lHck8bs9dJK8IsmJGXoIxfN0swqU5+c NVDycL1GY/lG7ytl6AZnCJ08P91bjQyCGUoDYM+poVt4hCgAQKv0B88B/hlM91g/f5WxKYzAlyWb DG/4VeZqdD6ZLZNXaknf0JInm8Cz7XT77ckR8DaZWIdsFb+3suSArj2rSrVZFSa5xr9A9oIUnUV6 lBBMywS3qk+2kKfQ13wUYwhxZb3aEgC15wDMAsFjPqN9Nw1zF098tDfT/ynBsg89cTWC+tEn1WpD RubBuHPIm2NqzxyWwyUAtoBKy2dO297+CipSPFJQBHaXpf0Qy+gC5nVBfDA98QOgWHvCW6kj2/I+ XxLC5+kUnJiHuyo9761MxccUTU0ZKYX5LHxbwrtQIzPzgApFRrA25+OU2NfjqgXV2ldBry2IJppt /+ZSfrwYxPJ+aYHq6Yl4AiiVarDdP+BSbyFPm+T/B5tfXb7BMkYIqS9UzcGIfqs59vus1/r/mgSG OJpG0xbXt5SIGXzLG7PaRYTQja263i88c9ZCHEULx9r6g8bf9oIsg2f7Kizn4aB+uDITGL9V0PyV JvfcqRSuneo02mlI2cxcf0J2NRLOPxSZPTWkB0Aox728s2cF1RpAPEVhuyhjilX6bHrFWgvGNrWK Bg97819PZsoUf0IJpqdV1sEE7KCaZcmYa4B+zrK7J2WOqLMeS8dMtBDPvfkNBdme0Yl7h5XexasA CHM2BGmW0dobdyWF8pDd0xK3zj8v4XUlEsscnKY5//WiZnPqqgFL0yve4KuNHM4pW6pZ+cokJlky mmZFN/wdkNuQUJ3g1j8mTULW6aLDo2LfYhS5rf/LrZAkPM17qpLMjxMVyNSbdWBb/008sop9JrEa D7kdMPmrMneRga17XolxzPVFvIrEYDv0ReTsoOVFUieyVxTMh27jXrTCPEdf6J7dz5AYXj4h2M6V mT/99GVzwBEcZgbqmb0canO6laYm3GaKGIB/SPaObW8H2TAY5TwD99f2WqniaZGn/xlf9lhPJYFw JAICZkNsosyw7Oi3v4X0oCkddN6H1aB7MaITRV/36ggsrKK07zi5KMS0I5G4L4T40u4gKanxHSlA f3Yn60vpaNOhkRt1hGC+CvjLoPjCY4RqDBjwXSx93IX6UFVq6yhQ56uZ58lE0HvZ7n9ZyBZ4LXSx IJYLmFc8GEevcPk/ETkgS/ChyH8SjhBH2jveoZZURXsL4hdI9Q/PBbVqc3WRfZtNKZxyE5gkoi5q 1NdUoXPouXxJoT97NZS/5MbmmFmBfzi2coI4Nv5snbM6ldUitr7N9Hcaq3LcueUOcKE1LbT//hnG O/O7nYAzUfYS0eTUsZeOme+LKOk6dB3xYzZUTQE3vg6GeojWzUxfIPQWbnjhL4CJ3wHlicJS6Bol +gdfndwlhsSEqME3NQKQbydtAoD4+YrGmJZ0swDlWzCwH5X08AOd4wiMLWb/mAyBYCFZxmV0+mtf 1W9RRWW0knrgMeXaRt6JrMHo3PpSNd2kiUqMqvztAocrGoKbGMkRJiWd9ooo32J+ekJ9yR+TxXb0 JbyA4yeYJcWCIXH0452Ii6BLu7vAE2XTzZUjqRbctAGW6CoTGkSYe+ugPoEpK7sD99R8pdYCibpC X/2loZKtKfrMgliZBPQLG4zY0dcp+q9jyDPUzJocYQfVES+vvWoplf+9i4Q743HOKSr1Qb0QiXfZ i4Ffb57nxymCNPyUao88BrCSuk715jamvuzsh4biYRpYeKPt6axwMji6SqebEDfprIOYjHe3Fq+2 CdUaD1KNGd0rIAkBwyHNjaVWVxhY9gM1wxtpobidm2BAiMC+fKcXkTWR73z00S7+tvIqWk7k9zNy r9hpuJUz6eH7wzkBdiG2Sr1FiN1vuZeL4o5qFatrIzGeMzEdw9AzaqJpSVM2w/eWW6ksYHFyOgdw j1yc2hfA+WNEh2FxffmH4+dwp5dPTjZixlk+uGuCcWOoy2X8NfiD5icOQ8QECKrcRjTjcVbT8onh 4qP0sMoXUNZEBYPxxPJmtXzBrmMO5l/X22JDqhCQM2PYzKagzyeWaXGOe6UUedh49IXz8ut3IHi+ 1x8gcOIjKqb4x8hyGTO38GzZzoaAtvIBXHLsyYBGTXZzVsk2+BibE9q4lC4D1QpsnUCOaenLn9IY 7sseJAKSm3PNGtWvXbT8IU3xZm6dnzC2qbHUZb/RkCIc224X1iy5JX1++Kju14hWSnrsEyZ/EIuB NhEVMAlCuxnZzfE1s899GpWPA6WGqmlFOOdNm3EV86AK2t8coBg+166eKzSH44IEsHO4P/FEzQqX YdY5SstNRrIa/xyHogmh8atZzGidzWyh3pG9JCPOJ6ovFOXtle5edAUjyXIyt9aR65D3bCiXHii4 aOKtn98LISFKmZ90CS3w/28xJ/lJt2qgfSJFKKuJ1xPUM916cm+RkSvjRshaC4INF3CulQ5Sf4Np +0w3nPropDBFhVFbx7GMIz9Ry9YUafrxYY7ujh3PE/meRvGfknVdCjHNK0uQapMcBQKQTyYdBbEQ quUImW61+IicPX0gLjfK226USD4B9DDbnnEDmGw5rSmeuO+mcIOyG+uc7Le9qpemI0gS2QTYVDp+ 4d89ZmDADsSxn7ynfKVRBlDB442WWNlOq/a4wZJ8Q3eaUOdc1skdv/kaBHbBUGKdA5LqAh4rITLE B/OL6HyFLpSe2N/troqqOBkFUqLtCcJwXpFG5qx2Fx3L7RpU0wnx3fm1ti7e7x5WJ+OuD3aGRAh8 fX7IrB7MXCz44M9IFvnASg6kfI0BhKjgPhEKYxvBmBekxgKU31O1P0BCCKXPYUEkrxy5jWrd/2hg 1URWqNlb7SY+EFZOk30gDd9kr40/bMS84VlSA2i4NEb5coNOE9ksU23bQ6TmlBw7klvByXrqtuoj 5OKFaZd/VYX3cpMMB96PX4Zk+toquEH5aE1UpDtW9zhDvpj1gmyTd2zdiV7+PHybixs+28LlapD5 DNirqQad0tiP5EpA5AapT8EcD2oNLy4Gp2G3a4k44P2wrxPBnh25L9YH/inh5T5ENdu1aFcqMtWC KkClgYRGXBj3PeS5FmjruIp2xMafXw14zb0BeVeqSclATo5MSfexkUvyq4fkhkDeqOBWMEY5/SeT 88tXmEQTInTc1i8jJL7jIacdw4Z7GOsrDxVT/2I3dmLE8J8+pDeOAMkMDP+HSExnYHPGlQmF9+0C NNZ2DsLs+Gd2jIB4KwiQHqQP6jSPjryMS3m4P78TgZqBAb7eVgXPKNZog6V+d1hXfYD+mm+uosa8 1VprfDhau8WRhtz9JKhWLugL0HNeLQUjYvkexjCEMt2ZOozWHHoooslE2rEmFjVLzPBaIDTK9xLV tFAreaInDXp/nwXVaDqpEcGEZlD3hydsEmcLyWoxx+Iytj2u9oUTnuvTcCN5H8yvKSTv5rZ3l+6Y 0J9WEfP3hgN4nveBWBfAhDQpGUbuHO9YN9nQnEewDONWJwb4mUAkHn/VApHq6fNgYteS37OO7SZI 1OH2Isx0XLdMg5VyUbv/29fC0eYsAaYm03akj17mHtTp3sXzZr2MJC8fqsVokeLzz/9M9CZNrZ8O HbfNHB/bQ79QnpPueBKVMroj1XQPou87A3yowookrevyDdEOcDaLjzugz7W9HQ2HMb4S9y1eqVnv 9gZzcAdEDFyYR4YiHs99lm/sHKIEfTOjiXTS8v3PXu6d5lpZLghtGY7y0U6AGpwqj+/A+k7q+/SC llSs/wzuhsdPWZ672lk8OQ8WPlET34rrqatUlg66qXyJedw4+0o6ilJ7dwexYTe45As6UOI11+3C fa5WUeQ5kwz4MkCTdsqPaPn/U9XsyP/Y1+iKC6G7q4cKkDPKm5MKywLYVjYOOO0c1+eejw03JhCD p4qETpgMbrNvNhWC6r4768PdnsoCiMYrSqCSYWDoMEAzxt3QGKR1bKrUHc9x/83nKHm0tVAVGvSK O996vJVQfslwQmE788s99Op8ohUETkhiVuwTGb3GzY8aGIPJM5cYR9+RfBmsMsh3bdmu15Y/V2m/ VX/nsd5w1G5xpwQWqHWpoLTOPJpAploDvRZXl6pHr6pUrTBD/Rg4xpDFuoZUG0IWijWyoib1bWIa XmhkQldQm5bA0jM9rkGq+/0nXN/JxJRGOXvjsJzdHAWvpFJD77fKM+U4Zv6USRowJ04U393k7a8m gHod8U4fKyDjDgfdY1bjQkhu4US7uQMo1RRWAtm1mBqxqljvftLtNL6i06oxnv9amoBKI7o28np0 sjWq1GnY6mWg7xlotPjTLhEiclCIN4xKZgGu1XUZ70VrkLUeHfOzr3OpCuF7JkEQ3mwxuMyYoebS TrGUW3Hq3AIDW2DniX6/sgY6Va72TqfLXNrRDy2sHhJPFClTDEpL4IDic6kI0CsJNeQlNpqeZPXO u2KpMOe5CtGi7jgBMws+P/PMn+gsUTF9+wvPaLicEZscBvyrzaK8zNHdHlx48HBnUdwCBUvs8BpN AZWW/AsC2SZeujXnCqv8lFwYyYN2+HabozUERChEBZBadfIzSCQQRb352iLPbDhH/fryjnZt2Dxy W5Gt5GGtX0CrjHDDuoJ7F0eo8zO1FTGfGXxTC3WZDf/jzy2TpkriQTA0nA2dBUijPtND2/Hs9lrs ABNMKlnxKalqFslcXuypkz4PP2XucceLomF6m4EB1HK/fM/WRr6JFi3yQj1d+IaTrqwa9CKomYmK Qdfw4pqtxurfsO8w5CBTuuLhWrJrDO/3YOlhI6bVRbKbkwQZb/x51fZbDwUBvl+2goKT2p8iPXr7 FnJa/f4nbbS9RVUky2n9NSvD0/bBthjdCfoZLiB8U0iXa3On1j9ACU0EvwZUhybPqJB6QupAtznl uH55vk8AZ3yr9qfbce70MhjRek42hOY+GRQ6LYy2Lfz9zJVdFv9YIvI5gBx1y3rq2zdBtL704BqI Yco0uNfr4+Vne50NuLO1PnzxXG9D5y6td/3JQurW2caaLN6c/WZH7AwyLhfrYV9MHtTGc1cFyc2K FtjfnnwDrkMg27zc5nGJ2YGaXy2Tn2pkJcXJMguSkmejv2s8IrS8ZpZmaOBtI2hA3Oq3VW6w6AYU h+VDBA++Bbd4CM6rK7MLYfyOCEkLTgRkKS4mcMmryQN3Q7NsolXD86HELmKSqorvewG/d/8ehMNz TaTk0IjqvoZTDZGZ66J5ve8eQf3mBfVbe4N4xrL+cRF9eGR819eJ3uG0aiEevjfuNmdA8X8MiZ24 2MI/F0tyzi6z5g3iE3tZJS7h412fu1B8dAX/IT/EYGd1iG2IPMXVCpQUS+QMYy2A2RsrC5CBEeYy QF+wNg9fLwLtSX5I3vruw6VZKeabZya3Z9HSgDa2lgqG+58MiccEMEfkjh22V00EYazNaV+METDX VthcB+PtBJBkVqNIOUYTJQlvbHlnwNeOIier6AhZUagLQrJIlr44XA7YwTHSgj30o2dDKaLT7LCS nzku4QmZINdbIkhIHIwao281TqKxjsa8ecbK0ycykE99fJSUomJv9AX7f3csyNJ530CSjFQYjYwA 9cjb8JLBvLu82Q7V350zEe6t3F9Iri6Wfk/KEX8FXDbuxhzCDn6Q0tCrfMN0Ksng2exbcpyuEL1z kqZZiSilYW8TNRYP966Z9zTPKRnuzXZjSSuOM8h6prXCENQCXRkzUT18uNhQEBGshf0Qf4KKCvM/ 3e/mCbRlzALR85GO8a7lDl27cE4vmGfJVvlxkFAbtHye+h2zKsAwfxNlCm/L/HVVtdE2vxvevJKg cxGkRgfbCG1EAsZsxgi66AjqybWcv6k2uxqDMgCt6jLWJ7ULZqZAfYPKGiVvGAwRiJkiZMvjWkXx 5hUNtrIJL/ec24znSeSrKKf3RTZxdQbRg8Xsi9IXbQjK//VtkU8QZgSQrF3jH73bbZyzkM5A/R36 pppCnpZdQbUx7SVozy+037ntBhPIklpd0KKHgIfwKWKMOvWtqznXQHc68I/H8JeVHvmDvC8tXv5H GHlcAsTO+NeT1M/ZeBgitCwEcxe0Alrs35dSw3lmi3exTK/0BaZZpDteLwi03U5jd/3BY42ZhzNl uQBjVQLtD/yQWD7BTTa3swaICqQSiLxEAy4cULo7F+dHysg6oCntNlos/wlKbMazoJ5+15DgqZwh jP7EuTgEEapz8kXhDD4cOsXoNmG1e9gvYcu4n5qesGRh29g9eYiNIN1wZsoj+lytxKONYwPYCdIW CjToxuCeqfpFk+SaHL6z3f10SseowkJMJY7RJl/FxlMM2H2kBFvErzRzW8dt1hjq6Il+O9a/2v+L Snbz/N0g0LHCSMUYQrhD+KtUgsEah/hdjO93O8cMJ8ckKSzK5JFotNgTB0i5xnlRK70Bl+Pv+np/ J/AkjZY/0LGNv7XmInX4atEd0LiH7wZK3LJ/iOZdf1t7Er1efEeF/ODxRZ9DJ6qjNLZoD4a+QABC RBpTxXTPOkPm7jJzVyAiP8HEa+chQ0d5xR20rM/0bbZK0NwZVncMwYn/nhFhrk4wbDg8sWf3WlKe 4bTEJlyw3x/fXZgQ1HRqC2F5G3aT8sutV9U71G/6LhU4kEYk57MVQBxdyEg3oVnODzYGl0wZuxTE SQoOqHU7mti1VvkyECU58UuTBFKIUreVNivzXsB1defWocfmCx+AEI6GlYU2pNGyTDC4Qt3lRrY9 zP4GC8LsvShybB52l3gwroDiU91vfbSIFcTBQ9RQx4O6jgKFIJTlGk16hh+5vA2pGSuxCqt/kqeg DcOa0RRMuyedWikBDBUcHgCDxp+603ZeAXcKYD8Bp3+irXOtGmuHlnlINQnzAmuJyCkCSxzPoUrT pNIh3B/19069hOFcxF45BxHM/Oyj6/Vr2qIZKFB1QgirNKadD0Djr6AYIWbBAOzondP6NptmJvmM xjpD52nDIK8EUUbmJ7Lk6zpWaalzO+/AGtGbQY82fenmEX2h3TiZUG+zVlLfzDKwMAgBFh9x9B8W wJ//TfsKf8470/djJC5URGInXQAsbV+8lQHDODlmLFCxZSEM8fWwa0Vm8zMt/Y/p4Wyzz2SIczj+ bEpovZcemoBZ2UXL7npxAWnxvEsPETbhUG5lLgr8Qpjz+Feq4m7l/AdheXqa0c755c9TTYVbuD7r RvCqBSOY3KtEVPm20ANYHeA573DAO72z9iJBBFxnvF+OrZ+Yel43GsTKg+mdU1q9xcLxmltPBnb4 BDpsUutMVKtpOz3gWUSKjyhPB5jFFzCYGb1phFRZ030T/ywogSGsob1nXpiG0TW+iC8zz0EHvsJG rxMiLI3fc6x/jeml02X+xM3yBNUGgB6XgWjDIpYY3cn27OhSw6WywCBsOgp9VbC3AnP0N1mlcsMw FGDFJ+ebfChfOKxtBOFuQwOQVPPElSa8KOThP19b5YVcvgPRPzGPxF15WgtyzY8CMbRomt3oSWwa SdzZZrYTmhocv+Dp/LhyImuX/jlJ6VD6HUs3p/A8PHRfIriHwO23G+T77M+CLKN3W7YDzNwAge/z +8n5zsVCbTDoaId58D+IkI42jLrDBOF8imHaTz7Lcisu2eFlW/UK2aG3ZFGnR12gqJhbGCexaAxS 4kVCqIs5Ikd0qWbnSrfUzUU/Jn7E51fxu1OqHP1P+Ur7YVvJiOyU7sJTy/8sJ0j+ZmNGAXYq6jZf zvbTBh2B09vWK+p2DpctPCVMrziV5FIRWMPmkBBM5b3s6O7veToatfKyqLSbniUkYpsaN22l0BzK t9MVjvRaMDxeslwknBaaGDr8arD4C9FYc7BUjaLeTrPJvfK1VlygmHoGoiT9KiHXVG7BBXNgUAD7 d/VXmfsPauyB8h3pedg4Ior2SWKLNAbpP07/rrwLPQ8yinWLGMtizBiyqvdmTnxhk1XR/VkPxIou eJZGh2vp8lXKeMr87V84xAlNk+P6WE4e+MuvMHlyGJ2pjA9Z0htAQBikwRe5jQGBgjY6qcCgam5G vBrtvfqB0jQMvcXS0d1/R9AMMc+rDnK80CKjGjua7zbikaZH/ZNh2juUekCGLXSNAdos7SDKmkqH PnhcjDJ6IzIpwl5e13NhuxQhHl48SGL2IUgH6l2FkU1GMxYZkcvbMOact2tyWKC5SjSAdysz5Clt 9UVT4S04c27JTpvHzPcnqDnanEWN85IalkrMUesagOacZu3DfzBC9I6Y5rDtipO4esnRX8tnbvGg c4nEqIchjgF544C/AuenB+ODoNVy4Xpz4qL33hkDSGihj7a0g/VfYVY597hyb9Xxxe1B8SVYMMRD A3mtukXK5Jpglle5cklPV6cC9CCx33Qaq8uBYCUtbyw5q4WG/BbckiphbXPJrJYRIbRIBT3AJXoX 1xWwNvYQKVki+zopXSGZf3YMUgrUVdHZe55xC+PofYQjIzYDZJdBdOx1q3EK/Q++zXHBu+HiDTkf T1YtWYo8gu1AcWWP+EiUzMTCu0BFiefuy22babhzh4tLPc5nnmgcc8xavLyz4d2q6XRLmIdvxSCv sso/9/yo1XjbztudMN/yrdBUbdqbOgFMedERhG9Ue2WUy7HFEdLecrllS0tWP1l9TPqbOo/1r7mK iL8js46Cmdgd2UkO6BeAwKvHb/TVmpJ8vr0uGLsE3ndSTZPANQgvv13YfmWh2aOjho0vYKHscvKN zUQheWXkTjMTPbvyk+Pe7UInstVg0POEez/AcA5mKlQ0L6Shs37g1y9xwEHgNaYfvQ/Jis014ddW YNQ2euT0rWXYsODWgXVf8HL9EwX2ZisimFO0rIOpGQOqb/S+bjQRnwVxsTKXiOeZ9iHRmvNPh6bK 3R5VCYNknr80Iqu8P3KCYVDIrS3DQqPrejwqcyMo5cUXEFRrIyZg2BonEhRFDA+29qSOiq15juCP 3D8VJIwzHV6p0x6E/n/XceFYhphVn1Q982SqPqQZkQJzc63ggArhDw9rxYIwMHxyIKkhsWWiDIIL vwki4sgoBvtFSKiG0tCZh6sce+q+0ZIZDeGRPkQ9Alhh9vnBZjwA2zfWB3P6U+pRTtbU/0+9HMM2 DBeSZ9QJ9d1+8+S4dV76Yp/gJ/1DZf/FqlqrSm1mOAUzqWLSkPl+GahRpKGmkzplp8AoKhbPXZwP +OBtzq7oRYD32yB7rUTwHaLumH9AoxSRa81eToOh0ys6zwIAeJ4lcjMCwVBSBQ8rXF2WnOx/ev4O JtGazZcG9KXhuMA2ogWALjDH7s4nzkEUquACYWNOycQY6yxQSQ7FzKrKJEcqINtTyRrmVNNDffGf /hvi1YhepbILZ3pAt05YjRWET1p5G2zo2e41Q5IlwDwIQWTOD4yb+nVX6OFGJdMBnwuQeiIz/YYk r8lq0mGbtaTGZ9GDb4y9gcrmoKXOf+zm0+Pw6V1x1houk+6IwwciRCfOW7Rkm4Uoe/84EvsuljtP 6l9KTVouny+qhgIl+/Js9Kn/NKGXyCLYUhQ1UgrRPTLDQvF/WXZapPaM1pXVBBji1iSs23Pww6D6 StWHwj4cRL4rhqemTz1BYeVwxRX4wIL9f/EeNF2SkfpBJHkHGIsx1s2+QAGtlijUjsHsgjCIwmyK ZunRR9mtCJ3xXxOgcutX1rJH5Kw4Y83WcqfcVVVWFfsrmOkZpMNrmYB4QZSDZw0ZgdFvPY/JYXWe nrLUFnAqEfI0nSFa8DtnjyJazGRbRMv8TJB8Fo0i9YGnDcA7MAOOT3iZISXKBdC4chIL8mDahjyk a7Lr6X60KhyPr/jxA/B7+/dX0ialXs3xdX1ksNf/d/3BSqnIBREa3MSrnnUfVXYiO/pPXC/qvq18 hRgciJyUAdRwlCc58zVpjHfZTNflZuEkoeO/3+0nzy/KjFsDSHwk6pUoVaXMv5BHYEBQ2Xik86Nm zO07idaCip+RSZlkKGJZBP4R9KXn58P0UbtBbL8MZ7RdgfKnkax5IuKhmf8JtdIfBxlYxou/PHze stC0/SCr9nrDcnOfkg7cOb8M9rkFe0Tf7DlUTGc0PwwWFHUA1bIi663ujGD5f9CEVQ4FlzipR9tf fWOkNLO/ozf83crMeWH6y74PkzlYP9I5wyeTGCGtHA5l2pFwFO4DajtoTZlcLwT6GQic+1JKiEGA 9hevNFQ0GfTkEd0n9nXd88EZkG3eUThKX635AQeVKy6NAyaxyWXoA5gNGG/S3Z7xNl/fUsbLGn2m KqC5GObDCMxno98yMaxQiV36eKsmv4RRX6YSj05dv28HGgKFyin9TiOEmnjKd5cOsbxFULI8T9nw oKUSmR/DpiIvdejRnJCFyVioEiylb8pIMyyXeVqYPxqCDsEdWi+x2FvcGyddncCQ5brJhwHSnWth XvS+5u2n3jzzkrIKnYK2GSOYQCe60kcPFbiV2D118HmFmoUQbpXuM3F2vsTiGtp6TfVKw/Dx6Fo3 rhtGMR+cp8TGZBYfI+BXbKGszFRQv8Ax4pXCzMVsRQ5AJlXU3QQBgSWSJiegUKBUoZHDJ5oLd0Ld zdbWO5Ge1CEIQqFYAEMT09OQYdLWge35Ljxbm56nBJVs6M9F4I0rQLGlik10iS+5gHirQVm6J/aB zeLNQcjZBq4wQXe85ZZglnRUV4bmUMOz7hApcCserqX4b85Y7yzwShL1JaUs2DKHSmMKeFdm3O0t +20h+Q4FczNmt8WV1fwzlif3n3mmGGF174KskO31jdNJsWyNuH45lIk128D9SOuyhYUUYHPIkb1W MQa7rm1tiEuG5Ps4DDnv2rB+K7RWFhR5Gf+U+miEvUZeJLmrQvPxl5Xy9z1TQiaLwePeyEhs8D83 /tetUsqtjdINIw6rQPaiAhmyQSY6p02pzC3fflx3EK065vM2Kj9t0o1IaITHFqz1fc38s4JbKlQ8 +1EQ5tbtd5xL9/TRE11xZGF9ahXHjqrNpoFtWUaJ42NfcEBrEiq3q/WSvT7ti/YPdNntJD1SCjOr U1COxXLrK/bVbhkBE38GeqgZlHHPZBWcVK6fD+2xgTzD6GNws5Rx3s13lzSZwNQwefXfGbDBDpKj LWEBAydf6PTiBKH8DF88ZEUQBnJJ6fYpiIXXFkcONgzt6txhtFpf4FAo7EkEWL8H2RQ5xSvt1bBQ eGpgKmIHXZeIGKSilOHLxpPzuuMDs0Dj2TOt9GceEMBHt8JlRHs80rH+/EXXJ+ksnDDMyivjEt7/ oGt7onvkNPVrr1xa02pvVKVNq4hVY1XE+pMpE0/pVTBX589ony0VvhxOhrIHiCeTKXiFzxwj+Bqf VJLPlrEt27zWmZkReNC8sLegWRclaaL/CLvbI41rLp3ELCmNbk7QZNHM9XHnStiBERXz8OFonYio F4Oqk4kUjHT/DAqkBceYKFKElJBOiov9N/h2xuyAitaqBJflI+V9R2QQf4a6+gXBT2pD8wJIaWpL i6kVPp3xoJPCGhnPAj1jTqhyztn1zMA1JvkRgcA1VpwvlpImS7CcsBryMIFSAvgZH1iD4WI5R/Mh /D6ayNtHyqV5d8Q8OaY30X+IgvttuYvHM2yMEngm/9jY/aulAx3vUDfy2dmIAffmlhePgExxv3gF QarzibiG5tH4VEce1tUWkjrDGAD76TRC7wOKjCySBx/R8AgIc8DbkmDMH3j95oLXCGGWcM/yZex6 8h8w/oMc/bTCBJSGwZeBrANAWKAIwza3Ihr3JGN8QtZFcnugBRiAnftI1TBU0hX2qMfGBwSJ7s1A 65kK0dpO1Bt9Qok76I313GjijYyTwYZVeyi4/rSH+5oIdlyfjxTuoJqGwkRz7k2V1FCKZXPOaKQi P1Isgj3qYTYREs4pOlhCxw9XlIjzUnVIv8DYaXE47qhCYtKAV1e091MDzoiFUnSR1920Ni45vXJ3 jejIxGJY1rfgU1WFWpaJ2i6IcFWFsoD3ftKBwtmLbDjWCZf84NbfgUiusZuOPLWaEfOWjPp8DCNY 5jRifae+z+T53/v7fwiP8CGXG84CzUt9WwDU5rhwVgmtgvoDPoMJmQ82i8XfBbM9CBJMOLTlQ7NR mh+tmCkAjOKOr6zRnnHIvLz+iNZGhNmS2YIJShxoI1eNAF+zUfwHPq9khbyrJCmhDmlS4KdDThpy eZaZ/iYEWOZTUKGiM3WuMwJuuhfQHIZDv9PQX9R4NfD9ZnteRyd/QcqeJ0Kdqm3+XPihLvtUJ+Fz xGtZX/oiW8XkpMg/+o101yzR6wsnEjM8XtcAVhCpvPek4cla4Te77MwVzZ/CadWcd7eNX6ikVczx dNgxCvjiH1lb2WpEbx63IhZaDnGLxrerBk5GjAx6b7PI13T3almsMcgR+Y+f4XrN94K+8EzCL2Ta 4/18380n0hDaMOWpZTUrgOgXfLdJj0WBCyKZpVD42x8hrwDUmwqpC5HCa65w5DW1c9HOGCdWy1g4 HsnNJVdjV4rZkbqWWEm0plm9xyREWG4v2PUjK2NNAnxbyr1t/+tBtn///WhOedP8Lm2vHNX2yncm Es7vSZvAw7cKcNpthJyqrtOwTaA0Ys8LGEfEffXPogEoW9wh6kbgGuxBvxuhlB4+sye0Nn6s4fRC oqci328bthWyJr5c2KSueC9EMhaKQXko+wCcUditfI01telEl6Ly6+QnuF7fg4pB93hyjylbI4kH ZLv81R0KkVuwPAtBxHzO6252VlerzH0d2vK3GzeUVfGmLxvtcFr6pjLmrRZ5h5vyAhu7MOLjeBv5 dVIVAXtaWEF18ku8LjVWZIcLMjvL7YXTupD9C358t82QATBUE5ktTTNdjM7/2TEx++N7vO0QKG3r JKLDpOWjrOBAoYsB3der3gSeGfQwAwAwMfV8rMH2+7+kSuLPquZe4wN6eSKIkmnAk3fXd2Dw3PyO +fvZe8AD2+i4ZDke5W4J20iNorg35g/7QDHe9Fbly+f72kySObaPPhRhHkrqiNICSBg7OGCOQ5PV Iet4v+hizPcZ7bPF0dLdiKGwfGqtRcho0b3jeY9uuu8D24TbMkql2gqZetKu/b8h0JjN2BiLKr0z 2FzP9e3Ad5z5qPcIOyThf74PoYLFxPOwRHkd20Z83eJLR2hq6FngIZTweu2qXqZJr2c0iqXwrd/q lVWrWSbzFjWsKqQTzFODS0DhNN0tWFcCeYUGsDYWR86isuUPhB27rWq2N/vIfm8C2NG7yG9WQNMO SC9xtAupUDtWBboLsz28dwdQAr/W8ZrsFB8uAGEH6nci79bJS8dqlsAs0jT1tlUDAY5qgEtYff22 onPAZFMWftU1bCaWVMglM6LbPS78AqVx9KQM6HVq2dM4tnN77EbC9P0lwbWRF6x/Xp/gH8kpimo2 A2dJmsFAwZUfmAEr9cyLd6eKq5S6tL+J3RtEvOIChrTAU+lc+siGJxVm2Ard2uByUy2RifuRuWvE +7w2oeVUQ2q9Ij5QL5bm9gsO6QkIuXPJZGJfxdxulLAU4jDPKGbyQjcwovxpucqiygbvZkj86XvL XJRDF8mPYxAyMXTqysFaTR8no3kYyOhrnmPuH1v/PnHTzp1eNtgP25ql46a3UVh1nRPjvWwuiwGr kQw23ActxgeNmsGQa9OmnabJU2GYvjKfa3mCpA8eaSwDLSkskdcguq9LynkPzlziOECSmvzrBK/e rd5pzQP7X0x6gd4fy3hJ6C+Lg/U9nrfhP1fxTMCaqcJNp5oUkkUvHHXgEPdLSg7gzLQwqixoMQwb 7mLNqaRmEMY7h9UN9ZAVCNXSoIEzNux3x82nFYJu16koMI0tN3+gGXkkXWXI3lJR+I+iSQUxyu/3 w/2hFyvT5XS4bUFpsO0+S+CDcJeTmqHPkcI9CV4B2lNLAD73Cc8pSILCdJv4FWYqHoczekWitsVS 8yuHbTBHRhy27kG3PeoLeKNemzb5hxSxFtgxCwvqhOzsLJEnAxCvi01lGYlefzpkszec9WjCoDd+ 0qZaVB+Fiw8iCFdETQFdnVr12YQvUnYtwbOcUZA9diHWG1O2mNRoGrbORhU0LqZKwjPusmx0amYe anoQwgn8SYc83VCyMVPwdw/ZEh9yut6X+Gl0L11Tm4kYxVxSOGwhNLRe8WEb11idaVqv0WEbCw41 ifnL8CG4Ef1P+UWlgSX/kZcqX60lH9Dn6SaPTNIN7v61V229z+KY6yL7k8dveUZleR6CexULjVGp Hc9lryqi7vw2FethHq1/13bmIz2lLHDbDcxpl5DOWHT4M5LhZ+80gytszYpRga7FXfOC7brKZmBm 1OKNvclQYiij9qwfN0S4PAKJ2PpPnqfqlApQ8EXhZmXQ131rdNDAkbEtqAHvJwNWvmIkolvqn4Td WQdko3+oCHSA52QMRqyQ3eaHc29FXY5zEfMTF3MoBTuS4y3amyINs+kE0UU5a3oj33uXYgRkIIfO cfEc9/cMyRc8s3MS44/Mq30dYYuIMMPDNX72lZFpRh8IJLJ4Qa6D3ykqmd1PKopynbUsu+k3pkB1 EaoaJXHIdFlPJX4W6yARIFIb+D7b8ZDv0CDxV0Tl32m8k3r6rUhbe4J6xVssZrZK8bl68QGfPAH7 f2cyjatt18tjZClTHnbPGTDcTsq1yRm0d9Ihnqt5gL+1S9wrgEmaIUUqbIX6XdB8UD+PRzc7SdXX 14P/c5YLcqEIPMNjKGJvUHTMO/RXZQzQgUd5RIARlO6spkRKoqmRxBdsSJiBLY9DFq0JxAdw3FTe whQytySn4qr+qYK0spERSYLSNzkUQC6hgCp6M+6HJ3JW4K+U0DL79DHvFhe2ryfQDvgcxgEgOPUi S0vQ5HNBOsllpKM3T1jOXf/m6ruULqEPJ2IAgKNHscaif+Z6RZUYLyA0V31BRv/lvydCb0hZKQO3 Dh0zDWkOZQKEiGrhfMaxUFIKnN83iwrftoC5t3rzkAkv7Vvh1/lQW6AyCZsLONaDfY70eOgT5h7P CssP6gX3wIkw5NAJYLqh9ld6MsdQhkiF/pTlXd8KQ/lib8OQKT9z3VCbbADFxNnpfWhGDhG4z+Fa KpiWeQFEbgb2bPeX0wsoB/oUDg/n5GFtX+/sC/vjW+nLwU12qRNaR7YmQc9708OOK8f8dYkzTJv5 EJHALt3wxw0Ds0NWy6H1DcqPAsCxkb2BWXE3QJbGZ0s4d+N5uRvi1nvY2Z18PcPkq6JH5rtNnaqF KJlINSRZkTCeeLedGghf1MQoL1BNkMSsSfEbuhl+4kgE0AVbkGxNVdooLwq09SjeNOfKkdO1u6sY x1V5/1fMahLpA8FPaCGR/qnxK7B1uXGXNkbTta+ZZEjjU1s3pJg8AWGV200p0dhf65wTdeW5u8xF e0V3TvC3C5t5O85rrU7bxoURZp6nvvoIFyfgez5w27SkGLzeUP5/T6fy0arDzFlbs4DRAEGo7fjE jldjxZsqFROffA1ICo4IcUAPDI0d1r2I46pGykAMV7vkE5YARhlu6BizAvh8DqSSbMXGMZd1wXuq BZLmxYKXES68KGMV8WsleHHJia/qmb+sUFYw8xQFUvMjasCmUt6JO8DyyX5MMrRGvps5gcHge5fa w+NxW0NEJIkQFAbyHe9+PyJvgwNo1DOWx3cLwwC0lTV8qcXQ8XRcng46HMISsWyGEa0yzfH2HT7o gXYSvTtU2K8RoxHTRxHG58APBvFmTJjI7S0hBUK4mdd7tnIV7ZpyDbKZWNXHvQLN/vLTzjyRIvl+ 3xqHa4I7CHWlSQcWBda+r7OCjckm++HpzCRQzIFH2yU3GxU0OXrAEsudTX73T5RLzK0UxPfZckn+ lsm64ul3NrFu68PBe0nspKU4uZaTcfRxoIU2O16XVL73K5zWUhaoDNsdx7hHgCFRDbTH3MlfKU99 JmlYTiGV4CL9LWCQ0ZE6yBQOBdzyvDfAZPsE4sRZ3XmRUolcS/9ox9I6/Zof3vf/Vgzc/lRT9CfI eWkEsTYMf9sTkgOKv9n8qGD+/GXjnxtrxXpYsxPC7J/M19XsgFRk6h16I/BUIiHkZGHu1W2HBQKd nYeDHNmwS07jGFD3vl0MepFrad3Gm8JtupwTNdVY7O5NVEZTBPaU04FSWMFgzKjux+0bhAITL+N5 ckqpskeNgPMCLgd6avkmszJZTzwxpfSFzUjUz5UaiJMRkQvsGlLcKRmfPpVZoDJHtRcsHVugjDQL udBGB2XieatS40qfJwo2xYO94oUGuSnhejCDsx+t5gKKpYbtls1cTWTHADZgbQ3ZUTIwYd1UZYtu BmpfuCMTA0B7KJJPYHGOflR38C+FK3hYe804e/feVeQ9DiJwn1xhlEreiKFaGlUvJA0+NEyYzgrm kHVlqisOt8zj2nL2dEsbtX33TXKZFwz+iYNopZDKrN3xib8EROGE1Xnisd8ddM/87+wQZHBGEUAx blvS+KY+BqRbQB0CU5vvPgo5ia4KRXKzfIwIE14n+JbG8uO/37z5f+j6HkQUWLOYzjM5Lzmm1igy IPHAx8cFcyjcN3PDtrLcR+A/b68KDr+NDcXoQ9slAvU5bXR5/clT2Gdz7aVHVZeMnP+HF0A0XFf2 E8WgmA8XqxyN51G9Qk8YB7F6Olxi6whyLy8jXTbH/jIRzF0ikyxhpQ6l3axuuoEQCf6RFTTTSgXz q5fjXH9+5ecb/lwX2NqKMDhZho8fK3XsgxeJbrft04IET6nej9K4UcVUEEpwf9dKbIOnyEz09Vz2 5M3/o7Ec+qEI1XkAndA9zk35yWwLEEEXxyrKGgw7cluYrHapVdIKiRUVyQnTs/9uqiiVU1AV8Nud uH5XgPOspv+HFwFXNdYa8HHnUXKn5zBuDHPGc7zcjFVNN6h7fRfFl1Khe6Dwj08oX1wQM8vcL9Xb On5ChNNjMfs173xmx7Zuhjpw6TOVv6E37HgjZurMz8hL8CyNmhrW75jlgzCv+uRSd8LlYZPuFtTG bHr4RRnjzgxLQCqSPwnCrPsPanviRoXtASx43sqxAnVDMMpjayOScuq8SbplLqyLN6YHXyMKmcW6 EuRYO+oMqY8SLKBjgkGsq4Lj2HtrZzoTYoJGM0Qn6OBOZvZv5I6UAPkirHTf9N9kNWnT5Y5bTjjh z7zEfAP//AgJf0kxs/5Zbh9W5WkWZVFFQ9Zkv4RaBQQctK/54VEInopQUzzA5v9vtsOVTlRYQzft sGHda0NjNaMwoZrduWDR7UJHBu36Gvb4CGosiFdjRw9M8Vf8kyeDdqZ+SeRH4ZEoIW3yjMhln1vf vbVAw3KuQvKaM49F15GumWPf5HIYWtxxOgzCCI9BRt+JuFbGZBD1Z2mO7/6B0dBCgLTiDng50b0X 6YiUZq4x2L+b1Oq/nraZl7h+ohS3ZHB0MY1eunUb4fEMNh/uctOdLHpSeOuw4v7e3TvsX4c1ntoj BdA7gE+w7vrMdCjr0CxFnUGxSWpyLw9C8mxRlAc7pO0kxGALAOveNtMb6rQnu/SmS13DPT19vX+A MU0RncCDHzSkbiwR4mkW16sMpwuaUvo5PpA5TpdXyyEX/Ozj3AwqywGhCyoVFDZBlzptm6a9GMit L5d7g/gsZgATsuoRNivx/mZ+NkhAN85/eRPWyknL2rBlL4wkcoTbnFYwWoEYg7gwfNSjLM9WkU3Y CCCrcud+v6o6KPJc/A6Mj2mZIqfFf/MLCou0Z2/1bIsPiqKBj8g98Rg5wHp+iEit/H7zDCzQ+WSR wsH1pflaB5zjmk/Ik7HosspQr9PGIwjV8ilhnOuqPEHWqdvm5sfc/vBsXtGMNNIJhJCo0nd6YU/4 Lu6WA/Aibg4mhVkeDO3jkPEyBX2BMUbqejGofwFyjTvgzKupnpPy2xGEIjWehJ1HHhuSqoo4tqzS jIRFIpIVmkKT5mD0KybezFGnvaz+ehh3+zQMl1MEpDqQfIBlUXgr+xIu+cfZ5kezlHm2vlcwWANz hGFvFcpSFSYaw+E6AnCrjrE2hkfkHLzkH6fsRNpStCIpa+4Irl1gxDfXTllHuqlcEMqgoWK0fKDg FpYjqUnLBjw5M9PqqX51myH+J1o9+sBTBxntJMI6ldIJnpjdEQqzTkrIZdw8U9cXU0QFfUoq0Jt0 L5qH7/4gKHSQq0qsDn/ksuCObQ+EiGoZh6c0SJOJWgicBndqTgDPd7bRgpBUD6qCIRZTGiBCvgzA 5GGa+yGNVaqHlh+DbyZLxSMZfvG31owz+Jo+cOkO3NmcuuZY5vQpja/FXlyjOxQorcze+gxMkCP6 7EqKP5VAQ1Pu0iwZ8fJssFk349P+Ax/ouYpU7/AgeS9zsS0libAqhyShpuKN7xz5QTBWrepsaiF4 iyCqFNj7w8ymvMLcZ0l0O1JjxePdFhJbDE1cBrt8LZqZBnaiw5ccKVyAU+aZ/ALBeP+oU72Wj8AM KKBZlzdWAC/AR8W69uBHUz1roSZQ7ptr5o56BUnc6K6iiC9/eAGX1DEjbkp/m4IrC4bbTLFNmFF9 mmxiRs+8ln4Y86yJ/Uuwoji1+06ynCaSWJ22qM7HHHi6VUrYBoFAENT2G1WTnm0RDEDHqJWTwSby +SBhsW77FqtK3Yg81OOR2NBIeRc2FPG+pYY6eqri4twU0LVqTgejnfYFP8mLSwC+Rg25XkgYoHuh SqlqQ0xxB07QhH2YDPR06XyQmFS2w2EB4UaqU7bs8ouG5kzDfXKdoLs+jdAsTq70AbGpGwD3Ejzt 7/s91anGVMZ02RoiCA7fu4WgqCEtzupIz+yBpHvq6n8OAx1MQuNUyEsXKCnHbpkDDt6iReX1fgC2 P9Lj4SPNSKCNj1LwHL6aN086lsgZSAJ80MdgQNxI5EMmcGAV9zzTk58NzSdhE0Iwm+2N1ADaNVdR XQukIr0gCGl0gbHI7kTd2aLu9H/zvCLZu6mJvkhEbgLFp/rrgD9W7omHe7qCxW8iOOY+pVDY4hFU 99+ckhGLywdE7E5YQ5liWRFXaQlGJDcGW3UIlSC6S5mKKwlp4FVGxwpErGOwuaAp9VY2dzhk1K4Z SJh0QxDA7aJu4nLkqqwA5mPUNeY2lGwDuCXMI3/yECPWXf6IGyXtmvN11FipegHKDsN0+VjEOv0D TIz8vbvBfrk9hziMbkuPZyh+Mo7ItcV6VTnbe8zlGV0Fg/sOHiYhxAAOshxJog73bvXekUegJFWX XHSf8gkKjcXLX9V8jd0i/dXJwS1+0Ikn6dnJ1ewV3mtdQdgqSIAemuAXDrBvhjsnOl7Wml+UQZd5 4VIoqlwkdrrzXHhWC99vRSilZL1ngu3ZELaLdvfhb4apYXCbweukWOjIdvU/OOsakGflxzoUqC8A 9ybLp82kT6M19ZtOaa5zmY8IFVOhNO1V8wURZYYsqgtueLYC5Yrr6vJ9vuvK7XEqYUk8BDR/mG+L PHtRNNTdpd42NSgVO69E9pHiYjHqOxfN/k48TiAB4Fy1Ir7w38xcr/uR0eZPRfcf8I+qmLpn+2C+ NwOeaiaz3deKTQKGbSN55+gQ0gLkbc2vi/UsCIb/JplSpomFCIzVuw0jmci2Xq0p/PucfUOULh9l frtvZByI3GxUUWNrqjDUs7ZYRFcQmkSqu/aNcc/gU6JiUUf2yARKoF+Q0N/E+vbCXbO+RgvhUsqE Xbt4DQUnI+04XTQTOyWZQk3TxoEOulYL0SUWYZNRyOfEheEIcBpXwhBFdBWq2sj30Se0dEPd5Yrl +oYSLYPeeGjZwjhvy7KuPhZrOZnPYtRVf7vbU60/B2K/iGPXZS445UBvqUYTem/h7/2y2d5PhDSN f1eTbWunM84oMVheUxkOT2n02jvQl09BHsCnuTrLonL30OJHxephkLPKv9TdLirfaXgdzHFq3rMq RIyfEHbmD8OFBgF4H/JKFREtHwmxz5imvB1KDnKdUUHDZ7QpSjDeVBfyYy+G3vhOiBhbD0UXpx0P xRh8BUpJf4az96dPJNPgHF7eYJrnHwA+3JoKAFAlQmw29Z41qIg1KAZFflWcTbEuw/rMd7q1iaxH Mz0Q9WRqayIShgeCz4xFPfafmvYDv/b6AM/nwzQQRguiKRiB3VIKXXpDgHR+G+Cre3fbO3/EREZ0 BbIx0kvWjlvIwludbHGx4unBI4Hta9/hIG1rqKBqm5J5v3Lo5jiB9S3QO24Ao2pxrXO2uhlq3Ete eotm6kpKBMqLCizabJiDa+iBeGyX72ZvAIUJAdlkIkXQQQ4EI52tJeuvI32Ludtz1jx1O14nsomt 9bZO6V7uoctmLTHQ5/0hpd/kSeASlduZEF7AITlpT2+l31GT5aQwt09ZDn7gDZaJXbuvxYmpCaDH Sc4TOtTbjq9nMB75bSkmdilT6zRx4nSKqLBajW1WBt/T7ROKchQZtHuIxf2jJljPa+VICdsRMMfu cqoaFNvSiJHYd5F/PVrJ5V/EFm8X38i9sLsIA9jSLL+UOG6qI+MOCupn6CeNkX6jZ8puiJu1IFAh 8dWEyySbRiGRwCsVJLDipCjKbdPD7bFwczo7Rdcdi6VJS97JmBsIyHub8M50yWHwut0jwSOJfQxi /LdaLukwMUQEya9HUEc6bm3CemgQ6hV5kG4/9TYBqRwOHRBIPzhEspeceZ5hBh208fOUJthdn5+Q e52Ltexv1E49Cy24hj/DYIPvIbtVg0MjfTqbvDYNJmA5p3KsPVFn9i7oylrmh14GiQqNdKrQmdEl +USogGxPkjWAzshc8wOMxhAZaYEYLOX96vHQZ7iCX9jM3tuudOLIoj3gMGTGd/hocgkkuyrpR6P/ XdbNNnKl3NIVB+rBgfLTw59JeiMoz8ukdzhUncYME3LzSoKwNZHLdhNLf7rQpnAU2MMr3R+U5MTL lpyrUvRngC86Xb2I/HmB6/OHQa+oyt0ciMEBpr/whmaO16zjrSU//l3KuaxV8SDNBQ8SoQ5UFRlW BI7ggIXZ+Exlsf3qRq3ISiwOhFD058vWha9MzuZLBDNPT6oH+0Gq79Ha8r657ugKB+tKlqKTIvbm I6wPA5prEo9/sgU0RopJO6mubi9YEEHBGuD2Avn9VI8Bm/XoUcGr7ES8b0Y2vpWPcv8Po+eljxTJ aodNw4S9YGv1r4QjvW/SNb78CsSNCbBgF4YJsMH8BfvjlLkMntjrOKhM42230EW2WJHF/eTSVr/M Rr7CH4Xm87opy3HkzSdHBo3+/j9eOiekH9D3xrEO3otakjsDmFE1JiL8ROA0L+7iKoKVLyY9cZCl NLPXjghmzK4RdIiuZtUYZpSGx2WIOu2hSq1o3OKDoLo63k5L9EZEC4Y5n8NcMm0pBk8TnSCC48XU VkJovB3QOlyurCoFt+iIUQ8+n6u50ByaCb7+Bx3nTeHdyAy5Y0i9W69lDn2tOLELTvq3Qf2n6YwI 8+2CWKQ+sRR6HWbWECFUJemkzowKXAH2sMUzCh7cgiOJFY8RyBhCev/71nq9WDHCX3T3iFe/6YXR AqkW3FlPUDf26iBbXoKHPeUyxda9oZ6z/zJGzcYd53OQ19Hrex3xXm2CWWLAAOZnONpono2tFwwA fLcsvB5pznOvSSXrlUF53Y+SfypGPU5igWTvZlQt6Km880DAhKk8eYHJR2rE2pKx5jJ+AyfvzbQo /M7DqzIRxijFc4nzYw/cPthkx9WkidLgRR45snsvmFWN2wfh6kC3p0RU6KpO7lAx9+qAVPEVJXpm gbFYsoRm1DIJfnVJHswLr4y6zrIq4OX8PKCdb63jxWyzFsX4uid+/OVlT/d+aBtXXzPn3ONTcpDF zRkCcw2zvzBb3DAKp2IxmlTMSsetmj/h0HctlsbFhZ6TDaWtqo/VyS1q7gw0cHim/hJeAjlDhsza mcZleQI14KrFOxO8gSQN+HOxtHVDrUw/18IhBj7wRjazU0tdlS8PrQzfc8zbXN+hrjU1bdv2Xic+ a1zZzgb3OTK67+nJNWwnIEbNQp9S2G5Mhc36gk8yPXU1vxnVFmZAMccwYzxTiYravVWk+w7pyszS CCQkT3Qrl1AjNVKcTs01134ibvFSfjTryjOPqONs7hxR4l01NUBPPFOgZVh3Ya/0LsxY4sq3EYw1 v4Pv89pgNKhpzQIBrQKojFgekOhEplZpdG/LU2g9uMs6ERO69T61/VJ8VDCjATDC4dr9kBMgxJ4E 0SezS27n4zQZKdEf5I9p2QY30vxbst/uMMXNX7SDlalfijaMUoL3R4h8EZq7mttaZxzgQk2sz4rk qWVGAhPkdedJrJfhuYtLf67TYGBrp+CmGjzFK+7aZ707w6Jmt9ed7uQ713/6HcSn1uL/JZln4zA1 uHZuZQSI8lQBjVKIen2fVL0WRX0PKPdsZh/zkNzKEFDqhFY6QSziZ6oXXAQgvcRJqw1bIzf9K795 P2Bxs5/R2B3T2OQR4kCRNM4mNmk5VJtFoQa3RmfCH+UfmMJWHy0Kk8yIHb5o0NhLRHDj0l0ste8e ImXgu/GPHwf5b7Ww+uCY6Eo/ceVGpvT8c8KFJIWRRaL5+kwAuqYusLkKnhaCSiQYdsJuLN+OP3Y6 1lc294acnpOElcnGB/WxAfavDtztFpDxpVP1S8HyuLVI+fkUj0tIwo9ER0C5UfytUzg686S1ThOr Cxd5WAj+z1zoCiuxoFknaFwILo3+WiW0WXQRFFhvEaRc98sd3RKa/mtpY6X07wDRYPv1NdEIpWY0 qNxoWqeIbHV1iwDzAPgOBReqrp6QtaT6KO8ErcrkizNCx/g3CENCcvKF2/2xMeio/NQfDnu9ep5f N0frVeLQghATDUxj0cm+Blv25B85c6//+kVReZl5/PLZS3k9PoQfvBs5jk2ec/Ycwr/jemYbKkco huvlu8doYz8kuIUOUPChkLoI9KYozbenRnpSQfS4X5km0Xjq6ZWVqXH73CqTpTwf3Jqr2bTNdAfH YcBpk5UcbIdmUquB8glE4Y+7MPdxFh1LzdviUTTx0Cf8ANfETILPa3KhD8kGxPYy2k+ubso8+xWO wDZUZjcaqdp7/lgIpIiQqQh38/Ds4Uo9a3WVfahm8xMi+MByBqYB/H+Gr+COFIyC4BlAG953ukXi s8u2Y283LgkIDuFs9RL3fRcvK6HR7KJdMxIT44DkFdzWlvYP1ylvm+9dJZm8qT2T3h28ar4wyvu7 rd8sgY9tJ1Z/xQPDOP5WTZKGQRtSHlxc984o3dVPzdijVWuR8Fc926Xm3KNrEnWTwRZZpAK8VU1J GPGnPxkjOx8CfiFqxMPE5Zuhp/NggiXmhuRJMv3iOk1DKy8JXWjHxLAjhJhLeCygEpoQJ1agntqO Njv7TOFqSTUB5tljFELKxUR5gHrdIVBibg2M7TGKbNhuVFGccfKG2okecpA3Qs1uL7RauUw8UvbW W4x+VcFUitzC4CSe5bcoRBSQdBhFApILKKejXk5YVHmom3kY1w0Zb+CaYORG6IcZ/lG3DNGx+NRn 99oE2GeecsvYuA/B59nXaqKM233137XwiAU5gIy79wn+zJY8nMWznLUuPmasylnmyJuSEJv94gCJ 8oigjS+aq2xyaeWBGYWBUrAXgFNO8/o4gHixTKMC+Z+RnSVuRW0V+sDTxaTdupFtt6qDYpE1oMNG s3qlhTFDd1KjMWWllyB01Dp+VuJykamIGexWns2mL+Wf9gjbAIqt8dBZQ+hxRNBLXAZ8wwmzclKE CAG+IEswM3u22beS6jL54pHNSBB9q+skZ9UF3c4XRpEsjhrvbglyIyR6B3/Ft/U1XP1jmQryXQsz S+aG4yVv6WmJr48HsDR7vVC5E4VBE9rSTQDxXAkkQU3XK9klpceRkCh4kbATSzp6elLNEL4UPxxZ R1iuSgLo/ygSTLZrvyqeIynaD8apHfFbNf3PquEDosDX9b2AHG2nsvsgEgyoOziRWPjXZFmnns+x FfrWjALHZ5PXGHle5iCvljVmrBaZOSC+PHFwrZ6yBqbYcXZnlARzO3rnuFVz9/trxMKurb4UQDyQ bT9BRw6gPdy4+BbEIjU4ddLm2Eo4IdVuL/kgo+uowBi/uO+xW+ICG2JgaSqgWCAdUYRyUyIOQHOy jcm+rx56h8uSc/TKIA7VCl9haqyS3KRIHT9kI8l/vtxcGRyV9t1BXBYrmHkw2XFqj1sRgJKAJQzY AEQQFv1l/DEpb5rk4fdd/dgYEnMaHcVuHsvm+WysXwL+7N5P+ybhO6Lc3wo977MZg51X14wuNRnE c0RJfaOLUzQFOe2Q5uEhbdQJEEW+U1IbZIeLGg6sG7Deic/5oKK64t6Uza8Rz6WmwhlbyS1rFrpC dU8RluQxniZ7u9lOYP+TR/rCymizUBuxhhtMsiiONwSwfu8vFEYau7bAI/TeAZE31574ldnkjXgF /dRPEuj1WQooo0yVltTfWxgTfzKAZHIuDmThZ7rtHxlpk6NO5cIts+BaEeHJin6wiggNkV3KQSYS epsP5LeyN1eIsPYwwuPgomTRM7C+aeN1Ah/fg+O6o9Lbh+7FOt3djgTDIjMm03jS2qhBRO4hSBZR 93JjOqZ32U2c5LeJxjy1LIjxlqVbvk4KqSr4OJjLi1C267oEh30U3egkgMFFPGW8yOD6PKOBWgGM ccSqlIeCNW6k3OW71nh3YaqYl5o2iYDz/rcSF/b7RRnUyKOi7pl8KJmGNj+QkaOJ/k9g6Svfz2oz S6yCdb7nWMrGygbyU69jdSie438zV6vvsVRjsJ8gYGH7dQAW7tSsXLGbs3Hnlp4DA2t8LgPkdUdp Gdd3ZMum98Tg1KehXODnUY7iW2E4hI6Or7SRLV4hIpGSufbZJ7OFPHAif/Lc3RGBHJ6Wh8hPJhK9 YYFFVMxYOtbmXETopTu4clmW2aja+Ork3YoIJRtCmn4CDeH4W7AdL5LL3V0hZLtDdS1b7OsXcPk9 pC89RmQ5xZ9YUxYwf9STF5muU0vBLNWq9Qufae7kjkimeyptSvOAMWJ2JevEq+Ig4MUAWf/b6tEs qwPPNJLUlcHIdR8ocYzWiZTsgs+9G6jc6K5M66rGkVCr4VvQvZbOeKeiQzSjzEYsCLtiHzWCnhMH j6QZIdCApIgSkXw+G+qAYlVHcE0OnZTfV1pkcYMPiZjQQvwOz5DV31NIhF6HwgVrp0uYwcEdoPpB Ke6qsrKqJRhUpm0P0T7E9J4SzoO5SdNUyEnyElCzNWTGl0Ry9mDQLYlvpmQ9hi1AEkxsxdUwVxNS 5jMkJrJiMLR3OFuiVWseMFR49DyrY4FsQTTiXR7wvMsufQn3c/Axun1nyQmGwzHXzpDxZgUOXTtb 1xa2q+dck6j9fGgo5qUGTV/afGA7bC2gHPK3YPdOUUZnsMYUSwb8/qE8McUuERAkAfMmwvIV14do 5U853Kbg1qeJa7KJRIqYh02myIUNvnkQvCnF9cvqa1hxdx3uvwDfq94g8yFPqnN8V21XyBI8TE4f XUawN92SfcA3TNsx3AQLdfTQaeGKTwzw3Mmwl4RI+JOvG6Lll9n06oPu6JyoS6pEy85VOKovEOh5 xwc0UxPGpO3eIbHzF9II9//zP7/egkpUWjDQhHPSeS85yJ5AfbNvVVtj37RIe1OZmqkI9aoVmLzr SuA1fftYX81UZf8o98lrplbZnghLzahmio6eRt9kM1c966qLsKX31N0yGDQxv6giJIRAyaFyqFR7 o5Ub2rG/8/ty3Xbm1dXwPSQvizyNWDCzn8omw6aZZ84syPfQlPhqk806AqrjEcAVYa/EhkOsqX8T K7xCKrsgzGGBuzjWtadLYj6QoFp13J0UBNA/Qt1R5dWaQIBEw7JDEoXfl0NmmM6cKcipcmhmcz4f aDzAdMK1LnDfUNkXB6omKRVGxzESi8Wky+UZ5ucYBCmS0ARl/S8R5OERudjpXvM3GuGQtbppEyGQ jzrc+czL0k1WQFta3N0PeZXmQApm2cxsikhbNz9sjL4re+UppndIy7RnyDEluAqin1M/1p75eY6f g6/m7W3dAPSQHIZLDUYyqPPeQe7iZR3milnjnCVpqjuDwSzwKqyeym+4Gb/+Pqc497m+q7zDEWqk airhA9yMdyaA8XmJPWJ+yAAZn4PXcaigLuIRDTH+m7dEAZBv80msVJKTpmQ646+Lpw6rLneZHNI2 bhPqST/6ubRegj8qCgyRldK4Xi4h3g6CnmxjPsdtAdi21AdA9Maki7jB9fA/oOMKrS/m1k30cMY9 1DzSXN+l4xKKhUPNIu7EwosMjINQLgeyOiwgdIlJM32q3OLDS1KfCRduXdz2C7qERnuDZdVRcn1J 1ROlMHJlGLpy1tNV7WUT7J/nlN5HT5eWxeprBChd9GnG3uHW0KMauCAuKiPNJQRb0zPH8GAVibsJ iWmOYgJKdobjz66H8YwK9LiZ9YGHQXV4s1mc4MjXKmwZ0GY93VxOtvA5v1mmRChSXkf+wDlR6QrY +tnP+mUi++T2Dq/f9mURnSooeAKzqD43Zs2cvRp391bYH+1DshbSPT0+Ocd42PgGVzXupbNoRXR2 Y0OFMQeauZZQZ4oKgexzPAy9WcJMofk4UKdYgSecvhKutJ7iBrjubBI2v1Yj3JkbnCl3RAyBuaT1 DmrVXnBdqJIm/Z9DOvtOdveNQdu4fiirGoXpUvPiP9mUELI6jihQIUkMfEPDzXvernxiUBXBux1O vdW0QVCZ+oWf0TVDmnb1QmwOsjLoIduOAbzcuo8apegN/DFgLQzJFvKKyzoavqu5iaiZA3LAiMYI xf63kNndsmClMfF94z393gVKBW5+iajo4QHM+K5gkuYC4CDOseU30WH/lVftBVecz7nfFbgSuLqx wJdTNtjsMTiOH/mS4hxbRB001hMPaTJwa7dFzCutwTDOT7wZbjDbJKAPQZittyuxQGXwHP+15l7l 1lnHqCN1+mEiSoL55HHNkcOqdQbaLxYDNnu2KyrYS/BXCyN62/hjuEWYFO+DyhGV+V0yIslGjXNF lvtBcwNC69uoQrKoX7eWFwEkU6+KJNxZTX9oQJ8+8dCO3kbBDCsug4JEFTJ92GMdk7Z0LgnJw93c toub2Xd1v80235vL2B/Pdy1d2IuXYKJS04p9hYUFoGHt72GrJK/vbTvZ6kvTLcykR11l9yivhjY0 L8xITiixihksXNlYpIdLOACJRB6Knw5zy7C2hDRbR4C61Pqs+q5ZLsMEe7nzoNE0tEbFZ0MnHGFj jNuVIWwC3u7BOVo6aqj7DO0OwpZyFzKPwTuwGGFuL7P03SvzwAgLFxRU5dU0D7OArf1z2XCL/ZhH n9gJfReGMPERFgrhKleZUV1zK0KlaCYUZMq6Z+WIP4PqlAh555EFiumwXavQjxmSr17x6M0zsJnk e8H49A0D3B0YLQ/HHk/OFbTz2WGOxj1CZ6xh5JUpkwcuBlTBbfwsOZ7davPO7ccHW2u2xLnH4rso GO51VPjSJkDCff4PswGrZn9kNpBe+f+oE5KuiaN2kNiPESAuphb3Vjwu2io5JYSxSHky/4OL058m X3JHR2YMw+79wMp+YE7Tk96YcURBQyypXei0ANmg38PEN5YwpaXVlIbdJtlahaGrXKawTeinNVYA WirLGUvgQnHXlGOnP/J/M181y+AM1ATjbzM1lA6fo8uUHhr9M3sQwJ4jEJHGj6qZaFpVdqNic4WD Ir1ckuLYuNROlwiXQYY2KbJ+PPXpcjMrkaWyDpEXX2KCWEpSt3ocbyZ7ySzGjQdSiH8+OrvJcN9C TcA5rHNo3L8wmsNU0gQkb6lu/CT7cGrteaywmQbYz5nU7R/Z6raJMD/IINaQOWo8IsnSfxj9VYIg +uWo9CMJn+13tFglPZsixYNrY+mQBRT1YZmL3TFGGeG4kvsj/oei6QoBYhh0KA4dIKGXPpjtW2X8 W9BTihQ4aFalJ4xrz1BoAnyK7ZwjSsC5Ju+VlzGKkLmFe+bz51rbrOmmBaOERTRm151NJT57cfNN KXTsniTGbHKplA3rhRtQbR6DVdN7GJ5rb3SlRHIaNA2o1urJE4Ph9zvC2USxrV+hw2aQ+zHlEBlT gkoPY51i0nVZT8tmalO2TWG6n5xTFRDtsygcQnT8Av6EUWJwSW38OUUcyDDYFKbXhSPWvHIm2EuS wu5BtFWgXUF6fmm0biZdLR+pSULamYqO6qUeyiQgXQyAW5js2ssE+57pic3zt2Gm5Q+iH3Dsb2Ed A24NvoVqiUUoSli9l3R4k9sjRb6I2uaHwqEkt4OH+pVANYW9x4bwAIOZjD+4085spOeCOusSkpN6 W3HL8Bn+4U4zApc+teH1JRCMYFZpc4+0EwuonIU+xvZ45kXv8H4xu+X0rDt+aSjR4zroMlPTDsJP 0aeJW6qCEu+xsw8sQVX4f3ztu86tFr5p4UvoAY2020SCOozn7JDfOrqoDkVnM4G2QxJ54iRLTSP1 MLGOto4KsTwA94MtgTmj7L8JMsYYEW28qrxHnihk0YjjEiMBYiUnFdbdvAStPtSFKcdrl7UTMWHi +2sUvR/m7rDQXAUE2siMHXTsFXHCs+pSuJh43g4lIow8YGfzCx50FbJ2w7JNnjWdLGawW3aLfhu2 xLGumRit1jyT8VCaWFtv2j8/wl3g/NZ6KKPkqF4Kd+fDgpmSRr1xvVpckboddE1hdy1XVRo5WLhx /j7H1XyqxXBA5Tf27VefbmRVid2QPsyLIooAE7vgsslV1LUnKhbTWIKq+JQNYGnLnGV+iqw1pwjY W8mgolEi1J58PK7JurL4kSmt9KCdiSTQ99xu1YHGTKiXCpe4WXzzlrVHcX9rCucYxFqYRbCRmt9C iW/7A2LR1SDucxc92DB5BRk/K3ZOZ0enyCa+ZouHUVOeBvmtFdCHjHHj0kLL8is/M7eArNIu1D/R tMYreE5FFebIlWchthXsvFC+i5RIqiglaKT+lSBMNf+gS3HDp7OaGzWYCsA8N2hiymeffdxmlWTg OcVyD/mnCkcPibRVRKGIS9t+KhOL4dnmsFOPQGUtweAGJuvUK14gEXTbzsSbCLjuKb9rYxBttyk1 WYwAbWTp4xmjNPNqh939720lXFom0ArSZ3vYBXMaKuXl309hGnIS+AgOS/THR73lhJMsh1ufBFFi cUmYKEYXVZoUx4mdnn0uQQ1iq3tAA68xcQRbo20pa+Xmdtri5Mvx+QFHvl5dOxtBF0mAfzCCwZGK QQHtM7bWCRNSeTIstaNuRN8hZAea+U3pRdhl42/8z+Loa+zHvQuIGsU9ICAEFbMrdFk+Xti7vMXo r3olQh5U9dmHbCGi6O0U17XpHFgdRKmJNtTAeynFq6qSADqCnwqNw/w9NQM90NGF/pAz8BCEmyFg 4e5mVnoZFO7vcb8uxWSSjCm8QCOhDjPPzPhR0kfGBLTzLv7HraJ/gyfa1b/5MgWXcZ7o+NK3Trpa PS6kLVf0B3DlWkyPyVTakTO2Z1TfQpyfuwQfptmwhHJ0I5Vc6/1TEiFRR1Hn4bcfMDe59fZ5rWoI xId6cOSUgZk/E2kohVCa8+Z1EzMfelh6Fq1Eg+F4TgA+VF4HbMjERJPp1eU2jDxqpQXIStVvGCO0 LuGu2h5CGde5SlEO76vKYnrl26XKiVimE+0Ulkz9x7KnH/NzhFX/V+IYaEgTaAMElU5IZMg/dKav GvPpEUzdgQHJATwwhBAzHjrBtdnAODrL+wIgafK+e6q/pcZluBpazY75nQukHZ4lgyZF8p9q5DOx 4DKPyyJlMY4rBGBJXKL2oPAafNJG0FOA5aJz/33kF1EtP0ZjRZ3xh+ktmigUmqYd0krgwiBZ92Tw wIY6/xH3vEEY+gCDAsUtFWnd/CKCd+zWBLFo6xsix1KLFu6GK+kSrXZTBFvvyHwu2aBEeP62/i5S CZojKEto25pazvH6Kvg464ylZfME7NiI5LJKMahJATRXdAOugyl/9RDFJX14dUiG+q0q85sRb3gX Yq5wWejTu8iMVPpR01TehADFpqbA4nA2IM4gLgQsl64xt80+SX7oqv2OR+Q/dc5VX3uD1E75/Pey yQLu52qvtiIBulGm4sNXqq2XlRiMZqwZZ+MrQBNkcCmM/NT+02mq97/RjRrtNq2e/m/pEBLhJpLb EH7hRF5dnHGSFW1iamCi2mkHLzUN94n219vnA86/NGd7BGHBcJEmPZsMw5lsCt2ZGgFWDpQj2Jyg CGSFz47n595Izjky+AFO82HIZb94bUKWViuRMM9Fe0OspfK35BNQj9LH/nqmtkhiAaq93IojswPA HXx4/SkGduKjrrd1BW+oWctzWfpZTW7udQUgrlVglImDdUD7tJCZLJ4cZxbuYm1sVrJWuigCO7k8 drpVUHW7oQvRFGIGNXMwkTk+i+OKnFd6NvRUJGk2GyT79Ehx+20ar2rZtU/ybwDsbKqIQalsBWRI wlO4rckq49XIk20qK3kdv+k0TnW3beepm7KrL4MKw67ja1za0mwQWV/A4MuOB1oNv7tVM40x+ydx UdLHAym3y2jt6975CSHre5pt4YL17kY9v1nvYNZq9yLsacaMI/yyT625xQPf49+v055D/u6s8Pu/ W87rsBAsEZAJwXEx5h4TQHW7kWXOoO6y5eQ8LqS/TdZB5SUiM9kBhqw+EI7Bz4WxRZhZLDyUSqTb dMrxPGWDqhk2zfs66GsB3QLZ/BuyRdL8qdJv83/LwzJFRjR3XA1hPmG+EylPOx3OLAmqMcfyFia6 3daTX3rIHTxt6K/wWmu4bpKVj87V1BOTLS5LflPx2CdQp2+hWfvmgqgeJHlwHkW/yC5r2Txa8zJn LwXXMc7ARvGgEh/zyCVbmfga0FBJ3CCtpxjYhxSVVnWG3YxA+LaXgDHLznzlZeyqzaLDlRmtl+OP 0MxvGUaQju0Pq334LMUo2d1E3+FZ1VP8AtUU240jpepM92OjBdB4G2WwO75vxkXEVzGM7g8KqgMC 22EWFaD/o4+KIwpSnwrvighOe8w7Uy6OlK1RRSRhLy1mTuPlkEMhpr8DoLanL2kUGTQ9UD+LrD3X LTWyIjVExjYYucr+0yjlUsA08ODhpyOWxFWpEPsPbFs/xXEPHhrJXkJA9eFdnNAK5qMOodwkaXW9 3anfDywnA546x63VJRz7C7x6m7onQ5BkKs6Sr2ahbco4eV8qs+O2mo27TH7yAuDmF92czYRE76qX WiooSdQvajTRK982waoA7LBSl7K1zqkGK7AkzeVWjEhg7ycXcZqAIx9u9CYnlJsD1bGKkF9g6PjJ +racQ0aF2n3SFUP7OA/KaYKhiJyUkrWppap8wYbE+QRJ5D44GTfz/yZl7NjpY2Dg40/Wx+WLrk/D ilvrujTRFxTkp3k8zfAep57SbHQ7G6drpwCOR+iRICfuZTDsf0F19C1tNHyri/FaFJs+7TM78jHG x9er3fre6dHRYyWRujH/K15oMT0dJX8VgkzCqb4n4A8/ckyNSfuVZzZQ6NZY7ihGdckv/KpC36xL 4JhYnE/LeQ5Lv+pokdDa1nVclK83YNgQ2rx0y7vvB7KzYWSU6WQVRlPku2mGtgCE8VhYSW1TkqFm UbdqtqdkxKOYD4N1a26lOBKYrxTaRlvl7k8jN3EI3zuBUYKSkHomr0FC+3CrcU7t+v7dq01djRJe B2CRnmwXlj6XJYBA0KY4432ENcTda+fjIWyuCgLmRmgb04JnpJtuB7oaQSWI5pXzEqoWZP9E1JGL wdw69RFBbeDS8mihNcPO8kbvCSOmrWiRqeNqVwo9sVTwkcCMo3/wlM8fk1BHjvics+QYbfL1Gtko hx0Ps3dEfIza96mrwttp13vhx+DFqWd/rzyCPuIrFNL5tqNdIK7IHlZReU1KGyGfTpxweHRwpm+a zgzisFvzTJP1SYWLHiLlkX491++mY6ypWhpWguM1s+pPynybH2LG3PtseNuqF/MfqrrPfHtN4uVB DzWgwpG6Hm18I6AOFlO3KghBaDyc0ymawjXmpAavx/CzT/FUyl0izE+gDA5siOy2Uqtmm5YUTdGj YDAoftNdFpuZ0OtWfPuezzDu+8eevYgrNJ1lc9rhP+msKKeiXvwae69ah6gNZvcyTJSPEqPkwmBE EloPhyAwEi9XZWieRNQQR/wjVHzCRpcOmaEOetjT+p0kTjFcA8uWyxRVyGKA90Bddey1FUXpqxQ4 an9F6VL3pZ02ciKdwOnTOQlBZpsDeUhCNBrxQc0D2sXDbsUPFEPdQ14kCa7/OluEQtvQh09rRZpf cnL9Wa1DkdWT9ve5A6xVy/fw8cfUG6CZlfYoNClNew7zTrNVh1FhJyLBXrEqKFX60fxCcTg/kzRM 3tgXT0U4a4OnDDvUiDKDMCM1PXoxFYQlHW4GoO8DUvPAbHRupuVjj4I4LE/zKH0+Ctj774mViN4J 4aKlqCf4k2KrbjpzdNjArsJ0jwtEhleZsIyeldykXyGnjw+zYGK7gyXWMHoAr4CepDlR7A4/PeCX y4A2FWJDA2x6tmGNf1BXZ2y20oayvEvoXI0XwVAjfsY1wO1kJcKrO7qF6tWaVpfrO+GJ72/6C/Rd XLRBstwEUSwLbbVAWEb4Y71gNiS+Wrur0a0UiMT/8vUAvUd/cXpqcMKTHuraDk4d0U7xxAZxDMVE vCBgRq85nYoN9jaQv3OcM1Azedv2GZLsDsqjqBTOshS7jBHRNHQrTBFXsHKbBDVpjlEVYhIiyiUl V4xu8bIxmQVN04IyiazTNU2XSZnXoitCBL5knBUWXsrvkNI23VcN8o2durRXaUwt2qUi3qT88dpz Vo3x9Cm9yf72CzSSF377IrBo9lC531Aia1b4VtBC40wQmjboiyaUOfradkQEcQkA57xOLXEfnusP 1WerD+OmR9yGkD0RQG7thVICIrbbefEJhriIlYVayxYy/FizI8NgJv92tJBK8uz0sX+R0k04eAN5 FNK7GRBfuftz4tVMyPN10TrHr3D0MUxzaqLyA0/kza0vJxRM8/+riYISmKcIkPa7zuvdaym/qf4S Xy1F/u7m71Z1pKzh2TKg9gaR9CbLeGUhzgDw5atCscatgCAzCtFMSLT9/uNfcLsuoYYaOt5YUvBc 7RgyvjMBD21W8qfeGfvS2v72Y/bZF+SkqX7qO6q7cIg1zsgzdbLTA2gOUgLVOgLhdAQWQ/QEdRwz 6D2n3Y2H8+sCrqUbI5TLoXJukcarU+sO75K1Q6EQoWI6LSTQUGEtkiqP787BArzS1fbr0Web3C86 kx/IUAbLQ2/4vHJvQ4QV4pHMTWxVzi9dkqEPjKwC2g3NplDMICEQmxHkroRkPCURhJ1dubmFG0FW 5IMZGEVW5T51Odl9DtOsMHa8GYA+urpQw+N2ITPd6AKPgbtbgGmS376fsdD1YQ4CyA+XnP+QAr/Z GItjGtq9cEMvmfRXo66ro6+aRcGWvOU29fY8A/COKwkkIZrwFZ0P9u7crTJ4zWt1gKC7hv6EwoWS szXUTZUloty+crRw6W3q5SmVVhzcgq2mKX997jDFvvz0cNUvCDNacxudejcqiHMF6pEKZe4AZDjz AaYklINlMHOhWJBNJUz2D64VMLO/TWdzhCXFpBaat59NpGYD3MSrVd3ZRnIPmIT7K85kSmaRCTj3 ZFrVMVZhi2gm6WXuCNvGHEL3pHmUVVjq+hueFdzIx/D2yAvwVarXF8+r3A1nCZ3/wAYdpIRPfXvQ yznsaNon5TnBifU/a6qUfqKQWbF34w+8r9yyxxRyuzrYnMcDe1fulAWBlRxTlaGTdiM/BHRA+kXN zcJWwB6Q7VTduPnUtAfRNrzFNLYKs2MFPwMvZz1A6v4i3dBtLN2alx1gippOnOWvyLHQLBMUoA+6 KmHHEAGavo7p9EcfCroGnncMif5u4xEuz9P1KPXB4Op0QVn0pveZNQyiEsCB/oYj3e9E1vSish01 ZNk90uLx7JlI0RsMaMEjuCccBysBCFmDULEEqkh6XuA4QpcF+LqSLS1w4TS86PZM7ohD8ovwHqdh cPHKKUgLhwhB4OP1QgahTwxvU8Yeju05/rz75TGy3K0y1iFocpmKvW6zap1C5sXyK2qzz5EQS3oL I0C+Uz6BnAwX4l72R0QLthb7Ci76Hq8IBFrH3aiHQKAhj01kzwZSVCzoLT8yxcC7vc4wTEO09nF2 m5AMXzu9sNkQ1wDLqGx7z2GeqDfAJKu7+B525UNQ0RTvQQJAl4g0B8ERV+qACXztbn5Kz7Y2SZA9 hD8HxSSRez5qU/NWoY9pznMNx7LuxTDSIqmTCiRUXf/mxN+cFAv1oAnVcUNTaNMt5pBho0CKpzda XluyJErADcu6sMQ9a1LIZQ+P3OtHxDFLRcqyNC9i7LEP9ziUzWqUbAkma9ybLMNTL3kfKBMqiICr 3Ujza8FT/heG2eEZ4S6jV3ZxYDFn+ZLIHcj9LKS+vbQTwRRIggypvTcQGJsELKuEDkQRpJ/aEhvr 7qdM1G8a86XK19/K5tz2JnXHP5WXWF/WaOSs3YVH+0wJOWX+vdLQCatUDMNOv5eTbZSfGdoTpa2o jh5Rw3Od68+FNC9fj9l/GGEOBKcUiz+sZf2jnSAUqpkdeFX1IPgYpuRqm39a2rz999t7EKz1kfzC BcpFlPuJ2cppxNDxo2839gHWmp7X+BJo4bfB1OiPZVBkynFNlAoWAGQIAefPWRpKZ17298hkqe41 fko1AUF1OnavcTsc8Z5Xj/+3bX60XhAnhwx1PMKeFhLPeAwcEkthFp8O5ncb9yeEqIiCzMF+ko1g XvNpxEkORW4etd62TxIm1CPGPBRMfX6yMPGtafyr5c3JxIUR3YPq3idm/1xiKp646CsQ5MM9kC68 bsZw7nLBNhkWvwGNIFeVjh15ctaizXPOBmjHugI3NSySZN+GNEiTmtGI6BNpP2nkvTEHbBn3mQVE CGwhmPFVMeQ3g/YsvyAO8HgwMhuqmW1pa8mYcdmgfzGyGHuN7pRmCBRtyeaAwdU2mtoe4xR2uoRh zJ9xW9ad/oGN0tI7owaSBLVnvqoLATxHqlc7YY4x4kc85a1W5xgEV30ICpaJ7ylxY4ZlhkvjZU6e AFIk3lx/CxEID9sqb7iDexCJwAit7W5AJxo+J9BOr44Xg/MN5ZEx5+tVcqmZZfP/+7JY7Qm1XzKA TvUVU9m9NbSW1m9Q0Cx4LxgvhKPHfwoRJFMZWnyv9xYzDZF9n7VWnKsN2DhEz5zfhLS4od+s0OTn jRYHxqj6zYZXRdMpB2yd8wLGfWVrgTL7Gy2CFd2Khbd6/nW8Dy0Rgz8VeBvWmw+XJmIOjTUL+zR8 phIWEKhTR03/xx9dh31bR6wHgSBSlUYVliJtoNvNmoOgzwi0m/1gznsyqk3NsqOkvJc0eamaVTXu A8WVR8IW9Ax7cXPJVG4fKYJjdwGsayqUZXKkcktCURFT9RETXcpLih0b0yYT4k79rXbuOzxHGdJu I+2Hv/eRaFtbjbNOqPqjqQvb8lcx85tbpQ49mVCbjQJbJJ7m/XuChKlnZa9Ziz3QF0RfLC3ngd8a puc9urZ2gFKHa7XXcu6aweHk+cDwMlzvYVtMww8KJgTlEAi0cGijQJ4g8t3KRUdoCtSGeoK+z+iv kPQrJdoVM80/ia+da6VMBrT50do/NX2m8vxzyK7jghnl4zXH9q1witZvvNZT8omdD/vHZ2VeJjTN Ep9h6GaQ5/I2Hboc+8j4cXjaKmyyzvs8TAGHVSkcJ7z4EIlAB/BnisW0SnzaFVTME1fVB1oTO8xn mS3AE5vDji4OVjHbFkf74f0UAlIQvjQCnIVHgR7MTZp8q5ySG1bH1Oyi/pco72gUimUjzJ/jmiou hASnqzUaXtj/qKNYk1O6TFEQAmZQulBvGBVs5BHJB050vXBHttT/hfVotdZcPpcbvtDVBdNX9N+d dUByP9JmYEkVjlhIZieQr2QzzJO+hqqTZZXGE3vK8Gf0g9tuN/FfNBob5rZdvmRkjI1ckkCCgkGx NP8jcuD6AEddkwWoApd85rkDiqEfX0Ajx0gZHtz72h1/lPSjfpA0IjlOmHgH/FzDe87aAbeQGGDS RErpzTSOfww6RFVAeek3gu6ataRTtPWltSEQt1CsprY5MRNtRLCblYFY5P4jY496KLvkNKtbTXsk AQb0kYQxBohgPmvi7tTuZmsgVBUnqqe5MaxB/RIsvlsqu5G9AurCcGfKoYHiuZC1bDdCN/wj9rZn W/v5pMxtL/VuZR5GiYsLiuxa7VvJqcLTr7ZA3yX4TtS1m8pQxFPWG+sdbOxbGDkWqql7KFT/UlB1 9TWzqHVnv6YVplA7/mGgkoi/2VqxahuCklHTX4hN5h268uCKHuX4WatNeSEAjUuT8sGPaI2WLJCz ioQMq9G2jwpqvckUGhE64jMQyP0uCH1Wwp9CDnXylXbz1y68plEoNswB+zNTC4uvD0JLuGuMMdXm iiy4MahfPLU43KWFAl+6SfSIiLbLB4npTHUQIDpt+UYeJs76i1K9VzQ2IV+JFTXlqOGagoAj1B3z WGNQOW8Iy1cF9Qa3aSQZf5ozrPNddr96/1uhfjPCSHf4I7g+j0a+YyisoraJrckhrT0EaxtOAOBJ k8zuM64StRZpWsVsaRJMLWfqr1rXZenKtTnUys4Ffs98RvfLf6VZtEtubzFe12uxPsZbpq4I8QAD jLkTWtx7po34xAVXl6wSrojxS7LBXFU+673y6XRRcaH8hhwCo//37NX2WmXbUGZmSv17Sy/HhImY o0nqFQekun3e08WYMh0/2XyelGukvYw/ZuKZWz8qMocTlq+nWChyFOa6Nw6Qa7+jYlUjFMQ9f+o3 GA4Ydju8EhVDYCSt/jas2b33FKDKTSrOjJU5lxkA/fkKR2RyyiBKnQBMUeSbwxSsN2m2yaM+EcOw 4A2bA16p5EsbZOuJJQEMkOTVZq4uqAF8WWTFZSuG6djAO+2dAmTftzCeaLEnt4D5aFwDZV5QKJ76 QuDMrfr65wwGSQaEGApGgbyc1igsel2UMhgjP5vJ/hvwRBfX+5gSgm2ju+GiRN5asirvrXyqz8Zt f9s4eC8iOpeJoNOLWSwa3hGwn+RFa8p+ZBChnmuHzTg8vnCynPe92lMhik1qNyB4RW2ZFUd7+FMu ZAFAt7UagV2jraqWVSvDBlz8MfNmtbUlozeednDVYqMGXtGPk+RtqPOqDpeMbR78xh1w/UI5uhzi Tu0rFhw8Gscu70U72f4iyxrS03h9G8g0bVsZMNVmbxCP1NUkyjlDWVf9BkoEqswptYzqYok0ctCB +SORB/A1zacVi4ngVQtds+QQNGgIzvfVYl1s2z+aduXKlY45T9B5gC7G+YqsDdZINKfCJsrti+Nk QUuxFDWtNpCvf/M17lvGgo1CNX1F9ExePRRfB9L5G+uGAcnTnqrCJ9zThRM8Ox4XS9zl6frgrKv0 7KkYuBv7q5xXQyhDYs92ZsVdEfuudIN0KzObq3mVFeKsQrEWolyCa93ggzxQWG1FklRUq+tDXQ/1 ZMMZKcge/wM8SqRI5MEpcnKutyZSSnODMpkPyqxYfsG2xPGo9sEF1/NoumAUCqQ5uHB6n7UtXQTs VR3RDXZPqIQON49eHVVmmFzldh2MhZabwuYoepkHdo25Y4y+q0D7LWzoo6QA82P5sqQzN0qhO4EJ 0fZM2PetJbr7PMRZB40opAJaqwmSMdKGTzalK/KVa81kukpgOYq7C6RzOX9Cs10QEYeNHkW9eBur moPRB5iDdeb35TeLrfHcT2nJ6o+pOgj7GiLm5vPUZWxOFGVYiTY9yRy0zs/1/SzgZpA1FqAJc3ar FsG0/NykBWhyNOUnltkZgiLd77CfAA15IyotPvOOx0SqfLxI/70V3NwAbg014Qk7luyz3xNhE0Go yN9GgE1cD0eeFVtv0BGJjGD9TpGhEd3geLIGC4MfTNx9d1pRWWLoAQu5wVPztKEa24lA7STbXlsR PuoWhRiWJoPBI5ThxN307b1knQRHE00wsaxz/l3l02untALNyfG3PdrIV05/K0jGdLAJ8daW+uiO 1hOlvtkPHBgt3zpw38rSKFraCW2AFEm81VwDgPYyvw96TbD2rrncWOn8nCWCCR6k7qEciyykzcUT KV8gZE5vYeBB/H1WjpzUGVr3ICFcVfzDy8jrinkoIi/843Y3lG67wPX41uWmsmBmdKYKmZ3T1fMg 2KWMSneVPw1d3b9Ambk/LajhiybSO9KajDc4sxtxG768CtbS/hS0NJKadb+WtUByFA2Z3M0hGzXL drKdGEJGHJ9G2IIKiWCGbB/tN1X7JQeItM8TP5ZqtQ9bwjyuOqHve/0cthYx/Kwxy9rbn/Xw5u6+ mrGmK9l8ufQjS02ox36P6Mjf2kSsCi/Nv6Cfi/waTCNABVelaagV3StsFJayeIg73xUun8qIzHfZ CdZnpm/2VUPzsdGMp9bNPun8D018ZGZq5uUJ3V8aQEGuRB1K9NDgSbyLKQjOzuZM1D9vyRyDY0/e tMGDIculX3la7vnB/h56XnW72WeT35m1L24iY8VgBU/On0JqDdD26DWh549pioYmELgpuaimCMuh Qo/VxOfi/TfCcYNmnrMgUl9GCf4a6fujclq+nhW7ifUqDIxw3xw53MnIXKLYAbvglOiO8Y4c5tQm K4kNEGH0ysQB2PNfOMhFEwSKBNJov1WBCbA6FssBWQSn8WMxh6Al+fKRs14gwoe+uP2qlyyUQ9Q5 j4wa2bkTofpQPcwf7rmyNGredY+DNbzb6xFVpTZtt+xVx4w58soylKI1zWGyr40LVNW7YcIuS6Ii ybvIx0YB5IxffdZlohaehcM/09KZgCGfS61zKbxD4u7nGfTDIuC5mkyJlRMqcgiOKvJjDlA4+vpM Mxzts2VH3fHzyOfevD9LjscuXCoPdNDU4FYd7Kt7L3sdkmS6To1sVyzq7uqyZua+Kg9D8c0FQjUR 37qnB44pwhohbCdfYpR+qRFIyVCv4YJb8rzl8sZSsjGGf8xfBGfy1PD/CF32NMi0DZSdhiDldUcg J1Rn1aZb5VZHEyo6/dZftoGBYM6VMyuFhZsJaBwc94PS73uWVn6gDYVyr9VJbXo6xqQ+QkSmMip6 vmli8mSbSlUDc7cDUwulD+4t/CbDea0CJ/64/K7SWtkmoCXZ0pwdf5iUxyICzr8jTW35IZk+c1t5 befKkRSKPLl/btgvyRtcUJ1gkhgaF6T5mW8lfSUS5OeIbR4owAxB3OixCpcQgORFV6hHi6vRSGmy xQgJU1FZ0z2kKZ6myG8p/iFr7N0muWn95Ne4GsB+k3Qnnze57uqt4J2o+TEO6x48NYgNJP3Dco5o NP8hK3piBtKhRgtjV/zOsXCjsOuPgaVnhvsCu22WRZcgyd74ytIlJWi2V5q6yUtG5Gg2q3HynnOT mFga6X7JKmWgx8V/YrK9lvH/bDEVZU8/uX5+/Es1wVo2hVJapVLfVf4viTFk5pSjORZ/ICqrSX6t mKKl26QjTopuhf27IoKOlJAAKpobs5ypo+ZMgKyz7Atw5ZcOqjJUO+J6Hmrc+f/04itXYBsPJG+5 8pQB/7lcJlmzkjv3pL38n56CnJSR4t/aZWElHwnWC3noWlD6qcBkcAHvKysh+VTr+LD7ElFstagu v2fsHgHNxWiUljHVCqRzmGW0dJE5j9GYnPuvRH4AZVvbUyBqrYJ9NU/M0N5mjhsSH6qI2vOUVlyM SJwWP3BHz/gnyxemEZoCffld/qLr+S30wV06zHyz65vePJ2DXgwMTI7cdShvs1zdhvcMYUJCU+i0 fZTdx7kAXk5JaLmWCuOWGvMUtI4hUeKVfcedE20cRss3SkdgoZCSSwlXqbyYroMNAx9lYQZdXbVr CqC5H2r25FBwvNBqSs/FWtYd8isUf+1bN0+p3DPqA8/pNA89Ridx7oHe9IhCyjbvfJ0KuKz4glmy B5qIkXHtBDkJ6LWGeMwe/gWo+8BFODFVXpWQEoT7EOF87FnpqoKGgghIECemuqrS0cmzjQyb/ODP tORqhaAeFqIAk5uNgHxR1kjI+oQhgPkKGf2gYIrEqtP8GkFHHmfefgRVLcJxF/g3FVMDknt0U59F 8JjvcjkVJ2uOfdZbEfL2s+y+H3SHekp/6h+efS9+3cD1vqWTGG5ZsJHUmVPYOzuYC/U669CfXVWh UMqnfGlH336QQGEiFLMZPDEDF6yeNUUU29OA5L22cTfKUPl8GWKBdB1z2PwfFF8kKs0NpTctSx8y s6aWN6s0oWQ2jRT88UWKtKRdqYRLqB85xa4OI5rTMA966yuZXwS03Nt5FtKq3/S+YItcR+6t8+V4 4MxnzwzpJpWoQsQefx1dEcml3wOruxrfjec1QbCsJlrTWQu+UdjlObEittrSNqSNBpRtIRbBiVyf nRQBJVWVyA/OXEuCJ/+APDHL2BEvO1KJe/BIgoPqwjkDZBDJ/KZihdio+zTyW2lwZ6D/X0J7SDc4 8S92DOD+KRrcfVLFzrMNQfv3mj6iHEdWKW//OURcRJsPfyVJqGzzanXDnTxyTPdFgJmi/r4VTa4z GdR8EzaNEMQQpdv4e3CRs9YYZfPe9Qte1Lv1X1PrgqiG4uOfPdQVTH2zFtkK0p7NRG3t86EAXDjZ su1aPfQOMU04bxzJj+8ACmvKaDrZsdjtTP0joxcv9Z9fCGGoJFu5nPWRAPwxb3lm6w/Elmq+/Rrh axAW+4bGf2eFV44SjkgavOphy9O+qWgFMvdrUdYUD6HUu6di/uG1jLsmDuMG+521BJ7ZMFDHiQb0 U8A9ViaGcQN/8UQxPngWf/XUxRf4iutp2nWsE2LD0DmB3E1sgs1cYzGLyYh4IZXkmayDvJQ1qoOL cWoSfc3p06E5xmDxwDaB5PQxPO+DAdDJj8eHym9OtPh09rXarl4xQ0BUb+vDdCLVcMiaEqrxfxx6 vR3juXO53ss4wKiIcBxgdDDjq7aXJ6PpDdYLBkuLgZ0wSUa/7IBWTXfzmQi/4HoS/Ya0s8zFlxki Q0ENQB4fCx3NOk1I8jFhNP2b4ZH1X3vBxofq5LqOBpsoIFQ/6SdxvRiJayCvYbrjgeo5gt6c4JH4 vbKgYT40Va9Jc0S3oNGi/fuWPVNC40yfzTDAMSGhsdFjvtei1iibuRV5x2ApGYvJkWnGQOnnb8NG 2yTLnZpIKU5zCBENSwfXcmHJCR4el8gTK+l/UPRHBIJSJTWT+WrwTr4sG59x0JOEGl+2q67+Lnrm Koahvpz0Ejt0xlwwbuXqBC13YUagwGm+JDkBbIjZ+ipio0XRA3URy171DMuUs8It5zeuzKCWliz6 11/2sno8wxwQxXeHWL4b5YE0ZSMVvObScX4MpRK9cV7GYXATm8kq8w+wET2+nBwDzn18i0s8EezG 4auY/nZuYcTidkz82ACEoKDS5xqYSQxH/D2H2195cbTmUT36L3uzCP8dWBOb1/u2xNj434huws8F iANlJ8YfBHMMXnKQvCu9J0aXbMOP9vFFtxN3rcwguuDhGdX5qy+Qh5G3tLGsT7k/OzRlokxdrpgo WiAfvUTHgl5JxYEDRYnnOJ+c0IcwtpUvJwsmLG3b19xQq5cnfUGLP3ha58e592b8Qa1KpYQ8kXLE jkHe13pW4zDAZXAmS/OTZpgSH8hgcyW0uSFLo35RORCi8vljVj7Qej7bUP071vmMafZch8cUf/pt THIj0W4P6Doujz3zw+RH31cY3BsKb+FXvq0eaYz4iEvJ7sOyS5wj9TNXFfP/y4ZICFnDzHKrl1z+ Xx13Zj6Xt++vWgm7Wh1BPafhNbPgBBlReZw0q4sZuKBCpMD6dMeFt3r79JZHuvfIyD4ibJWqQQgA l276BWwD87kB86Rz1tRWVx3Ypti9sR/N77WHRR0R2EdXho8lTgky+nNKQk3f1aAdpIGYLKeyTzWf iysKAPlXf82KHzb0JAP826MCR6GBuq8v42VHkGquAVql2R5rUa+cO4i3S8oH1ZVpvsg3AssSaPG9 JZyai97SYQvW9WSzaumocWMfiWA/Yv80lFkLdRmuOe228OyJNhaXCGQVyiaMoc7UObaRk++DmfeD kp8E8IFWF+be3LnOliTKVi6gsQYusPmWXyyn+r3Tn3s98aBk6Wy4SBaSHed0eEwBO2XiMtUiXwAH 6bTdLGUS8exbNRQhBHLg77V2Spd9YhN7MbIRHEDL8w0TCiOLY5XcI4tlBMtADkpzBbKPQQawEEZl zdRIfLhHkHdXSqk8ymoVE+wEsywQQUh2ueEglvEYyPhfSwPMDB5hnjByyPCrdymJQp7otHcurCOj 7yGNRTbKdLMg3cuiDUKrNoneFn8Fl7gZq3oCJLiOm5qsSEP27Q6spvfdch8BZRBhhEZboUNZbu9P 6Z2LrZEbHx6xDcQnofveA+NBNQN60DPOVUEs83W7WPhoCsv7vzsONh2DQzXfRf2+y8MJLZaDoGqv CuvAbEmZI6atdxVGTGbB8hDmYufs49jGjxaSU4mFP30F8T1U5jRlE83+EJcg5x1woEUEcTC0t9Fm MvfDdsK16LXatq7Jnh/sVJgLMsO+6hRqg2AGvueeaq1FX8W8L/oYY5E1FRaaRjG0eIV5TbUCcgl3 RitADvMaGH5z925PUuQvD/Ty4zRip6UwPn9SAvfntvxUdScjO28BlnvPx2vK4zrkBsYthgNLVKg9 vBwRzXkr9mhzTN0mXi0A2gnbBMRH+lZtkMchAGJ9SN6Ay+weCOQSyCbW0FVPB8Ng27qknASy2W87 F7SWS7KtELJmYn4j0diJlWoZAt/+YDaAbs0OWzUd9In/Mepwf4AEFQ4zxGKz8uRpmFbt41H9XpRd ty4X1nk3kxFsRmNNSfvj0+7T94tTrvvPEiQGtwofoQr5cEWWJICYJ6I1hnC7koCR7lMU2qUBYFZ6 TTPxrNYdrj0ZVPFYj22dyIu0XzsQt8NjM9dKmPPRMB1zYB7N206gAyFkV/f3mDe+MOhwyqZrTRvn pbbKySAvv2Mn+VxEWoPV0jfYGMppTOIPogtO7TY4E1SbcihCLJTqE61/fjKqpI6jMJWL99wgD1cc yjT1wCmjl8igwOoxRj4pqWjwmZXHAPQcyvO5VKw41bqgpVPjWfVuc2mFgflDPjbW4IWy0m7/RZh1 tGEL9iB7xEuzjq4oelBjhh9J7/62zf8JNUdabGT/OX0QUgqbd3oL5cUmYhhaqCxr1CI9mCYRj9lL f/MiTLTYETiKbgLeRDVZMzCMa1LhQPszKPZadSGCVvtNxICm34sHsWR4DJDyuL3HZBDqdjw3poXO 1XhjEHtERHGsvHbws/qJEMcFi7VVeRSsXVk0eUJpsqT7walp/Wgi/HVaLevrMjAaZSVejiz4f/Ee kSy6ktuCXSYaNd5+5tntj6K3eoa1iYf5jTbsA56kyjrhQxztkMsOilhuJJM1AYTY3sMGzfvloBMU HCC1dAoBhl4088QJJZZx/UOBCp+vUpG/RACw9DQW5QU/EwbaN49AunIY0o7Q8M7DlpjmhZTNLUhD 3OITMPBexCkW0OSeN+KyvYP+BNGnwsCraIyw+BBzsNuf5gz6r5LUVIPCcZC5XaC7sPBYml4sYgqB G9HDfbAxe3a1ThOYZlmUdQ12JWqYkHMfPrPIosKu5/EVZfO13onrB8ldI0ZsM9QUfutHKntwFDPk IjdKXe1vefFH5F183MkT9awX3emUyGK6XXOfrII93lSYfuGQLzafo8yYxzuBLI6X/fVOzc7uwrjy KUqNH6PI6C8/69oaHC3UVyNh6EnOrtqlRjzPO+qJMnLb1ayL7XQ/AN1mVSpL06G0Jd1Akrm0VkSV jOrAKRokqYoF1QvM8cp1bmlUzp33qpoW8f7W+qvhuQtdlL1u6LJDIRTGF6aOPs02XjWfjyVQAfLq RZsduh5E01uSLqIQ6W99+/f6gq6KNmtKvVaM66yzWWY1OhcG5mBzzrHn1nqCLV6olsAmIrhD9wc4 98gCt5EQfcsWlKDkvbMiI0hrh/UdtifB3efFU1CrH+TY2Pk6VwRoZBRA/JImg3+5HdV/ddUoVk9N nmDk9T1XMzwJUFuUeSiUowP573YloLLYfg9ExsJbm0f1SqdUPjFRmjjNoCct2lgH0UdR/DsxOqxj Vxu+UdT+DRDo+97Cy1Tg6qrb3nCHOdsRzWOGaGdtJD4nVI4k/EfWqvQRdQluGDd5io/vvNOYMnMS Q/dkvMzj9rn+lPEmXegtARVr1KUAMWtWQrqSIzsUcjvDU3Sdxz5nMjhCDXuMG44N7KbMaIMSxHnj YbWK1LdDLgX7rtFXqbMYIgi330ngfg5q2h0c4q1LkkURecurklA0ARmc9FDbNqxygJ2xpRdwQ+ue E7Pd1/EBahre9JkkFR50b7U8n9GvhLpZyG9p/aE6tjj4hOkJtfGBPjCt/t+nY7mQuWRWafE95+5b OsZV+zOkfbLJ53XtEpPCFc1r4yNmuYRV3USUAo4g7/5Jg+ODBhy+6lQ/i27Hkzbbr27h3n5FffzS skM1tArnmXOAxVMaSg4aPrfojs90LxXtawtlhZ2Ju66VE54wLbSjweze9HWBBgAeZran9oEZCBQV AguenWsNeqQpInwFeyCfI4oTBaXpY1QOkBPLKD/UGubgs2HaF8B49vGJ82ziOeXITSmH+d6Rt4B+ 1uTkfWukiAVu7z9H69XRIDvvEk39014kJ+5Ihznbqwjkt7ee+2o1cMH1hmRumMB6LlJOTU7ter2N 2w7rabnKsEoon+91MAPiIbEDqCeOe3KOXXcThguc6qEsIicNqLUBBgQ5NHvRTIOZ1z/Nw7ILgksY 0htZ4SkLOh/9aPIsLeO/yXlft+yjHPJME/ITqYN/IuUWuXmCGPokb0Jx9MarecGosx/C0ovS0kjk ecsR9IlTTfOllwR/Y7Keg0LErsAe+rveCVa86m0VxaMGWZ0cxe97PZ1MgkSfAcFzmpWwTajNcwNL 5BLdf/2kMk39UGWMhLeEcreTfNWoVUEx+qzvH+ZaXF3YIlIJGyiM1nn579w/zhhmZircQybKRQjg /wOi5Z23bVGu+M/COASCEgC6bgEL66wkuRVabsjykG7eoHGYnS2GNc2bgiSXS3UOnXhvrqsIF+dZ pv8abw+RGqZOMbqij3HmUltUTpS6pV+vqXL1auKxUN1gn++UunA+k4nhJpd/nL9yp3blo2n1wrHa gDfFLZ3WKRZGVEVKOca/ihzY9Kku9s7k4Sf4JbligADj9KsFjdbcbUTdKjMhWANfZ9JMhhUdz/Ov TPwzj2gbhyVdh0wx8Jp4kiSCdYJ+4IzYoOXecKNkAoRUtiaAH9KSZItGko2FBG9vXnNO1JmgGpYs s3jSDkc8mpNECDPxUjolHP7lOVxTe0VRVbgrWNLBK6XfQzLho7Izdj8FMkAvOzth9n7vE+qXXX11 uUEZTvep3Um2Yd/IHZ4k6wVstAhFFUlzIhOO4u51ubB3et736Qt7pZPeMirhPEbQI01Irl3QUeG1 ktLIaViKOSwpa/CFnAuu8ubjEw3TmtrFLpNHZiF1O473qdpJ+XkkQGbFDe8ACkPSTjpq70C3PQ8i yoLou1joVgtLID9+ERyBPk+qUzZLRo2G1xV8JSuFaTgULdeXuu5FPRCF/q9fBzw92c3KmZj6a0wL L6h/go4CDdYJRY+VaOeMiTxuoHEeB/xXYT3yp/VyT8u4kBVdpKd65hX3wHRBCaBN0lkzteTUJh7R I+5Y/ltA2iwiTyPnEmhR0K8GJ7LE+U7KT8rWw5tAtuPm01TQQMcGu3RKDRHKYFqvOkQO8bVv8nyi xxMlmZmGatrAa1Xw56qV8TF5VygWK+NrXNqRonTzVCy4zVONLC5DtUmOuWJZtwr8c15iJjNlLKCI 9N9kDmzIaFD6H3UbWApnUY+LToRLQgWNH831q+Cmc4U9470XyUWbblALdC1Pt5wqkMghW8n7bes2 0dYPROWmCgvA/9bFj4Aal7pYkYWFHEzrlSIxnXCrksRuwVYcUt4Kp3VnEbjWe8AHzLEa6sznLEo5 FM/krsnHzY6ciBJk8Ykwf6z8qRmKh8w7E1pnE/RzS1Ntswi+vASiAYFjgHiu1+fk3qFQs3/QdCqJ Rw1TKOCn9HsDpJZPYbFjbb3eL4OExwlU+VLgCPL88iHkS33+Uq36Hjn7CaBcrISkfjCVT3kZ9c/3 T4l34m1BSX1cxZh4u/+FvysdAN3m8OAh4fU5eeI+oVMdVIOZwmFCZofwa+NK9YJ1pk/stsIv3Z/p CMIS8A8kQQKmNQrz/Ws2l/402dWDMStg2Cy/ZHiEYfZBqJ6STmn6NVyzSbmTJdMWXPQ9i2GI6K84 qmpdSrDSUZ/VffRaEISNG1jbsbvtrY4VCVTFmxzCHyYz7HxS9iXJJ4Mg1JHz8F42IVKkrvl2Vzgo yuSXiz9c/MDNdZOgFOQnrDWktGlXUlY4UFFqTdfbovdMHDpsswel28nsGhZCYKDc+S01Rq+Ze3j0 5GroqZ1DzClujgreMfDnBT3ovThT28bLRDw0uTOYIN9Pet8KZEGZv2/GCcnR9lfSwh3WEvAadpWm ZkKR8RLlVqjT9jclhzlK8D4E165o+vxsrpAQ8SQ24o5n3z8igbbFtsODmP1KAeiBQbYCKQkr36DX 2vDxhNnG8NQ57dtlMZHtCFfBJEmVmO1aFJKVrMOvex+R/fHHlcavSu02uCikErwYPFW9EnhzHRjC 4HeVz8VAq99LVnLq91SkKxkgDHinsIV1LThdd9G8RArpFHgwVVjIB6j2HVqjU4yGZ3zZpI7T50Gl SfPp3R+OaUcGEv1121vPDVi1pc0cSV1JhddsygDSufjH4Z2IHug8/uOlw7kIk5supUAfz4OZMHYd tpQ8DIk5uEvexwOQI3dTF/pPHNi7eWopb1ie7/zE8CYrzR8cfjTfa0QJzcx16+bj5269ZQpFj4mw MWo8n6lHBLWgmjr7aTdX+vPzjaA2x1X6fb6y5YihIdImLFb0lH9sJRra6bjdtXQc6J3eHUwgD77O PvxtFRNw6QuYlR4LeNNh/m/zB9wr/yKYOVg2N2TbHk/YtqYmbFp5Fgx6MxhdvwjmmqxRn6civk2q UGREKPxDl17vdaWC77lLru6U9OWlh2eeGzvRUJU+n6ulGABdOJZykqariN0/Hx+zlGTQ9gauFlZp 1zmLKpQgsfOI+HiiP3OpqgfxeevP08TY1IfFtikxA3LqFt9owJZBhIuGVsCLzgxxu6q9xNdvs5MG 9g4M2jUg5rO8iqsavOpo1xiVXjsjZDYkUkMstKGVekckzTu0toq4KAzD8xzU+FmOq9mgWee+vm8T Rw2y9Rfj/vRCU0trCV7C/TP9wSbGtGjGT/lIgbReo48kybyYQDj9rHBiPvb0NB2RK1Sd6T5GsQz6 P0hbDitAhbZjpogUYBmMVGCNY+vgWymLTz312QrpH5lfqRsT4Q5CyHlOftsP/POovq0UCLhg8MFv K7OLeAq078077/7Ktxi3x+i/r1AORtGd2/JHl50mn2jL5f5nNxWCoXATPRvonYnAeo4qGR/EmdbJ EHszbtWB6Nb9+lgT6nFHP2uPDvnDOVtd/3TbvLgDoJZBxbJzW13cwpNNLoVd45R78kf0J8NI/fsZ suZdqxk9XhX/ItP4mJCqXgXynLwc+eyHRfiGwxHJSDX5lon0TvU959d19jMzaZzyrUle0BLT9pd3 N/FVc4QRKu2Lo8Pp4HARudT44nt6aH56rNqQqqe8NPpr+mMCxhQ9I1I9jGOBrXMqzGcPl+DpcUTi fvcaa1n3cdi0k4TphV4SRezs1DTedQaEqwSI/ZzTMM/qWyZQ4PKAANWLWAqrls/J0UDQ50fGDxdT ABdxmXccrE55rdN4nfhSsqH8iH4h8H9512i4FI8yQ+ptPsvGZo+VF+stWWM7gMXYW1bvPl5OmS4o TfZ+dmsTDA31WCqrVmhC6o9Gl7e+ZS/maxpYRn0osJDkcOBFPoitgthNSvxpE1W/G0ad7+lMImR/ Tu/o10hhkxWUqahh1730te+WFFga9weSWE1q13gF1pP68E4JrgR0EUZYgREjs2TG/yxRCww4OBH4 0J7YgIcH5oN4lMAsLKyYB52lP1c29AdIU0ey/MTsxX2qNKrBI7pwyglmJ41HE98Qqli/Q32IqKhE 6oZ9zMwj+pwXl0Ma429vHqjFBM7oleMRw7ROtbf6/8VxaRrISIizsrVU+P1NLniq/KH3Xvr1fN+c X2D4P3lBFZv+CZi+ipPSpmKhzMSYEbdlLxZVZF1zrEW9UJacKA8PvWTWNgq9rbPsoUd10XcxjKor i50scFCjQd+Pf11QiLVJ13zI+8jjBr+xHEt77EE4pUw3Mjm3SqJe6bgaosqyEevgvFrPSEQ21qE3 rQ2QtlVY0bBSr0mQqye22+wSWtXnrdVFgI7Dmo4QZesYu6mgnKC8wUdb+Y5DM/h1MREDjsZkHEtW IEfaDAnY7J/C/Q5pg9LoT/wD4VRk3BgbsLh3aYwWeGWxR5FkpHBhLMwhbz+iGXJ2oz4YxVf9IZyy w+ouOrWdVgiyTq1cZkDjZXd1bBObM5Cw7b3lf7qNHwvZnOiHnaGOHbWslCmTiiHH7bwlXMHgg1oQ Mr/0bp9gUDWtwUkKNCB1d2jG+/htIPnd8RigGQZaLA3ldsyi2dyoWTH5TodfGF5IalDSn091TRe/ JySb+COzAujRJ7uBNrdrBRTO9bshpw9fhNnrx8u5RC2HM0bJuDIchb8uRsFFwKbtQ/of4lBHNXsG CRpcmbDIq8oTKXqQx2KnAVA+l2ddHyPbEJuzlTF7IYuH6KdFUkBzPAu9eHFu23scZZD5eyh8gt/s xPvI5S5nAq6mM59fdUoDSDcuJckuAL0MsQxGwIm/M7LeNloR7uOrUB5roIUfpcVymQDX5qO+zqn4 fah9+zWJaELNqFeSCtpkG9KUIAmcTa65ddZSCl46CHklKRwrcCUvf1+Icohxi/zkF960ThUXbWP0 cUciPXNEH9r+kN9Od/ddm2/aC7sDRkOqNBcfjtR+XtpxyQCK7V+JCebK4zDv2dQH8dRibGleWz/u j858PlMzlIh1odHBS49sLOGEcMMc4i1uwNAF/5XgSAVfrv+hK5Vxu+RCcqJZGs+aoeGBq3cnVZJd TKfc3paW/PmAkNNElA3gKL07OG/51Iw7ZYaXE9ExFhtLV7RPHAUIhV9lPmfNdkDwWq56JdsEAsjJ x1Vl/W8V40QDaTu6Y6JZ5RmRfU35sgUaZZuqpLx2LxFrcQuALGN990RsDRZo7vz97nZlDHW5k0xf 56ssvJX8CCBQYoFwGoT+07uVtfQJ0o4es9OSh0HY4Ecde7m9yaOLy3T+4p37Wj0vJZQhobRlK7MA R3vsJ6L0ExVbASWPj5dDP6iQEjUJtI6qb1iEtob7x8BE49EkW5mqvshhew8vkKiVv2Fy9fpVq2Ms MHM/fXQAidy4xjM9CgnysT2Kpuef8DSd8YyvFfOUVjsv9qH+10BqA1poSE584tSxPPN1QNpUgMrl JAdK1xDzUOmptRs1u/mymsc7mg7nOB6t1SIeCwNwKYhd+gjdJqq7L4rgPKRtGayvC+gTF9X+Co+r YUYi4jqLZ6mrhsww9ikgXoXEFslcdP6vr+vvoH0y3NjKW+mU3G7QT43luco7+Ot4mWdMxY1VRDF9 zrzTgYq+DUdVliVGasoj8Qoxx03ApxMHchIHscg6LL4LenPezmCzHNNIYfs9izwDl9y0Gy9zZp4a tvujYI7D9wr4RSdjecX3vqOENfk4q+KPeSZsU7ny1emYsSmadLoa/IMqQQZIEAPhIZPe7yzw885l CvKzhvvu93ln2afWHhDauPNifSlHoksagWZg64ZBvrL1NfQUmrrZ1fMgUTOwobVbkDPcWt0YL7l1 5KHaAc57UUEx1/cIl8hxZkVKcjScG0uc/HTNQGui6wuMPZWSd+yefHRVU1UcGg8FmM/DUVmDKyV4 dODpAC8iT4LleltkFwfI4cFvfJ2RUJiDlJs0G+STf0Iz7RzGKh/b3F9dB4zBZC3TPGvR81XiSC+o 1gqvnGci7rmuLL6uGEYPSfaycoYSziMdoGLC/W+u/W6A6EytkgfpOpw0uLVJtlCTT0jHZdmrflLw lNccG4Kvmyi30fKz+6aBq8P89EfLrnGCiOqSAXg50JbDxLHMFc4POePDjfSpS5XoeN7ULIqgqr/E /xebEvAeaMZpl4AjVUIq2ErwH0+X08i0vq0huaX4Rfg0R+No2C5Aa9TC5WhvQbPbuaPW3m7JlfV4 M9doWEsn/6spFdXh+EvmiV5l8Le/ddopFq7qOlrVeDaYj/f2pq0WpqOriE1xjw0SkQaD0klCA5mx B5vE7zclwsIRDyT8UpFC3TmSmwOQTCLMVLDk7hxE7H+4Mf4WEfS5mT1l2WNlxRCjJGqU3OKw8P6e 6w/XIgD+kNk662Cu6nKkGvji/k83VnNJpVIF4r0JdnU3V41JU7NS5vE5wqoJRzsEpdpHbG5bd7mD Iwc+E0kETlXIZlbyZcHUxzGpms7FSShv771AHrsvF42XJYM93fgJjVi10tLAjAYuL9GjUVdUAgnp 8WHKxMxuZPO/8BKrvmJpfCalnBcmgQ0HRukD1D757eQoG03LifxfaQZsDxf3roijQQJNaf7sSwYX 0RMSIrVSOl8phU8MPnKE/m9ZQ262wBmzobahZy5t3Q6cnVBFtmofxHFgpgkBD7e1k7dRSSKS/eQe VBmXjnb6x9KB2Tx+LUH7lbsxzsQ3JrEg8IcUW+QdT4H3YXuiZYF0GzjXdQQAzl4AG1D9PMmsJ0X1 yFW72K8R51eEyBN+M5KcsUn2ayh801YB3uebjSF638/JcowhEIFAkc4LoVUMhqwiN7gy8xzKaFM2 PKDI6cURAi9PowFAhfFbZmRmNanNY2vDIk8x/Numl7lFUrZpDzO4bYDx13rsjhyy4q/D/fPmFSbg XdTUfBFdBIl8mfE2Zso6M/4Z9ZH4luFTN5aiMNf2BxuopY3sx7D//AvVHoQmYYgrx5pIO/tCn+CJ crhTD5RevqbWqOn0CfKu3/cPrEt+8SszTrtNjam0tOH8UXb90dPnYRbI4ls9LaEb8gk5WChiyRqR SwLjizBlwtiRg3ThXmajfDd7hh7jEndltWRlWZp60/LPWZA9jybv9f+PJ7U/Ux7L2T6mhSyHGP4k CWb7Ja6QNeEZgOb4bHKyqg7YlTwJtIadzN1YCQk36xOHtm/NBekuw9eQljjUiu58VN3I0PchdsIN k/OEmfRH1DmXRudgMRDY5apQMuAVm2Hmerf+RXBT6sP6UDXnv8NKTRmkWVMxMT/NEedhe3/3vTRC toUOXhd6sDSvUMXMkQCEzhZB+mXyTDWFi6+lFISBX9PqmqnViteAIjU55yqv41Zk1TL1FCY39l5y +BSWAmpW0JbOdmSk17YwKulfksMCD4opSwj6NBEJZ790uW+ud73oJUxIhrOnRZP1LpbgmKF+yDLW pA0XuFUutelVKnNYBOVvazWEsrHZ0GOELANOVs7ErEfBqhVKGuURyzvViItbAvb3sG7dYv9Bb3p+ 3oHFeabT0z1tfNBN2cp52UhLubzVoVf0g3ZV5uMolLUQlQLe9XI+1Kk2M+wE+Nm2V3aPLFdtPqnO sgnl+L1XT89a8lRL8jCzeO7smkT3Bl4Z2oghU/dY3wq6XouSBviKUVBhm41lQdFMF/9ba1AwqP75 8FGx1AcFmin4QLkd2cw0etX4B6mBzpb33eMlsrY9owm6hMXf3V5ueZp1RtRcKwrNlxoAAA3BL2MN 9832xovWq7W/eRm02Dml1M78vptQapykvjNJEx3k7NPGl+m3sdgS+6PdGcUkN/QzxjB+VK5vk1gb S0IWApLu1GrhRQCp9M8YFhTIE7eAIwF/c37jkRuunPJBW1VSbxhijx4aTxQKXZaJlqydXdP3imZ8 mi7Xlcj7CEiIDcXq4FQk4+OU376M2nlVqdVrB5b5FOjEoR0oA9dvK+UFR4iRy8ZxwCZ3HfEede41 TV1Q9mJ+MjwWtTMsh3Tjwm4/QWWGsu8zKZzMG1j0b69Ywz4gwDD2JDOlgZCVoVc+UrqX4gIhKybf 9q6WYm1a3bp8N7Tf96PP3J3cm8i7JaEuOBlptuCnMTlRgzitzg5ojGYmIsxmdmMtQodLigebZ2ob 7BZamk7CheGrK4bEYDaSb9niFhlZLozq0mjZ16KAlByy7YI+Qs0o9Wif3asXIn/I4OKuCBuqnn46 JysFQUL4mpv2G2W6BEJonSvqSQVI7ohcJKGb7wBGULWtbLQWTcwLk3vuNCaGNrs1pUSUjlL3WYRn ZDCvfaziOPyqz3NVHccnXvAaSGC0Ryp2QfEG2YGpuevyDhj05H/D76DVuz7Ih2RAZEg0KAqjsXRc d3Bwa4Coq4e+Ah+4JlEhsOeB8YA94Cd7Y/cw5ZKTjWXitcF/XjLWPSDfRGoLb67VEzQRlumAi+x3 +upjijl3I7/Htm/Q4NYllvRvn+JYg4kMn6sZ62rlGfxI9kbytQLrKlSqXY6zjGttWvNaqye1qSmO LJlesBJoyVIrlmuwtwtKncfSkJVzp4cB1F69yOCIWQsjYLEBmlv/qoxLu4MQpkZBNZW1Tns9NBGw cm1s7OC1fpPCF3KeD3A/sLZrjD74DCNZ79tSNfZLo9k0RTBb83hZWbJIGbNNElZ/Y/nNm2oGDN4N 3iOf4uQHI7cMhzO/imOvwqDgnOA/nO92oArqs6kN7Y5PFEvPSHqp/tEX/Q0qwcR2DeYb6Xp/k44d ZOgmcsa0T9B/kbZCmvwd5Ppi7q9Br8KMSSAnFwKMmcsOe8d7rH5wvD/SxANQrteyttOOtCHRVBMY BBsLumIGZh1M1wHRmqMSVCBLJYjQZa/fwg3mHVJ6xqUGeF7GrreTHtoXvQPAr9apRDB1cR1Sa3BN 1rF0Z8OWebMKbeE+iHUDclgJAf6Img37IvMvgye3fw99XjipiDrujq9kQtxcmi3SPGfqLoBDJcuV w9v7STMVoI86xeGpLAnccuyebmXtCP/dsT9XeumHwHNYCr94ORKXOj++iKLmtf3p5wa4jzBhhJGN eF9CUH8Qrd/4Obji4NW3G6NG3ZE2AdFKwbk7Rf0ix1xJ5rEOrxyY6jc6avA3rs1CtGmBixZYKDoP 3WCXbUKkPKCRSQoHucEJKafc7us0jOHGWexfBfzUPOfqu/Lwd1GT73bLZRizn+v4+fOI5q/3gK4h atF3URXJ4wVzUZoT2DI7Bc5d9a00zrbFNr54U4FPGe8reTVDyjpFy5kSAhrcHaNMWfmGCtxTANZQ ZzsyGSPtZCOhe5R2HoGG/AhS5rhWZII0zK5KkWxI8CSMe/Cv+jRCpB2JasdSLjG8dqahYJWozyWg 26q5my1dxyVz/1mpbZAaUogrMMKiFCDtwsxvA9QEc2hpb8wm60lLPAvG7qSYD7vf3w/I4WsFwXwH MVQIP9TqjNBdo49bJEE6sQxILGWAQXSXMLeL9SHxtslaPrJKdH74RRtVS1hl/HiRWDDwmuSDAALx 6c/PFfgFcjdmjzajYROdJtNMcNz+JP9hXMWAAbcNPYjL9W5jXoVmcllp88hnqsJ2DCv28WLDGrUr XaMnTc9tPiUSovUVWlLZPDox5CTv+zQjpPJP5w1AViyQGapL6KbF3IqkS1D3b/cEL5ojcDsKKWTm 72PlzJnS43ngCTZZJDgrNSyOgiIq19sDLakXO2B57vjH0csQFJuC4LEEBq8oy4G/Rz/wY4OFyQgS 9kBwf7VHB9ZzfTHDkNO1bM7aIlQ6LwaDracwl7OflDI3E4o1p+7GHuDsANyC/bnFg3Xk79Iuchva 92cHjec4G5jMRDbfxz1eQpo9bW6n1sUDUUwwRvZFnW7pyFRPrRbqg7JTPuI8HT2gsqLXgzjYG9yk 58hgJYKtv6crhHo1+idNfe7BDB9U4NJoSAOeiX0O7N6TfT4J8jPw8a7QW7oVm8GEXYX8xDkFNQvx elTr6TeAvC18ehArKak2BJxikEaCjpWjTOuH10g/v3SdkbcXIH3s4LXuYc0SS0JGHpLJRlM6XHtd DlZB6Mr9u+M3EfUhuAkIkIM7jvx5tjlW/eGCYWbYvogCXbhRe+8xeZ6ljH04Re94o3GcaUSgCV3T bzh8RsB/RgVcs7eEJslsWU6BdqsLF2fcYBcpqwPlJ7S156wk8Wrwg3itmuQEWonLjdvdC7gZ33v7 WVQU2uCmA2JsCy6JAXZfgRwPsM4yAUHNdgva2PVbPjkg70VuXrWfq1REOkKP0iylw0KCo+La/P1j JJAKJnBkpQxv4UGiCw8fJB4k8u3LE8puo69JqPFdqaRO0yNE2YSWHLbZfBPnrAy7bMtTUmGXqu0F e8KHYBbLfdSIhEmWIf/PjfyY2FzufYyHlQxvpwvutDs1OX4KpfeJ9krrLYGAcYXCpHzfzF48SvyD pDLKfZUBPmy5CI1xkR2GvHj03h0UPjEaMuHFILUfTtUJxxtgWfBOjBQK5B1pRaFcqr3mG5f6f4O9 rt503dzJfRzZC7rK1/3QaXVryIDlIrScWPzkLUPZgtuu+OAEGJrzeU49RLR90A9k0fq4ENfBhwnW 3Qo7qdX+GsT/eVGAJAILyqgsvDzWw3rIxulq9TlwhtJldpALrc2t2udSuo2FmDRWnie3ldJVLfGn wYX64B4Gu12rbqrP4RvnCDsuSQjpFnrb2IW6Cug8ATiKJVASYsy7qyXR65YScgKp9jjSXXZEo4a6 vbECTM0xW6kk/jZROc8viiX3Wgjl2BKn6lDGvh3AoGghD/26PFjnv55PL/zjrLSRrs/6AdQPWryX 7tjLr+nKhpA7+dK4XuqhQL3Go2nCG20al0NRHzmfGKrh2aDogZBeIkt6KUZa0yGzhI2JGQQ3lbbp yGU2T+BZns9NN1nGdVWdzA2ldmjKc7x/L1C7EoyT9nVBoWaJol5gi7U/ZyUbc+JQiipNCxJdHgB4 Pdu3Qp9WWlxFYGAiRksbYXrn7GvxFTEnzkeANz0nWxZHVMvXEQZNSfw+gQuNaZ92doxnncsL4G6x Tz7rEIKmDrNcbFfDGIzJwhYAI7r0HenlFCBX7p9s1bYsJqAh+KqJ2mmq9O4ijM3sV9FM90jvJ+jk AYtTYGCxLJjMdCi94yWE0d0JAzMAyklo0Kenro+gqWKms6ERLJ2XjgOLakt6lqQOnuX/gBiKcH3h O2OKFcjNq9fzVb42VQ4ZAepnxStTS7h++gDYBEZsfUeQT7JKNpUL8eWk50RiODRREUjhzFZk0pPm emOgT/GOHkcxq5uyJiaRmYxOGxyrqH3C7JFkPQZDmB2C6RpXeGQ+docQ7TFtzSvZObUllqCS/9YR +hdJ+XvH6iNFjLU1Tlpx7cn47166Y/gHU4ak2epEo5AEf52VBXIAGDgIQkZ/u/RGlZJv0PB8/9Sr UR1lEEK6qs0N/Ms6/FZv4wES4gIujukAFkPeVua7yoMCNp/6o7ONv2QtowHYFP2dWZIU+Vwnybt7 x8lgQezk8DByRmX6JP4CDy/MxEIoTonqLhdraZXoksTdckoOxKluAsHL+X22SF3QNZm7EF0PYBec NvQCHl2BOHOs7ZTw6Ao8IrEJsHzJ98coGn0wcP0JKibFUNAzaNVUxKNTfoc3XsX5gutbu464SEjw YC9ZW0Sd1wt9SS/t09JE4h33bQzxhfHlowgDaVWe2TW3b35ayXu0t6aS0D95yh2SOkLMwnAxghVq VB27nrQT7LOpMj19BdKgZSjo2dW8yqbzTIYc69ka04uOOIkPuBD1curBQ8sQtt5f5P90D+j9DBrs yxvmbJBLgILlnF0SWCHMXkwI54KEEIbBor/pooPQaXjhopZrc9vS0cfLNw6ySQqf2Zq1+Lc2tjsq GzhdynYmlk9COntSNSiXTVdKDXNar0DEj0R/OvfZj1tum5C35ejgWteDEdRm1OAY5U8eiFm3noHr gAbntN4uW8zGHrzq3c+oRT0pGLkEZgUy04X19foXFErenQIUbg5cFDtVRI8rx4V2prfhdz9z2iKp MobHsXASLFbbspoCMvhXnctcrLP9+EdA1ma2QMMdnHqwhgoXi4SmFodK5JQeZLkxIvj8hcXl7UMC 9wXSZd7PuClHSZLakVebMoPebUWFIgRtm6rubfMz20ORkhoylmGsWMbRowvlh8FqRMX2IJenD3dl y+kYVQKHWApII76KIP7pfH5T6VHJ6ypkOuvy6NMn1LGO8LfSw6dI/JbEYwda8wU/xdZp17/GN0+d 6WOXIFrznxNP914+h9jn4Te4ycfoq4imgLkcUiBtX6xsBFYAv71LfrPImbLErsSMeH7UhM+KxyHg 4LdxOH3gUNmL/VbNGTj9ejkDuHEcZzcJfE3vWZaUVI+oh7K8lNSzrc80PNlcvPFv9une2h0YThia He0AvDGP67EzF6ZK+/0jbZXrhLR91BLk/kejv6TjkfLvlIeHDOGVgOtf+xIpZumYhKO7pdt8o1QH hTZyoKoKVrhQ6kjMgRVy6bIuHPktcsY2JL4UlagBUAgFw4+BxsA7lOaGF0lgwfiwDKHSaxqVaGHu pv7qNiuppMyINIPadc9GxZTddQ2lk+xIOVrzw4XEpVJ3gMf9Lau0DSt2BvhhQu8j7cLWXda4IxPa nCP+36dDL5tHoVA9O+l5G32i2Ph5/lAIOmiarJQwKIOVMQpUIQkM7jbSn/Z0dIvJZO515gTEfifU maRSmF0WmhYRUUHRurgqzrGPqWlRdjTchna041QVL72oqWXqtL9sgNxMKDBaENgIh4JDyKtGyrpX ErNw2vxG942mYKPfYk3v29tmpdC5yanwTywskyjN+9y+pVP0ptXsgc3vJySVODBXktx2XM/vDeDo 2YlJjOTR8tU+D1yr6k5PJhIWY1LbVOK5HfJ5oQWj1axbsparrITea4JQMK+Mwg/uWn9+naGO7b5H Ofrxp6fY60SxsndNlcGC5WToBHkZruKcFuWyMK1joFLyVl0X7UQx/4+Gp7IEN5e/gnIZ4HCteXWt 8+/Q5vXWFNGW/+BMHOxIUGE2jpryY/dWi0m9fATO3AsJpSf+kG7CeA0b9Ltr6wT+eCSgU66WMluK JhteSXsXOQGSzEqao8gluN1fOeEspVQEnDQYQzO/JSCxBWAVbtXcRf8wx3cG8g/j/tjW2VdznFAm FcODiCk9idYFJbA4ECp8beKrVYUoXCVGBZCLYKLWjSxDA59Kp0CbRhbq2R1qS6tbQ4NyOS9bhiz5 zDy0HA3nO4beraD4WogQVPjmf6JeG1/PmvxgcoPwKkhN6/ixf2GvrrYGbfq22PWjyXar68OlDmGJ 1Phf0YV7grxA4DMh8GcxhSB3Gf4LPBy0Z7hy1j8esn/m3ol/YlPoIUnc+HssnZ1UUqu5Mq5erTmD hRMkFA7dTmT9Ym1dYs8I1H4CklVDAz3M3V0Eky15RMkoGELJNgZ6h5QOreALClXwWr+2rS3SwmcA /oejlzMv0+ODv3i6c+NV+Kqh42u0S4EmCgrtb5NX1oyYFxEbXlX4P2p9BTvj0d+Lq3qL74Zmh/qb BzR1hX6U30lMtYbjmrNXcqux+pt1HByE61RyeZG+bBDxFGBdksw8W2VWCZMlnVHz7QL7fnZUiOCp UW+RNE7H71mY0CSuj5778enMwwhbBDEuci0sjAf0g5v30Dh/TkSZZXm9KBRCWEvC7o6Z14i+8JS0 e8zJWxE+blbbtuEEz/4d5NRjhYq/3gwfZgJ+bQq9khR7pwx05zwXIWWLOJJRIxCIVi2krbQR4qCN GJa0j7IjSEKgMOFV+e9Q1bvOI9cQtAmQ8VYAfLWfVuTPs3TkQh0lhL4sDdY/A0p0Sal3R/9LkTHR 3wSFRP+ke4Ald9FB4RgP1pVgYsdgAtDXz6I4LJZ2Z7Ku07ygg2Ws8SG4dlPi8VQ5NVsAZOKsJxGa 6Z5hDrDMUvlgzZ3XEetpSZhI2FTX4H2AaMig1AiHpuX4a4V8kEaRGdAavDednW50qL7BFae5enjX Yf7IPvvjqz9KXwc3+4nvKi3J4WG0kKrnwk9zA2PPWcEknKH12lTQ1wJInnudjwJnzdD8q0larg5t 6lSjbG5MoOjPMUGgZZphs9Odjz3XHSV1or0LqHlmgX37DwRPXcazipzGfvN+90CjCb0uIT1Gogo5 RoPUd0VhhFaC2Z6xku86cmcq3D39HYtJvl4/X5jI9eG7/RMrlsxkkRJz16b7X/qtij6t9yNCo+MS 8aW9F/g3qe4Gm55pXoB6NrCHPOvJbmhdTIqepGHkRaLMxk+oiTSrpDHXG76Jllxi5nDrpsd+X4MD rDuZPBAtTD6kd6DdgKUb5vxbORxB/z70+k55skEoT72AwRXCtA6q4nyxoXcSqDrRfRUuLhy4+4Z8 SbWcZJBg6IwuOf7mRL7158mGf92MAYhR+cKgRMuu8trLdb8CF7Fm4olcR1Bbk7pWDOgdrGYtXBlg H42J5cDZluCaV3uX8WIr4/sWk+SAlcPpyOBj+rV2mJQraU0TH6hLZDH+HuYaH++B+BRxFPC8gGS+ scnV2NgO6Ioa6g6vZjmxGp/v2MrqSvvJ3WJgUTDpcbN+1tSm8Zk/OpaJiT81eym1DZMQRURdIjQr bsdDGCYV3KCmvRob5AXgDkFYGQkcCCumBZSL8t4pWlvexEi6r6NfY+ceeg5cYMuIi7kM8gC/6CCO 9Bz2cQahKstwEMAiXCKpbrkDJr0d0O/DHZ/CYZUzQ7kmAqHXsikgGILcjL5u53ojGl8Iq1P1Us41 2edl8Q/UQG2Xt3VoJDe9HvegouYawu9Czy3kcd97e69KTnXY2oShDsxZYpM9q6Leo9c0pQF7W3ML qDmRz92mCLUVQsxBJxFaRgNqrbcMJWiQGivykhbXIGU8tKZwe2+Ba8YqehJqHR5ADx+2CvHVkpwZ jzFNEWLLN/n+V/qtVhvaD0INP9T5sC4ig15ii1MA6Q+cCJyZkeIMAxfIs0Hw9s3u0OKmrj2Vyx/C gB/FRe+y6dIUA6orUxfcwbm/XSHVbYztqfUSd8w8wX7tet4+4Jnml+gstSPKmFkDXTSDKDmZBYJA 4umTGoAz1f4ItMsCIcWTwkDRbWQgHUfjPPsx73RTdfPAN0jltmtF6NYvHj2nELhZitA+pXv1d9Bo Mq6PfUYAtDXDyl5hVlryTm8g+SvT6F98uMMaBpreEkhrieJj3OjZQ04ma9YAQKLAhBp9c6sYXlk2 pCBJM6YrNyj5jk4oP7GT/90zfG6TW18ZwpdNsj94AizNl576+9ffN+wCviyIEw+4mx3i/y7rLEhd y4uM1s3wUsBTSobltf+ABjkU96Dn7QLTOdDXbCCy7TIEINl9Z0JCgHOwZGjxGKOcac8FNvlsR950 t3UNiqMxESml5/UUuVOekwRH1wTnj2VTZogaVx2Huzus+xclMg5uj7GUxBV22dF1Svtw4UdMu7N5 AhzI1J8rzcHh0CY+omrV+IvllU07WrF+DCLtPWO5Lx0lZy2dENg11G+goyasdx0MZgleEsFmSU6y 4L9sKg3RxY8nMsPAkmcZIlPpusJtkBp1l4cwzPFz3hlOpyMZLTaKZyzZAK4/b608kLBPLZXFST4M Aii+E1EDeOEQN3soNsD6LQVwlXUD/omY4QGcRmNSd2jgh/OHNx16Yk6IYvS/Vubhyy+he7daOoJU ORjqkjr26rFd6cmgRTqCWKNJ6vuk50VMeN32HdIQHIsn4eejZWcZjBNcVVKhHHbbL8Py8mjhvNcL K9wUPomXK9Lmch9EUVG6xMwwlbdkuo+4gs5Eqiuu5GliEdlO0wsgyAt1EdwrcOkJ8MC2voZJ/M2l kcGF0GitnMKFMZaywptBW9CZSt4WjrQc4tWDtwnbO4XqP97WDU046rMcfMW95CIA/o9foMISqdGY yLO+K51CEaaV/qrquQyHo1BIEvMhVjsshaThOrAAAyLdgnI2ZRvSmfx686IjHaNvcOY6rlOi6+jw mlsWZ8e6uswYnclAPSDS7MbXkJ6MYcUd2YUCUbwkmLTZ/vIoeGFdOoTdK3YkXR2m189e5IxPv+/N edEGZ5w7G70ADq613sF7qOKT9KOc4VNWY4Fnn2KmeoQXZiBCsoPlaLHc6MJcl69ggnSrDlZkIrFw BstNXcQbjPVNm3RuNj2P3qMxS9drvrcP1HUKGIRUwiExpMa6AwXOdrq06Mx7n13+BwlRWRe42eEq fnJZR//v4M1NWHto2tfr8RNdUZlp2P6IbqQJl/TIdkR5gcXQJvYCCW5pjEHC19MWjWJ0+aCSczwz C6EXSS/V1xSubXBmG4go50YY2cvNTLdiLI2LjjMMk4U1ibJSaNwOmGVhjoUxo6qxu7u0NC5sTAT5 yDPptdPHqpEjS8QwmsyHr0uoeoQiwf5q7BQtABLkGfS8FvxEqaXsq6oegYXOshgnx3nZP/gwfzi7 Glpr933POjvNF5IOlhy3ZYaiHoaEgWO1hKi/Ct45MzuaeB1FCGjhVOl91N6gVakCrQJNqwXkV3JD Xv/VhPPmReUrtyh+QbZSqLaT3eLnA8r+IdKOegCbAIqEPQt0vKNoY6Hb78nfKHxzz2zK20mf+w0u PL7bAXarOM/LoqwaL72EKBpU1z2gjKClm1yei3ST8knq3PrQ129sXq6S4wMpE8lyCubUYkYYQ+uQ fIIQt6pTDiV3UpV72pRZY9ixwlZ4njoG3QPzAuGH41TimHThovUQ0ilExvBfRnlLLsKi13Ibw6v+ cOuTmDR7GiZaiz/R3vwO6jvBeZvOT++hIv7oB3HMPFnjD+RCZ/ROJuaj32aCv2PJc1IoNBZNowCN Peqv+3sEeAio+vuivE1VNoLtcbboIvN+EzLyMUIU4+BXNGcUvCufhEbsjRqXh3LVHd2FPU3FpymZ 4D+9PQZyWGVh6gAxksRN+0ICyVjyPv1xfOn4GSasuP13NFrGj//pN5Ch7LGRnefW6Zq3FFV8ikda g1JaVJrz/bbjhu8Q4fsPsdmvS0VLTerAcE6YfxO1r1h7iEPy12SkhkP0/zpGRcoDyw2qy0dBoJJY HhjNlLacAWDcEmpZIiZ/bRqmT31YZrXUQHLUv848fvp/8ZD8wv9IRqpRbreSIfw9cytKXBHpoTR3 1yy1oMYSnd5+K8gm/XtUsvCCOWsz8CCbLhU/ICRzTWBbWd3h97VynzgD2gAo8mZO8n7Jb8ydGycq iVt4sl39PXEOPv9UXhZakgvJ7iiLjQZv9xc02F473mKhH5GrTs/QkBJ2gjAqdRzuSajD8w+tTk6S hSE7zai2fKepVlm7YpLmohzOcUs+ZyzsqWJNqtsunr1Jh2YIQYW1sXUDgb/2ah63XZGH0PKLkpRo 1WtjPVeACCPZ8NxUlmZI1jgnHjmpw8KjgwkDCUwFPPavt8DoCk/Rb5YynXZIbBYzxTK8wsu1iePi Nzix1yV1gGxqi1S74cTcDOuRoAaLPTLoA8uuRmpj7CnO8Tnu6emfdS188dYjH6YDfMLWuyQlOoUF c1vMrZWMkZwzTa8O+iPCDRsHFTC8ddQE5FG5mpXzRKefA6WhFBpvQit2F28ZKiLYvFd4KQp+9iRf MZfZdocdg2LpBYuDpx+0oKDquA1LoMpOlRM+YUYkavHCgJqtHs3LcSs6+OKQVh9PPZ+OAd8xD/sx 1milFd3LkkL+NEpwInM8dfFJ6F6Pru4/VL+/IMfhfnpX8XdKdx/L82zB91YfJ9wzA7k8Vz4/w3kR nXHuLZ/PodCpfUZfkxXUlj33JnfBDVZLDXQK0BOflj1/++e4qvDuBWJAJhMSMEDnuiXM4m8+7oGY 7r2e3FLJlR3ky/qSxjltE8nA2+3nw1wPpE3rfAtg7Rx5k0Ycdhfx8c+68+TpnPbK11cZTJlP6S/X fU0cxVHdTXyhC7jwYKMhWx9WLo44BK6tMFPlSYxM+5XaiEjMR52VnsTl/5lyBSD8gBHjQFdz/i+k o+yV/xg8/M6P79N5cyF8i5s067N9daBrs4OR6kCNPYJ7Uv8rUd7yTyYSlzdkOFUpzdgr5f9xpPiU MehV0s1eJ5bnErRuj6iNgIvGJF4XIxaF3zwh07KPWLVxrUd+I+w8nc0I6OTyFt13uhAz2qGxHsQp aktd/8EhDZVthi+UaYuUz5bWTOzdeVstp+M7Oi05+R3z8eUTTzgnnVh8ggMapqgl3fDNbQrR14SR 50+QKAUNHJxzvLC/sYAvwPvRM9NTSKpaJDidaJRd0ZEPjP2z3Gq8MN+q4d398fWRXfE2VYo3d/rG vhK+JVqTTGpJ3h8neN6PE5+WmIVA5zpBSTSFpekyjgqDVX6mRw0d7AWdw5PLCZqNB7arUyIKF89w H1DvhsgLupDd3MxPbBLt0E63XjUWzob8ePxsq8XePqwUVOt6HC/vKu5ZOPek9Di7Xo0yh0F78nhP xJQ9j3dPBgMYMIkLQ3ndW3K/5RzkS//B5k1gs/hnZNDsPt8rOi32qUwnwS3f2YY8UWUaZgDAbCyO 9/PN6l7UTekyft5qawZV7MbpR/xZ4E0oGfSsv1GiV8+u8MciRXVtv51gITdKGJCJnETsG5Y0/A/y q7jj0dDITyjUZtrKbbiKrwG+lBX59+diK3pRoyU0+KNQd65Cg9Et9HoyRJpHoYEd1zS9yzDecqBn Cto8Rddfea085uM8HWor+B9Zd5LX5qO4J1Brn83sPr6K1UB1sZDC6+ye/lK+TzvHN6JBf4JCJOT3 2zP4/z9ywKIdZomc4tEii59EiB52y141/9GI1fEZ7c4ZoMg6IWJ7Uf1uBYF486onF3pHZ81XBizW bdYnu3+Iu0TIl0I0qRej2q7bIHuXkw+i17M9eeDPWD3Tq0g6N+jy9qjCgOWb8sc1mFuSMChnAyC8 8JYNBW+UGEKOI48/9bO6LTHyQ9KzKOdm4PLaLtEZXSHP9o4KE9zAIpup1Yo9wr81G9k+PeHb89E3 FkhcUqpWT1CXDypp5v7OkOJVqxJrGFx/taOu7IWWHuceWV728IAZ5qlUSUHTr+ojHTtQryWvmEvP 3i1OTF8a2R/xJtbzq4L25i/YR46X82N8hTgxjpCU1cnKkJf7K/mdC/a3QGYTiKVla4A6hGrLVQhU KiefFsf166rd1hNo63NogAKGPzQLi6ETYIMsMUpeVh5LRAB9eTiI0clAaebpCuqWmsprwSLMnAH3 IIr8tkP3KQqXF29UzudvLPIi6W+BHcCE3LP/nRnpOIde621WpH8+Z15UX6apxg6IBOdTcdWd9mz/ HNTHLuhQY+AdSsjGYbgxtxWGfKr293JwE6p9eD1hLpG3neWOMcZe7oa6qOohhdd7NwtG/2IJc0Ws W5X7FdTKR++RFSYphcEMg4ODbdzavfaxDAAtepG4Vi9bMkOVMZseQliwrVLEd1XZkQwDZaoUy6CV rWYt7tBBzKkjfAmHYII0ZhGKUvSQ+Q7A2VAIZng6rYY+r8j++cAl0GP66uChXBUyDXJAG+ihFtx2 Zf9sRiOIuI4oEfZqS4zUs5wAhYccJeUxVhJCreBHA3VgRWSutARlOMcv3ZHXq6uuxbXk+BVb6BcL gJZY7BXPdrOffpIdOvYlMK2J5VStFNk23gyWO4s+ozlJc+Bxgt7RLURS7Fu6Ay46zXDruyW/GP0P AJTDOW4VUzDhx2nlCGWpePEJ0dw+8DZ5ezi8XLSriCGepQjoHw9bm1kufc5kLJqqIKiX8Qvcsyvn OJ/53YwJAxaEqnIwPAdyMsCMRwAypr8CYWEm2ZJlGSHyAa7lGBjcAsFrBwGVg3foScwfhn14M3d4 BjAue2dw7XsV2uV91J/ROKrDi3tpOfuTMf2XZ6M+RoSXB4A6+9sjZBwBfW2eCCJagQ4CG1/iVshU +Fp4dLV0wfBT3zANevGpg51AXOQIS5y5lsKgvmGn4lSto0Buh45ZGv/cSKUXRBBcEgCWxY5KtIvb x23IMWvciwbrBj/xVUJwoHmAfRt0JK6YAkogqqa4AQOXYgwTWbIYFGbTqFzzlW3q9472oBnkTzRN 6hB6GQKy8hqhw3xr28AocjTQY6klg+Y90GHa/JoTDXXY/rTV0LqZefWVO98ZSDqNvfk6qQSXQW8C pq1Kr09/IvT/xngoHMbhyzRW817ohDnEMiKIPS4zTFHnD7vBmhARronIurnHYgYqNgTmGuYnlKSH TnwCoNVP7nATEDq852TC9T4z0dib/VXlkAjaczVxUzWJObpapla8MAnwjbaX711hltO14BbmAn+y A65ZsXyA1UeIGZ+0COS8+aHUIUycb8eaZya9zjqM5ETDaeaBbRFbQUCUC71tzpyJG/DhEEFqgFJb KUpbvJpbfhuj2oCl5pqOtisOsY1ebNQDxQubW3jnZV2mrDGfiF6zUwmdYGLr4lzsTwRfSgtmSPCE l6sG5Gcjm3DFMAQyi6ahXUcRgM5BOCyw/GFz9rhH49hN07n0g9c0v1DpD240ZtMP/rX9xi2XghOO pGhVdyIRIKSGPki76O0CmDPTBvJOMibOAc5iFSHh7ElofGLvBbVzY8Pe35CbVkcfeW7d9lCClMcQ tpU75Pw1LcnDkow+wq7pGMLnxO0AIpB9GoGQZGj9oYnl/DFmnZa8wLq3G3JQ/6Ev04841lwZes/d FAFHqvDyF5pQ1yzw0gPZVFWE8QeYyWh54RR7tiFPISOpOMxpHomcgUa0Y6fl2JcAr+KpwoOP+8QX E90vY1kJ1dfhPM2ZQL7Gk1irsEXMR+TVDfUx43XBWhsbP1Orccp4ofvdHqYlFSWLIg5f1vGVqLns wrnH8YXf7APqV78trIjOxBTX4u8UsDxEimbAgD3AoSvWgKqkSi6SA7VsOviDK0qIdLuR9tcznzkb fjQ6xz0+ATN7rtr/SP5Uy+9WivUa16Dt5yFY8Or+O548HIkYY3RLSeUoaG+cr17OIP5Am2H44Rta UqrMHLahH/g+VSS50P1qCowECFAeckDqu2JK4nITve3C66/mi+BVAGob8ID6vprZkiptP412FLlK iOHvNL7lGndqP0xPkqhD84QxXnqRGmJbojtrbArub0YVapNbhMWgPS9aQYUbtoH85utkxVjMeBUo GfeJrRYQ13AXMpLgzLP+czGkCn4G0WyS4uU6NH2gPdbs0adMBLnARfDb5o0GxTZrn6Qtz085f9X6 VJ8KrCCD1ENJcfPn4lKgVHaooIf1nmNJHOfKjB4L3xdTs7t+CVhRIQs4fG4oQ173a8n3SO5qJeTx GwOtD4NbQjDjZ4WlHKtwSCEcwCsaVGRGBtxyGmshxdVe0cvNhLQNeklqoZzhMHsOiitjY4wdLQO2 A452rwNcggfcVeDoEyxaq1pxY3P4MbLuEOmwAGhmYZLj6DQIIQby/JL5Kzdzl9jCx5DbSeIXrO8S HubREMcdu+Y3eqoE/+ER1epa9B76i9t4pPmK9KYTaxD+rtWcbKrUjs5g5EPRpxz7BAEz9CfNjN9B /W+kOjykiuL7TbLXeSOtfrgx1KeZTA/yZO2ISG0ebgP4iJzPepwOjUOozx87p6FcyBubuc6FuGtX ZI8gRaS55b3W8uBRcYYeS+HWg4ZUlZAoI3JbUZ17YtdsF0/h8DcLD5yxO9hYJAGfVIMtEMP3E+FC I0rm/lb6IWIofGub/Vv3EVPtv7jyw12D+yFTf9GGPQJlYJzsRsh7qxnWzU822Tob07hMTDdSEtvL qS+Nq8YBNWgM0vTXg5pyVkctkiGCR7I9m2PNq8IbEK9lI9eisZK7ZVdBn4fyt0xRPYltJXfGlpAT FuaP/cgdtFWq5M4FdnV+Z9Z+jKQ6cou3JZEE0myYa9qB/frop8Wz4WLqB3WwNrPQqDtFJog0c4vu yc4QXdIugVkDCsFFYQwlFdNsJ6dgyFBS81AMmwOud93ldJ0rHq+yhhFzgEXbVJBayvb9zbSr+DuB 4kq9yAmdn1JspbZ+KJ5TtbYtuNDfVkD9IqdMwDV0ZZ0G/UIdnAGEA2GOm7aZCKN677P3duTydjTu DSppTLEysB24hXvWwQmaOJSt+p71rQINHWXohxorQQf0EeNSu7IzxWu0l+G/Os3Urcmi3k4rNzVH 0QoPZbhyfynz9NYjgL57RrB+w9WBvf0wqRUF8y1KqRKoFIqwumDWciYPikE5A4w2f86YlEb2ttkS OeF2WFlwBUbk53QTr4DX8cc3+l0k2susAMhMZGh4BqNsL70AY/ip3U65dXRN3J8WzqIzNtLKIzAF 7EdKSEXM5/oBOONTecTcgZdAeSJ2FzyTCuklEHQkW67Rr+rGm0RP4K0fRuRbS9rWK02Zdobdl/s+ GR0a5NuGIWWN6HDD6rVRgy0gSDLOi7hLOPfhe92B3jG1L1BtBZPP2FfzHjcltPcs9s9oavu3rAkT VRGc2YX1ZWP+PPw4IvIwuES7JVXmgsgXdpyIycivS01p+A4uhYAhxDFVgBdEDLzs7ZjsJ8ecHbRl Efs13RLD2BFC+86SyEz3g/cnCPyXaWvWxxpYTseaZ7RS0m20u+lgrANKf7YJqTPL92X5obhrKOa3 FSmqnjduzlL5uyOYYu5gfOZFqelnsxcfoenvXQrztxWu/ZBAnRnDfmaZePhgUGvuTB28tbKYW5K5 mDUwrRiZTaST57NoDlCG1SGSuXmRkc6qnaxWEl1mPNoJ0Tkuks2o1FZZwRT7H8nqtA/s0QsG13J8 qPY+s9sauKrM4AKfbD1dCW0/JryoQOVzf9QVMdJ4NjIRTsmImPGPp+zgG8TShKFvoIuLzdsUVYMS RWXGIQZ7mYvGFpbopdn7YD1/gIFFIpzAc945jdoF5nCtfqwzu9PCgB6E6Fe6nPPeaaEbVaOXdeig wuoIfoUk6swzG5Yk5Ul/iAxcVbAfloOXD90L6gUP7kHKv8dCQu8KyVx6IcPHPS+KB1VxMTA8iZoi nZXMbraRgi950lhm4ow65LcAHGpfLwaqVMolO6CeSDVZOGvltGuj5Oq2IZAxVfz0sfP7GRW55Phd iUmvn9sAh1SRk2RF1rYvaUojVmtlyM3p5Gh89NwrjfWBNwao09W3gJvQHA69TpkKBIQszPnuQTcx jnexeVvnZSmj/c58E/cRWfYT4LeJVzaGcFDK1jQIwoAW0lJoFJ8gEMntnDGkgCt+Ts6ua28VU6LY rhkk9h8U+llkyuQBpVvmk4hxIRIahC6IFJzp3sCGcxtIM5U0t88Gt7CrQDQGUJWl9++cAyDHU9uO zWFzzi6cSHtZn3qJu2qqP2CRO3Mb8JMq5jqp3RXzpI6jVIvxQpEmrMqA+ONrtv8xP5Bc4ZUDQz4Z iZ6RJAj2sVBaBkzdCiDIeq/96Avqydt5XL5mVy0i10T+5LGRvvfLep+cfDffhpCtTzIAKId2PKZs CxBbOmU9Lz4+Fnm2xixQUj4fwf2xP2mmalFzf+3evduXB2QpRUJC24M7fnsLPIGiPv/aGvu43Vlj ylNLexL+jmJgNsEVPrbJ8s7W6Np+CMNAsVLSWeq1oZUs5FIAuy6Ay+fXMsJoyTKzrVUW+c2M+3KL QeCPCpVZTOF+3ljHWibfezypXbYtOdhUBuuSYSQtuW7aTxFIrThObdVKrfP0xEwXCIpP8JZBX2zn OoplHam2TnW3hD28BOoGdV5+IOyeAVbsPOl1+4SQVTvW/SMP7Z5GVxaF9MFs619It7PZ91CbihDa HFwBNpgYxs+wXuSs9Q4qcDb8ykYXdf/vzUUduM45cp97gxyRYRv5JTBdUGvU8V2usFRI1nNPy8fR p3SXKv5pzPQiLIEa8vPIChcUxfNv8s5MUrGETTAyw6u2T762kRGXl+NfX8qSZtq+zOdd/6wM1l8I nxT6Ij7VIu/ciw0tuJ/Qv7/NyKrEPfMHgYPJIXGvLBPX2wX4x/+CYgtsN4WVYaRFFdgzEWcoOLHo YACus4dhm5/PnyqnZc7tZsLZQrRG+f08AJ3c4WjMbwaORssACVsFL7rxOXx2lJm7Nbka1rV0OqpP 3ueGIn9NhIKW4bG1DcHeFrY3AhrfDN3zXlglDTYDoHx8dqq+AOmyR2dGNu0vz13epMeqeqg27MOn bLArig0SCCiSD1PyDYS/BgDZglwU4YsQWGaIYizaiugx+8HUFCL93HFE0WJuCrwZXptNhd+TH8mQ lkDiSLv2+QiRvbRH2KdlrMbUwY6/7O2mlt2nne9xvcB68GKwUvnXcB+ZXurRpSQFQktycPi9DB0I yGF1awbiPhsECIw65Chrh9n6Go6hBAOhOlYI2HgMIiga5M6wHIse9vRDVrTx+yvMOCfXkyg/1g4l dOO82UNxczouO9EDqtn/J8iApmBzwGwQfernmjb4XaEqjSm5QPAnEnDSL/jZ+7N2/k3ZUa24rYik JPM+6X/WvXVrBzysoPzHvjg80zfmzA6ssrkpUxSi5e1nSgx335tL+BX0E7l5PV8hkG8+kwdmeHnp 8U9SKfdF4UttSKgBHfr69I2A6/QdccMRZONKMRx5pRI9FLjDqhOIGFfw7ve3xmEu/Sqe57qNU9UC 6OEszWJ6LgUbVkCN9gADYXwgZ0iqOlPvadtfd2ptMvuZCdw6J+ZljrhEMjRsc4x37olJ0SE3K9+J Js5NkiraYjg7Kz0uQraAS7hG6RYBPcTekAmGWNK/OtfkyqLQTLbA5YXQ4bd+4rO7rTzNR6DeqzRb MJg++udEOyMFDt/DBL8dQG+9ysArJxQ8XAYuYtNsCENJKZhRHV3n61G8lg3G1ugk+aN9+dPM2Sr1 XstbstElwuCv6rDLXEp/x2TGEgI9jrD4SlAWsMZIVqb2/39mdRheNEDCb9mgbRF9s7G+VzcwTj7H T7oJF2aXGeoYWWkWNs2F6sa4JfEDDykSncDqs/43KGSxodBXgaMG7lSO8P2NKTHDbWJnDGDBenr2 nwDX0h+RqhEfsZmg28Sik634zXnNV6O3iLpJ1PjeDWyXmc6dn799HliaZjisZPmp4yIZWaI4hbys pVd6oZhMtpHfkIYktZaeDqipWBYX8fkxlxVJXE821o2ETZ+hFA61pjA1GZrXvd/WSnXnlWBB782C SS2q2cvjt+JtplrHdKDfJBnqcmSugFJb5qGf2Cl+Z+t2ZO123T49HDaUS33sR4KsJMNof+rplZqO /cZ0IUsAKHRwcStAL9ltQFrMbCGZh7tIhItEYLCFisQfml8gCS9W5HWxzs8JH+vXEQ3Ffpbtj2r9 Sk+fXP3vlZpdyD9V93yeN5pPL0r1a5SI3C8A5loKipk0K0nB1x0EMZ7VPnYIJ+v0Kj+tIPcIXxzT or3bS2RUHYwWoUx//FjTvv/ggfvUakuG55q4ok3Cvkcfz6A0YgsMf8cRkcWwkIhAzIqK3Z/1ihW0 jRsNYG61zeEhyejV7zuMGkL/HgNCGDAxRtzwPd/XZp1h8qAKaSGYBDofV7y4jOrLNbTqki6RBNzd Y7HIQVyVDYLbN6ifWcr3aomo++NDibV/T8Lk4u9hnP4T1YiV1tDO86ZBk+ZHGPwrqrvQM76NVTYK ORfYXfpkUUUVVp4PnWa2uCMn4X0vSpvVzDUgH5PwDTQOzGC1pQarNF9jmd8bQ3Jw/nAs/RWZifto 6NT+UOWT2KktGY/K1zpr5FjtVDHTOj8VxfAlpY1aCHIIm2OzJ1UqFcIy+C0ZbPl3lV4kWsX/3RLm Yy/FAdTboTe748AfEGpvVPAJyBbpu/K5bNiNxJLoXm905bmDKpHSUdKWY/1CaGx29GGnKLEZy+3r WdYyUN6DXWvILWGays3rrzdMsPaM/VENEYs90tjEHCvf1Qbf6Ux0i2I8xUuXFGO6zsmWbPQNjL++ BQ9gvlzrXC8w5M123yRijIBGRrTi5haYvDAk4I9lidKBirM1C2A65srQWT3d6Phdyvn9ediwY/Wp RDSWcz6/FrxOKcc8JkTFQMhbuB/NVXgT3+LB9xpMUT23Nv+deTJK95V8WzoX1Q1ITWZ6LDTUEZo8 R5Cvzg9cM1enycBfz7FgHLNajBrLK710N0sKc+lhYohm9357nkGOQEkcn5uxZsFl8lVWpmEHiehu Nidjulo53RSmQehHyGDmNJqGqP5BitliH2VbJ0kWYX5IRekqSItxGtyV7PJ//qnN2plZ34vCIHLI tHZgLEU/JAd/eHVftzu0O8lhMwuy6zpil+edsNoxKTtP/PAz2CUiKBggiq84ZELGUYTaN17EnR0P DcKeWPZez/6UrloAupphziuVZGxyYTvGmcShVpyUWWAiA1r63NgRwpYaBy+hvHXVfU4fhnfCID09 GMm7iZUVkQkpbByHn3OwciMpetJBns/B4YCRbDThsQC+7Qt5qxq8fZvyNBYan409aNujFvrhurwk iWjlxWv95QAB/yJ0mLRpLgKxDVRPDkMZhC2LfXS4R43fiSNLt9ftyLYktKahRqulR25orV9fdEU4 0yp9xFkcSx0dz3Zt2V2yeKrcW2mYR7hXZyDC3TnCBoaF5ozRQ+OUhAfYIRkGXIWMIrfNkjH79YLM wx6lgoYmV0iuiIuua4aXbEBiDj6Efe8bgBwYUl7m9mrc46LQ+ItnnPuSAhPK+H+5nFUcVh44JQRa zDyTEq4Juyf5PeSFwfUa6S2nL6MefLDQiul7Ipv9xbf/gUtiDTuOeO8SoeP7DDhEXF7T3LeScW5x 1a9eIfkadXuoAy21+ENn0ymueHfCZLdEXQ5UsrWgiwYyGCtHS1r+nacijCdOTc6V3VhDdv5Db6wW tb7xnL7dRlIRGwQWGcHZr+wh5JESvv6OHyQIbPaRxsIQJgWHVPeX10ASUUjSPOMkv+LM3MavWMct exv6U3kGWyOJcGJgt7hcGLbM1OtEkP6TuI3xHJruAbJA7en8JcKC9pCsE10bZnMscaY0HzKSwO/t O7BXfYrdDUmlVsFZF2w3b35q5YGrJ/HznrIeoM96VmFe+hxf/SFtwGxGkuk95jBZznhYw+LgyVf2 VIJF2kgUKRv541gt7+978QyEmfLi2j8/JewWTLAGni8DeTjrt+8J+LkYMmMxehheYKgdBXR9L/jq Sb491r7IzmD1R+FAaRVg7aOVzueYBhNxJ6S+IPMzRxgYzJKpQ7d9Wq4pJUZoFT0r611mwuEGBXk1 7rRXLshtdUjSndUwOOlSsDu7QwlbFtY+CV3pPUos2kQnqf91KLZFylKCv7VTmLwJtZOGaX5QS5zi Oko6GE2eS3Hh8jpdbsvX7UjAEXNS+uFjlCRvSxWd64qb+sEs66bHvG1BMiskNcj5G+bEOFWhvD5j VHmAE1QNe8CHTx+Vu5ulzGAqrOeCfIJ+Mps1nurnIKe0KmLjebo6TxTC8O+8w7yBIZcokfv2weup ocEVR4PZ0IP9Pf+I1SUoVWJxG4X6CJ3wo0KKzR3UJZ6hhGrhBqrZtiaRqFiMa7ZRKl7B9GKA4JcJ L5mlD1EQtzUcDA60wwGJFO2AUy94H71YYhMZHwI7f6R4ppOcnuLSvYeYMPIElip3fejz1/dTCWaH OpalGSAb7iUKyl5Xr33OdjRRx+JPpct1aDOUNjcLj1lQaaZY5R5FME3/EG07opX4Ig0ArkoNg1oG KF8GFK6gy2zlP/O75ZmGktG4hXfcdnOXFTUOecoKH9ZGPnSUPyM6d2ybLIxv5uxfzBSmZRAEHFAx V8mNxlU9x7GD3TPMhOwUXubJQpNYy9GBNG/63L/ivAncpuZUVUeVQFMDmaQtKa92brfdu/F4VjmJ r23c2m4NZMq9oNYZqxl8M4fPAHGAC8fjExESgNiWBhY8KaX9LDyTR7jpHZ4/CB1WYqZYV65mGlhb Y2iFNLYBtcIWKTshRIpjaiy015Vh72rtnNH1I18TF0CphVcH3ujb4vERAGtmKenDHVPbh/KZjPvQ P4Te4xjT8cTDaKwbPUd8qCUVfYQ3e1rd5qptB9W/3L92PIgtEdUGJrtP19a+obZLVepT5TN1ew0+ xgveAgfaTZmSINgaY/1cu1cxMQ6KQE4suK0PS+038mlTt4foVNdeIQk66vx8X/C/zHOVrYiEUx4X wVFV7UKBFErXv0pPWCWQC/VAyKh0XYcnOqYpBaZQx8hrDX3HN9YJUX7H5pNQFhu/LRJIlhVbJUt0 AAJWd+Uy8hYZ/HtC10rGxAOLYFhNNYWnTb0Xh79L0e6GucS5FPIRcFmYcNfta904eisYUOs5Fr1D 9w1KINEg4T/WEx3/3wdstVxoUyAxe3hVBYuPcFkFOs+gRPv9VXhebok9etRDArkJEAVuJHbo5yGT BtBQUOm7yLeNAM7QJ0m+oXBjHP2HkFGIsYlEPrv9z+Z0jKR71AfgUpo1kev6rlUNNpgxXYwQs/e+ f4kB/apd4Ynz20L7Ca7grBPJY8EooFc1Kxn6iQD3l0ZKRJ4PpVBcKZh50PQ6GliM4vCpIyjCOuSQ JYNHN1Rn0GQ0FaZVe2B9YaLeCpiANWnp1QHOqu5t6g5HXiMjf66Ct/nzJ1ffa6gSAqy/4yC3itI3 +temZsHOupRmYKtrVPnnJGycPfS/z6C8mn3XJm5tlXBl3izrysN/Kefu5IvxEYS0N+vQsP/mUqYz jvMPPPOvm0kY5DtHkc85oAZyzmC6Y3lrgtR2D3h49IY2P3v5NCHkiY3XpN768ad4pujm+IhXgQEJ tZXgmoKJjSk0JLUlsznqYpgjwKgsXirs/Eb2x/nw1rPl32sMq6LXJ+vuTw9E2f4TmS6yhQR/WyNz R5FeBNKYMHcrtOYE5AJWJEbiMQDp37sYdUxvasOq4I4g6hBnzhLru3K4goa+D0nmAmKxThrjif96 aGjtWhn7e4UujwQtZiXX/Rs9vlQRLEzq/CTe77JPub9fdOqZJF59sdRaj8vYbxjFrZMKTQoCMQdw LPRjTcay8VUyuOsJIBl3HZNduIKzemWsJKH1baSICobYwm9dOCmTXMnmTEqVD5q3Qd9+S/whbRih caipN0ucNGWo6zEhopBD+YdmQefFAUqTuGG2LTQdERgqbOzQ2Too0MZksjhhf8UYsL4zio4c5ebJ XrU0qPhFNoYuMgu7pwSfpXZMV5vvD1wl28HCio1kJ8xyDdkh8fHueXFZSfqBehhELWlW47eu0aWZ RPAY2pWrwHYdW9GW22qajsdLIc/iRaWvXGCYsdC7+Phq2cc94CksJo1+I8wlbSQ9rr0/3YQdbSvn jWFZdHbhob2IJjdOBSVC33CUHn6LZ3rUI/bMhoGKPchKvhTOI7mvEKAKE8bvMg/LZCQQBVn1LQYd L/spjSsC5tUo1uBAm185dfqxeGsBJj3pJftTEX3lGTKoHhlixaVJXM7wV58mAF0MzyadHJtxpYry 1qvc7pqcDH8OIZtyirwYgL1qInvsvP0tArus6VElQ4FxbA4FSYW1etGmzNBsfOVdtCEZz4Z0TMZ8 Y93Zf2bjwlogbZKvDfY7C9cXBsQJzKBGFSCt4+ysiSz98kpSw3tQXPQS/g8AborWA3oG6zdZPHOM uAkwaZdDZM1aZQ+pWzPLK6v9rFoyxrClDVRp5lQ1v4Cce9F/NkrSAvDPUAWyovM5tbGQYkYlkP5y l9udJaLjrHf++5pvSk/qeVd3OmNFxCdzGjx9vH77zKqiHZXOjNJZDFANd/rmPcwyn8+253d6Rvvg JdH5aDdJZOYI0ziHhCNEzv7BkC7go1TH3oykFVLXUOgGo5qpe9arB6mBJRREbO6KAKTivHWUSXIG 9B+ef7FWJ3wNkmWZ3vRaSQyxXYvnFb7OsA5pxqQPLcKRVtvaewB7d5x6U+HB1tjQcSrfzXkPcFGC 4YaPF7XHzI/7mnRP2wNYp+lg7IvGeA4g7pJsFm+GUtoMmQlIOMMOO5cJPVPtQrM3tTQ+nC/mgvsr Wl+QUuRR8sCZgttogkCVazOCpjiCkFRyYGO2A2KtyHtO5MGgVCIQnplzNufLUpC7Ivqcdk/gjg1W mDzch2W9cg+CR8zoh4ff6Pbw2aPtzVFlKDkdXgsohuiNtyPAlDXGNb/TbzrC7v1wJOKlSvx3LZQ+ W0fqoI9/hCkyKKMu8dI+p8xBQ7UBptgo/xAOK2LfZJ15KCr44d8M8Smi6XR+MjtmX9nr7NVs2pCu 4HGhbuXUWkj4Q8aeXeM0UOnTdcYSS3DrngLGlybtHctv6s3HqvnE9OI3VvxBF2JSu4zoH4JWWj3p nvr774/X5yH1jxuAHB1EsTOX/QiRxWvxjVXKnk0f+Ea+79PNWHTJnCKddDM8RvssmoyAM1BvcmrJ 0LNCDPKbT04mnuh2R/0VkF75kcnLL4jI2/m1Dhs3Ick4B/6xges6Avp8mhSd+wg/Z+o8bXe4icq9 rNqcLpgtkblEH1uZ5o3KGQG75mqx/9VQY2izPWwHg2FVY+xRrDJtQ7K06qteWH9WD369n+5dcKeC AGTZvHfFRbnMFhn8j3K/lUObnwG0TwiwFmFXhdUHYqgzearfXIfc0eL4sc1LJKbKAhqumAfGyQy8 +5zWPSKPngm6ecC/b+b57ck1d3lt634C1yCs6j6XcEX5nJkjMsenNpV0FelrUaG/K7iLwLnv2L6f Ba0u5hgx4ToOXYFH9Cti41R1jZAM6N3xHKVs0PDUgYBsZsvWGCvKfgO2WnupBrFxenZAxwpH4ij/ tieMQECfX6hgJczFIICDEwlPgML5aihf6huC0RZr+I+25o/xccG/aL6kTevuM3rj48CDULH2vuP/ +ikVj9AwFbG5AVYDvDmmTcDrA418FXTPfqp4ibBqtipA2VtgbNVqjsNJpzKuUM/UHk6jXThJdueU 6JHzQGwoENWit3Y1InC9ooEaeIOkNgbcaB2Jdai+k4DzXge/ti9/xg5xbeOzBFsa/7FqC02aOl31 0/0Rc7jGqeRS8iGoHcaT0/TdrZ36MaNZphpMusthiyvuhN4U/8ieI3x0KZc4HydUmBa8H2w3au7E CUpACkOX/2IbAF8wR7yOblVzmqr/JhGluBqVqIwfF/O2K1Dk5Jy6bbPU5PQVSjDpEpW97Hn4/u4U flgsjeu43yzIPwFMcxn7EX/ydJTrI9WpnIAmvH1p2cgsmeKsXlvSLJJqLCZsVIE5aSoo2LgyE+dr /lkZuTsTm1+mKdQo5EnqgRJQIiRBub5qgQh+6HDJMBfy+HZbDlxEnNBg9fsQ3ZH65uHaJ64H/fns mWv5uNNOO0kdPgyKRBcIy6CvjbIBiwPK/Zx7gsHJaf8fdtxUdgN0g1m+Mmay8QGOMYvtTYbHrH7s lbUOXo0Tmx1J06cJh7FWXasQjYjHITG9yV/eqg7RN4zsC8xi4Zi1gL47gzl13wVJKwyDsrbxC1ZM IgHMGR4b3QacbCnRe/wH32kkHcgdYzLfzeCEGQyLuUZZFjofYDVF9lroAxAaMAG+3nuwtzqdGsup vyoDRju72rul36mGgRtvn3LtX7P3A27p2iXH3mSO1f9QbabzJFNGxROajn+Db6qhOpxgwJiuCGtc BmmfvCvFe8rjgnIb4CeUTWRZTg8Cz0F+OAR41eALV3GoGTRSSYq3qpvknk/MTKMriM0vaZ/TuNc8 BoUa6yKYHH1o5ClFGZjH9nVgETvXYeiHi3gzzex1Q+fCQ9J6+49bLflp9UVSciPj1QGQcq2UwTUP J47OjkNaPTpJQWwQv7tWRZFLHVrHbL68l8t9ay3Db29vqybP/3ZQF+VMN3cuMgW2VtApnApjqh+l aDXsWDGEG55E/iagJzA3IAnYPDaSAn23XQuWZF4vcuWAVQqf2oEh0WXkCzugVDG0CMNvOw/fUghb xrjNAlIA+lnGseBQMH71gdHYBcFlybMtWRShwZVpVnBLQ9G5btKLJyE74hVBg2KO+8kNxHz1W8bH ccjXOo+kF3JU/m9xnDN0I9ZqyFdH3rFB+3Odyhb5RDno1U+VxmaQ78LdyujYfYk3JednKWUFnyF8 9BYQrQa01ZRciTc7emXdMbEOcAagEAFKEbjtyoLu1YFCAyHfNhjmZxgHsmgAUsAKKbTui9RpEn3H CdgNwR9hx7UAVTBlbNVbz7QEMI8uFCn7Y3U/2073rkzP5kYbtOi0BGvDD/iQEaHiIy+IfJq6FZXc wknCzQtTZimsDVRkhs9745+9EDSIhgj2843s5pBXJ16CsxVThy1LeyHYpt+012hwwmsmhCwoROzS BcLK0i9lfLYuHxpzysc//bYGulGp6tmVbiS9ZH/qYbfoJXVMBgf604dy0k8QSvNGxy2AIYqL/awJ z2hd1oyJ1BkRReHo2J0mMLx/vJ75sUMzelh2cRpLyek/zhErMvEYg8Gz/3NKXvp9kz/FG1bjj1g+ 9EEVEm94YyubpfGArR216lpEfsWeqX49Z5hkQe6rtexqUhT9/v4PDdlUzeNqtcXIiFJp36rmI9oZ hmef6oqaFZ62WEkFFMtDVoLN+1hPjtzUolJasMQ/j7ki3d5mqtwhff/bw+f+Fk/5NqpNznqcJ8Mb rNLyf/WzT6UhbFuBX+WtcyMHSlIyxW20EZjmeEIYfnhM3nAfs2QfHc/RH0k+4f25iPy3IfJ4Xxm7 cV6n1YkV7IMU3kdQRCvR8GLvuIMWYy6SeXXlXGt7lOw9Rz2GBa0t6QA/fpCI8hKs3b/SfqjTRk/9 TQMDN48Oatqa/3pWC77LsZMaAUQlFspg4MtJXwWgj1cQNOQJv/dZZDG55h3CIKmpz7IwDtb9/2X7 yIhMdzDOG3rKaB7I/1nQIWIG9ebru69N8qYwUdlzBUQnQzvIHP/ORwksRWj+MmKKY2J4DzsZKu3a XI74NDidO8pEXAKZdItndcN7OBVIpQigq39URSMow6GWTHCLDSOIvKlS2Bnc3AtbTkA6FkXACYD8 U6DbBiuzL2Gl6h3/VWr8AY4oshU4H/ob3KCg3zWHvIRB7ATu648vELwq3osEh/bu9QB+fx/OIwax L/8YKnwjyddT+h3vVzM08csa8Y+WiJ3oVfy6j2RoRSyplzXbaCrZ6/zo2xl/BGfXk1qwx+BWM9Fn 6su4/umY8BJI51alqaYU2siU08Wo8jo9BxXsfQC27Ov30ofcvCioamfzAZFJ90OQ9r5oVa8SeFlG y3pChBBEMq2qP/qyoVm+mf54gCRUybzrH7rrx2R4YicnsB1/QfZA5phWxel0gPqnFNCn05BruNJt o2V0hEBSiN1sQzqZEytyND2dOMUS/L1MqA0EB5kBhoM+xh5J+/i+VVyCMV211GhHWWkqbXnyXOUb FBrMza0aWP6CE0dYtynyoIzZdyQecpNN+l9netu/wGYRLS1V4jYGqDlqY9MGqTs3KC+G4ijEy48B XQGfI2FjPTE9l1PoMKUljUN9GArFncQu1RtX8JBePblbsZoZmtLs+V1NvgT/+bf3sgLgG6fqH4pH fbx3o1ok9Kb82H4/eE+MI0L9Kp6d+NWqebHzHouIWYCSkX9wtrcxt8xMH7vyTZ3bUwzBzxDO3l83 mK6d5IElIIzIb1+MUqo/K2dctgoBCkyac6QGil9O+j9tnnmHwCFOFyCBWGK/IzDWVUAv23IkrY3+ 1tTFZX0sgt73/N6G2obSb55sdEWE8cJaDykfcQoZOC/A4wDjmEnZF4BZq0mjNDffm5XASWKw1awI sZqXwNiGGOVAmppjCYJBnIIkPBpCvG0029n4lviR3yOsS9AYYEm/qERJukZkQO09tbEQFkVbUU9h ZAeIGRDZyvGRYbCpWCBLOoadVLsPYxaS8VWYW03q6XlU5Fy1eVZfxIRvBidPcOa3SuL97ArnPBpB IsVasP7hiO46RSeCM1VnAQ2XoO/ARXa4xGA29mr1I4GaR0C3/R+mxHgxkPIguUL02aznnWP4uNNQ QE0MgCSLVXCxzd1k8JrcouewqnK936SOY7YahjaltGaNfJ0I2OKnqv7nRoTUPhf591NxN7Vik6NC TkBbiPe4Bvc42E63tI9B+zLUVNIKwzJZ9B3uzMcdFiKx0UDD8/neKSyj5TLeqUCEStjMs1xcu66g mB4ZC0itVld00YcO8/EcFMk/VbT7K2iPFTznxNmDoE4HUbSEzU7aNUDeOUdXVHeHX9Wtj7QRgP6u 7cugzJ253zivFs6HzWATx13WrF1gkzU9Wj9IUtcYRlTqv/ruCmbQchKdrTPpjQm2+I930yjLntwP fWJio9kJ5A8xJw2Gl+rNZnoRA25JHTXVE4IzlYBnIqhurTWn9HPRQ1X9ebZzZYTvjiBI1aBkryK2 iNyyEF9sTKeix6O98d27JnGPmo0O8/mwuWNXISx4RL1yTzyxBAMurYdpDjl0NvGnRafKNfN6Egy0 /C1jq4KGQJT7e8fZXxH7w9LYnbnCcHvZoAEWUt+qOshSuw3yeSkTPHYUmgAGBObJDEIytq0Z+MYR NcRkIEuaykmbwk3pnTD8OIpplX+c/IuQZpSeIKudYsg/lwkZvBLgDCiLb671pC+Mx7RpoTvXGfi/ kdE8W/UvAUInqTpNVPzM6iJ10qnrhJJHKdyihcPhFeLWk2rTA+iOCoG1TIjqOidGyPYBIAwCCJ0c k+4Jl2VrDXDRSmIfPT+vine8mgjwtHIWL//bf/wbNUEYWMVpc4edCfLdJYlPKz7YJIuKPQB2qgaE 3FWGNmyDQmfOE57km5u3rTpuF5/RLanVfC6uaqwVrYBIjzDoKNtBUtQWEENO3x2s2L/NxtEVjDA2 3VmzvYyaFpUoiciOvoPkqHnxEjIULMQBFE9LWukx3x1JzQtau9BFUUS6P0t3XnF6dNJYJ2oOod7U Y7KC1Y51sM52XwSKg1nTZ81m21N61tMBDHjXnKr7WB5nA53CLnK5WQhMryOELRwkUr6jBmNIzx3w i3XG4f40lA4RdzU6D1dkNZtk8h1M7xgyHdtmJM9q6rQi7O7z7BdLu66ij9AC4LA4fDEjgDzGJBye vucUDBz8aDk20Vk6Qp08YUyocfb/8KtSE7/+R2X/YT8fPnIBLsX7Axk5k/DbsniZXLqGFyfpuICn u5H4ytkJlB1YkMvS6xBkpny1Hr7BH+dDfVyCYksC4sp681+g3ZKhE2HJH59bH4O3gtg4HjBZprWu KVHDSC5tZHT4Omg7MzArCXvjPPDmDDC1CG5CIvxrdhGH0YB3QC386kEKdrZjO3tIUbOgPo9Rcvx/ nW/FZE1hC2lm3eVwKpkLVOwdzdI8qXMhiVWks4KLbBtNHWBN6/gyVc5SHaIQ28qse1NeBS8adT+Q hRWZtE0n7RAb8sIXb24+YPwIFLEiADbiaaCwvcTUWcOXclya/Pc0CCPJ87jWLyjMEDasRjte7EdZ 9ybR4iXuv8HWfX0dC09/TsYaHpA8HbcfmP232QtvhIaFw14N2+LlXNTS1kjINhiSd/zLRIxVVSxe LVS5tT3NSSf8QMkPHM5EZ9Ik8xwLYMZsuVEsRA7ijF+P7tQiG+u5tq3WCSMPdXa/2bXXx0qgjXUh anebGii9LcEeQ1sMLpFwSZggezr1CpwNIO+cacQdPocFAmxpBxczpzvFm6Bv7Y4lAiu5HZdpGyH3 IXr25lDyuIYtmsppqIDBNcUcTc9C83+66L8HcSf7EjPKuGGS5X4Z9pS4lcB/+aMt+kCu4zHhUknX ZbYfb1BQxBK960/aAx1avjp6ET4LTkKIRKovi8o68MVZGbI1PeRcHzEjXxt3LBad8F8Gb9r6mTWr j/lrg4ZjubkqdnuMZPLVVrIJsQ0sD3976JuXni5pd7C8ZjwymG84VOMngf7C2OMAxeqaIECK1n9H EGWyk/pA42RHDelKudWnxtpwdvqYCVEY0H9B466696wo0VmRoiaoDc5D+k1lDUW58Qvfyd1MaG95 KJObOaILDBJBfeMEVhMTWZdF6qF3FOh882RS+pME7KS74GuvSKjPERTRhKevm9YEBCeVRJQD9ZRc T9whPRB8+XXhZpDyKEGd0Mo/cxoAGTmGc91wY8tr+Lzlcag+A6IP5RkG7hDzUD7g6dvFwuKO8NZn YhDWwRnkxuf23IkZwtyzQfPVi2299b3QU6DuhqYJln75FdsAH8k9e3jnGVBMbIGR8NxblP3gXVEu BndDRPiw9OSSBhfPKMtuvYbRib96cJ7+AuK8etsktrHwqD4ExhqCySKdIpit4KaEGy6kLxrqhxmP bzsM8aXvusOzUwdgyOS8GGzQdwscnHAOUWKis5IkoMzmfWZlGSFOCddGf4Jmpo61nbojWwLp2T+L kFPdVDqD2UOsdJUiNni33/YwPdWAGUIbCE4Sgs/HO2pNL/JsPpiynWO/P7j0o71DrFAQps99XNzK Nnr70wD3h9GpXhoqKTw8XBn+XLp/I6NDKELTxlGqBqwD8Q+chz3gq3pCTFepKOOQ7BWOzYQ43CEj QFMF/3+SAtOPunorCycAMyiRoI06JcnfQUAMU58AsG7w2jWoa4actd10jI6fSQkRSpf9wbwtTrIc NcVl4HZ3C6XIfz95Ja2wfhlM4vf1UsfKYp3SprnCsyuyEU6cF2ch45WAZWoJfsDfo43rqxDtZW1y waaTCRYJNYbAYupSM8YG7pI5gRBeuYKK/izQEKs4lyiEP4Fp9OkC2nNhoY/GxNmsodGv8Xn0V4Jy 9+tiWbGsxKctKpQatCE1CGE8GjPXtn/R0dkegUvF339F/w9NQAsta2c6BYGT/xA84tk3+xP+t+8g 6ZIa7VXg5Ic76/FeVojiH3KSBgobFIFgQGiQk+ix5MaiF6Im+UZcnGva2Ho9CnuCE6PkbBeJolVc Kbg3v0JqNij+jJiPhTChggzEKv5z72tf3Nq19jqWQUVzcvvANJ3cTCbgIlqLoNMq9pMBcmMssgoY /l0LMkpzUAFmF/gIA5jVsSxJ2JFdntsdQqPt4q+ZE1DhqfQY0k8Bw1AuPtV7Mfxy8MZgFQj6ZPOv +BSYA5pJ3Nw2RzYdlnYltf8AGIv5mXKKW5Hss7PwS9BCGmSLmXGgGX/WNSeLzoE4jmu9F7AkATsn JLOoGGpVJ0mzEkthPuxop7qovelu38CEF9ERUjItwHSWGEWQ9Ja4JsBkJuz1jF1vFfjjN9AXWZ4s UIUwr/43q4K0268CnefsUQG34Y2f+qSNF8z9V5GWUcX9wtPb28QfBsAs0E1PrkyGEcvpI9U20VRN 6W+EzLfcsw1f+F3EzOQXEFaj9XNbdBRR0keKaLwJtGZnIZLwXyTxVi8oajK0g7bIjcFzYyskq2AV 5aDmCcjHQd4gU0A+nz6rZJ04yCkYUv6vAxLCgzRN3kYYrINa6s+0AFvD6QMmkkSzbXZkUINsoI3S vTwodSrD5Y71HdblTvUIFVej762Su+YHOR96LrRZHp9GUNqh8DXBq+I0Ymz5bVngKhf5l6XiqPIU V2ThfcBoGtJYWCc6lLD2bFdLrX2tQQIik8uK+Z4EPye7BmcmilqCkvUUkM76QsWS87cmarCDvnIt jJzYUhh4eQPnTS6U+0phgWU3WqDMlIOB1YkZaj8rIyJQhhfY1uJhbrMOUmspamzW7vS/bgcl2tME jXQHJ7RDipTJ7H+3+kM/voHM0vleOXJM2mssdJIZWwIkQ83MVpR3/QTQ2qW+AhgJHf3OZ6QsGabd RE05jhC7vlAR7Pubds0ZnJPxp147LAogQ//2TA6hbnuuN1JT1k2rqifFG360SUF/6/5l2o+MEwue vIwIpCcjOtOnmOrphosSlfCsJcYcbJXTxQjALoqlQDNwDD5LUOk4JtNF6nYANwH8YwX4H5xWdsz/ u60Pvqa+PeFEF+IlDOxiueVxPTA3nZObukc2QvIWtTOgU9MLTgQAj0vKUrMM0axWBp3vss2r0UdR AXUy78DSYbQQ6c9sHZMUQX6gpt1IKbAkmYMtnr8ush+JxPbt1i1W7vVH+9J/4ZnYPKpfX2LFoWSe Uy0j2tuWzBfvCtAc9IIfJ+ctjJeXeav7tVB2hXOFW91ioVVYlm63vsXcBH+eBjIHuTsknUUqMRyC P+o832blLvcVvI6iWWX24zlFq/33qxUY9UFY/+1Dl8+LkFKhEwDK0o7DKVOsh0qA8nOt3XOhIeEv 0CxMe0PokprF8sMvt5ARPbsqKiCofF3orpVhprFnUsJ6R427RMwrvWuVi0oHO0lU4wbWu09TJmH5 hutaV7PcTSmdXppcIRxTkr6eJz02oSBLyiQdLKWWqCgadGiIBj4CLYnQjzpgXBMAjVlJzoIW4JaP vpHiAWQEAkfE0Q9OldE/w8jrVSopiuuyAKtG8Llqm48IofyDtNzkxAJCwMI1Lmyq9nhBtaVQU6Kd m7G/rCBYmtqUvL8rR6IPKX2+XWfWyuoSQydB/E2oE4vTB4nVWv7vLT2wzcJYZMaSp/YzurKNSW0M DJMeR4zTdxFoT98Xjt+6ft4nRDdDyFYiONSNb2/bVohKU9+1YI3IAeSsru6OufH/Ae8u/zM+ayVJ Vs4duhVZaHqev1y6FSZTxDwtMOK32fEOewmEaGnGYVXQHIrA3SepftYZwbSRmJpvFKMydGMpodlA U5MZq6cC9tLN9x6AwfaKNRr2r1WjJh1icdFfmI69IORRTtokQ1Q1yIGvcXufyoE2yuz1RkKNhfK0 VoH32MZ2CII6XLWK5acVv1NR3Nig0XjladPI5MJWcORjmbM+3YY8RiBGccdy4sTRcHeuarFFqhy3 Ubqghb1nZ1Pw9K1VKeyWfg8xias9Q0x3zDqbcGHjkEW0LVKNN5wPdF/69jc30nR3wC/i/KyR3AyA YFrZezZVrcZqbbOWmxk0pYyqZm7trcqfGCfnkGlkK0mM+5CGcOM8ySzE2hNKeXKOB2LBCALc1sg5 xDD3Qtwt2Qju/cSYrx/h0DdNT2Zjy+Zn6aXHAePv35yuJv9TvXtmxl0VAKiPQnFqHleynJG1D2rd D1Bgcd1T5FYZM1EQ5mvc5Sp4T0J3sjI+Lhw+KQbpeGD8Itz+YGDnZTShPCOv6NMAlQDxfYJNlU9u l7EOOOP8V7CFdC9p844LwY6qhmup5iWpnaCnDLTI76sQTQbrkwPeLIfwjnFNe/7RzKF/4Uh7PpLl aHa7zMnN8CXMLEMgOOnBPYAGGqCyibvaL3GIUjLFKC1gZiEyWgwvvuod99DskrgoJbY8CSwmdJ1k ZR26at5SQpgmbx3ckPa5S+3uiB3RbY7m97geEyZaG4wTq3vT8eLfLamVw0/FYSzHYXpNQDIJu2qC sNXqY+E8VVj1JIXyYOskoZacLlHrs+I1lYhX8C4Tbj6YGgWAGnz/Z6n6jktnJE+ZgNP1+Vbd8VYZ 8s1fh0yp0UA7bF0G2Li59yQ7ankGbcTluNdwfIEV05GDo9ijEn+pEscyUkIrTUj9bppWZW0/nbct moS+S0u6MY8PISZUrzYO5SXR5Vp1vRNoR1VZTmNrP58Yt4BYijDsk/mcwRccDDJBaKhA9P2ref2v QJYG9w+Ub7o+0plR5JonHMUml1kAgxj1bGLZaSU9J0nT7+v2GEOjyaY1lle98RULstvsl0sQfOiq OFFUt5Fitb9MrWr9HSNAMDrW8r3X7IrRH0B50TYSQyllvVAgPB08paLiywZaavdyiQkv+4RAPki/ 6PIsazf/U2m3QZ4Putm9SxNIhlc44PMAni7VSFgIxeZZl9P7UJ6FtVb3Ag/mPkP9igzwPOSMUA9P t+9iQBFLsx960cT4gWa2lAP0U55HshRxNh1IPX8ePxlbdtRrGkp/FU3AM1kXS+2lTUeQBSGrQEB5 ZHPYWp1XcgDD0PnNE7ddYJyN3B2HAl+scb/2RgzRjNisBQC+6i0EnKnI8Xi+BBi0EwhXn1qJO6FM YSklLEWddBvZ4llDGOwKe679N4S69ynOe/DhnDxdevyhgiV8I8m6s+5XPKhPKXz5kEqVR25SfKm2 wIsfR3bIz9jufiHUWVqnj2m9x037hrxj2Qv88zbJmXOe2AZb+98eWfrNC2YiXtRfXYSk2CWhPp/p gLfllILy0/Skz8MpcPBcbxHmcvY3jGcAzya/XE/D1SI3zI+wt2DWZe9EBVL4jLvHq6i78YAiY72h OPuztNTWmAYtK58P8dLbovEve2CmI8GBIpPfYI4JDqF/kgeCx4y9sNX+lpdH0xiuq8OXQ7Cbn3FX NGCL9/tSTloHCJewX5PFx02RIsEyn7adRq4h2BecLoSQNHna43Km1Rwkcs/tr/vgwGh3MAdXAM8P yRHHob9saos1AOdtNcvSFSp0XIMT5gpxYY1HcGu8YfNoOAhKUOOsQBEIZOxTli7ErLqlzF0ONc5L 2AdaUAJTsgBniXpbMynLYR4oZmTGW3G1kRKvY91SDbygBTfqZ2tuj1dBlIBMGVEAMvxmpPyzdAnl nBJCEivkRAXycK5N/oWv/cLZHk+FTSAGiqDPUmG/6ZS7JahodbyySHfRzao/KaGkXuxvBay/LUgH 0x5n1E/II8oowfUHJghVqCl2gLT8ldmJRkvlfsJdSgRU67d4+iEFRrbs7TIUCixX1jgpsVqEv1T/ 649jFiCTNX4hyahPhw1sF/Iw2AiCp5d8dF3xoi1i1OmPd/dOo6K2vFZwC6j5FOuk4SBwJ6D2NgiE oTU3xOqLdnS0VTXUeXYv57niRuutCquEtBms/mHv+dhW8gVAbZoboCyZKXNHiCtBkJpWtxQsUnb0 XWRHbnYkvFeOLdL7MvvFUsQqO1K5T4koWPhzHIkra4EI2A7Q18VlKQMSCs193FgGcL0XK4xbs8t0 kPds/5/DmMVKeIGwfKlGR0lsnTA3vnHlZ63ZgDtjupB4jAMSUrhklXZvjBIJGm31AdDpNr1/IAcF KZHCjOcasJFXF00xSDQQLH347JItzWf2tkYXh0YIwHU2H2Y5bRgYFQBDOTcD1e0A6HEHt1AG2fX5 FQWFCWS4lxF0m9/sJmYJwuuOWPPyAEV0ZK2qIRRFsi3yq1RsJFHRlO4WZ8U/pwpkN4LTvmJZJF9P qs9xDybrxhYRImbFPtOJxmikXeaFt97oPsxWeqPuwcOqQ7asjPZLSXCsrnBcEs6xnmOyLfMa6Nau bDcYaTGP6IZBQbmhQ4L65YQo1Dfw+0/aDX5Ak2leb0haaHpNyF9mxukD+TMd98bkhzuYymf3LUSb jGIRoesmKi8abFZkfaj9E/s1TCN0CEc9C7q//qqVQmvP3UqaopwGrQymAiCgbXk0m/LyAj/qm6Zv 8KgxhUd6ikv1sXiBUF7Kv8GIHtTQY+SQ4J/Nlgpb+mqXOFYxisBnh9BcYP7wxBm/PFEXAABoOGDE fxtQLl37vsVrPH1bMbn1FlkWjRr85KtUJOCIE3J/eUaB1icVqo9e8bxseZvShgZqjHuBR3L9C/LS 3R6fFJSq540SodM4S+AdhP+w7oBUu7723iSeLdq0i3/0/WDA4kB/K+W/yKEF+4EnFZMS6lGG6vfp kgxF7aWuC/Eyi57yRg88jVL6BtRlngARi1DRni16HktGbYAMNCaWc54v1xfanE3IKulKbfufEhWi VVSwOWAlQC3LjvsOthNbM5YhZmZ66maTwsol8o/ExpzOFqyaaQauPBxrHTS7f4vAEkJz/w9L5DZg DYFm1Ibp/DOixKsBDekDwSrBXr0UJ3wirBLGky1LcZSiIa76acr6qnfzkJKnSOcK/ppiq0WKq96g +0zkB3hcHNKEj2TnJAC1rGLRcz6jsH7jVoGZirpBYFaxT7umEiJ+UiXrdvk0ogRWir2Z0pQwRBTe 8YWIROuv3KTlPRDtUt7DNhhT+jFSlKPbq3DBSs3jJRLta5TXV79+YI6Z7zuJFjipEG3rlAg9XRrM rsVIwC29Y4wtNuGS6ziEzHnsoGcv/2eyYSUyRWuZgZ0/rouJ1S16+rw1LGRnLs0a25VXyBn1wFBW 5S8Mh/Ox2qS6FpJU9w1jSASAWsLDGkp7JnpKHoE1woyK7GBxiJ0/fi0Lv9Vds2Q3SaRdPRomRGFg +/PQx0/8Um4oRV3514BnFfrLhVndZDM0gKuOh06gKP6l00lrXlKE4dhBMIK+Hlazb6BvxZaLSJlI C81SAGOg/uRUhH5QUHn458eJP6acv/KDoNdwCtEZQaGnFSKyMDqgzjF0jGYbWEKRFhzWpEr2sml7 E0rjrHHsO00YeI2det/cOKlQrFgUiPTe7OmR04/ajEZi08O3HnZErQVSRZN0ukcEgLWJprwaR30A SOpzCXQ7z7W5/aO1gvI3xd0MlTATG3wlmKPVZpVcAWRXEE0pcBhtCCjYO5j7D/Z3wNr9VW8DfMrB oRYATlNIZRcjqMsDzOgwQtp/hOs+uo8bagyiKOxT+GPIzykd3+l61e+oK7m+AX3Kt/F/T+VHB1dv J9zeSIeDeSj+sVkkHU7iYmoZRYY6kF4RnqCazuFJbhwhrpWU6BdMr449WShFeuHpznhQl/5Si4eP XXaqOt365Ko+uucHsU/wrLUtYQEN7LY+MZJD3L7EjYvHu2c2k9gipaJZp1io6xE4DCft2iLSQoN7 +BjOJgtMn3FQz4CiMmcDM33DfzfCZHPu2OjF8kKPkrM9J9c6YhK6hFaFIWgjmWX5+ZkF5fQuUNDL P0rA6kyUfV4BvXdWe9K7k8FLlwQFqDRSq/vGdXu9j88BbUuS0p5Chzp870gs1E9wMEmHrsnULq4z T5LIvUksC8hMI5iafQxouC7ClWz/OaAKgvhGgAOq0G6OgH8dVC3/eQvoW4kY4+YiZHjL1mc8B+SY w0T1mQBx/T5seRh8e/8g6YYHsYmqaJc0LpK0SThaZlGe4PqV4ubLoFb7Qufv2FsE6Wq/UVLavrHR SmSRZex3pwLWyXSfE25ZpKBAeEl3fVk23Ck2sMdUdlzPI8WEn3a9ZN5RvRGHqiPlUzwKv4xVZvCK bXaMYFHMZ7mmVL6mSHsYaeZwN7QNSmiEZZKuBolYGYMVYdzk9zuswDCnsJhWYU7SByv0TDwU358A 1RRwWLyD8Z6mrcr2GboUOVVrXUPGZDfLUnAjpdoevF/1ElBDbnT0Uor2zHeolFkaMbqDeOraN0O3 PuzUCEBdeYiSXgXNbJdaUX6JuEjX63ZrqEiH1viTq7YSJjiF7xgGxmDdqCg7nB6Gf7bqR3TFM/O+ 7EhecBSiVxmml2ipDSObxoUPH+eWULbxD3JsvcreF0wqvvkP9vRXqtMha3wLT9dYI95wlcjjWUC+ MsMLyFnqUgSrOSe1Eg1Pofz3+Ku3QnkeBIWbcLmllFwtQ58KU/XQPGEmEDm/pcXwJ7unin3Ekq2o M0pAqnUSJYXtbvOXHQNWI6neWcJQSInyVEhIVvDQ5nBhZV3gYqEZLjB/gK67s21ObhsCDKjgvacj cnU42yDlzFc/2i6WW/2b6DnGv1dfag0sMowvxXyGh69XNH6xMG+Uqfgve7cKF0MwY1HB/fgnEQxb 98HGXS4pgpq96mDEpQ+rUFlOSXod+BDTW/V+I4wMMIt3E8hGP1PaSvKKqUSQVl43MGTxLiVyiVEN 6KVMButFYPcwx/femVAV/B1f7GMUy5iSxqaPu1H9zQhlJKmh5Qtt2JTmfEHRuEB8PMymEYYDefGb 8Dcy4+yOn9jWtHzCi7M5jBgBvJJfsaYjfMQ86D6HK6tidlesHBA+wxS8NIykH72nneHbPEItlPjg pOJb6ked1jLv+9h+D9HM1Z082QlIq3CrpAEdpqYEY01a2Mrfn0A70n1BslRmk5Xt31HMMebjyhjm CW580OX5+qbFsdhLeE+l/2I3wtXpmOl1oPGIPBjBCP0OQa6KVxfm0ora6WKFusk5hrq4HoIMBaEw ti5nbUwgAu30WLp2+boKEHctIoq1vimh/kgYHk4IAV9/BCSLz/WUEt8AgeUqY/E/DynF5KPehvEe b3wmuQQ9yesx84tnfHToWbfSw8fTDjgwiwpxgYb6jiIx9oRnBOpw2m+wwb9Cs4RGiBZGqA13NMy9 B1gHsNS4sIbJ2F1IaTQncKbQSVYWKnpLtnKcC76XnVjMByndd7lP8NpoAD6vPB0lu/mgZYM6UrYa f7znj7ArEmpQn6Peyl85QSYqze19RZu3GSenmbJb5SUr++J88f4+UKNUqhgWfUg88+PKm8zSmQzR QzjSXUrlrXadCuC4ZQpmbCl6Ecuho2seJ8FU7R961MzkHeFpjhK92jI2S3cRzSRlcwVuwWe98X5/ A7X0pFssndp/FW3V9ZChhAVe6OAJdIh8VlKaQ9YoVWBP1a2Xt9py007Eo/ZnYoYbnHdx8T/1CeS6 vzTPFU3rMFAhlEf6AYA9quNyUlgbUTnmf6nTFIXxYWjv1+hGXmLOOSwtR/Cp2hPvcKy1lhwAQp2D 30rumg3NZyFK+9weIKJt0uq08cv4gY4K+OXcAcX+oCqX32pUHouZsdXj41O1dEtRD0J6ICiarBN5 lquyKYxRSGIWsjtlEeQIAmikhn2hzQb/Dxeqn8imkLW/BIDVLc6+qbGGwcTGBweEBC67ylkY9gqH kADOlRnKkP0Na4xznKW8c/L2twd13QRzNQ3mqT4izGRkosbz5rjYW8ZQEcbPIlEcbIqNEdvQyboa lGGRJFk/rhV4gk7n0PCFENT2KXjY/VDZPMNnGoWvUk0Bauh4xB9eupSYAJPQZ8ObbL17xM+HXxfk QDzXfk3wX3UXAATHpJ9BP9106rjIFO0a7Kyfsm/lTIChHwFDRLbbitsa5y040oTEMsg0Jm2M8qiZ uoegjT+ypqbkmm9OBpi15b/N9uMdTbaZSd+TMzPcREVYDeK2dvir7MK93qjjSlC917FcpI/jrgvb hKCkTpAqiMvSaJNE7zIVdVYR9gBj/NoUuJ3/NdtasVBc6+dkv4ISw+MZTKdXhczi5gHf6epYl1i+ A6h9vkzq2dBZ2shbmgsLuN+BuiiA+xyEiNqglhKFONbhz14Xx37H4tTWWjXgfv6EbISSkqypD6ny kKj8QX+KA4tzE9pvp0EdKvaSAYwQO+/7ch+C5yaMp9zq6HDm97garx12Y8YI35VINMaDxwEUUTYz ePrQFo4zlB4hILQOAX5vtWvd8Fx8pJ6BneVUATwSYliOo+lgNxkgscp/cOyuhA6Wlb1utJ/XXJoT 7uOunWipHN/0WnMN11EouoZJ7AD+3maBz+ffjhzaSGbq2vKL+WcDUc/JqRPcsIY57GquC92a2Suw haPIY546IRV12/C8H0TiKrWxGaV05zkyUKf3cw5J9INMxz0EvkfcfCNqtrZ9NyMBJQZTK+FuW434 +pyOzsXX2C6afyGUefa5aLXDybRVJKS2KhaUytS+RuA9N8cJHFlWN+dE0ZURfS1eLY5lcx3XMmk6 VXF6Z8U3vqcxBRoarlVXisam5SBY2LGkGvNxcLGz12GZiltOZ/IVx/gwZpS63nrTUFx3skj0xOTM b9sPk7CElDkw4HFzf58Ir+FREw93KtPA716Uz6BA3ro/mP6bdOicGzcnfHty6GGl9s5gLEHbzxgg M8EvYG2myCdiLCrq38MRo4iDh1w/YdnjijMWZC9CrZ83Vl1FnMfZmjYJwQ4radZs6hwiJKTfYyP2 TUHLzp8Rj0W/GqoOSApsnCz5+dkRpDKs0i1EPmkqFBwDiEAmHKEPZHDzN+ZnAUkAt1UcC5crY8nw XEPSraPsDy0eaRf6XopPJ6PAsZpqzmaJKXPOfFVrMv448ZkA7OAXku5BR2h7Nf0zwwFNaFdygDzP Z1oZ+N8Gl4+7DPw8r/XiAML2p9YJ67KNoNEx5vrtg+DAwDeXzBcnDlNTQz4a2yCCSl4oupNZODqt Qb5+efqjva8pWjg18LEWigiM3f6l50W3NNZSa2+Sda14xkFNhrQp5FobXLGjdqRHuxdl5bbkizlD 1nDgPnrrtrsl3RYQrrgs4rrV/couCa19Bolr2R3yPylGdW3Gzc/bZew1RSaZuGwCvMGY750LHnRL SejUHxWmYDXLgZWPNSd+MdAw8TCOg2aDELKxdWWNrRebZN16bn39/ByCN1fKVDc+ertAQWOJd1nc RD03HPDEwOqwRWeS49WCqyek9FtVZz8zJYChuTEqXTcPLHX34674QC13e0SYSZG5OX2Rm5uXNLP4 dsu61RD+oTfNakBsTq3Uk+hKFSBXUGo3l/29uWQjG1FzvjHgNGdfIYqFveMfAmLOd2L3OdHdr0WC Yksw5saP9/PEG898XEgfDoBL1hGtkK0McancLE22jcL4SF5wkSs+LwxfcwvwCt0QJ9RPayZwFmDi ItsBsdqe+unM7RUUQZSoTe86IDaB6GpElBy0dm+O3XTVejJcf6TouGD0kpAi8naydE0UwpXpzxan wlaGdKbkz8ZbKNiCoRpu/sqfutWpePq21WeqUTU0+INVq3eDaecPFriel0Z+2Wsh1jmekP6QL1yh aHBfFN6nkczR5k/UfBhxsVIogUGYWD/09DHtyLi+pyHHaaU2gVna9WM4VSmmtuZReSVXRLDDsWyD qafOCx1O5SnOjL9zX7lkKvU279Rj8W3PayLdwQwMMml7uCC4xL6sej4GsYWbOzvPdi5SuBrMWbTT TM7VcbyRiGZ2seLK31j2NS+5CWvShULi6k/OxVIMlX6PQZgoasIKN2Ov938Dpg7mfpapC8++YVkk b8OmTj8VFwIEYbbupd+6EfdTkK6GH5uH/W/ahWxyOQ7fwmcJjiAYYx9AA0T2MAB1P6P/49FBGG85 7yKeahptym/nL476eu0zEtqa382139bgVOzNQFU3xwr/7wchryBuhpfA3xKfIFyJfC8V0fEn5pp5 cO9SPiIXONWJPUaP17PDRuYASGFEEYf1nzo4u8Ryx9pJ5xJUl0AuY+hgzokOE3xWMHfehBTgLHlA J8yX9qWO4TvdhaHchPSWTbc5epaiF7+m1K1ILG/AwxxqzIkDMIVvny32tb9E3xo7up1O2W9R/yqM pyH9QdIJZqxk2Ly7FmsZJNsNgo/I65+SM+ReDSaRTwW7LVx191TwlOBypgjyxRtV8rSuNBBz3Duo 0EPDLk4wTIGgR0sz6tXv3Zc9q1JEj2JJ9hI7bUPhSh4MErCikY1KkIffXMKmOMvZCl1CJEG/cdx4 5Zf20+tvVckTnoNpVFnPEHOSl4Nm6ecnBR4NJPwuYMA1e/KRnT/I0osSZLFuvp3rlmKr7NT3FR0d R2QpD4VGdchNxwOWDTKbpy5hneWalhZidQGdDrHiNI01+6f6+/mKrn3reN+VvmuQ+KmtH04QmMx9 5yKxqXpzI66ZtJm9ggdgEsz8XaxbLrRFdWsTMKrHTPHAX7fiNA31c99EN4xDhal2dJEGIPd7wBS5 RQYyFKuLdRA2C797V7mTRAMIMtpkL64U5w58ZgT3MeB6dUPO4sI4QjHfVC1U46qHtcyl0GaeVCFC Uzqt4pn4X7pHUb/5lm+ZDZADsHOo91EKvVTVqY38wi/x5E5mk576IZbiKh90rT8SVe3JgQ45oGHc Dbq8QyvM07NdWVbR94qpJYgEE9L9ijVZvWpm+fS5Xjb9IhujRataO+hcDz2XFNyz8gqJAxnEEo1/ btNRmNkEuhhkaX+H80br01W0vu4Ok13HdPIQFKRVKuOifb7z6BoeJ8eb4UIuk+wQvMCDz7TqtpwD Qw4c2axHrDeKKggm2Ep7dTJbDIUgiG+kaex+MpeSlZT8HeW+KdPB0Skj27v14Nn9O7AvyvIe8qr6 qr4GsOGql81/69afBSLOWVHLLVLQeoArEsd/zJqoA5EXmp29M3mHmrITiIZsD/4QE3W1L/noO1i6 hD/cvgzhNhktPMD3GEUzzStAl+Ek+fakU0ldhRxmS5Ei85cyJmJNK6nySnXpWvyi9o5m5midbsPr zG7/8pkugYgeUBUJ82kbaQuFF5sTAjR3WchiFI0mips41bBJqH6tsKRH4xd2sJgzK9nqpZZE9a5P Ekdi1LMYcY8HBau0noLiSBuk1+vyArPoO8Ie7hGiu3hGBviiXMczgdnsxt7c287eSlRyUKkT85LF MwwcVHXg216BYS5w4opfLzMkPpUeNrvHcVUygRrDgwcUA93i6e+bV69ObE9rb/PX1x2R2C+0aw6C 8NawM212p0UgowvB7OzVbYGewkoE87/XFzE33uciz6bfeKHWkW1+z7pIdkkp6xTmr4NOk5jV8Q/K Ee16tWJXrx0H1Be5jvxTKBtVfeSLHXOjPwQwFbQmKRAeC8A2t1Vrfo04PSTuB5onY+9/5Z3TebtQ Hn8ViRUGD+oZU31YGIJ5QqfvPtLUaqNBm8R9i/UuNoJLAPuvriO1o5JA5oxm251+a41DjKfzu8U7 /1ayJ4lNddxLqVH4M52Xy4yKMCDouPikVUQlL57z7JNBJ///P/BDnYngKuT4bYfXi11QIe40FjPF GTKkXWajDWJgAtMkScmkiPci0uvw2rqOcb66dmvr9TCGogEibwcokR3tXiRrSRRkQzJeepSQP98o SrAJeBuRRCc2Kv3HU7TnpNfn9aljEOul0DuGnZiZdLP6efELz92lOF+cj/MIRTF/rPvcY+Pfmip0 GmkZIuD65dHjZmFSaK8Mpun6HLSrR37RhAnRr8UYyja5RIGFb8I/AUVMs7nnWeU32vlvlM6Z728l 0ePoCzhOoMzWBl61ArMLiJZgv+p0YLiLn9+BlhNyqrALeB3nsb6HB5eI9/qr0MLWmrWzJLgaSsCl EOTW1uSPlWLSUwJmjPIxlvgNux+pyXlDDL2mlGEcX5zp/mS56Bxy7jtA0+FXF1V9Mi+h7+3X33/3 HRUq1ajpVkzN1iwirhiHhnQ1Jbo16up0PXwxBAr76o7vmd4T+xc41SGf/Jn9LvGgQUsOzh+C+UKo 7BhAkSaptbQ9c0bNfAnpfSHmShee1ax2iGK8GP/Qb7Ehq4JSKqE/MOErgOGk4qC+xCB9m2WfX5CB jt6vCjnwHdIlUPPxpGktYP8q5tRAlJwlqAgYdi5IbPIx/HCLsIl54//dW0+ilo6/o6ZXxLjCDIcE gdIsWLlb++pyZH+/79xyXM3teuPJg85adJa4PM+px6GYPyNm4rAF7aUodFLEfOqfF7rZ8wgdlKnR lS9nG4+Zni+ndWp0WPihXzEBmKGpfulJmvEwZwbf+w4Y7QWokhcnxmgozRsBulG5Lh2ROsIOW8xU 0sANuYwq3lnilfOPRnoXdMIlQlv7lX06O0sgEDO1zrev4PV0SAKECrXr+/a/kpb7QDbiUqjbsZQa rVheNzCH2hcW7Q27OHYVnqbqoHOUWnO9i2zC4q83NOPb69j4z+BlRyoRS0lZvPgk/ClgoVxIaAUR HwhXxEO22+PMODlXOuVsT2KnhnPIj8AqffztFcPPFBU80BnV3C+fBMnkKuomaqO2n4v5HUgGC7Uy mlDPt0DTPt8JY7BQ4UsTTIUPjb+oZbNsJJVNvZLfDKM/IwapiDSfpqjDXotp8MsmqgiIlgal86Kr sE82MzsVj2c0eTwRrtXKE/Zoglj4jhBowyI7SLIPIcXuIAuhXpCYIrODww36bO6KAgTDc9oHvKSL x4QKIlUZm62Z8bnogfrGvE1c9i+sEvRVvqnunXCMzVd3J5LdLCCUQ7uAbkLHYz2ifnzo885dXYEE d2KjIX40R3oMHN+w8UNAd1wXABM5jlRbKLd7yd7jcbxMz2E6X9Vh5WUq+D5mSPQyyl7UbPGkBPuQ UMSinHdBpb4Rs5CqHu/w3QEceYR9qd2FJQ3XpAjOdMvCybCgxv7xXN3fTl9itrFJ8ci9o5ZQhL9S S5/J1US14X/LBegLRmcobYxaGqxjTVcLjXtqWg94hisbaaAkjoDnObYI0qpfomjVnBiiF21BQ0qQ 4K5lCMQDjVR+cmZjaPoL3HGbR+uwbo51L09Qw3x4gkCYi+vHHe9FUFMCbC8UIV6/ZiYQU9/0Umqv uKk3N6MBY90/1Iqh9EMXSUpL4iytRaolRwjnHBlsoSVcsY6eb5xD0MFMxXmAtlj0SblLInc4KHi+ XTT6/woyJ7Ry/d9Z4Se3sXEZ+RX9Uda4PjFQ1rHldccy7FCBQnNOzpmR3sZCLxwc9+1TeOW5yigG IDjw7QsOX6xTkHUS6cXaxIiGK++tldXgYw/ThoheRPiQZdpRoMM8dpldgVMeRHslkBvOzf8ujv7U ot7NGfTgSN70Kl8Mx5JDgaLny8rpIadgFG7L6PMAiEZu1474KELUafQn9MO8F0p2oktY4NNGfh/8 TstVpB3bolj9pLYQqZvrGOrltkh/AINRIdrVnyvqSLYrAgT+kDzQLGqI4SLeEEYB/JULJXfQwiBe 7R+UH+0ra//mrst2si/bb5kZUews7diOSx2uiiHo21tvoRoVjLuWqGo+Fksa55Obrwozo6yzyxJB Y5qlJfCV/BpCjaZ0oMEsu98wbbO2w0shQb+oYOjHJ9PqQp064nHH9tV85prXkjp59n4JJ+gxIxzK 98FWodmiX34NNdvmv33aynws+N/N50KG7Et1e2C9LFTtZS41ogFNwnAnlKe4qsPdw+ckBtX+nt3N n0A7DX2k0bH/DT18HeBlOSd3RQuLiJfqgsjj3GX6fOjhmhXq6GGzb6VawXXI+xugdqEPbsv26zJQ dLFf9m8/4vMYIHWR0Hr8eNF7iXvuwZn7UZrYPIkrtH0FLVatO000y+39bIrxRsgMOb/xpHxoGCRA zYbtrS/aReD34bX5UAz1GvfmQpuhPhH2gcFJPXFLDiKltJwKfvMKdz/YSgajr+qZTxFSIq/RsxMO HZN0IL9BqhGUsenZOjEGW7DG82/larXTvlTmTBcvlLLBWemJNtgARwp49uvBUiz170JN2hpDjolF /ChNCc4NbpPEeXVvSEBzLL30EnJ2Ke33OniDElylFwqQm6Kr+Bkj3YFh/9YcKI805I49Ub8cST4y nvQUz+I7kKt3kaxNjp49M5AfwfeUA3qCT3jEaA5PZWWCTC43HlCOr9jTnB57BfgXBp/o3bHQeuV1 JljENxDQdTHD03rzh5y/tWTs5hAwHBlB/A/B7rlCZ3lbDQ3buCSqyPHFwSJfnLNUxIYccXlweMNA alRNnfo3aSJzcPawBVwU+e4a+C90IjFzquD/E2oKe6mcXPctCb4ugDFAuYoRMNJwaxQ6MbHFc5Hz /ckychOhP1j+iYecVO9SSJqFcUimaCgKOwK5bq5apAfCcKH/9S3TJi9FOKTc4OT8PRpSIMg3kOFa TUUqt13SR+5ilz889/CWR4vafSVNLCMldgNUtgTKNYiUX2vXJVt4M691nBtiKRHWZ1O4IlbLRQus EgqnBJuRdRLijvVn7O0Ijd77Vm6h9kVu9YSUimmpszSvo1O2nxCGFQQSOJiKKZD+usy91TTSXEBw CLoqF8fqnwOIycwbKq9eBAbmQYoC0qzXmhdeB87f4W7GNiG/+ISvslqsR3AUW37bSzCoifhis1LA 4ey7Dv7uVntNV56s174ihIKVIey7ElZDDg4U3r0MpocSN121bhE5TNpxCr7doPTnZOVKPEpL7sAQ 9aWJljyTNZls2Sp8AYs8eYeCjm+w8Z68bAaFLJXi4Yp0LEXiREg1uwhg9/tOnxD4gQGrFH8D66iW jDh9WMMD1nz+CuX3Nvwx6caE9DetSgWVL+1qsP2ZGxliuHEGEVmXMl8B62ZG6iR6Tf71NeyMHFn2 Bv6gbdWHKa9Nn0+GEtJkaMhKhK8SrMpbMM8oqxmeRY12EGpiEuJBxrHfcyrgcagOiAKM0aMwmYiI Z3VkPou6rA4VDteqjb4p47INC2IYkghKpq0nCPDuzqSFIN0q8j5CQMwkudy5xxxAFWPSB2NVO9zm fuVVBXW6p1DuO6+307C2acrZ3FodBbFY9z0bqdTERQWMaLLjaROet42ELlwokvg/UJm8ClGSeWbl cxo92ySmW+fBoMFMCIOxniQaWEL2/wvU1rb/QT38/IuzJxpXow0dyOlXxz8xV13BSaGl8R2UJBQb gokhDVsMRxY3F6XnWNOmjn+H2n7MFg6ua2dYKczAEVlrOaM9eGtWv02YqbefFbdpetxPuILVT38b fYKAKda+Ktb0u1Qk/Rz09gjl1MIyfwoQ4VJZimq7YTtvzl1Tswo7ZYDHlgeQo1Ly2ZHhwjh1rYHA UpB1Xw2FSOvsfpBA7/p7YCc4dUeBx3UdLTAVjnjQFfQlEnVJ8La/nYR779y+fahezMks5qOvF6sl XB7+BZY4XfmtgFkwt6Wb0STxIrBi7xUsiLqcezlcZF0a9VQSPus7xXBAXtnA6UV7RjDNFUqQGwY9 sAIUBiw8XUr/1t75WFb1eL3F2g78wfG7T7JZ/jmhZNldPhFqQETPTF1n1hj15WNbuFCp6qxQYoQl U7Z1ClhRSHRMY/AvFG+dmV1OltvuWdbIT1n8dM7OXK55Zg+bJKve1m9YyVBkpMdDX2PcakeRLC6y j+3UGumIyj0/9zu0VeAJvguLxUeVMh0mS83qwuSqV6pOjhMAq/kopWJupbl94HpRCgCd35Y9OZMY 4dBrEZixDqfgd0PNeuQgeNdWRaWOZMdRlZF8yVVGELUENnZEnPNAbTvxmrQYALNblYlxKkEhschU t9LUUXNT5D9t2nhcYiJVyKIQDP75jrkEbjD2bsJ2v7KYiPk7ll/RMp56jP+GrUOa8O2AWpKiXoEN 40jlq3yuL520WCUb5KVb0UgUHqxIIEm5tMIZrZQMctIDikLEn/HSRNY4KdR1R8E/b0I83hqxA7WX GgMqz70rQsdo5x6OXmyG8Uybq0IzTuRelglyb5m3N5wipq4u0SskBduu1qM+YhObXNl1vPEbd4Qv QNZCsvD/CuoRbQBU8PbUpuzWnMmlJGgFduTCl4uXLdsrv5DthW5jf3Dg0505nOR15HpOYP5rFWJg BVAOHBCEzyDTV2rdleIrbwVW2ukBDARsEqo4IcmRTpbppOCpACjrX0sIl8sGB2YLpOyycN3tMKLi Ztntm8jAZiGdfZRQx+LqMixLIImg8YX779hdqrpuuTOzzNTc1hO4k4M1S/JX25yJ8UYctNu1lfGy td944QrHFGDBq3ouTuZV64vX4pKXHR1SDM1hpZlRJTuOHR+oYNdMUXMCW8B507ejyRQWAynp/Csr MfSbYGU2fzK5wLOfMSJoxFRNIISjQQwwrQnIvmrzxRXNT51Px7gSWUBqwaBW1S1AUvjY9yaQQM/l PwbQCOcSNH2+bYbXXDtCc3QCWay2W1x8fsWx2INa+IyFUNm33CtkogPfYFpmpH6X2d+4BD0GAa3p HqLx+t5w+OMo5etB+tULiNIz0ByqdFVrz9L+lUzWdk5z9SSkW5TbCRcna9EA2akoiYYmajkw09lR NFOfUT3ERC7BNyHF35UMdcDrHCkJ+Iz2Kal+AIolnm9MqXMpf98r8TPyDPolthWTwPp0lid/LIF0 lKjTFV+8KQvHeWnzuPJviLJaUUnFK/WcbnN7fop05dg0v287NpHJ4vkCmO0jbgKvLj6VeT9BX++p nD9RFUuGnthYX5XQVt+GiOmM+bNSpNJP1mOnBxfkWrOkIKQBQdZhlWcIhylV1N2lmpQz5ZRl612j T0F8YX0HcoTKxyzuUYGacBIA2YeLk3YHsRgOzXrAwapbfZzVZk7b/fi44XMKRi/r9t8ijNeyrgat gPXOJsrCKL00jmvHcG7kdqLOpwS0So7eRMdHL0QW0nOx4Dk4M3K9JzjaWLia6xrv8jjy+Bs53jhq WJ7pGSdtgtIBR6sCe//T6EVwOvw/8u6tHiSEYu7deZ6k05jPfAUW60hW/jXpI0ibRIZt89+KwZSa 3p137UfqHSulwUU4Wa0MGYAtI+x5vakIzEnadaUU013f6vmxeNHiwgKOYzm5O+BrqxbgBF9m+Wns N8qpe6NX5EWcEUgzKdecfnr+12nWsss7tTmMebXH0efuBsxgDRnU5LdQuyU8SjHLYqg10HFjqpGu 5ugT56WQTPBJsvbWk3HACUEKe6eehLArOFNhdp0jDYVWmHsCJzSMjjzMG6YZjE1ptXkW9QqVsG/L 3Wfcju0Oi2SqbymOTfxZObALMUuTw/TSDJxluVXaENEPdFWiDzzK7MYGB7ffBcWDsTaAr58/KrdX ubVfiOVwDBp/0AZ6pGHkPRj99FYcUc3cNp7vDVImiLRx63EFORf/pqYC1qQTuHlDVUhCVjPFwUTX SKPyWMcZSJmmJxXqq+bFdrhUTUPV/gSvfYdrINB54q/AfRjhwR5LfTmWfBSqBGLXAiEuJ0KvTcA2 pV/8DXUFldnSRneIKangUOFUoMm5Tz/pObztuwAZS9Uyk4Qf0xKGOT60YZVCJ1f28dQh4km0pquM ToM+D+D8bLZWNy9GLMMrj/VrkyiW8ttsix/7n9Y8faNMUOWG6+7GXQSGJGx+lnDjgzsRMT0uk7Jc nAWWsJkA+z6GhspRfMBbs83gUMChBbXnERzZ/7G80TpfuGjxPLfBLpcEQLTAVRlbJ3/Swjx9Km6y dIntJxQ9SU/yXZC7Z5Mn6WZE59vGWDtU2yDmmvudB3LDCecfL0szvtf0FnSwH3DPeRz5pzRI7z0V Vm49oz2kwrbj82Dwmr2HqIhsX+roMpizukk5C+CMC3CXyKBRr5gLBqRMlFEUnDjJ4GaLh94G8dS9 PdxeK30V05A/omgM9D33n8BveVQfDsM0S4XJsx6LkCZ7e9gqPv4+ZpO8nWDzFVAld+RIEhJj3AUh 3V0r5Cr/9JFgk0+hM0HCF4aeJ77DtP85lS6r8bA9GO78N2C8eFH9VFDohKgxqKWBHsm5DlgLtFNW gxxUP78Ryr68D34F8t8M/hnQV0NOfvRaAlBzn2p8TaTYi2TVzMgQSlvYKPoh5SiRLM/fYn9BPQgV O9/keiriwUOueWtIxld17V3tFA/tM87zb6lqvpMs2pifHwE4eABbkib+zCMxjRvf5BnvRcZIBoxn qfa8AG2XZpR8r+a/78v49XsVMHbiS9EHmjOZZ6c4yBvgWfuEbxEkiS6lLNbh1sx36hR7xELPgncc 5AQ6of1mw0OYPqxYNGKJv5ryWFmRZAx6dOiTaD9PApvWlp5lTtN1JhWLuhGdbRUKVuZwy+Pat+Xt 0HpEFK8isNjyUD/7hxpA5OFeCHSRGzHPeJeAKERqiyIJU1/BhkaW6AapLsxkw1H25pAB12NJwsEo koS26KL5J0LvaeCg09xOaASR1eTHxm1BHY8bhqKs5SmiDNUln/HfBH4Ew/ivGEzLt3ojfOc3B5sS Otp9pSGJffBt6y96j5ZJAmPFlmxItmwaUeBiLEhwpn1XSU0NgRU7TeEynV44N3B4cEL+X8O/TGcB 88pxAenFZ8RmXbdSN628nd5f6wgZ09+UGOmg4Uwn/8xICXRreihy7dZt/0mEq0H8wYUYtwlGinED cPjSa9W8c6T0IxvFwqp6OypUpF4G4OSK4jBlMGFtNpYcQuuKmbrN3jVg1u4w3QA97rzSkbVmiyAW N6gHJL+tVW2O/Q0oshIXgY9bUwBGjfUdOEUfHzlHjZVFIB4kXsCOpd2noOgBjDIduG83CYcoEiQ0 YZd4TeyC/DLKDDvXILJ+veFnQU3tgGUonqXT/TcSwrqjVQeur4Q/NT/P36e9iVdcrv9zbZYjtDO3 92TsNHPORgefljZKkKSf3YyH9avPxhmj/TAt1qd4YVdj6COE2UOqseGN73PuoUCUI4TzYwlDaTst rsQmp0V6ffuWcCL9e3xYBhUxvNX7X946Rny/vu54St0TM3cpqcACg9aOzU2j8nn18iqcrQT7uVH4 WNh3p6+C4L87J0MHkQTgitb15mZZdiRMFAqienbikzVbKmWDl0lIkCI30rvEvXpTVbB0rQJEexJT LIrJ44KxaO2d4fPmOOyDxhkgjBuauOhRydkCtBnNSa0nj2JqdzQKCX+cGds86NA7l3usWDgSMwcO XjaU9zj4GT0vdSiVhMmvIK8kV/NvJQuT8iS0eW3kX/wQy/6br1HMDVczJ1Mq+ehYE9fSwVgBLGSQ CIJcgagq5PDCeNqwQ18Sko7zuxlNseurXBj8by6VYP8bP72PLJMX6/2Zv9vFRCVPV+MUw6mE7CDo qbgUG/mD7zIJscXrsiLH7OSzzrus406brA7VHl516PMjOTmepweSg3dVRm9FSMoxhOxuX0ECvJHt EoD2WbcleNdU9iMpVi5G1elMb9VAgWlXwwV+P0KEoQbqJ9zSXEoumc76Epa11jlToaLfeiPiIOjD 5mTYEhuc0pAJxDmWXoeDVu6CtfNTIckv4/iLecGDWvTCp++Ua+HNMSye4hyu1+NxAjA5UKnstNP4 x34mXFlmAwzCuAErqL03wutdODFpK+wizMbIQs8QVd+XsVjMWsREZjXTFRO0yLAQK3HVmZwPg2H0 2z0FFoOrYDhmMdcZqm+HSPlBd8++gEcaKCVhYOtUg6ZPg710w0UtCD8MN+gibTAaE6u+dStQqifU HbKdDsGLkS9FVw/x/gYhR7pYAIcqsyfyKnnmIPi3vHu3hQZHxXtni+FwpMA3uo5MjG0EtKlf/je8 kPECTFoLT9DWB3cJXjaALgVHJGC59oHztS0RwRv3GU9yvpjHoQ1PE6Y9ziWtZA74v93CgcqS1iCY ZTBlVTgQ/YMhnKoF3bvV0j/XMKwNBnVdepL5pfZtl6LTFYIQnHC5V42pn0XWKlRr9VA+BKrIBVvv nk+89yUFyB8zWBb9duG/0j/KYdqOi0jEQM/5l1oNR8KfFZkAtzcxUz4gz8zcTVFd8kNaFjhIWp3y +fGc5OASpNTRUrgLwcBHa3FHD+6nO351TVWhjal+FPDg/SyySfXAocmMhM1FpQXD8+ZaC5ddqvqx 3xdVNmnmcGhAJLeUcnpbOErQLPsqSznVaAVtLKS75gEFdm03khLBm1H98z/VKL97vEjWkqEu+l2G aXJQbZ8uXqcGtcKauoDX0EpxCcMGStIZ6v4FlA3+M4YgJ6l2b/+E9LNm17353x3PE5BgSlo0y0OK JaTdL0GG7w7fSVY09D0kSvmH+qms2bhBjyXhgWm8g7A/TdkHoJb7NveQWOLYEveLXVpNz/K5Lbvj 8PaJHbnRluVqMWDu2H9QK1JTiFDfbEBLxw/JAeH9NA0fV4OtFKXsdxwWWvGwLJppDJRF+AChlIRv iFQfPgT995uaOqw7AFSsQKO+cuIpOQ6ToBY7O3w2h0cwu0OeBokmqU9S/KhxkkbjK56iOd4Q+bmx mMuvDuuswa4zpiHgm7jxebOkVS4zocZDe+U6AMbpN5fdqJNkmPQDilgT/or7NwQb11o5gBbe2pD2 aVnY9NSRTduZxIa/O8qiGC3rJG/IcvkOpkIBpziY2ZuOdfifvUq83KXgS9NnYPkH9GwQvbgZvuU8 4whPC6R0FsKkug+LVFnAx+h3oi8wNunl4TxQZA/6pAGomEfGUK7VI2cdJQmEuhDUkr3TBFH066US E92/OHzSBYzYy4GawFen6o2H9dxKy092CgIxBUG/7sjPz1Ra7t8uCrmYGV+uoWcqGeMHQuhmFbAJ CcUoDy6UxYh7rLU2Il89oMLfoxsDtLdRKxJpXt3MheLS77nzQuagxEK5xXsCKHNBEy+hTeAgZvkZ 5SiRvJIM1ApLOWJUZhvwUK78s1XX3gT1iPmpvGwWMiFYYXDGFMIkVNeJ+ACq/fP5S8o1UsWelP9a XkofaHkujryOEjia5HXCpQzdT89tXpiYZucjgNyY0qj3Y2rYUluG6mPM8RXIpJIRPaa4c13qY5DR kYK5N4sskivnVd8YDnKku7OJHl8vd0AJHRTwWR1VK97Ze2L1JHultlFC4tQdq2Xe05lSaxV0wYi2 S+b7vD/thFQk4vKOK7mQ7ABS31G/Ct6z4OC+BFMElV3MJfzDmkmMOQbLv5Hdc0Of0AbmldWeGu0k BiZbwIwSLWdUXVW6XR1i+aTO+3/lSTDfJfd3PClSpDnkcb2Mhs2iAtW8nBtfQevMPhlKVKjPFxmA /vh2z+CTR7Fhfx+93ghSkzK+BUZk2zFxiPZBSHIGdG5rSWB2DOMqAzwSQzAdHvgXHNO5paDogMkt 0WywlLof6I41tSdQQSSTqRCjSVVRPyhIKBVlqikUh4drnoJI03hlQPW1xD8pm8vpfTlbAm401r7m ZkouA4r73IXGYCvkUVo7XqvWu2v0sPy//Fc7LBise3KoZUP0ZCiAFj00mi1MR6FNiHR14btx9dwJ JXtMrA+1TTz1j5j9b+ozNnQ14vCGOtOjjUTS8Nf2hJMFJSHJY6LIc7ilKVRewHAjX0W0UNxBiwST P0fuCW7uVONHiZBQOhEBMH8Ct2P12tcfBFuNQjb2zcb5hD6M8Nh5tvXK0/tQxDtnOA8Y4NvKueI1 rLxXge2rE0wZ0IUnLau0dPcz88QWg+QxqCpKuoNj057PyYXwTGTSPQZCz08gFeI0LA96OBpIxupJ eJ+jlRYfySYShU+ph3vuLnzH0d5gnp58SlP1WYr5exijCFFzv2joEbZ3zjM0kwvcmRzgbRyQ7Wv6 2kG4UDoDvsCbkS+gskvQuntHXfUzgGlfQ+l/gkHnS2oSGTQZfMLwQrhhxZTevXWSIKxd29s0b/5+ 3y0ybMFfl1fX4y/zwBr1uJ9bS5WxDo1q9E7WFhbY6R9B/6DgTDr+rIRH9JbI9C5A8FkTA+lL9sjZ ENnIMLw3IvYUTF0btcwlvU2kVqTLLU7nhX1bWxERvJG6XW+b5ITlzY9kVArKhEFsntMDc0k64Qgl o357SiR+pX0tjkFTrNT2z7bc8FHuUY8h6S/F3ERjE5kQbJ69eZ4f1Z6e8VJWb6ygK6femtkeP34X xybcdUI+id3TzTXpYDIgXfwABmMISgJSRIUzKjnfdUjNXPHxLrohGo6wu/u9IleX8PccZdBpWRU5 CUeOKDZkQzcyWOAOmU+NrUYb6P8pO6Nk0wZs8xkeWmzT3W1YiSgCEsDb2N6KyQEk7PopEM3WWyG1 I2/BXkJZP7/ZbedFU0C1PjvbhD7WxNh6YQUPyc3FLousW+58ys4cJPQLOmLJ0g1YTTtlOLN1PHg7 gImHepKKnbtaerDAtM3kF8B6L6sIu2x7PjDHHAbAHgTGyuAKQMLq497wGnlsMb0ZJDig4FR80hj9 rn/lUvBuuQDN9gZ6MB41ZDSlhnp+X9o0xn7UvtTDT2h5+tco1ZTN+W/KKbwkcum00BRr5WJhCmWm vfpMJLo7q1UfsYyEUf+5EQCLGhnlZHJ/TFL28w58oBXCsRFLqu9xURn7OEIN+ZoJ8IuNmiX2kcIv AOg2nDdOKAh04hOJH2GeQ0bUIjGd5Spuel4Dg3yvRplIe8j7gjOtRwHsreQc5m/8PUC4Igvvn141 orqlrx9KwPYjGrh7UyB8u0E1uMhKa9Z4tmoxAiqjZmMGuairP4u7HZ7sdWLNJVhBlRTW9PDYuNJz 02Oz16MPp3kMyvgymfd/DL9VWTAYVJxQxzZozR+L77g+T0ujl5oA7rbMbH7Lz6cwZpEU6rADO1ls BSH/4sa3jUiNvMHcVmF+7J/1CkTlKUbWeypOG7XTSoctF4oRMTlFx029rFxpphrxyjTvbSO+F0Dk iHLm4P1qn7KKqSRqWgCoYoap9WrmDM4mpnFk/odQzIMB3rUPHwYiUED5yqNv5Vr9BehHky93Ozdg +dZMyT9K3aAaeCm3/IZ+9u14GeWzEnbPbdVeJqxdWwer22sjZvnjygr7nh8leIJU4I/wkpjMaY1G UprbSoiSdmIHiKeqdJncsIMNoSSNHyHLiQzUMfJe8FaKNH8qEgQod8drKXP61L8RLUpZ99DVXoqC NNzExbrML/pUamrYeF4YxsoD+QCH4+4AWk0LEP7P6YSZhq3xKACWK2S6HyRryqRFuGz2kmDKcurZ lcA9luaF9U9AaS4bhZgYLoIuVM1DaJJ1WbNkxc6J4oorGvGoWTMxYY0t8ep04LDvxpy42yPCi0GJ TTSUKTGFfX9LNjIfIbzE7GSye/0NpkpOc84kk0sbdZyYZTsvj/1uj1RbmUiV01ywNq/zC9JcBJyn 1GY+rFLkLUS1e7zyiWxavr0vXdJLxV3Gy/2Jbok7Eh1uudWydfLE741Ad8SKEPPsqBhMSZ3zIz0G LPNrYOb7TbKiYve7o7gcjKwwcdFotcpts/t7Avbc+axxhjuS4NOOayiowd05EeVCH+yoS5bHCZd0 TwRFhSN4dzMHn6xVq6kObyKSvkqY1zX9f8zP+Jx2OcYMOCzKZ1PPlpO4DJ+gKJX9wFf2bS1cO7PE OhcDxpR0d0ozQK/ic8ks7KlBjMVOJCyeO3iH+EFzJpAmblx5WSIu16iO/DTg1Mc14eI4orxrDkPS gatPu6qUBBJgrlfFC73b5pXdvRiG4ZA6pZNtNQF8ktDFeoa7dm6km0N/OZN1kNti+Y4Yi5X3K1y0 78TvFuYjFTIfarESKfXpLdC+fcJhhiieMxIB8X/504fjCr8y/GNJ/3TI/DPY3FMcpdwKw0sj6rUm vvjgUhnAYVToOt5owhc3aW6eF10UJlhYQGtiRkGAAbtG+l+/rs4Ste6+uXf4ELW7yTr6mIHg9v8x EnIcy/Oe0XRL3Y0nrB5FRKRn2CostxOPl24dxJj/udJi+zvVj7/20ZZSTnFkH8uozrrPbpCwc2St 8MsVRd+c9c+n5jShqLT9UZzABjEmk4WGYGDP2DUuqI0dxvLUJbl2WMOTYZu/GjKIjLVXD3mp8Hqk TnmsBO1q6aUttRQs9tQ0DrAXiahTdmkXvdqBytDhCD5rQzNMjmRmDQfUNTsn5Fohgmo2gehtp2sC d+O/1qfL2wuGkve7mcZgXA/W4/XxFvan1/BRgou/zkB7SCxE8zfpVbw9ZZRhVbE5RGjpAUv8QW75 o5O13NFto2y9QzaAXSY0O1C7xiqb0U4s+e8nBp3Q5gTy0MeT5KZp1eq/xSL8ecG/SPXhPrdOGApp b9pG6/Ck56lsdSrOQiBvo7WuXX3wTbbK8aNArZnDfDRroc8gizphGSYtMHg/SWr+9crSstDBcZVD xPxBY3pAoMpJxOrUpb7vJBEuE4bOmMYp4FS62zUI6sKS08dFBRyE9ajuBtZ35aXQt6ZuKHwgPYcZ TVd4SeeQVfTWtTliiad9TFGRTunjNcoeotMXMg3RHRglPAev9phvzBKVxY2E5J0nG8GFQMM9lI+6 7lWrFdNK9HRNKWA+PZkBFo9EFW2su2mTAq/QXRKtCmeQTmKV7ZEVwrKMzwEvwjSKkDGMBSGF00mf z4YOuK6fT+9IsiJK4YPT0NjGntqNyZTMCSVfSbtrAULJ3LPVTjsMbz11BiRL5bHQ11BiMZg0Ajiw KZli77kDbqNtsXpotARJnDs4bfKi1qiRXTugoC5HB/gl67KtLJEmXmB0Mha/LBmfG33ynAMMm/BX EWhGhNzixevMOv2kUIZ6JiI0EgL/N4KWwyGloJ7X/yQKX+0z9ETvRS6dCxSiKruPMEQwv3vEJ0c0 fLy7alB1sMUn1HaxXgkZcYy5vNi4gxA8sX2KMDltPQ8gNfjd6tZs05wIBJBRFmMMs0xw2b/Q/8K2 +UFNTrP0SC1/m8l5E2zBNcn8+GV+SO2xgpEVeMpe2UA135FcQt6gyakRcFzvClS1oQnVnovo843I hJIH9c5aUw1wYYth0C994TK7dQ2domFQ7VrhSqsAKTK/yErJT9YH4+epsSq5v/eJ7qCbRyNcf2mn aJKJn7DtHY3183Xvwb4JeZJQb+bLEM+zfaPPCgO8I6plDrZDVFLmRJCNEIyv0qH12v1T0sAOCGmZ UNfs1d3W0PfviRJng6SvZ8fFeZFFfZLeddS+oQgNUomOJTt4FPlZYDrUZWfURmKi+0S5afS/mA0l NcUiFEgRbuCQhrkjHORiE/yIswnppFWexZNndKRNbO5rwJkcrWTI+fWi9RMXdjycdkFPDZxFLtVe bUIuEns44hCv6DYy69S5fdYk54ccA5Eu6NLgF+hC8QXN2HZGr3txy+X58zExzmc/baw3AzEl9C3E F/dQBOiI4QStuVknypmeImNmgRbRpT5Rq86wBncmwkmhZKwhM/eRSUbr10nVNFrU5pFv5jWSCj61 6oe1WFTcP5oks4NK3AuPVZR94YDpAYV2twSFeXnaLPWDbXwQtZbzVtkT9sfezjR3XVB7zB9TmDGA pGE3ykrvE4MN26aC4lXlNarlkQMDK8Vlqv0VADujggxxZCOCvXNyCWRouYFpXCoF8xhL8t15ea2j 2w3NpTy75SPtYsFpNgkz+RC7yGP79HpWa2UyFnO0z8LZYBNzzUyeXM+/b5kskIDgwr5osZjEuTGg ULb1EfQs+J/Ir/tnIH1ppPMcYZAvTFLv9x5KfcB9miAfiELoUi+y6mS3g0FDMBllAoPpZ8Lrd17h qBb4N3YxwBIVz8/S6jIqL7Bh8QDVduGo+cLvCoz3QhTmyiuIaNWW1L8MAmCPs1msCz2TTylgPlC4 ANb89P/EXK4JF+urxtLDHMQ8umFtgV+GvD5wHaIMfEaXAqTgh0a7fcG9jwDqjzBSg3WtxYzHbpWd 6/NUYWhC4LXDcg+85RLkQY3aHcohlPTa5BdCJOqcq2r7CfZva/I4ztuVWZB2Yv9VXPZhryE93WNs uzIHFXO6IFq5IoCMe0+fUpB9HhV8naTP6plGoNokXhdwqjeQEhunO7YMpQmGKbiHs3mYGqdbPkOH hcrTSYicqW5oNoqWJyjWHI6/DF3/uEchjrhaZH850FNFPNF8EJnfQvzacLIExkVWwSNw8AgnO+V4 FcpUDtJzyrkaP9VsiB6hU4QSmMO23+PC/j7FqutzWP5nF3ywL8oLuaAU1KX4eUSsvKrDkGnw0AHw bmC1ISh1A/BFd7VEBdtciIeLHAehaO/kySCYrbObQibAlPeE5DwWKzImh7fmXPMtAysuQGugPbwr X+y5plweXSjJw5ytgIJ+DUQY9MKlM/qZuMMU4HMcaK3n8DKBdPuwnlcuptdFL+/9d9kvDzAuTAx6 5GcC97835FaNSRke5Fradu5xgOIpsnA6t9s6rjjcLsPMNhdlSPC0eMTpFYvyQIe7AgIKRlljJIxS H+3pm48gI4idnDdqUHhJiDuu6JJ52qjtEyOgFutMl9EHguPwf2rz/5TdsZUgB5gR8Tx1CZkCkY0Y vBlpXnGWYWiRWx7gvI8r5tI0O76sxtQQC7j30F/aN6vTxQKEao0vVSwerrTi5BjRG0OXi3oFqDNo EXmvyWg+2AFS0QD5Lf3dFH2F7RYqr8h8CmEp3LggASAoGMDnpw1Pj2u2im3Wk7LWb+Uzt147VEyE wMcosBroDE9MxaE0RaqzP5DC9w+EDNF2qJUurdD4rCUVugI/g3S9IXDn+F9YYpl22rZE4fQDa4Ml 16vVC0eQBLZrkI20ri8Z7U+5BKTXp3S5rr3A6u1jxjeg5Rg3bQGIbP/k/tgR+QrpyBe1K/LND2Fo nDsWZEKWJtLw1DAx5MzIcK8LWRV5EkuTG3DSA3dBf6x4aCJxKZCwMDaezT3PTyC+m4XliAL//zc6 C1Xc5womURDlNcAk7YNwtfp3TgXGUZuYtzVd87EYZUD6LhhZihVodZVvR/dAdY/qKYx/WbtLJKnL 4m12+EuiqPMfPqcgpbrsuQ6osNpsIfrWFdAicM1gE4AjWu7DHZypqbllvOmWbLB8Jc90OfMppNdX xxRk9saIyF4AhEEYUIQ3gvkZVaBkEhLZ5UTYYSHER8cKVgPYLm7DjJ9VhPx4wZ2B0wiikGoslrfc Gm3kN1qs6sDuPwrXdMu/pjVQOz1cMpMBwR4w5qgibVMXtSw8Xzq+cw+0+cxWLSB9ho6PIeON3sqw //boiZNHb6hCO6Ljxqi1k1/EygEwxuOvYFKULBU6kLrkxcfN/fW1jAf0D3TPbmc1YxouMpf3TvDM mISFFj7OH4oaJfutbFoCi1kKOKXTcc7f3C3CgXUk8SFZagqF1KSP5iikm9uaHugxI1vSEZXlnb+F gVLU0WUksmD2NAgJk7yCGM21KvzS3TL9HihPfkoyAzsU7HPwAMpSHKyKZB8IDjEIM36wFb3KSaxG g/rGjtENiERBtabMNcO57P50byZbnuFEiiznUBWgoJXoUnAzuvmVm72C2XmZQs/Sdf0O/nW60Ddy UW+yfuqCLC0O09grbe3x8IsSjWEvQHXapzeOTPoIogUgjwZNMvj3hd+rqAwAZmK2UrEqcZ8jk96z vUX3+74ucvMqrSuBWNZyEWkrSp0qFsBNFeh5p4aLnsvzlfeKo3uSfYbzbp8xjZzE2lpjYVxAV0aT mqrS50kfXXHN201sM3WCDUza9WuOHBew7LRA/zKLfwhC9iEj2ON+B8pQge2R69l63fwu/bsdVDhN nxdPC78kpckmeqhwpoxq5/qN/C7YdWUUeg0de3+zAkP7LBs+1Lg2YLw6hJPuerSV1TBpcvPnpJci evpiOb5jLMf8mO0OzIugkpXcuzgZbsJPvBLLyW4+iNGESAVk+zwP8vbOLXF7FDzplrSL9V785FVs wXPXrHzQSFwXehHHqz0NY/WSYXv9I9cZgYf8u12qEhkYeUI57vGn+YzC4aJ4IxfDU2VL5Rt5VdVP 1ebVsvAuRv0/9TGbvLMFELl9RKldcW/qXDR3S/iZgL8qHffXt4fbCuSKC8DMpR82/eSnFl0KbPsr TFWAd/2O09FzQOG4am3Ui47KtECnKS0R7aWHeuByi+3LPQuRVprG9DOzVkfz8o4Y2B7xuqBzV4Bf dEELUQBr1/8nuAoy1AC2Tqwu1BsmvvKR9vUYEnmMX7P2lf/am+H41Lr8ZjR0a4wjZEqkTIEOyn4l Z9Bofx80tz4LMvI3y4PnrRn2kBr2Fso/+3vDwWHb1im4QaERJFMf5MGTODkkUsNq37FNNHYuzrSP H+n1xnFxvNh+Z3kFlED0LI878kActIMeyqulsyPmSXiphS4CokW0XUxEPrIEuBLVevc2BOwgDyMD mwsesAEHvvpPlm8HYOdHPgWy4+Vrqc+0VQIuTO9uY1yup2WMXpSxFnRe1WUHUjPoy8wUXtjK4tqB OT/tVFV0Zr7dSHixyNBjJk/TS1v8QHxdMI17XJsxm+ZGE5ssyzmGGHl6VNWkKovOgIGO89Gurte9 11LNygCOhXNdbYh4gBtIiC1OCSPnTPm3L450yzPfNOBuKW26HqoSut72W7tOXUac8mPjIyREvxFf JP5TN/VkUo9OYU3XZ/4uz7udx2wdbMrnyaNOguQh2/Dil9BXH/5sOXulnEE3SrmZFO0hQeT2/YlC tEV/Bjudw5Jd27FA9B4y3/ndc8+5ZOy/l6ykXikTVf7zVEreGy7Hp6RF9uD9wS6oPM8ZmtGBiz0p 0BnTORQ9Hf+gCFnKq0exvtShZrkbkc2N52t4QGI9fYBbIf+PxI/tFwXllYvbd5CzoAPNsaPldbOx HAjiKrzlOaoZHLm/EDjUkYOJbePm1fHS3nXoRfRTobxxedxtiNL748bT1OCHk5LHVtWsw9bsNwsG a2EJ3EHCiscKsbJRSYpvVnF+Nvx24AX1asJ+aBLGcxPlawmTMFk7kEp8O1qF1rCS25V/IezRCTNQ vY1CJvrAQmeJWp73WT+WbBHvrI7BLpA4z3azKGJRb1BxBIAeA+opeP3zZaeVWawc3OCWHHJho8iI skBGmG/lhsrAW33kv1kMCe2TIxYTvBeb7gHfPHkaa2Rb4h42Vs+Aid2n8VjcrW6tGum3DlaOCiCa QAZLwXVx1NLD246IHXQR6iDMLxSxJ+NDkAjGjJ2VaDvCeK6MrikQF2uMVlRe0JJ8WPqFoO3VlnMV qZyPYzfl9cr+8LhQBVxoZaHGmde+xLQCfjvnpxD0riAsbYz+fg3o+nKRizwPylkEFr52I9/d92tZ P03ChSZ88Wz8mtUVZ3MrSgaxNdlb3X+2ZAXc5VsDInidSk9vj/BD/rFdJW6sgjJSSS0dTbSqaHmP wjilFt5RzVoDJVbn8uI3m7klTzwW5v8/pE9IiPPx635ThIjM24xzgy5TlZRMzPVth13Vu1u+xpg5 S9nnBorbV4+I0atGK8KPU8plK0bt6OSR5fBN914GPEvKLJe41p9SENbyfUHLCu/xYtUUoUcHqD4J aN8C9dp+EeyrivKxIW3bZSSnG7unlEQtnfCesFWafHDqjGjWUkgTbcmzFSDj5JB5bN+uq5A2KqFt OSBiFgtiJt434r94rwk3A5b8Un5e+VtwXWbXGcXcaLMSl9eA4YPAB9cLatKc3wsxHg6EphnpcDIO 2/ouU6khdnSU55hPevQpMouFz6H2i4iWl2HfPQUJi+XaWUCl6h9w7IwvZqdeWOM9EciTCaCPuK3i ZgjZCx0cDSziDQMduTcjXNQ3gtfEGsf4RML4b2QecEgqgtuKXbg9zZt5P7Lo16ROt+Chaux7zF9E lMrFYDOgjb1W98EvEzAZjyG0WTBtzEpCcLJ64yN5UX+MdLkNAVcEiS4LaG0Vsxpunzj3uDHvhT6h taZCsxGQwq3JrNk5119aEGy8sBjN7NuNmnczzgaau8RVbNUAfqaGJAq96zlSGdAxq+8GPf/9ZFOb pm8CaZqRay17XzD3e043tu9So+rJyZXz/7bo1ejAbGX7OKblZ966UofQ7+RAfcTFu51KXWvT3UFF cFgndSMNThjOnxPqBTv/p6dShZLoknlY4Lw7vSFZc5sDnCHaTFtsivzOPPQEuHaLA69qf4NUCb09 XSikZbe3vkBHnmz+BTTTKTJ57DXk5VVynSz9UsKFC+l8kOahB2t4FYvKnXUn05yjGcbHxNqkjpq7 C9othdIJk5HXXa/Ts+L8JWpAudtZ1pVsmUNAAQpyjEgfYwPR1CJltqOvNsaLX+9VsG+urp5D51T4 54ag+Q6IdIOK0fT8Ihans+PinRFSFGAcc9w2JtxYzYK63mZlU8rMLyrb4+6QEPw90ce+ksPvFHuM hr/Jt4+0gqRqrtWX/wLd8oOU61O3qNOUxRCG8bjwjaxv1SsFTv6tnzi7i9gPlde7/k1Zclauk6Yf jKboT/o5lraTdm8CfzwlSDpYY4VwZKiLdO/iCw3wWW7McAhC0/bE0niDTCD1fz6Sunlc6FZ+lHv6 X0uUPhu+SJkFsDkcbQwMKz8fAPhb/xXVZaUf13rU7U7IQ24soHZlH2yOD5Y277dCMqyqv7FwdhCM kJgah71FMhldn/ZHvqa8rDCV7R0R4RsV01BpUGpGPlfeaiR33iZvOd2z/xwnqagQGHg5DprAn3Y3 W0ZyasfjThZEOMXnGQHFVI5Nys2VhvSanQWjN9/dwgdobETOwVSgtFqplONGRmIhog823MxKNVUc sUh69dfu5/XqaPvR77nLey6alMj5oAhDwYGMMwqiLnGrL4iwu0+Gj+V5gthYQBO7Mj8qM3nNH3/L ZPOb/nlg74SudJKqEMx1G4Jk0sUYQmBDs6c0kQWvCel1qbaZE6eEqW0CSg20sDbhwyeFTvldaVmO hnqWRZQGAMvLypA00q7Tio7YricsSjkmhhNN21JSuykgeZ5pVPHvA4Y5DqhjlWewXaER05VWZB5A gbWwvJcmROUntu9peKfiwGGozas5NCwqO/6gBN1HXZ/pBUlgcJw9EgWHJC+NeeZfA/Fw9+UH67zB Z/IZxNneE7FZPVXiU0edSWwf/vcU2WPSVagHZUSdswpwvJxc0BkkczHTzxro0cLOy07sofgOrU/T aGk4IIdkBU1zExTXPPuyvnxbDtFm2hccYk1hK3rHKd1I8GSkwI1oztN6cXk+eeig986N/0rfAFHx qF0cHejeiDdCwgwYnui1JNzqWtjla+FXtVpI6qVkz2jN5ydY7YlflDglriYbJwODaU3SLT9FHZyW YmplRHUDe+33Lr/cQHsvTC61tgtslT9HdVG+omvkl/Mpq0epYqbwmiEkWthjVV8m1HshR3oLZTOn /tYSmyKKnwWiU4IkNpesF8kjjKwf6MrfpVBxn7SHF85ywYK1b+H71eBxjHySSh65gBInkEpBtlYV JuhFBA0VHkO5UkDBh2Ewsp7ozm7KdetdAP+e2dlVjkP4er8Wl/66IwWd28SNd0gfku184zqNRUUn IfLfh5RyhFdpgGRlZtV5IMfWCudBPrzXZLjBQVZVPpKkZ8WNDXE6Z94foIfoHevTeWFiX9f6ZhuI BfCzO2T+WZqYFr3GCG1mU2mMDI4sdH2aov3+iLQfKfc3zBZz7VJ+olKdrAU3q8a4SSwLkOfozezA twwMC0VpV2OQOFtSxxeeZHyrs9syYULkK/Vzt0WjdeS/XebaVjlGTVNCLVlCEB+s6/lpRziCIW5m 10UAXVkAc7k7lZJEt7tKNRLry1xWyP4MIqDbvdyVKLoZfxDgOTSh9x0k0nPYBfLc2ic+DxEqDai9 u58fhiGdFqadV7ArURDsRmDSydZvtrveVLedFIS1bU37Y9yQNfltwvBM3Pq1o+gdnuqjvHxLaaUU XReUKn9E8eEj3GINU2DmnLGjDtaKOVFnvgBNd81xRr4qSe1zYoq2CYMzHkd4tFdhELSmU5d8ZlbG zrfNI2RZlRUQdGUD2OSgYefDfF3dWxT6XDmMMghTdOan4Gmf9NwbARl6wExkMAz0gIIOlqV8zW/1 AEJKT2+hWWTvn78Vt7Bbo0cDVbaarkZpTeXTnmfn+xWR+ypvMip9Y4YzVuNzJRoxI6J76LA6FWiM 4bf8XuqIykkjZ8x9c204KHxheNSxNsv0MxpHbRAmRrQUvhwjQHBjAlZlgw2hwaHQ38I+bU1GIb5I 4DnjcXaqRioDnTbA666PB264pCsuDgtA3c4XY3uLuu2HtyEn15A6huF6hEcu4L6oMGn7ywpacOCr IWvqeF61ZdfKswm91Z8umbq6tbkAEOdQ0kEt751uf8jPdVgpPTO8mMe0nviI2TdKjOkbL9egPswm WpPk7HstVKgzP6jFcPyjos51Ew7aSd5TOlbPdGUQu9o4oDcoE+UMjRtxXCxHV18QUNSxtZzAq0d7 5CpDkOlYSdD1Iey+DQZ/fp3sudUsVBcI06K7O0LYhQOEw70YjRYoC99iLFxaK+HiWLb86KSTLzRa eZ/6abkMgYJMe5xPuEHIIHa7hrovRvBZ/tQSl6Lp6D4mw+EvbFmhtnVpwgh/eaX+xA5w5yNu/nZx WcfMw7Q10VWRG39d3A2OuEmVnlxXtW+ALTexewNwfin9ClHAbyW6KWh27N6VzHvyY91+1uGseHCZ GHAjkqGa0PiLMC73I+JVgnXgVBZzTz9IkQ+g5m8M7QDtpZhjIPZZ7YPQPtJknk2iwwgj5fqYsLol Bv8UbSTg0rY/DQ8Dc7cgftmAe9ihkSGb/i5A19EVvpAbILpFVxg1Zbj1tPvOxd/sg8V6xIUz9EJB eSwlLT13+4gNvUh0ZRV5eqfP3SevdQajNzCQLo90iyKYY6Hns8tdKInF+dynizMMsdeu8RFU4X6P 5qY6tSLNwJa1rzai28PnJV6tzSt5dGYNcNf3FKIVarij44+5K+0JwfxoBU9y2yQZ9Zibdc/tEz9W XCp9R6M4d+E1RbGN++c5TCR3X9DknCo3dBjXHq1aJPrXY4/VaesVPJ1RfF3KMO9k7sTjUY1cgXFi G90eyY7c0OX8MylV4lgu1rugKxku8tQFYjHO5WrYxM5FTU1g8rtunf79sV4hrmWLK+WkWhtd7Hoa 8Sqe83h3E59QTdIBwYkhgLU0WHzMSJHmf9id42KPhyFIpy+0hKKH7cKMemshrSIuRK047Qp4QOLh ZhS8LeCSfcFzu9UrzJtqWmJJEMr5YMUByzNeA1RvFiIIFfGP0651aW2xctLKmysJnfVkBSAUl7Ed qkZ4RtHtfC68r/Zza9J0tNoTsY5CcizpNLmKe1qRTWUf9tErWFWOTXLGRKDqve0udLYEjDh3YN0O dCDNSUud/64WfrtKmmxASlsKS8eLuqCXYhITlJOKJXKslphRFtC8XlPa5LqIKLI3e55TW8Ononpf AJPzGs/S4+ozzYjI2nVevF7acR39IghYBQ2rVADIlzcjxmyylGelnHHV0YmYd5d+jgqkcPbVvMX4 2MQ9G+3vItKVmSZxxDNlUDns5rS254Yg5kPN7xAHmDE2inMBvZ0QsMUql48jQI+e/GwMjT1Czj0b dNtTKNa+L3MKv4j0qIK2O4gOIYKR7ev9PQv3M4UxXLTkZgz4o3KICTxngoG7opipYbdoeKomx37x bHud4+yFtnaQ+Eq/TnkjHjADXMVopXIEkBUnM4PgJk7utvPBPCW4pXuBqyUH2qHKc4srgTZvXMQW wHxB/1GOZPStsN3yTV9bAGoNt/zi4BYGW/YxaVP9Ji7UMeIKccFsgNjWFuR0/bUabnFu5+U92N6W rmVuGFCJPiRtzLOY+m04/vMYhSmwPN69Uf/sggAgxAgBxgoyzOvo2Ae1DFVhoQ29JDhxBDgqmjd+ kgxsU9A9vPu896waiCtwUq7OiOrG7zypxjyN6OExazRTfgLFXwKdmvAIZguqjg25+5NuTYTff5xJ EcfnpSoXuRFl8tT9tkBBL+kG5OUHyFnYsNFyJ6W6GBnTsunrMcA4IZ4aRrfqY61FfwgHY8pfWapA i3rZ2OaZv/gK5Ekj1LJN2uVMruR/VWSw5SPTxX62h3Hiz84xze9/lNOqthHWdQ307TR+46ia+CHo weKHt3I3wdn6KFUVeG/hU2dd7eboAOnRE3YQAOXi0MbPDWuhEgJnAPFim6Az9AT4P8xQ5Mw1Tvn8 JavOq49AiqMVc5OSyXmiGfvDcWvl9/NPG9QZIXN9TNnI2Nrk6tOKKrJGJeSlR34WJ7EknMOriGjy W60DL5pYgeRlCp7mp6k+haTFFGsS7SdMex3g5TtLTsjuedE9jNYEqN+dqBXOxUSlyeMQyA33o3OE FqgySauGH+oJ3NEJKJy2slksy00wkccY/6Rp57UGYTr+qvA3qAdZDGB7C8wLywErmRoHnuTLG2g9 TJFBj9e7LNbkxeXi2YcDv4P8lUca8DHhW/Fgx+/da0GvQDSlMkhLisIEv71fWqoDgLoiCe+jXeUd I73tAnOwTmWXC71g1BuTKQU5jd8a3EP4op0RRKFgU307ULyKMlj7IYPKgoWMfzMyNXZe9A1Ol1J2 dUhwJkvvslj4UD+yUfTIQ0NG4BMUu8gU0SoMq86JTKrIIPJEtBQy1KNLyr4nvzO5uY7AJ6Tu7r9n c4mwMzQ+KTMBF7a3FW38vz3yQSED5i9CCw53MNdao64A1+nffGeskQykYnwMqCY9gm5q7Z1+SPp+ cXERnMTttnJZOc9fhT2R7MDI/+72XON9tmwcFQrNoVnW4oUqlJWKo3oRHNVMgXsyHazJ3qsL7gPg z9CR82KVOkNcVAdXtZUFbOdQGGTXqzxwZTBiIBeOmon7VZXntwtcZCBIm9mxAceO08iItYNrIjbf o2LBnIO6fxD0jAW/EYotcHImPFs/U55qZw95X8NsqW2nm6FCQ86luA6I1T5ORJl3THbkmGEJ+GVp WC0sgC8O55NMdX1nYBtF4UCEuT/vw1fR2uI4XJhkesDJfpt/X+wLILYf9Mefbn20kYDZUGaVtyEi AcmgMwAvd6sNE5z+ZTMYssRn6e1FgFGcKRDoiTkqWfdjSmbEV7yOzWUOLTbgr2t0GGzxYwJf9U1U AeM3QWFcVBE9dxMWy0q1xJ9LrIWMF57T7ghfVA3HojgY0QI3iZOI6fUu+BZeYNGnJ1+KKPcDsJdS Pz/lQ92bC6b0VlGmXNnZPczjuRtM4l+539X0qi1Xprl5RCvvMP9EhA3pCjCJmgjR5NLaU7SaqQ+0 hU11Nz2ED7W+gQrrllVPbtOjTNSTIakbx7Qyz8FGm4bJ7QYWF2+sYqgzXoP07E0rIOO7bYN1xf1z VpVkHc3Ma5iEr93WJzKExMrVqowWqmfxaYI2RbjhrJAdGOlK0qn2xss5vKqm9gph9VxviuRDGvwa Nb5/c3c2gWV242TiaTc8UBbSVfeFFgf6N9VpYGlkZNsnXVOX/phhWY613IzGuWmNwSzf/t+a4qQL AkHf2pG+AQcxZsDUlEylDAsdWcNVsP95rSbE9Uz5u6gr1va+eGFSRDrKN1se4yHgar9UJf8hXAg+ 0jXUUQTA7gvX/mwcUO20FmOzieNmaPeCtX92/vrB27+vc7yRbWPXgYYkRpY/GA9HSGWxh9Y55Vlm 6ugP9sbFFtbV5CyGwmIPu3+0I3/7z0tV0TGL8omqOYT3KbslT1tdar/aO8T9jW10XSJbFbHryZ8a BMNwkuTILU2+nqShuSGLnOp+2tUXSJQbD1TPDDEaWTTJWPBrEHfmoCH81ZqLLijGHZxd7FQUV8c5 HXHQAGKvqIbRA8AshzxCHIFKLWnolsGlQmTFFdLclogzfjUboiI//KasVVfotoJoomEBDmht9/fw eieUg4H8Pkeu0JbbRL+d2FuGIUO4+KmhZbDKSdW452j8YaTYsSt1VJb3MLqSegmBKpWE0FTl4E+s 8vE/ARiRVlGxJPQo6YlbUZchecgRQqwszPA0+FvSrGEL7lbStIaqlkh1zMKcZpK7fGI6k+JRfTqG qqMv12nF64DVWEwJ9m6KqmH/vJlpPX9yt2x7BXSJ3ta37s20epFH03fwdq08Shm6z/2gI4FECHnF AZpdlukxOXseEttYmGtQM2+CEUmaJEvnTfl7kEf7LM5BA+RDBfiq/aJ73Y7kuw7Grw893rC7GMpb PYh5o/5Gyj1NNduvzJeQbGlFWCkFQvNlH1NdwzuDHAqS18np/rnnXIT29G1XO4l5KrzSHUSlScq0 GmArlfJVgIUb4CIX+aPU5YfFECMwf6tOyfKvjDfCOyLdhumOi+3VbQiWwSX0bhN5iWaiDnI5tPId IfZ8gA0D3OWasBzG3oezX8CJ9rzvdveBMRD1L5WXZnvMZsCLqQpQSQVyr6i3PiyDgnir8zyXTNMd upLsD/IfcqkbFbuCmNSz2EG4qhNvzfjqAVuvLCtyD6Bqluccu3byk3QbKKddqjr7Aw+7YvZ3696D TOvv/3jckNnCp7jGRsFa1P8lnHWmgomeHOynupZ29Sjp1MqquFC2hIeREsb1Rv0D4s95BivTUJuK GtTgeRLWc9/gRqcz6Q5GHaIMQVrV82HaxeKIRcbNKnu7jDby4l/I1+g+7Q6DIaequwjYjaQS8UzY c9YzixtVEpLh4HEySoODLHLTIz3DiNBH3NbboSRCTwo9BjQQvIa5u0GPV8YnnfSZnmAdrsTQdmmz kGfIzMLERzUK+lAp51IVQvCqbXE6SbHrNCvEYUbZz+BXcWUlCXB0UZitzW2dt7DqnUJXOMYO17TN YhJcrQGrR2QjucawiLjyG++059mM5GFQelt7cvln9GIiysmavzbjcEVO7XV7Z/fLxLhi74hpahBS ghMr1aaVA0I8MJ5Fwo9KBMKdGID218L3xaLpA0InKwA9tBGpgi+Ep29BCMaU3Dx+f39VaPmX5mxn DCQz1AtmDqyI5w4pRXZjUW+AOoa8guDfxxuBFbaeU5xCbEDWvzU3BjXAO+4YeBcFIdoIdKaWzus9 INu2R51nbXK3Bq03NkUAgUb1PfSfR1pph97LaYonixUO9SBe47vQdqP+/1/WzqVtFTBVxotLpPqt 5gjzsndbTKdXnR8t8nrzQw4C5/v0DmBWpx0eaM91sjWZSuKnucJ8kapl8CMKigvetycPW7Zz91NK hCwC/UdmaVVtbl/BmaSY8070Iymg6pFeU+ZHHT2J6g6IcSDG6UMVfhzmvtCM0f7FGCuf+SRdAE4P ngEz+zUr0A13aD+jKdFtWM5sR6hFoWklApD3aKrKzHUFMqRLl6lBMoW77z9pa6bNImSRBeI70wye UQPpv0xsQRukTx90yAR7wrbBqDC5A/I2OLIsSQVoHyI+16RBciYSylJDtBFYQjmvzvKvAA5ZKm7v xhdPLOXHf1ANCHXlDeQivsDTaI1xUV4quBgxGiAtE1x2864KOqSrdbIrigPgVYn92IoC68hX8qsn gzotZlkpE8MSU9L/ga4rA8x3haQ9QmXKesRWpk5sSkxi7u290kyzEt5c1mGHd5w9nFX3xSBDonmm EIfg/gqvaAVAYCGRCDAOCKr35v/qt28Hd4Y2PyOhCJLKPfy39AWpLsn5/2VvSQ5ye/oY/+Ti4hdj ZP+iW/T+MuzNM8dOj8WOcNU6Bq6ZUyehqsieUTHgaEOGVAmAlYvbi3xSQPK8D6lzHXBVKkNRboCC WK6JR+lE91Nu+pHOqVjsxmWUy0bHl1ab/5nm/zOBbqM1B76oFcSW0Z5fUeG6mJ2EHEtsg1amZXYq uA01Y37+hdQOhaG3IkYqTviAit73WRnh6qXa9y4jZbWZpOIBglUAMI2pgwKpAO4R/hyuffIDDlet 6T2td7OJDdErrfI69b9GPrnpWHC3lP7Y/hngG/RoRjzYzfz/K1Hkg9SC0MXdmyZjuHTyQjWIVsMD SNou1f/v0HPPUYG9IxAY4uLUZC6V7BxhHfot4sDFRHX2xERTIE42ptSVFMlcWaDZQMREKU9FAttO lC8wPGMgqDYivNI1oYXtixwy/BxaIMz30V7hLrJcGmD0DJnPZJV4YPNfIHzIsD++E1lr7+Yvep9P O5CDm4Nm3YOtl7tptdeRnNf9A3MEJQezcvWOTMK7tziYxFkM+kaf26p8vhbTTOvd1aejan6ou1n0 XbZbcE41IkuYeT6U3W76M1anDVn7dpH8bZDcIuga5cqoyZEMV/X3mczW7EFHoov4tMJ17v/pJlD4 Y3jB0p0h/R+JndlWDFK8xbFWEwoeq3J3f76asrOT8DnIIWgQ/B5iHjK7q2H2xAgsi6TinIfufaw7 wowVN3ZMGYo2/yLeiF2t8QKa2GCiLpIicEmrnxjT1X+Fy7vHatgK/idNX98JCHNB0t1/2kVsklbT o0XvDjIgUCaFr3oQ3ZLlH7bzfv+0tojCckAco9RvFzy4X0lvEtfBT1+2flT99NmLROe/foViYjDU vmgnVaoVPOFbVSbxgoaAmcmTXNJ/niRg4xKoVncb+8lBfVD4A33yutjcLgI4zLzysvcN8aoTa7ol 2RBuDpX16XoP4sPfv0XaTno/BGTskvLyui1KM9IOUlyyPIrI8JNVysV1GyhnNUXWxFLKkrvMvAac R7hzVHRLJjUWxs4efB0hSdjoeEKor+OxDXSiqVOdfWPNHMVTByno8865RuF73UY78w08PT+3geSY V/ezTZ0VoLR8sO4AypnC1ahlC75j/JOOgrCzvauVIoJMR/CSnupCKFR0k+G/+x95oYzk3YEq/rg6 o6jciCHYMxHGnVxnqj5ws3uTyvSIi9nAMfTBRZKfYiqBNfTFYkMWV08I94EA2cBslsDLLhAhAgBw c1x3iWdcND/PiZRjVu0LsQq6Q0ZCJ7ZnF1kviljzHZgnObAwtR+i4RG0U+U62cdKhZxPFt5KiHCN tW/WqLnqphrvWafUcnh8csgVCaGhcc1LPckXn0+nXeMBsKnf6BDjp34NHheXLiPm8+FV/97khqNH XIeSbsGkqtv0uDqmzQ/t+mE8OWH2u7sCfVY2PZSAKJJHDfaJuVgtQq36zVserfB00P1gFrhfXvFO HmySoZjswfwaz0JMkBQnsS03tZuxl3vKTTzP3EyKwpgT65Wf6chLxswBzkOWM50R169JttIlDePv H95kyxSO8XYNeC61KLOZydiZGF3WO3fys978DXKoztmtitLDp9Lju9nUMHmiSFf1G9VuUGzbPSON 1iaDc5aZU76YDUxsZE447h44HPOM3P1yc3sz8uGIEQ4PA6XkVa3izUBrOHYSspfj32fXuB4nJIar 42x05GTBS+3Q1am09Y47rxs9+UT3OFKC7v9g6NO2P6knDOGTHMSxjD1W1SO05VqonaAlMKnjeSUg ZRcMXQpfqqx1ZyUgsn/tfoGV+lkM5XiA8EpW/2ID0Uj4a/zZ6qaSEUJONv8YPL0wSOPLl/+ryS03 i5lCJSt4eadLVylTrlh6e0X/cD10dElBRzk5SPwmztFedxjo/SKBYoTcGnNPsk63LrMTYRVQ4ACw JWUt9ySxFKnRc21SObHE2uQNVCaDA+EGlSBBz8bzLPUqVhMKOh0PCMXPWDGr4AoShtN7BbeNZOQ7 KJnyvgPsrqD37RXLdoNDVC52EM0EHqouB4hRNWnll5S/2BOixaCD+JqN7qJr79NQK2Xso7iopHuM /V/G8yufIOo8Jzbg5YSvbSH9KO5aMwDMk3HoDXRBAiVRFBWJFH1sfWF5dypJd5KmkrenCPnpPPvH BT8xu95AK1RKNLto/UwQkh9ix7n/8NjelV3NjkB5KcjAb62wRi/v2yxWBME4CO5cRpYRquKSbNM/ LDKLwyHHG52p1pJm25rWhTkQ72nsWVJluwYEGHrORPNl1vJbf/ugDTN0Z0Ek+1wmN+QOBOydvWq6 K/6mmpZNaSQnbvmUSoSZrWapKJHVxfHSzzTUEJLSGmlfh1OSV0tiCygmGq3LaCvd9n9vtgh2sa3T c55YD6Ke0A6ki+HKqna98j/wizOPpnVh0SekScaD/MXBAt5oCRsPS04b+RSMRY7vCgn8rN2H9N6S z/XcXpCCwTfotiCmjhqXhT5JmQBKXbjlt9kdI+ncy/80nMPVHhXZJq6wwGHuhhXsALmBGLE52v8B g9pz4mOfijsgTuSYziTw/0RXYdphuA7/fIiCXUQbHgYU45O6EiIE7rcHMp2VUtn/zBHaTj8XxI8Z DFSTQTw5r5TjZ4F1nelKCB18BNV/Lk0p2v76jslW50fQXmO6SMjnBw7/FPuI/rMBPOrLL+xbbBy1 LMkbO8T6GyrFYwSBWO5e8DQHpFgbiq31xDjqFsa50rQBSe1Y1wIJdUd4Ai1bosgOTZZsZHoXKfI8 uiH+Tvq/czQATOeS4Q1lJOGYRcg6AGG05nau/lvwdSQL4U8MQXS3iaoRDG7sGwPodzN+m3lCa2FI HKX1rzqOqzZer2PnrZYoxsFv0WZhJVqEQ9cYq7P8BfF2UHuqHnIBDDQ4N7giUNf0KQndoo5FQUyn A/1jCKRvYJgN1zEFOVYwHMXLmN+ogKhftOYOX0uo5mAYSRAMBprCZJRkwiMRrRNjl+8kmNVKXTSj xUUFpt/Zi9bjRu2RF5T9m80Q8WpgyEHKjjESOo+4kW8RgW6odlkU91bY3JrM1FZz1wSk25SS0L5k Ho8cOcv2m1fWue1etPI2JmRegOSqku5m0eimBb/ZdnpPlERuWSViAVaEpQrB4nPcTW4DlhV9Wv6C EhFw/P5VqilDJJI9e7R3atdbiTS9jUwedz3LRSRc/HGjknKuKjwXmnOQay/8t37RRL5yCmFuTteG t3Em2i/kyrtrElLWCUVQbYF5zfV6HDgS1PsMp4FFvBq57sfyXG5m4FAQE104ZPB4dAbUTpUTjUdN I8EnTGgxCPwzp5xaBDkeUYsHNG5STx9LBqiFOLWbJBhZxnkdWe8xJsCMUIMC+dL4eSaXy4pEuAl7 VvMkgFUEPqhopjzTlMDUG9itRTuVQcEUidurn/WKANIpaGa5s/IHwp3w/DLAL+aP7040GfhOcJOg GNhDv6jwXv5EK893Y+ZpivNijJBClajebpkWHSNUAwdq2z+P86+lvYgwrHPlct/xMQoOFTcR/gJJ DX920h2ui7A/9sIV+dUq1C9jFiA3tTikrbrsX75nu+NI1sAb03vc+Fkv1QL2SopxraRb3/OjE0Dq indS//Zwr86f0Fe4fYWg6oZB0CHQvJIdLUg+Aql44cLrTU2/TphWxMbFEZRew4UrkxEu5ZcJrqct 0umdu4P9yZXxS6uEI3hPIDnB/zad2MRLVGkzfF1Ots6tnsBbS6Y6MmQpgUmrBF0JgBkot2W09y7E hihPhRr+gXZkHcDE7+E54tiCPX6RIv4G7l3fNe/APuFfjimN5AQctBsmhvuro2Y073Z1sM1o9TSc WiqKvrZer6Ti5XPKa+up9Y6h+r9RZxHyN5ipZJd1lTq0bXlZogsNTMKebIkCOhrSQIAatJ0ifzDG npLkQAT/MwuoukrZpKLBZMh5fcD+B6IIWXJIeajLiplqtyPdbfiawGBTWtQ7Or0Lv6CXhJ5F/ZI7 J0Aq8MF5Zy4R2Ok2nIfSF+9MnVcCP0hxLDiO/Z6rP1EOURzJA8QFs9nsQsjBes5kJLIcauLev/xI 3Hn+NsOkk299nb1mesWRDdo8ocDfOMrXwYlcF0Llh8eZqrhNKfESRG5l3uayD8z6/dvEFhdfMPhd wWjrpp0UjAEEqidLhWzu4Albj1eflQpHDaRQJ4bVJd4sCh1ZwVOisfrMuBmLuCoWA+OKi4+5BA0U sNS0Dq8H+/86gRKOplHI6aOzWBlQKK63nvup+BfSUIxyfxQ9l2C7Z4nUEJ8ig8n8mShIgjxVSU3w Y8UyYqCSbqEnWJS6BA6oWWZ4a6A/9HkuhUUTjr0lEdp6ncGKYLD/e5hhuuDEKzMxU3CN1M4rq+AP 6umc+f+RneMDSJ3sqeEkSideMLxMT2SpXdoiPBmiNy4zQ5mIvTdcsc8CbScjBjhx/qK5BYTwErA5 3aKW/TeUWzM9dN29ulxxr7Ep3H5pNxJhZ7ob9gKQR/ZKXyfUL8fWPc7F8DXUjg+sWwXn7vO5AdGM bylXfDMu3/Nh5KJINh9cS+cd3ZhqoPAK30jbyyM/K801f6JfqOyyAyWRlTE1kF8yb7BLM+H0eM7k UqLCoP37Uk8NOA1RlO2s7D4VBHRkCk6EynitCb0oVamvpkbBsItsomGE9dO8dUT3TUrtN05LiZGx MGzF7qaIQfKP1UU8t7zFDHouGpfgSdX4VoxA3Py/Z6Yr9pxhQwvINMhr634Y3uKtmwVhAfO0/BQ4 hD8r8IO7bJXTPtPd4Xlc8V6Bc8V/Ci4IkM+qm/bhkLERf+GPY86TIfzHRacg0ITS++pJLfidnFxb HFdtXieJ7Ua4UIKPog5EdvSr5trmZNwW4vWRuroTGhkxlZHxtpmaSDfVY5JxFooAiEAfF0X801hY 60O4cVzJxNxBwSfWUa16LHhgd++ua+xUI6XuK/Pudq0bPXHd3j4Mvn0yOmbH9K6ON+wSr9ylbUF6 LcKJkxcx1RwHnUyLIjYoP6K8V2HZw0gmY4uZk+ybB7atCAqVYgGjVJnJuAKSg24LoQ8ZMTRSp5TO TBsFZjz4AonZwNlt03VgraXrju0RZtuvIFTx13qYMbYrGt0S1XOHdSorI5kUQjxwW2DJzqgv5zCq 7yvs3tXqQz/UxrfPUF7yBIti0S/BgmrGH0EJm8A3pkd3LTlexfkUg5WgVIgXrze2hMae9yNGOHe3 3QplCpxQI62SWY7Ggd6TETa5o/3KEEK1JiMVAkcW0hHtrIcoxUdaBAYJV/iKwizU2VKKg4CmS6ZU IBmtAmrAOglf2I/meBip3zTf/REXS/TEf01JzFrEVNvM8EBxMYQ5iFNVcw8B5aTuzHyURqHGJ3My UeypWXPhlGsEYjBJrVLKFubAS8PjDBCNj1yPtzhMS+RtQQ9JxC6VwsBUDlb2hbytDtqyeScLp0nz GoGh1VPg6/rVwmmfpTNxlg5kOIS5qa5BB0r1xtCBomA5Bdm+s8o96KqURuLGajVcaR6y0acxbTsY rar2pFCWV+bon2pZ6mCaYVJGrrV4Jj0TILR634atEcoRQUBlyV1danF+FR19gfxCohAPFbkgJb4K cyK/bHkr8SapTfNseqCijplxKCv7GcXCuW/4BU5gRx8iaZAbl2W7hWEhdPQkJZsmAsGDpExB5moh JgMmEFD5wV2C33Blozw2/wEKB/hvs+2OKAQyGdF1H1bcyJOJNoKSF5XRSB0mBJVXyFtaI1HLLpMx b3XXZ4hOTAQUnhjf4Lj9dBkxd5htVUZ9/DvObOVLGG0wDzA9Yl4JFhX9zXW6X5vGu5Jl9Y2gapNX IEfHxgQlFvA+LYgOJsFEggIf+bit7/KvQFOZhINhkCDJDtx58mHX8LRaRBdbuBsEyDjscoeJkV7I 50AQv6eM2d8nDno8bBM4/12Jg4w9tWNUIbXjzgNr/H4Unzsw5sjPSMH+u0vCFxYaPWFxcnrZCYBO 9TZCT6Io+KTNeG1lM9xFlk2HiCCG0dgEWwIlT/LilHYC9BuxhRJMvCoiMaQpy3LuV0GZOEOxJrCZ 8KAru+WPtJT5MVIidoSSd3dyXvWqwT8RiB8e3a4XgIbkh4Vg7EJmM36vJic5QMHFj0jV96W58qXx bM58mmFdzHd3EHpGs3+mGvmabfyXPhGQX4w6uv6wdyev+2omJQRu8GsJkz6pGIRqPpSApMHz7FiK OhKop+jMPV5WWzEoqO2HiIiFfqnIyWgIkzrgh3gCJqCjXqAMtQ+splzAiPsp+0+uFoMpDP1+myFh IyXfq94oWnpa/dtc7a+YI8jTeVOHvRnVA+TzD+2tzt8eXQQuHrSRohYjWNjQo2eFbBXjbUOVkZP6 /CLPtcAG8uc7uj6Fhiw1Q3QzCKGKss4YUYQZiefIunwp6shJVj0Mo6Gy625ar5UVE69LgrJPwWse P5Glzi99CAOVZV2o2lapg0Q+DR9w6EQyfm3FV+MAtGSeulkFBCS/Uyx4iKuuBhwv+UlsAP0Cq0Cl pmi67bRPIgUEm3xY/ocEKHC5UfICDgh+vkVpKcWtKfkn7MKXMaamhyu1glW9b11oTs3xorpuGRan V7mrsj50pZ5QuNreMu/XSJgo2PoDsmv1mYcgvs3em8PG5y84Y2Sf+jJ6bNow06+RA0W6EIEiKXjW K53fp9ctHgcK2b/mlx2qa72IVSlYZQ1EjxptXw0J2rgMaCmmVqwm+tRUY1paoS7AkGqJcC64r9O/ X6edUiSid4XjxarnLw+JhVnAGWXSv9aTFlre63SCaiaT5suIN26fjuYxvz39RJ/qEmTsqoJ4b0Kd m4ukWfeypzAqB9cMKt+IJlZc9GY75Y8re2IQpEDrH09P3ECgMPwcQ7Ct9tP5cOzmLQ5JS+qkdd4r f+gOWsTZpPzP7DYP0Xdw7HSqH9hSTGDz+sZUzakC9dEtb/RMJ44h14pvcOZoV4+Nme3asgNoarN/ bo30OGS+F2MzHdQ5v7C00V7RsYl/+RVvRFAIRno1Cq0aAgHfdk6/stueKmSUS/KFf7NVjXbSxe8X n0suedj9f0ZxAfwoThiJLiw+BFEq8r7DNSU8PEj1haXRGyDYiLqugErDwheRFbq2QrwSPL9KpjZr QddsyxoCs+6jAwuduAlg27ZWM12L+yHmaF0GfWZmQtvElDjOalaDsT7WZKCCCKisftnesVLelcxH ZS+fz1wUj0TO15TB1y7wLBpcCppEuWDQDvuQT/0dGRNutyTgCoDFHgUYEr+Gldo8EZxfRGXOu7hG oma+v+9CA7dEu8F1nLLMYym83rUNAPmPsWPnIwm5dwBnjPrZP//0R+0ZMFqQmuFR1POxfjMXkw+e gxsTrZnJ7Jh2Xcq8V85fCBfWq9zZw7vET2DVNFc1NP+gqE1zA2GHGcUPy1CXcWlqU8jnrsCq/RAr 7iRRVdpUqc7TX/f1yupErBBcb2v9KLbs0JA7TgNfxVy3bWtUU/mN+jQ7UV02s+3fYT3DzVV5cFTB JC3hy1ephHbBSDHJtVsgSDmCwCgq/bKbwSJUspMAzC95BCbPyffd7DTfnDv0KioC/nUdVqrPGNDn XCM9sjeDqsVjYIqhVDkI2mjph7QIhmZtLCsxXSWwM1hB/Z21bIrA38iWh56TWT8B9ejuOg3PFnbQ 3+J8R/RHieyBNgNdbEGznk622GmqsBsEwdjBV35lxWm6LQV7Al4EEQay+5ahSKtJH8ZZ6AUlX5Tp oq7QZ9roNVvimEuaIGf+RViKKephhga/Nk90OomaQVc0cHvFf6gjWHULpekdcog6jlWCMuKbyU+4 IluShQKj7gQlknY9dR0W5Pfe5B3t/XJeKNbEKtZOfGAZIvDyt/iB4oNu2ygzJ3lvfmWZ2HHX+e0I /h45IrGrToAJrwHKHD7VIrcyVS05MNrbP4UMQdMedV06CmkmsxeaszcrvVsIEH3RqVA5neLPPYNJ y4DJx1BoOJNz6Y9dy46RylIHfAEIHsg/fGdLy2Lqe2k+smyN6s6eGnxKUv5en7A2nsp892Fh1zIf eZwZ5WyepL1cKO0wBHOEofzZX9g2AJBhje+/BFNF1lmNbnitlUDMV4ICH395r9LWKSzF00IeB27A Yt0gZDqY1S//MFgILi061bkIG/BAt4rP2Bdm1RnKQYRbjIWpWu1jyFr0wq9Ic/dkYpT4u7v2BZ7R iWJV4HAFb+PbLEjFefPF1UfNKphQbN1amni1APHDCQPpBZuEbK2WYGhh7ui7wKB2jEmG9PwF/f0P axpJdM/gFvC2mHpuKQIxq/vZ6EAiM5MzMiy8AEjE3kX34h0Ucq7mRNQHBzok62f60YtC3yCMqmSh aewrgboZ2I1RN8l5hI2XEs1QGs6ZT2zLl5yWli/ib8+aMJVnrjtUP5tvJ6fZQ4V15Rvz2qRtuhTH POXQAFKKe79BEwyX8NiCtjUt3si24nlZk9fh9/fGVFCR6ysjRQW4ymuWG8K1cFcYIwAOOk6SUAdJ +mtHxMeE4J/9bM2OapPi9QQRpyyDIrx06+DjDy03I0YnosnQXmywfumC1Zbr5cHgfi4s1kGso5yN 4kDMNUerwFWZfOmJXXE3/5RN65Q8Kwo+fBXZPAHl0CCqdtm7QLVlUxiiDEutu4cqCoRTJJgeZAWX MfqP8nh0XsO9qMK8T4RV0vhHY+mI+I40WY0T2grb1ltDRygD21Si73cl/MQ7Lge+YW2aMVz0K5MZ JgESoOrQKicKkwjarXoFOKYw7bHhLRjcro6XPBohU4scWudT7FAdihHJ8Br3pGCZrs9/2vutgLGY UCBUTAeEtAk++/a+l/Pqr5vy+GVxhjT4khGkUxsZiiRcizScuj6/hJuO73TYW9MtzSZOnQ3fkMAz pgMCsyqFqcrupO3yl0Ws1HlHmqsdqfYr6FahzZFlvGjzfFOnW02a265oIHwE3AegoSdC/pXAR/Nf TRBH/t2A1uZ6ERfXdw0TgrAYsNytml52CB2wDCjvXrG4p/1ngx6JQQ3hPRtLQiKcwZr9A4/ROzYK Y0uPodn1DzIGgrbSHd8rzmWN8yPQgU9/8l6wt/HmJReZhFyClLSyGiZXOiav4pUKAyVnOy6iIhnX 54DF0ZAFtsYf0w/gnc81AxNbkuHPz02Er5JLM8VzuFRXOH01UsCqGBTIwL2JNhuhNQ0hwg4dRrUU +RyibSf/agWUHfQF/n8ZKdq6rGPF+2Z8u7NOh4HguQ2L+EsYRyk6Wl2gIeHpioKT7wYz7+03Pw2Z I38ngElebYbBy5IjPIIc78UEHhVDxib6ufLTW8H+Ef8aS6CQDgwhmQNKBeB6MVEbihg61i0tfRj0 OXB4JXyaMeCCZ1vYWI6Hu2bbaAsGmZvsnIiL+WRF1urVUhmTUCFkHamEdYHh8s99KidNez1seoOq wemxuPrahk/pQyTQNOUroIGT4ggTaTa82kk4lKQdsxM8ztxYhf4iYL39tYqf6+WhJfsoTyT63uGH qx6b9uyJV379YH86s8T7CRPfc2c3RIo433Aqe30x6p+RTgRAZhGRybtM09gKdNdv+bpTXl5nRkUb 56L1nT7jp2/2PfqfUOKpRiaoU+lC4Ysbv1rSPMyjgcDLrQQ/D6P8u+jxIIJKVdB9UrvmAVzs4uw2 u9SOfKGztFNkm12a5e6Wcd6uRpG4LQfoOPquRNC+sT5XIEC6ryO3CkgeGLEqQror+eIv+3hb6SpD HRG51c2KtGBAqN08GXTSizw3K6e1ILSuHWfVXSGQE5pyGtYV6xd+JgQHR1lMWswJn/pbRzKS/8lf 8eMptHYQniTMmGCLkvMorJU+FoiGqlDMZVJn+C55oE1SKdhkk7FJb1A5qLgp3o4aCZLDvGOv+US1 +urlYxwfpaZT5FcN8CvIEKXjzeqQEf43hfIt0lRoEzXtVZ6xUloGDz/qIjHaf+eGti8IFcih28FO MlkBdw9vErbOcFSQddNKxFg2vRnzzjPRqg3QsAgpKeFYURWgENqIrF8bTBTXOQgCvKeZK/9kpl+Z tN/nmWf9X2RBwMLzJF5n8PHVmPN8EQi3Rcqca3ftnDFkXf1b5cRBv5BnGZOvCP8eBZlOjigXFo4U jQ7B2CFV6R8TN77U5h4ysZWWTc0d7H8hL/S4OjRJAWMiQ3WVvgkCANdM4ZmD1bpY40pt464Ra+01 SvbLs41jI4Imv1SYero1O4tSge3dCGoYvctd4zHT8WUo0FSx+WMwk72CPQQNY+cTb4+jxCQfCT2s KPjOSo9aCiyr8aIauAk7VV35WGfcctw84y4Sd3nPycc6xgDj2lG0TwYbygr1iCcENeSlc78UBU3A goCYizd1/tgCRXY7v2MkIHp2KL5AhV/lYgg1YyHTeI15ePr89ESvPCB7c6y00Sh5bKwcOwaBvqfe kHK5I+xQmveEofmLONKRY3Kfz6dUlK4w+90ovd5nEvsFoCibmzAvIk4fhLPy9QSTxRp0tiMMlqYk diELXnmtQK3sAMrqAsI7RiGVbBs3pkcPDyEfxctVt6VKc2rAPThzx4YiNR6Dfx8+VVo6Wc3L4QWK p/j65ET7apD7+N1mCEthOM6Y37KUqR91yXYbM3LkcK+UKqrA10Pv8pMRnngzMRHEMfq+dIextL6H qoBPnV4NP5LjhYS06JPbbwmQXZo3z+g6fiK+DGfVELOFyUlHWrlSfovnehSOLN8U68w5XJM3XCUn aKjH1y0dhK4g7YWotRfEPv5cGDrsE2KhtgasJL6XevxJ6obCNvNfc2PdIdG7ICjMr1K1tGpir6kS sv24ubfCZs91WpY5OOKYPd+RmkvEbh/eB5zd9e0yOnsd9G8jEIFxo1tIOVCqVWc/ZWbvg0BfOV/7 AgjrYskVjrNfltmsPIQ8U3ILGHv94Ef4zLqL24DK69Sla+0TSN19ApMr+OSJV60OFvOt4AZ1BOvh yutGZUjantDFXqNXiba/sHxPvZXFrnRIo77pw02DEs8KPBNtujpetez9Srb4F4fd++UIg4LrB66h PotAX50y/ZQxqUHFyENxTExl9RP7yjQmMwQHKkvJVH4K5JVanhg0+yXABrM3guGNJZBPLkWuCPOj aW5RXhOzsTT3PaY0sV3j0zGvUol1gUYbw7rXDjX7kaHoD/GGhCNJwljHTN11+BXY+v10GkXwzM3M l2MLI9quwSLNjp2MfpezAcRQaTFw09ixiTrNfFwK9kMCDAHku4RpLapG05s2e30YN0J0PBoQuD9i 7Zn1TkMTvheuU3XHnfWSwBl3UvPSgsWcXKqH+wRm9MQHQkzcCQeoMZuEyiVYP5ggNSjc70B3Cbsr o1khw/WO2Tt0kMqBZ9r6G38jVXlpq/6iM37gWIbSZLqooJUUVZPiX7qN8h0WE8uDtjBqTQB6LFiz I6aDxu8b5TqGku3Fjzx54EFnrpGLbDD7BzIbe/lLTPiuUVIGyGbU7YHoI/RWU8esirKdkqNnycYx pUJRMAESvx9u08hrff2VXMxyPiDMmVf7RZWANRMou2ZcJNh7ohgAZ8QPI9WfHndeHN1SpszXpRdj pwatWoau3VXlAt5TKX56DTu+UtyfLIy2a9xthh9r86DJMVsosSq9EI80rXSInGwTBI0svMQ/Af1p NbBcwnHPmV9lG3f84hMTXxu4JJq9VKQYQtt0F6ATszq/8BMyKYou2Rc+DONkCFOLGSgj4SVIBMFC Ux4NdYtgfaPvjqOv/CJLN8CUM6ZOE4u2sMMKvS8zCtmeipvlSoyNKFko4VfE6dzLPz6nmTGovy0/ 3+pm4WvJ31PhycDCpxRbCU2rtd/nYMUrcPR8HSYJlrF/QjmA4QHWoPqYKUEl3Y5Y+og2elgP7JdA istvMXWjzw6YiKykh2Uize2UXrSq/FhtYAGOegImDOeIlzuulfS7Mi/pmK5G1WmK4D0ShJl4T95k kqLWqkUWpjpUXtSAAUH6NOuSjMTycfLpDqjZny/DD7Pk9YxDjBLSP3DDKoq8zjp9SaTu3oDacCV9 Ab0rtQiHJNAdMZnwB3sjKxrDqdFXMiR6hHJRGGi6hwUbYlmLdM1yidcUiIAghgRZ7Fq5ubRM9fg7 13vP4hAxB0FQyTs6Tpq5GeF9GDnCzC5P+AL8Iw2JvCoSQFfMQYASn7T23+1BceZgblWRxs2ViOjn i8+Ktx7pTlyoSzFrZa0TZEMIzz50/nlHLLAfMfiEmOT8Sd2KIyd2bQJEMN+d+Z0lQx9fgpuc4lRF E/9HYw/Ax6zp8Ov/9ZKj8RNtusYc8sn0l8Yf7t0xxLy+Ge7StxRec+j3aGMvhPymJO493KuzliJ6 M+VuUWhelaRSqPGnm7W+Xy48SDR8JNdieorj6++YmsYyiwT5pG1NuV4s0Ign6lO289zAOB37iCqO ZEFSdy8Wijquro48IkSfq0nGzYLsm6gLY7eY29itSUblhbOX1NXbd/Idn9r9Psrf5m7Q9yCOTAdP 7nxty2zT1r7RaoL6EI6LTg6P2rZn/RGpxitWZJepJ7fmNEqzHXQAqLKxq05XeANOFQznYUgmDT3r x1maoM/sQUL1po48/5nBRmKnQaCKPWFM4f6vLgK+1tIoyibh21+pA3IeR5s1ZzE02r0Nvf4nEWY4 MimVFSzhg0mCpmDyXNEojSYm/yAbbBoiqtiD4uHo1PSiCD9IKNmof0R5u7cyv3WHBwXkQvDhE1VC UqaoJI7zJepVhaiOD61XohYNbvIw++uxwMk8SbGrpS4yvo5GIj4QsM94b7ntrUn3Y/8zhVDtkRCe ub2/pFtDnoHENngsKAnzcRtGdrV/ptgGw3f8XnvolTLiWzaYgte83t8Pk44EG4a2VtfQQLma7xGq DJIJYdLlAB5sDcHU2gwAQYSz3OgXhvr8qd/T/jrGYCXxxLfSNI9aojZmURfUL9bBkvI/e5hccWBX 6dMguVoH7btr/O1lKcNTCU1oqTfgWTPF7NA2EZzuuAU9o3sIUSTcF+xB0SR2UAYgiLbanIKb1PpU 1HbBJRcXvLACSo5/oii32AnyUVdJb5TjVBFeSCP3GV1ddAbi5yQ9aS8IDJkzLXKxp74FWCalegVr IGusz51XwTHbvBeQ+X/lslwKq4igr1ijExTZsyOSShKAuTzisj3tfWlDeprbwe7IGNAYxdU4IrHM sUNU0A+ajn6rWSLpcThc1DhbDI3IHrBf9K4L3zNTmR6En55yRuz0P/4acMvoopO5vsThiExP8WJS OAOyf7AX7k8gUpx+Zst97J/ykyuDNjF8D922KTTfaiWAHxvVDRSYNjt1ogoE0qfhH2IpSnI62UAM hsL2hKSCpfMSr2iiGTMvDsboim9gYv0iaEP6Vd2UZW5iNhqFgD9fSX4tSmEv79VgesxecaDdxQJ5 L3l8XFrCzYITp34O2EEf8LKqs41AV9rSzkRLIj8JVBF4URJBbrqvUkfW8j4CV8hdtpsmXCoQ7NRg QbgvxcPqYqwXuz4Ek71pZ2i4UEO5S30Gd1uu3J62LhNj5Sk8OhUKRAQE7U1KyaeK16cRUWIhwj/V iWynyBDduCjJaLLXHLMtHo3U67a/tIyGrNyJVAMdcfvRLBAhNnbulrrLhJ5bkrjJr2fmVt2DcFQd FM8J8PbMglaUD04CZYr1JM9nU9E/SsTS2i1zzbXIfGvYtIXIguqSteJTtB9E2RwrzUqLa2NXFtwc /8beBNmX6bwcotY8cKAvCGnEdxZniXqvqt3HbHbsxLgNwWrZy0BGsa8Hxo/GUxW+S6wqvLAA7zvf nQfdx724t/6zBlfWUzy7qeg3Enqzskv6p5MEBngFvfGwdnDjlXQLiAgVR5saZ3BbgytVhD0ttsgZ Fu55GrAGoUmp9W1iF9oVSrFCTrkdbUcepT8LauU0cIH8aDBIm9otE6F7qc1u/t2eMr0x2lX1hdeQ KFtpmbuXTbal3XO40MzWfPRkqvb5xCr97DDEe2e/I6LMSCigZyiqvpViqdtREDqASOMRfjFPLbtm N5AmIeRHrEjZCHGBa8VSORITfuT83rPQcx/Olnbk8Nx9D6izg8tNDZcpt8hr+02Esi6ePKgSQDhm F/VATQ0pu8KO5n4VxdAVrzL1bYpnVXv9AwI9k60yMMaereLY/wqoLPpaA6RkB2rKhWfI9MJJaF1d 3hEdhKstIJ4GCIgP/xGajLEQw3PrpuLNoqA4D1j1K4Oy5Dc3BMqJebuYdIB9ozyf6tDX10VLo0vO 4WRmlXgbLaHibi5v6frpk56jqCc+dAIvVCArRdpy0HzK50ongFCsM2/kW7oK5QeCKryfgk0n2/j/ 2oeilzmVJZ/ICHsrlXe1c84RC8PTYU6Uye4phdW+USe7YKszOATt0GD97jFToGLIDSkuwU3Z8tuN uqlGBy8Z3Yoav2BwhjxK6ksCjHBAsLeH/KNVVLcW5yt1/33RuIx4oPsQ/JPthH43DnEMq76ApQuD GtGvyNfI0s0cubiW2ojYbsQ4ewbvuy95GXrX+BtGgWxDWUYcvQAscOn8VuvOOcVtryya7g/Ekdfv rhwxsQiO2CE9YIXz5KUzrvNZA6UAdS1u6SSHj3js22cgLnITWOvWVm7sAmoR6I/3K87cJigN7Sq1 +hGyOwVhPn6rNhnyYTalp9QxsQQTmaLC8xq0barg0cvaJun9fFKoS4hfwgkhiqwVBPTLJzQRUg0a NpCgptQmh3j97dU8gJRDC8MePmvIKj5VcLWFC38aB7YhXvcpFvYvSLtdLaYNL6m3x/g8AIWS5fbl h90NgMF8j2c5l3hti/SyQlyzylfGWIn+t5NqwKvuiPicvfb1D3TNWSYqGqDruq6vxULa0WQJ0vc9 Efl+xWYZJ7K1Z29MstSW5JiVp7AxXvEHJsdz/hFR09OUPbBauT7pwku1LgqrRSKYVro8FArInS5h avcMiYkkxB1uzgFjSmW6c6lckbru2bSKrIJAhkXPesEPYVLDs7u1k1L53WEqRAHrBvqVwg3i3PgO xvuuv9436jIthiaFbzIquxfTOcHmHFHaNFB15/A+cjthrMX+JPTM8Aj2l0V9lj3NLqjE/vIgqSKu 3UZAjnpdmf9O8gVAeDYNb0Ad0/VdHSUyJhbLRltrhbiEgqQKJg9DwgDeS25TPQOav+9+RYCFBP1N f4QPk5Ii5Oo2XBFpPw3Q6fxb4bDdi5/I9NwQOcL/sVrjcLLast6c8o+OjQTVALBcR3dv8rtUfd8Q +x64BV9+htpiNrTKVTVQdoaz2jDMvyhBPpRFVWlYRVcguK1fiPN0HcmLIWqBj/vTsyKpvJcOBe5v XeZElxhSksL/mzsUM49w23Z/eq97ZiFQRB5++TGncprnPAIaYt1XW65YekJ+37nXIatYxr6742vs ZDqUgl8ElquYlPkQ/NKLTZJSaiKfFrNUu1ICMsrhO+1oD5NGlbf7o3aE2Ud3TbvqqSj6axKkzQ3r vJnJM05AJqTEpxwZdN8VIQookEXk/K6siuHIvd312peXqDQxbl9jPrONsxIzLyrZg1GDgfzDRIo7 1RloDoi4+d6+SdcjCjqUFu1wT1c+w9Y/LpKA1ITNeGIAjbt1jXUbmftslbv4qOekA80RW1fFrkm8 5i4OhlTIZgl9c5uFwZIn0vO9uPEwp+omIBpE0VGPLyOVaZ6hUWUP1jreUmeWH/R5h9gmoSuSr+iz cSMcEO7ojtIcb8mS8j0JLcyV8ySrrzHLFVsWzR9SSwVAKRyTiVhJghQHp7T2LfISGPABzL0Aqolh 5U8XoAtHPDhqLLHoDrlwCqc7jxXfUhOi/96+kfl/odbK0eHvnud5pQu7zW/PGJGh2u8w+j640uL3 Zmpw1JTipOd6y7kKdL3IOHiuW7FzGjAQjQZzmtZ2cLSHG42VjNULluYCdBFaPAjocGniA+/KVDwc mabif8SQqhTskq9hZELjAJ2EVAHSOL2LJfWeh6bjB1DtbIAvFDrhS6odU6mlDT8dErxnSxI2bC4N zqkAAh8fiHlNR6ItxVF6hgmph8EHn7yQ80v69MY3GdQ6Y8D4JmbLi54fjULN0UZedEZTyrKdZQM7 7Z92Rudk4mKHoFUfI4pCKY2QrpAlMcJrWbmjNt3PLCh9ZXY0UYBU7zYejl54aPr05H6XjRhmTSw9 W6jyyLMl2CIysSB/tuYGHoBLSwUkCxExrKg0s5Lz9U4rs7V0ZUH0u8j7twOPXhsOFeRzYegYeVOP ia6UKBJvSMPQi5mfasu5zwAlj7yrz2OWf9/fIroJUpMl2atm+1J9uVgbLkNmw9UEwNNDcvrh7wpX 10GBE5DaMDvQxzkchE/XCQPjWKWX3pNyNu0GV6D8GmU7qDhOznChHZxn8bjGvWYxVtrdmjWLBNTi OKwvIq0ctOmC6TwSqwtCq6okO3DuuIEOPScicyah/6iUYpL9ndL/wXF3r1CQ10cHICrmUC97UNdW cypEihqFh6cQzHzxWfaXDcMLIMVU3C4oc0eRY3jkjMQkzGhH8WnLvIOmZrSRSLegsSq7uKNBJKjB HzXBDFyhdWGTLNwBDNVIQXAkyS6O/M3FKu9hrv1fnqaGiX2WjovUrrbBrZhvywVXQkOk7JK2Y33g 2gNUWSrgzZ5pIukEI5ugGt6EhYep1ErKzAcd14wG05XVaECfCXbux0/8WTGgmgZDk27O5SSNgr61 NLuI8KshLmb9xSNtyzm7KEKjPw/rxZaNUdA/c0AsKY+TjgHn74XNRlxRhsxYftgvA5BDh5Jlxjta 81Hs958+YyPfg36vTdCxzyR3w6rdjTBoYwftNzg8vMLtkI1cFNCwmjJO5w9AJx0J6TVjujI+75hS C4rXYoF9XMxbvk1LQ4aTNl0nYyt5GTSb95wv1JrjMNUPjuC4XqRKBzeAuVkvL6vl4c8BU3Boc4uD Fbu/4BJOtrGgbW1Qp/YFw02p5ztFU+t0UdCpakfUdk46T9cDe7Mdb1c4Z9AwocxV0OUKNI0fJaEz h09F3GuH529Bv0Cztrt0zvpvGP7rIUJEZGU1fdvmE3rUst9o6B9Rm1wMsAFtk2tfPogHqPQDOG/S EstubDnoFIGyXIB7uFblOX7+c+PhliEV5JyESIUyAiaIJFvwDGxjZAhttK40yeMJ67U8Jl7Ey1ib ExrVPjScGXbQXoZbNN6WPFMgupxEQv6G+a4QGPt878Be3U5g22detLjbBYaxZm9FW92qnmIu2Xef OZMlvHqkTB51lmOy/VwPCOCcUSh46p38gKiEeGiIO196qEgejUjja/lvbRpJyNndbW9r9+lv84nu V/C8Ufc7QXEvlVJAWkaNS7+7pbgOlgRQP14dfu7zy/7FS1xHUtzCx+T7syGeDG2yFgnxINxVqS0c RNJiDQLNM06tmMTjBZ9/fXUTi7t3l1MUHsyGSPa39a2pz9mQCTiHi6uPtqhJwISmOGqPyVEuw7yQ CiUpO3KdAbxv+cqBnY6lkLon684U+qpDiFotLxbrxq9xQeufRyzsIlGYscanPu709IKSZGvX7lyV GPsKRQmgnf2Kr99q8hSbOt1H+3E/ueZ6XRAK+OhTQvMUqRYwSoiEJ6K0g1s2eoVNk2tdKfQqAGX6 +k1Aku5A9DbTwmrpbDOEWDBt28fLvo4eiTcIlRiNkE1heYn62QlR30Xb8hpcKYI7Ib5kxREEuORM HmOjKElOeY/SF72u34YgmZHb50eMIZqfIBEsEg8WO/sIkyMnV2OIg0qDdVjzrGlvVDiMS14a/Ert KAH6XAPnbgvKrOn4ILvoFTxkUFAVzWUEo4TgSDTa/IPNwyqaaLsTU8T7kZ/Qf7ccJF8tXNtLpUhe TAKbJltT86dHbYraHii0Tk5V40bhZXdm0zJCeJc5ETbHQR1BZJRk5iN5C9bLHlTm1T4bJ7qnkzPD +tiq5Sg3SX7pbYD80y3DGpV3AcmXM9yqAqb20DF4Lu4giot11qZCQWsV7zJVm3zDhqLdyoioDUPJ WMkgfy+TrpSkfufBypKcHEks1pJ4BMRynqctUYw/BReDDTWOyMcTcl+uyIGHTQpoEw1XPKtYJDUz 2cOwqpIwtw4audwY+NQ3jX6nGWo8sIYAi0m7jIjfkJ4e1rwRiBfm5Q3A4PXo+OJ2H041Ng+BPZXv zkFAqW1YqCDxHm9M60Qc+1bKjrYZfxEUodfQHYNl4Rt88cGfKEelk6VgiidywRRP6uhBscfkeLSV 45dE+UHgd34i3jPDk6BWhY9P5o9WA9fDgzrwIqYR3oXcJBydkYHFRe2WhDH8lBcHEcYuEeJvgHll 2jppm7wwUNK0Fy7Tg95vDJ/5XFtuamisTOkB//guJZi/X6C2V/+hYKxAUY8Ib9WyuAVOcPvPVBG5 uHJJbFb1WGMl1WVQU7DoHwCzHtC7Z4ff80MOOdJdJJ/pptn89CP2uSFJX6gBbPvvJ3hoJwRUtFbq w1Ql6oPaCUuk2r3ao0ooEzIXxMAlLtH4yKdYBy2YtJg/Jo1DI09irqFF7MvLBwRjxQ8JyrkC1idr 7mkxakI2XZTL45OT+q/skfC1XD45UVc+r25e/UneBw2VH+yQ60NKbftj4ZULwL80XtGyyw6DJ+Qj rcSMjylgbnsSVFL/jgdFkhmqNTKPksVeCh4GhrKN3SCm2Jx9dxDiLIFPxADtI22fDWJ7wBxeyWHO Kvtypxsx1L44ImbHDiL4p5XmuE+JizCalK+JEcnhp31JfMYqO8FIP4JMnZaTSIlwLh9A0RCdrYJN o6E8VLbEfFTHKQzqYsX99kI6q5zrJvlrRY9BwfOoM25S68u57tIAWTdr2zJD6g935k0cTzkHKTpJ Gn2bPHlXvaf7u9nVMgVZgHIcdPW9UQ67zVCjur1fuL59zKTLHoO3fRF0FCyEhWUR+qp6e9/VpgHp rryfY4uakqYpgtqZE4JKk4tsvzo3edKyrSUtKgsRc6mq/t9PZoVcSgUKlmfVsbtpSJ+sZ11fGHtt SHj/9VYMyV7O7lYmGGA7w6Efr1Jneq9mkI1kZ4gTW76vBvs3hFhrUsXvFbutn43VtMy9DtF35nbp qt/VWPmAaIiOm6WwYrEZq6b2FhXcswDSq7bGYF2jx6uom55xrDVc9i0eyinAcqutSqu+ZscG3rxG 5egZyg5wYsQgAsbppBcvoyh3dIXxvhNKCPGfPowBezN8Vv8jPHN/40sUSS32O+MD07PDpPGjBKuA mSTAkJ8IvjBbKmpulngTHgIAArERHT0TeMPvet+vhKh8zZ5xZza98QWXpglMPL76UMAHY4pWRB4E tCznalqV2lmmqBUl1U5u9GjdOXu3pC9gtarYAZfWp1+VIBooUbeq/luG16imwW4ez73pWabzED5d joszQhoC6LljqtEBZFZLqi1carlWNIhSQHtp63XwrGeGoQA9uoCTJzAV3ZqPQh3tYUGZU8fs+tjt NC04PJDrAxGtdTF08nwp78fCrkLf/E7Km8gmsaNZ5nlT5QzvNUnT4FA5ymLkivbzZz+5BKVnsqB0 c9VSvmf3Fgb4oFwqvy2aO/8S/np8oM1qFm10I/pTOSwle+lrqZVrSjRTy5WLCk4zEBfXSTAeD0vl 8yJJdQIEBKezD1UKfFHkZPmrRBLo6sQQjlOYN0FL9QT1/RQWOdZoOhh1yALCUmyR2zytAZWude4B mt3yf39q8pOszrv5a+Hxs9N2KSer1JHsKubqzOt9nQZ7aW3dN8Ijn3RpIlI/Rr3AsNgkjRrAqDj+ cWYRsrerlFN3DPSVn2j3XRBvhthi9QufvbY5M9tkF/4YCy2iFTQSdi/M6vWfEVsVRZXW+mkPBS9e oJhuTc56RR3l3RLW1RNcYioFVKQwI/hUsptWkxbsRXv6Umz4ZWQrKgWS2/Q2Ba8FQQHb049yNV8k EiMasEPT5nx7Emx6dNnsVg+o4z4VnNXjA9eDiSeza7yBP7YbtC/RJE9icg0XfswnanWhKyBvDRw/ p4HzQg4aqK0P5Th40AbGm8fRqP5AFeJMd0lljKaa8MFy3pC/u8ADttakDNDgkcNnybJ3+Wc7IiId Z38gUE3BLTR4WrwwEBnww7Jp/c2IMqpiyBfCp3+/P2y6WyRNAPdgvxk8+O1JfpcozNVQ4fiWMTi0 JpsVUEe0yZlOjnSIqmLEHjguDgBaE6lPuARfHTFcf9hOyxWTUbZtMcLma3qh06RcePXxuuxAYUoS /blCrd5xMSHHtnKceg/eacT1+yuvd2cX70YbH+S0QOrUVFRafkOOOq24mEz+eSUmqnc1v+9yQECj VMmXKUHrI12OSb1FGRMvitx9wPXDEhcUH2OQnstzPfzV7yDsZLUVUCq3RZzlod9af6oUVDnNCtxb V5M8V40xB6XSGgJeU+vZSXw0tNMT/cHb1Z04Q1SOlnBclLY0Ewce05uYcCxogvbgPYB17fbo8ucg a4lBDOF7TecGYPy8JJzbUV4Ba+DDri/cBLh4ObNFUTGX/6U8t/iJ1gXKSu7GOunPCL4637OBAn09 VzEHbpG1fiFbn/lQ2En/Pd0CZGfcK2GEmTT/WDtncvCkeGsWZD/MfxAUFSwpefev3K1quxRpCVq6 1RYAMK2BPqv6YKWSaTmmo2JRU/rIxDzHESCaJ3M/Xj53kgCdAcTxRziyCGxFqMWE1gNta3otpJUG dJMdVKncLvQMNie17UiCAYMBbzR7r6BLpxFKvrke7Tt7EIDnzzAmwaMkRJ5xK5Yf5DfwerG8H2Ix NHQeu5SCV/k0EG8ZKMF7XriwdT4XQVQKXSwvsWuKzyG7SxdL3vLZknc92SLj0GdsUAYRoyIdJWtV ubtJHXFWqaGNJrSC0NvUzCnJJ0jHdWqxjuCMc8WuoT5W8irSr18E831kZYuS/hAe0Gak72YWs28r jeFudkb0PxCfY7jMDveDinmJuXWVPNTGkW44NhnhQN7ovLN212cetDfqH8IGCZdjZjWGFpzXv+pS e04067Ll2rTEY1qQ0DUfMpA6g3QSBVO+LayTG6QCEOUu/Ug55eXKOttr5zqOwT5lT7k3MLpPbjB+ wuewW1L4eHBbd5nFmVHzplpwZa4o3TnFPrGGDz2RySvatG+NDUWBxtLI84G0PIRBW4qgIzqhpFEW 94ewGfkTqiBypU/LUhzzk2jKWxs3ebnOO0P2QxcdHRPoOHlXXxhHTyfmSn7Ip7+qs1TLNZ6EgSeF 0zyh4LzBOma8IlQCtpN9uGuP3CjmQA9DHjjpAPTvl3mW1l4HvjdEGRbLTVrYEPhztkJRwXTQ4PBI ZvB/8kZzahV/mFLHB6GhB1Y6F/4PFLIRFLww1uyRoLLx2yAAusgHi8kpuxhH0FD7xGinWv3UK7aN 3TJxoiynrrukCJ0gxMCtlEEwSGfCE+wd0ux+77hSwGL+aR2rh9B2NR1lnqA254jJQjleo9XDl93t 5SDSpBzUYcbmynKZQ2JMg/rXK3lt4yrxZ7j5t4KLXRTBkgLldMkn31E8wxaV4QhyuFGR/MWXRESK PL2VXt2vHRFNrigBHZObHKm3dUWIOLUY8QpWGthMd1cck49MDKbk5pjraARUTJFJRH6N/s88iZ3z poGQ0LIBo/dqKBLPzzMAgsR9/nXK3zLoQisUSuKAtDzvvPGQdPawyQEf+tolqe7/uDPAZrYq0oLG c+TNKn+vFxqgLVJ7/0PhVEYE6VGnklzSoHzKzAPvzvz4DZ0x/pabzKd8CgsNKvpXnQbbKDXdZxQy Onv7hzNZNLRVP6ldr3cZg4iPxL0wPySSCp61sHKjqUd76LYoNeSoHxrML5AMUr+zbp1tE0XcfnnA FJ8tx3ZSMBublPN2aT/9sBMignWMa/qpNA9AlxoyuV1D51Lzz4lf+93Vo8kSsJzzuD5Ss1mYIlY6 jIWY5yYnc8C5GyIzoKGTuK2LsI6VpflECTQwge2SJgNu/BnJYJ8CDXvUtA/x/oIidlFe2ctohhx3 2gVpmjFKC7kN5ixuzJUxK0CHfbCv1f8FuY6SceSd/E/t5GjEuBlsF/G+fLXgpvCgO7MDp6MyONRN Qs5VUtDvGRwjPuK4/TLs0pqrXYqZFYCqGLB6viFNOSMVsl32ELGX2carY5oACaYk2cMg6SfYVh7g jyVZ2ze5vDqiWANrT8Ra5lxQ7e9zXEG9GykJWf462Nl4+Y8vfvjfB6iwz89AwL290JUkAvsabazP 4+apjk9bzUPOU7LyXgp7xsHTUcSkZZahHPXrMRha5y/GK9NVnI63kJdRbe2wHfnseQ6r23jfLynm hdg+QgDRGQwy72cu5cM9HbwUkAgEsadM3lyUmK+c2QQRigYgxzVi6YN2NrxMiBTS7MMfhajaiAZo hLY/uRRkWfDpbRqjVeYfbMb21QPe5iblGa+uirtpvGKYiO+wXYBgWFfBQAP8Ajq7YMcsD1/FxHnk bOrUJkKfcrgkHWPbnFTAt0R46PKR53oGBDPl5GVEww3DS0NUczYWNkZTrTLTtvKQIpj2h9HssdJZ vRY6ro/OJqE36tB7yFl+z3JUbji2bAhr22gxMSO9Ut5bm+1FoWtJThYGYNKpTKgoWxXpYVlH68G9 QZx9vlu8mKXEoHTeS4TL3lCF227M0OD5H0Ac9ZuL/Ro5wX17HvCH0L7tpZjTNwIv4o7jTt1VZlCL P7PX+f/gf7ZjkXLzuULRUcPSrJPwFHf/4V/9msYSHBsfBG6ylq0zbImG2xgeHvcHwq6U2RY75esQ 8r6acdzlHe2JsKgIohYqTC6SqXlPRGIqo6WRKp/jrei7/P5T5iloqJ61ywQiIoGhF+OMcPXb246F aWtA2cZbB+sLpqk4nfbihOXu+bSmh9mMGeVvdxe8PFVwMiSRxapW6TKbaSQdcBJ5PN63OjIRsAGh IK1n1ckuVSyUiYm/Yp9vn+chMP70Nf2nA/ZJiQfx1VyJx4w8gbXRofuC2Rr59Ai76a0Nf3FKG5qI Lq6i8fb2kqCkIqIcDJ8f8XHrYjGEBDg9Lmv5dcF/MGx9OPlzUxm/EYuQUg5k4EDJJYIZxh8fkXQh ha6esFozfsDEb8CMPZeBkRx58LDpCaB5OQ8wFHg4HIql1CvmY+ZfFCE83wnqQ9UyU5WLplvqfQko ZYzJSJji8C+iaEDwJyvFl9W66P+H8gdsAK2Wb8etLQdhR2u/NamS2P9aypsjN7ZVlF955Wxv5sVx NNqq8yq65mRljEIA0iyxifndvJwiTz3oUA6e+2pb3CoIczXh3PoXVdgaQyPl1MENhWMlfoqIe4K/ YLzsmd4MSv+gw/aYqSQgQ7wyS/jj0DmzNts35JSGf9d+9fHYiTC44Z+E7m3MuQn8Lo9uwcR5MOE8 gLvgTQkF2Nkha0xkhayua/BXQhEkYZ5AD7zLK71yXujMNW1GEYa1gpipWaK6UcIF8kLwCdA8AhDC puAR/P/FHTLMKVJkxDaNZAwL9/djZfLInLPHBDPawS1RqP4+hE8P/ms0/xSS2TqF7cNaj3+3r7sM GFZ/W8wMjP2P0XeBGqVsBK7cauPFAJzvfujSpixLsyqTHA8qXNjdfHtSVUBo3yzP2bQrQJFGFtoW ToKflK5fICU1fR9kt/aUVlBhyqkw1BfNvDZH4Hb/VMGo3zT1GPohQ7LljoEnZtw0n0CUnh5VbOdo fTI9H+k5nCHPXIVHtoYs9EOQi9k3170FeO8wDPv9FMp5qdJi/GVKHvBuvjqXtcR8XaK5UrH4Ipkh rEBZVGz8OLCuf0eRZKJWMvdo+8yVJrdRbnj0Q04fW/uenbUTKi/0pRrug0M6yUOSTvusAbUIlyFb 1rAnFRjHHWaPZU1QCzO0FHb4oiaT++BB9kGzeA9jXviLYFvp3u6cac3rL+KxafIJ/H2aHzWvRYGV /3f3MOuWhtE1I6y2PLO74b2gMx8CPpHZRwnUOdbBezQXjOIM7zdODYi2+RrJQECVkgq6lM8fRbej xp3DSQyiVKd5YGbH8GrEyv/02+4lKiBc1Mrx2rEBzJxv36qFdxAl4ABhEZYU9xP9DTr7vqhRgYdR Fk8M4KavbCdwYJS1tOouI2VE6wVHKy2ccqH3dVLqW+jGsBPBK1Q3ch+djcWOdq5Mfzgj8wAyzCNR Ro3LYQG/pvigRadic+h9ckjowEIupbaXJkQXYLevk6br0qUYQg1REMChHvXyuww91lH7r2vldmnA w9imZzvF6rrWBmT5rhQVmKA/Z+okSwqGXcua+wp7tBBxIp5PY/Yuduyf9vZiIEkS58w/fd9NV7AY PzewIrmjUxQSDDoBJX/EEt5Hucy2JharZ9g5CYBpav0P/MTLviM840IcRxlhscHgOyWcV8v+zo9z AJhcnA1WgxBSiUO6JgJ68ruc680FwfbnWD0ZeTOgkMLU2j6IpBDX/6GM32WI4niIBVFQvhNU6GFP Tf834ObCAmcuNctAxEgWfzl/LgLygr/3xeG1wjsd+DHl/r7ib7ZQtRqs5Tk05oNArUpaKaqod+4e gyMshw8EM0JjrKoc29suEH8jrnnMEYugIDDiQzVBgHrlcQcLfcsCGp/NT7J5BKr5J1Ya7G/PGleV fcyJtj0oNBDrozgZb2G8pn7s/7toEAnktUZbRGrsrE+7DNWl+QI70Vnwp1VjnMb1Cr3yFab5PfDW jezkeWho8+4YI9zGs6WAfmtplmjzTR2TQqICgKMAM3V45zYnv9k1Mubqgmvl+OWvqDc+6BmtbFug Q1H1qnTrEyiBZ2uiyhVNElGl++/eRSqTIiFlkdejZRpiQp4IfvGqUkOyb4wXwl2znsQwq6pGr3dX Wyi/G0x/hpKVnjkqHXHgdgQJS/wRnQRIs5a1qF0hJUre/UKLOja1Ayg2i4RVz6X3Q94th9JfAkNJ 1lljB2pnTWj6g2k0AZlnOwNp57UWXf0kYDjg2kEww1MPXMa1MucKphUzkZy+F29WYUXowzXmFO/Q Q1iobIw0o0BOb6TFomVH1/gKstYWC/cugF/tC20gAJGMGQq2uYu6vVaQXpRDjRIl0hCGbjY4mp+V ba1TrDB2GX39RXa6D60mdVisSjajdlXD2VX2dnGT+2IoiqRE/ZwWhcwu3KvVSPsN0+SOB7z6AlGD +PipcsJfCuq0h0mrGXSx5KAcoKkPd95obRIfYcn/mK2c2VhF3399e0l8IQDOXk/vso24ZMxp0Bgu 7m3pU7coHRO5cGe1IkvZGopza1ax/s+3i8nAZcA//0gF445POQ6dfxgXpc5+FXYDnNRvjMARQnOE +3O4Uh5+UvM1AXNTS9ddcsVWLnKZNPbCM/eQ02JgmbMrbUEpdjPqeL4PHXCWNg3xBC68nPnYUjW9 cKuiFz01ZiE96qtoRrzKG9Bh/4ssLAFDYba1Bpm4JfyTQ2XoKk6iqB6TpdgduFCMYSvTePFit+6S wsCI8+zx0GYg8kUrp1L1AvQWW3zO9rMztWMETz0iVhY6Qwjh2w8XE7HzgfKty6iHtLRmkix6LLhT bKrAIM/TcmYs/+dxrXGr96nEvmqeefVEn5KyA3vApSjRMtexA5V0+Xb5pYT2J30aaJlbjNpQt6uP nHT0IXnEKr/nomRbr82S3Iq1qoEKke6ITREpyCHgIwpXVTdVFL7Y2NYb+VU4TorGXWf3X6x//BpX WU3pVrYJyoTbwkZJNcPxtvK95ONbfCm9HdixuLg7lOk1BFmiteLZ/Oavr2DWNVRv/iL9ZodEvK5s cOSPo7/EvaXD4aKyIoOkvvZWSBgtjTKmdVxbBeKP6bUH/y83TMYD/pcb0Ahd+kuGBTj9M54eQ3tc ERYnarWYNvcQCOX18f8q2vEibCUXSCivEg8CREadK5gDrIBbdTDKrSZ39ZXja6BzIT1uiXWH3Hq5 /JUMNg9XX76+FJpVKhtNmM1gmimqWbG2LUnU5r/eHr/BTVZLmdukYLWihrQ0HZbY95YmPHBw95Bo 3rOBW+FX23i5yXTMp5Ce+oAWWnlGVEnOloyuTTAfC/knuUBbIwuA5vF2ISxULagWLo8dtdcRbihD VwRv8fed3nqwA8CrhHh3RJMNW2sk9EAAy9VoxuuU4Vf90zs1zZlBSVB2aKkzkyllKc7OP//90nYM FknrJBdrdC6T7r0VcQsrJ+teBBDUshTGNKTplvVbFNQRFCYoSC2wDE+o10tKDIUfDxDBOnaVHfc0 qEFm9Q4XVPpXzf+GB/7e2H8AA+W8HnT084moZugrY5QdRHONnGrHMbJnjLLXynjg09dEgj4MwB80 1q+OPFZma2r+nI/3JLVV4P+FFr9AVVjF2L0Gff/Y3BewQqIQupezY1nAL4u0A98haz4cf5wk3Dz9 RtaPxAX5vbqiTXj8nEedJAeC6g452Vq5w6MMWSyetuMyCWGPShRRV+HigkIW2jz6Rpr037LJkscC J/k1/E6qe91gVnB5nrmGGqXtJINeDXYR2376dAerMBtY/nw+6cliO5hZEYi56WOnY7qxQ20u6F0v E4/38X+Mg63vzyFfwW0EihvyD6K5X6SYGIt/ObIDCyDs/OyXlVdVItvQ3k3jfoZ6PjCaM47EUStL wtoK68ET7W2ZrltDxKqdU0COX4qDHRVCUC72E/9xtz3gcdui2KIVGE0p4v4hdSe8KUTxdtFhexTr 5LszUq4oB6qsoTfaZ25kHqfVYqSmn8oYEbXW9XkOcTxj18VQmHHn0AQKLnxnuS29LVDgfg6+n0DE ImWAD2CGPWfFQhJQOHqBNgho7ZlSn+hph7l1zflFGago8S/KUSzJ5kLIyXyJwA1njuLZ27S9EIm/ 6HXzkLS8LlFpUCKIxy73URmIx5/nTGNkO88V8S55vp1ejoqAKPsdnY7KWOqFDKoXNv3HH/VAoCT1 ILUWyI747adNde0MaZf75YGS8pme+72K0Vxcqy14d3lqupWjO4tuLwPV9CHTwGH+UBDl0heHg0cS YBWJ4okzj2UZi24hcdg7mNeX2t6EsYqgkwUEaTsKYd/8OADbVU2L021AE8Usk6v4k4SausjbFgue ZZGRydDmHjEDkoZQl6NAYdyDxgnk+pFgl7WfZPi2+p0T1fOWZEhZo4cz673ZU5liebqImfO5EMv1 tCPafrFHqZInZnwpZjPUEz+wAqAh/zhl4lmPru9WzUBgsZudOlYGkxk1FpUNw1Dopg24laHsThpO Mf7InVcIrnojvHsw5as2xjrLtAxvzVMZyVz2PxMnf+FxWUynaqAiO50cZj5hlm0sEgJ5eTawiuI6 SVCo7R6kk7EfTp1JPLmx+VkSCH79IzcLTU7qgRiwHnC3RIxfq/yavVpVZTJgLuYT6plhngOXRqin wjw67gU7We+a/XI5BVQxt1voYyYGpiLbryfkmatnnMvG3bPeI5TNetitf5yHLCZzYgHOMTvTodRa OPdzhTfcMqpHswzjc2zpWjf1x4V7BQzHLsOhPfl2uYaRYaFHuLAlbD2roLGeBXBV6CI23o/ZoSat eWjkOTr/ZAJKaxfTYCS+7yG/+X0JvMkgOZydvvWyxzISVQfsd5ZftKK5F93cvnD0/R1lOoXVTUyI drSWi23ViZeIQV1u5up8xzc+QhopwrUcYJUQZ2sDZMiuhdUwi4Sy0RVsJyQGkP31LQpZRgrOuT9N YRxdwkRdo/5HlA9DWgnTgo4jIW4nERNp35cmrdWGGCtqfCyTDQvb4erFUXJ+HFrdnnJvArs9brlf V9C3ofH+nhBd5jq0a+8WMCaQlIN8W35ZPf9sEy77KPGq9Nh8YVr5cSex5bjaX0+gXWIGGuXGHWf5 b5wnbclaGMU4FsCqfKmA0V6qlVTdlANfIBcWDY01+xEgVYREHkKvw4BF9rrQBSr/x7pyKQaCi6zL n+MgiHCG/wDNm7rTtDjR56u2ow+nJKoLb2RXNUkCksa+fXmhCogccY7Ic42b7X/hDUwKzVwPJKQL QX87AEQUiEDBKdfQY+IWoquBx1eO+Jb/nycJN2cLlNf5p6BIGNE2LCTVIA45sUmrjpJo46DSnNhx A5IIP6Z98kWoLIsCGRbFUqWcqYrVqikha7h73txNl9uO9IOowNZ/aanrqU2F5Mc4fNjeZhtiIL5u WJvF4zDOGHHtXvGrZNLR/2DcY6jYuM0ic/m4j4LE3Oxs+5NHPzFrEF+gFoqFel9KeZziWJFbkeCZ 8ToLa42ofEDwMJsAyg3x3FA0libqAlvX40OZVKQ8M2CeYCobm7T0gyqxFGNidhMoBS3hxK05TG8S O7LNaMaimq/0Albe4N9FyNokvL5I69XLDb2hecS/AZnkIrhQWnZrQSGP6sfSWkJrMiT+7kerSsqu YtmXHS9/uk2PQzrRXo7ubT1aIv+vwPrVS31v/F26QrQGSjMLdGRLrDCIMtAhbLfk07iS/aFUWJA/ bSblTNuW02aJDGxc+yCnKXvhUGLsD0+2sKL58b5+VnRuFotCbWHkpLIrm4uRFwmEaz2JbcVR9gjC eM5b+4A5DtpmyJ7Lkt/aURiA8MPcO3xoT12ovxneLWIbg2HKdNySGUwjFcTz8MpeLuNYLRRuoESu ZevAb2yZ4ZpPeBaIQBCX4l7Kl71D4WLWNQzDSF5vVRm5qy8dQEj8+vbBTxhAwcsbSjg2UREndKYD 5kjJJntQCxSzEdhfpkNJJglxWluO/h0pE7esswDS9Wmz3VjhoNic/BxL1kFfTIfpDGaxeYaK0Vcl 1SV11k/qFFdkT0IaFFMh8aBJ1WKMn3MFQRcLlWXAlAPJbsrZHYGJ18/anBoahEfk5gOHoZ0MCaIE 48ZnoFNcODfX1jhAjRmACw1BVp94ngTUSkc6bfy2vwsaQqWGmFruB3YEY3Ou1liAdo7lgVmSuh4M bcwNaIRQ2r0P57xasMZmNWk77mm/CZaWR5FzMZWV6rdy2AuKBuSFKArdS+RrTYBCc6pqPALW+l2C yv33g9Xye3Y/f+yZ+eyBSblvNO0HwYsA+kt6uyyuV6RGAfrqQpRD+xVQ8BRVPQMljkB0z2Ovi0HP Wozuerw+27rb2mbKQYmbGHV0jGxQwPISeyZM9UxOlEPO4+G0hkYV8WwL7Hejq3ODoAYBfnCNcvze ofoatFzU0sKoYsmFjfBomVMOb4cAPuulgS65lceoN4NY2xFSdmNw+LnfMzIjdBoxr6tkkKGs03HE dbkkh4MT8exdF9kaN9vyXRRHkHOKpUKnL+l73tWkLoVNtFBiLvWSa6bbMqVUQmyqnC0BuKP0wojG xTC/gBS+DBrEvSsl7XJwZ0Uv4ESGTJD33/rG7oIuC2oKcq/R3QfmcgeFWdwUa9ui2lOVHnB/ne0S SK9NV9/LlddIhwPsRd0q2Odf7jSM2634L9PXmJVny2onCCSdNQhGBpjznINeUU7TWkKXvMYTESPD xxlCJNoOF3tNe71uz7mYpIeJsF9Q72Bcm1zertJbYhD/VHvS+PLloJnKx/5Gcqm0L7wk6DbH9xoM MyS9fRD4omDwHzrb3odX89ZTJf9e3Gvq7tmaRNXSxkugfzxq/CTBAXx4wFsmlGskW9sgmjcc4Kik ukRaAxw5t5SzjngfWuZqwneqLvCHLEZabTMPnchkojj0GeAeRgBRgDi5YOfN/DNFAe/MkihVZT02 RMzR8bzG/2CmQUzld2PmoQ56TRZXTP5rbt86Cwkvjqv/8ml+lqV9RlmPvDGuk66kRUINkZJt5iwN RlpjSLuNi+UY1UQCygU90rPHiAhIEGOFDkKakOIBfQiV5iQSt1wFmgtQyOFZiDNub/bU3IoL0/lq A204s5aEnxZfccWVqRBXJgu0lKw7O28WRkfdF7immlQTPhqMEOXFNjyv9LEMAbEbIGTaFcBzzDN7 rGwSVfEgZyxNCrYPsp3rtGiGiVJyA/8TRFGD3SpyhqLPorbL/ZRkkZlqNyTtNsLGyJ4wnp9V3G/D JNEL+JBozzM5waFlUaDUqIUEIIQTs+e5D/94JfxkJwvwZ/nK02xrH+589T3vBMgBYmTKPOIMRp39 iy9tXta1YcTX2nYezi6KInwm+2zJGMrq9EsmPOFJ+cJQkAv/deYcptDbp/CckzxuB79OzKKqBri1 GiHDil75r+BFXOwuDxhAAYvRf4FEgEXcHM0XMKYbzWSXap8qSbdjOeuwDCMzZ9ClEzwRQqjXkOnI tt1JcW1nMnIQ9AxcRMGLXHua01i35ZQBXBT5XbLL8lB4H/1tgQEySV9GVSTu4HiB/31fN0F52Z+A 02ADZmLkEneWTsUBB8KywID5mEWjfR20rowgcbx7eWV0aI9E9F24Z0dD5rP23t9InaY7w6CVs4Bc ytn+OJMuHY8tvWXbZOrn/5p4TqIglbqSa+FLpEcqAjsBA5H6ZPINt7QH7YuK7biuxKj//5dQ6skE BKMWyduMWOtgSHxsz/jDk7p+EUR71PTko/45/+hSO1RJHxSZZ1AikmoXX4/o0P8v5JEZ0JBIviJY mjwXfDo1MnzbdTiT6kAiVIriOTa97V65ilGZm8QrO6PSFdPKx5qgdZVMF2rTrPA0Utuk/KTJKD5c DrdeWRzB/EG4UxuzlFJIckEp+psF+eg1pthAjvtlGzvtiQmkjQZDycK/iX4hADx3PqXITqi2a4/Z smrN0RuO/0L3y3LXdHLXs1KJcaOz+hWu1bKscd/iLes4YcgfYdIjet/RyQ/U7uUGnH27sKHkdKm1 Uyxq4M76FGi8edb2fs6qUajY6huDbKrmzqWT6463t19PMHG+v0viNQrJjWGrilkhynSblaKUAHsj KgmCBa3jUQynGBLCmvCugNlS8WFP/VL+LliU/DmPRd80592VIzgctOpJOIRkI9iR+TAGZx0aWbPK 6kf21qG1nU3qE87CM4EFwkDR2WGnPCvicTr1Z+0cSSQJ73UQcHrDn9SY6p0Cez3X4TdsBLpjtxPZ tqLuVySYHVlkH1ztjRoToRXIDxmhIeZghYJD4dSoCuDEr/JPKZA2HcriduBjDAbxUVVdrn8KOPoV fm0qNO3FSia+XzY8Ewd9+sp8gMLutnh3m6BwbTAn6p2QsyfiiCsdGa/eqgQNXx9exe8IqV3WGgTw dyZQg21oAR5jXtZvaVx1pba74Rs3wrI1+Lux1hXJ4wlRBiK+TRKhIxAkvpJV19FcXYkPQeOMkpqe LSTBrUcRNCYyuQHoMXCeCk6yO4WFxAZJdUE97cDheeJDm+XHnqOqIXhebqJwJf+VVOnipgkRHEGE qLx/TfvYB5owBzFz51opZuQvAvDaETWodd9vs2L1HnCr8uYwrxYAB1IzFv1jQ58fducbV+FgRIfP sLbIlDztzAxkWKUsL2sHn79QB6vWufc0WhmS571oJ/efyenzVIT0WQvf3tuKz7h9dyTX8xXbDmxc 4vyj3CbenFZYSyEOzrz7UvZbdPYEDcUo7RvIRTbRyfsnk5mTl4IYv/uwE1QiXdXpsVn+eC7KtQSX soyDoSvUu6WKARkTGdH3CI+wx61aJW7qDyPXUI3X61w2kBME27VOuw80jU3RKOHB1BKvolJYDTZP 6jeFJ/X4DC07BjjJ3SiQeFsy/GWLrB0G3G2YBIiKwOMtgZ+dF0UJNKBDoyMiOUhFmJUgPAvoXt6A dQd4KvhGe6pIXmUVcA75ww5cTCs+PMd3boV8CzUo/l4NgXqfZGmrrxVSPGsjCPqv26xTSQijHnK3 ORekJS6QJVi22+0ySPnL7uSNlmp5FUArMCqKTR2djBSj65Q1GC5jJscZRRqY9smwuWXgwRXkegjq R7gpmeklYnbwzgBq7PGiUW04He2GaJL+l4T4lhV+ay0G65uocjrOEfzZpsyJFO9P85ojfmtBUpXV ft7XehyRUC12ChpMALDwpqi9fWCiWHqfnPNDoG5vUTx4ibGWqpsBZ05lI/Difz34yyTbMkQJMfHe CQxqCeqYJc2qSa1HKVrBFTFqOdGs0EQp5TfjV61dro8aLx5ymC/fMf1Lzl4AN6vXf1axKTsC3dAK 8PO0LZkuFPXplmZxpIz+drUou8FAx9pbGEeqKtLOX7DbOLQFKiaLbczyGLFf4uOfAVF4NVralrsw PDmc6A9Az9VI3v+ZicVnvJor4A2HGjnM7QyoCgBrYzbDyzIpqhDTt3/DMUGDa1dWtHaJ6TWMuyrK Tv/inTRz4CXP7KyvyXMZ56/r5nhckguYAcRK9SwkCCdt/FtUIyKuAwwX6cV0U0KV1kMWCuKOvinl 8FUWFbWK7IU5eLMmtuafU6vOCzwqi2iMij/Iz3wIC+ZnWz72Ynw1l+CrcMbZeV/cGBminE9gILRU x0VCfizr87DzOi6kPrfrQK1Rl4BRpwNnviR29Pzz0OUBFRTws6RyVjWCaVT1YPVfL0QITln2NtYu 4xIZww0TV414FpeK31f32uNri+4GuzSJGF19iELWS5PUu6NGdXoRNO4kgHqauCfoExTGq/ZTNyQa aCqXdjmHn/llqZxxHIFeutCDlgZwLt+5Zcvjb4m+2qNGesKhT7bF4m71g9+c6lA6Chr0A3D3Rx/X jkQFq8LYsKd7dZpuZ1N/KbcGqKsgd/REMTpkZ+QCovLH1WRBxZqS0N1UVjz3+pfmcl29xssM4Rz2 Dyc4xVpjZ8zE7E8xP8wdZtEcCGP4Rgyges5ZR9XCmzvSsSMrth8mYMhtFg7L7c6f3Ud7MM7+Dg/l GICyYI13ExdSDcJNLw3THyjZ4p8Odhc6ZT0tHAAsH4xNNLOzPrr4hNRJZvDbidFMT7tyU73Mh29o S5rta0XyFeh/U2rxrZnuZpNziLBDQa1QHiXrGNuqZ8r7RwNZHBYZ3IysWSSvWwryGGyyg/395YOG YM98ZOWfGWywulDUXnAWQ6kz9KixSt25o0VPfWbL21R+DHJQVtN07ItxpGoOV7GnEFsbn3671vOM SvrZgd5omeEaGoBEQUu6dhxdyilvAp/7HZOiUURRfQlmjbR4VdXb3zpqOv4XBOOsM5sMlMUCCvbs LpWKf/MpzfNyWHHlITs1crRuXeUMPLv+gZxNXAAWqjfrXlzZygcPqLj6PsV0ebs2JS5WwLtXN4tm cmMbcj0j5+kVQTHG8b69gHy/Fvdu+IY+bAXnoP+dIIla1TKlGR1+3FGOqKzHFH7c56pzIfSyrdGG carF+gLG8U310wdDjxZ/t4FoGe/6ys6oTgZg3igG+Amoq7+7SlG4rqGOjWcvsaRGsXPCfmDtTqvu y6EzFGgYwJxLWJgNKP0Rfd24wCEyMBkhVlR8jTMNmqmJ7dRkZFw9AhXDj91pfnGvUdE6ls/jdmrz hk0Ip8xiGV5MELo82+DRs0GSMN4MxIjrI9pHIJLzp+fPj2UjB08oXthEc1tUgG+rBJRayVdy2U4/ hUBnocGtsRAhE+4NCki+xAwhS1x8Z7weex2UmZYpfxL+hYMsaEYxA7heyWux1kZHe3KVYNEQhwed xklx/zxdMNL5gm3d+5cxHDucvcSKZVUF8UrYM/CBx40sfvNv5G+YF318zONLUSrpaLA41SC856YG v0nfkiDV+2eUIi32gFtAhEH7WJD9i0SnXh5sApmvBTOXyNFXJ8vxfa0/i02varHMQ2JdWRDRA36P pBQqeGkpOvcypF4V3p3K0MJOEn5CxJAHkmMb0ggKAHrlnsEOlKuiFInDiEn3/f3BARYkOOo3Zt7u LwVw6yZm8PZOBXm9+lYJ44efSB37v02hSUBs7eTe6HwHoKg16uUhbbJazk0VTTpuwyhrPj9cdGha oj+yHD/eQP4gP52ntBAyjG1yIXseQWwB6qdFqBxBXO8qsQcscZVD8JP/cq6mqGvgRBjKMVBHxtWM XntdfqTO8HkzTyLcWxzUEA6UjsgBrXkSPnEWA+oEMcgHvw6kZ4dlkpthv2FdPqHPftYh5tELQk81 BWhDZTiRhtHa4ptRy7ZihumqkYJUdJ71KfcO3udnyWpLPAYNCHNrrKlaaIGa50+QqpJoQJY/dUFL lj3yPB6F/jrnqSkW+emW0HW15OOE4SgCHKfmpxjyFwE1hYCtbdxj4CAzfvs4//iN6D/hGSAUCgmp KaxEyxMUGT/TzViKwdKqPTAJsGF0fjMzc25HiqwoJA2k5zHmrzVkxFDqlZjHL66ZYpj/P7FQMAl6 w15OCTxMIG7OsiyXCtEfFV2PbBTYtxOKuw4mziYr4zyq7H2NGfHo1YunwrmoP7jHAQmsfsulAqTG FgkOKJaxk9/fA06+C78CGHD6+8SYPZZ40eGukODXNXWluOxohKzEj9G5NuTicm5rL/qEUUE5XF+R QvSW1DpA5QuL7YbVDGxjktVcdoBcNZD7EbIGcKAFwfl+iu6BP4D+sUmRXyueKYmoPSn/MQiP08J9 Fg75nz7XtKh3xr2ojaKmrp5DsMDlEw5xubogwtls8FIYTJFUrjU7axRNcmkthoFQl3sMbNUuYznY LeveCcwSJuiWqlMqEBjgR1o8mC4DMup8ZJDYys1HSNpgCovzI6Z/gsnbUVypcFybOrH3bxbNhs7N 3kOpra8XMaMqTz3NeGhAefNhCB6FKY5lqpnTo/u4qOyGAqCOYQ/FY/HHM3xWbQtv431egtP7tUNV gg/nq803QJPFtQbfydhk5imzBdI9Q6euQvVfX76+L+9wPJAxln3IwTes6eAh4L4mFNT5dPDTssQa 0Sk4bur2Ep5EX8DbEGrWT3ZX4CfWPog9y/qIRf5xTTnwy8FZNFc4kPumj7yTdonHAMWT5fQ6OMsk yMr1S3nXcq1rOYl3nFi+D+Q9Tw6F6ik6ePTXcmxwtp7Qrj+sxxPSsDkoaQnkxErdKddl0oPpNNH1 gT0jjREbFtO7HVEBwv/Wk2UTaJS4ZGP9opf87rKTc5ssQU6AoYivF1Z/smgkvv2BgMtvQMtjJkOE TtRpZFzF6h132C33C4ylYo2IrnuplvqA2IhA1xmdh1NY6pQoB72eZeC/n6IqKg/gtDM2CoFsx+bs egTRm/wbQWrWbRw19yPBaAkuYspDjZgwqjZGgxZMmzFLk6Q18EpdHr/WXBQAOHTYaBgVk0p0Jiqy oRzwajTFM+JkPKwYmHIa0Ok6GeSOFD9mtBwF1bLc/LtqsqNbMVXa7KZRFd65SBuZf3CB20RYJaE8 NwmUi2NLptz/XKRotjYB4w4RgHXCR13YfHwVabt+lhVkIf30utMTi0kVg4PPWfxDEvLzW0kfhU8E 0oIFFJHuRB8FuAQZYWoiJ4LvDpcGTjEofmTiirEX50CDBow83jfGIE64F4FVXGgcpnntpRRLQYIj GadBMtjiwPvaABq+5GN3EhQ6a9U29LOovbEp80i1osh3u/Cng9W/ivWVj8Q3Xi45XFmE7i5weKYm H4xpri35zepyrwoRO+wDp5zhmthyxyr9s8Sy/u0Q9IjyANpuLYgL/xOLKgQdjiXNmmPAZ4yjgCBV LwCv4UvJkJskHRzz72zC/+SPd6HcoSbybPMbFL8Y2F5mHutXLZHCNSW46ZtpgYZ6OEztZ4YW0H7/ u38okDq5NsVV4Oy9EKQl6H9Ey051+xp8QJMu2wjEaM3EeHTawgzDsXcmtan+aX+BS1Lb4MXNyiWf YAUHN1s3+IoFonhxOLyDZAP+ltmjrCpM5JHsdjcw8rtWlDAPxO99b5VrW272/cQDXjdGnpHJmFnO TSMYfBfw0X9KCU6ZXW+pxxUHuQT4ntjvwUEH66i2XtQpFOvWHIr9tulduu526+G4x8pIbX2+gqF4 ccRej1FuD8AUL6Vf+5BCs8/BqcbycwNN6CRmOd1cuY1qnxEis1VnMLFf0+dmtNxPysDcHlhLOeMG Lpm/Fk+sbnEExSOSpNHWhyRALUXc43BmEEQZ9xD//DDoR3l+u52Z+tcvkGvoHKw1JpTXK74n8IJL kQC9svdYSoUPuBT1rC0AS2kVIDFydMnSYae0FunacDcITclPFMSDQbOOdjD8W+b3Z4MV9nzUjTXl g+jmRSRdi1y8BqnvEIMmHM3ghHHKgaEX+W0wRTfT2wUv4rdLr4jD631AaSanMrj+hfI03e3ThqJL Dk81U+njFLWf8T7KOFYwpKb+dHkYFOfBrp4yNjfmkjVX870IGDbgA8HNo69HngKG09WZrbFrUDyW wKx6NlKTpXBmQsIhtSFreoQFclJB1SWyKryav2eNv8iRkTwJSelLiRbKPU8Vb+qo5CX0X0Bfu96c 2OEfqmsYSokZjBo9Ur2NaSgGY9E9rQl3xbp73/pzrvUFy5rTZuBHW+pg88ZwY59z9IemdIe6YAEF x326LoLmfLDIovFNDECO9mB3EeIl5cINjbMDzb0BBa2zcZNjySwUubiHOK3OoLb926H+AP8HOdGL cusXlXyZrUCkZUl6TiDIm4o1PXw9e1Uut2T3WD4zdqWrBB3cehvKt+a7shH4pSVdusWZaKLGg7mV IL5K1iY9/ndrz3sAkS05Z16V817IDdhJAw/2KKNUvPYbJLvumLN067LplWweBG3LkfTSB65Dqacy dmXlDDTH/Dp2b53ddQX0gbOLAhvzGupnaXTLm9WtdxgRsMeYqdr7W7YeQTxpCymdrLMcUhJj4ysR fmFaDrxu9ezvGgV5I2GLTkhRMHfFHauILRPXjlkI1wGRvSsjdP43S8OoiPCT31UQTtt/tjS5NwVo TqixkjHH63q4+hrRHjSPZe4Qz6bNi0ZWqMPqhI58A//H6toriuwXStHZzTSyeCwODRZl2KRqPGk2 aGfxI52vPXNO6DCAFRLvtTMDKNIVBgrUvJ4PiJtDbdgi0OHPw7kB38+CQf3QDPHbw5TRoEwNlQ9Z Gf73D6dCjoP6uY1g/SFqZKKSDMKSPK/hCZcVKjHJPN4ZYOHdNzvIfY+SZQeXCFmJ0EYmuWti1bue nkt8Bnz5aDtnLIjxS1WKFY9GzsADF1q6Nmm0FvxZti5Asbd+EKi6ZSgjnz7DJFzZEKtBP6BvAga+ wKNum2OXRjt1lRy3GUjiesQGr/LMgI7bR1spRPWKwaXSAmFsTBBSJ/9fBRyUF4909byfbNGMYWRQ CKJ4fuY2ts4DdiX6JE79aWXjWwZy1uRDvsVF1Fqw5GKgV8zCtFO4G+x9oLe8u3s80uqTe7+boAxs v5NhsywWxlkfr8lI4O/fROLkIV1acTPCxKDGIrJ4it+hajqGcQzhUOlmX5ZRQ6WaJRxO45LtkLoJ RF2Wz4mRUyJ4EqfLSvmbSRwITnyt+m/awlatbvy2gFrUvwWxVKp7gKUzyMEU7UeYekckMLcqZjKE ln/LcRJWpgm31Yn4Newc93SgrkrR/tQ2FgMm53aDwN+0Th9M+s9naVxl5P0P2QWEdO6o3k3VcEFw 2J68NbKPoGfF8e1oHJHPNFi3vMuipgyV0AUXtLvBOrGkY5Hf2W1QIhEEHlLzx0j/zrOflU+z1jnJ Gd/rjadTurpJN6oJe8l2GQ/cg1fPc5YPQVYJc+sX4pS1m3XkdG9zlQ5BpzWINtEonC3v+F4cwTCp ftAnRO4fD0j2RqWbp3RQM+KW10IZcwaK4lWWSP98J/D0Ju4wegvEsncq/W6/BjpWpTkzK0qMfYdG FHEUMj7Qcbj30zXkftn1aRr5w+oL7GPZgVmg+/DqzvIgnj1wpTnOk+mM596UktRlWvySvdQSuW8s WE1ygMbSfSDd5m5e1NLQTK+3mSMMdzJijlE1+5lpgsNEEaxvu4A3eVCzvjJwBY9vE7v7SFulNO2A B+mVPxxz9hnyXgMbBSAol9YYMSQev1mA6fY1uoeM2SIqOi33xsHKERCJrspkYlL0NriIgi7wXWvW kCmngiq15J280L8SPv2agHgvX7vtlseOe6220DVv9/k74NqXlw9sw+rxxb0eiCknwz0u8Fo3kWFT 9zbzDJvi3SO3mon516u2eP0YusHMllmemR/g48M4b+sAX3Yi0VUWaH2zEiDY06j4vDSWVY03y8an 4GsebGzmlebY/PjbS9dQqzWymWO0wL7x4EMBYLZ7A4KOsU7OdmUqsDqbtKyvYpFByxBLfj3jaTfb yuY3He0Eh/7kfEJa0P7I7xOnOIHiakOevyjX4qD/LVdIBxibN6v45h+BsOGUaLOzvgQfJw6Glise stU7mSpaq7aZfHPoU27jwCArhfB5HiofJHvEtU6otu6A17SyJVJ4TL4iKR1mXzywkdVZH9xAp+6T CFcv/oxL1iHyygDs4Jyz6IpRWFa5HxQIqSSJWaUSYbiYkC0NcilBw/tcQdGMJOiBscJHCm5g5HEc 4qitdbzTvx2f7I6Cm6TrcyGM0b3RF5Vqdhq8V9Jfa+MxJXssZok9qPpyh/B4fBlw2zwUHA7RSKGN YQP+QiUCk83ynTypW1ZVNPmeD0C5xRRnY1j9JRDqgcnFckZ1k9UREP+jNXPQXF/e2XQZJTipR/og v57UoMAR4DFAGvGQXVQ4ehJPcFFuV4+Il6JlLi4vMWt53BNMQNo7rN1lXKHSGlfrllHh1MKK5E68 tkV8B7hhiFDS2VDD+IZwwTphRilU8/nEgY/Jb7bcN9LaoD72k34tAruPgcDfFApQofSX8kbgHq7r JDkuxPO+4dqTBzjNCVOI4XOoC7FoqvBFpdZrdaT4Jqht85bdZ1MCuXasuduSKDitnq3FFDktV9Eh 2ZnK+X0Y3LLdmNTn7xxEz7aJcvbC0vNFp3pZ6afAyf9gBaz+aLp40FbfGG5U7UTR8fUJa8K/6LKt mjFlfEdOW9iZE2igX8Zpb1qs+ob/hH8HplpOKNfAihW4FRWgBvNu/3guDbN39H2u/gSSggzx/67u kgeqjWhTlOQ4wrjxkN1urOixwi2d1/wgLDQVxJuIx32hfgI8aWuXsZ6Q3YuCjs9/QsYd5xIjTV2F ZsEieJz4/C7jmMoB6uOJipXScbj1pu1nOanujg6dZQQJezoP8PUMtKqn/v4MDesUytoevBNLgrIr 4PTb9gdkiLOSNtWJuLnKF3cqGEAZBGzPHBOQOrOA86MFcdoi1iZepE9MOw0ZpIjBBrIv6GRd2ZTL avZCyD+M0sUWaoKpIIrRLUppOtYyhpvcbjfHqk/eOVkwgpxVoYXERUtoNbDb9jRcFmlVqpQESFLy PEWOPmE026xBZfrtPqkXjaJXUgjQyM1ov0rZ9c+TYOMuXrc0etbMysycXsaooiJSyB9CeQe7O5ZX +MVeMduUDBtiQqVsSp0F992zgLi5vbSIwzn/SGUWOj/U6Xw3DSe3DVs5E/tlVTiyL6SYKiQBVI1V eTwwvhWZnb2rvxmNrv73Om1cjWopfKTHqXcTxkb1/PwRmjwnEdTSKJnWrhgx4xYA16tzGYfvtXso YZGvMSdSOA+MQ851FUGLhVaDKX7we0OyJxYIpOWBmh1WVcOvt7Kgd2LGhIb7gTAiQ/EaL9nLSADz FXhzHIvmpthzEvyyTIf1SaJM5hfkq4qt+Pa6t2axZozj6hu49N8R0Nft1NLecT1E/vmZ0iMk7fCo Yq29cern/MoNSKW+bMLxK0IrAeop00sj7CtHDt/JCvDC5MBQyNUYKUX6B0QK89hkEnY4QefC9uzv 7TTlclzG3d8mC6iXQuEbgYzbGiKgcWca6GVVGyz8jK8u1eyfChYTntMcXWgZsx80GaPke7WzNY6w r4wlywhxF6n7a1Htvw1/Rgr6UsRZsS4U8QIG9xlAcriec3LubXllp8Gv9eqRtduLrcI4a7gFzNSX FriaD2bc8WgSqVfZsazQKQ7FhRZfWvOCYnLRU7ygNf9Mlh9PMVCiJiPozonokPZ/st6TtEmVdIrv HoeFCenQrVQjKnR1Xm7u4ZfGS/kItKpCCJ58XUpTfTefyiajebJzYNLTU/paXLzsR3iYNKroM9Me VjX3Fu76MqaswDsZpVp5rCM3y4kGwlcQrUzvETAX/25NMm9VFdxLKiqBc5QO9ve4o2w5dhhQe4p+ +SYmzGdMoZV1sOzj1PLhEmouvlQk+zrGABc4ZRDxxyHvI7W1jH+x7oFszRTXiImRBQ8R863gWiMw SnSnp4sDmHFOZMxzjG0OUNLFMwGeHwpURknc78uLrGL2iurl0MT7PhkURC2np/oW61xXUMcv76J/ G0d0RYPqkGzmVQT41OIf3hPqsHJwBVqbB6G9goibO1mPhlb3M3uO4yaxrLAHEmE+L3dTVaW8GoMZ SpOLnbZ67DMtP6BsBFfDyPau4jhV8M8BZvIoP9KhMSIClbyCGTMgSo00HYUBWT8SbZdxDtUAiocT d7hczuU1jugpVMMKKUGIrftKI9tDPZLkLGXoNhkQ+Nk++82W0OeJ1uZFsUb3yjG7rLTJIM1+IKE9 CKiWUpyIOJhbdrvJZA9kGMQyzUrIPVnY4rnsW1l9uXnprOstfR0Dtz2MLgmJMWDP9ppzz3h5U5/j YpVmDJTIpz9ncMt/WibBWOC2hTAPj1blI9g03jj2XJmTYD2Vb1mmwairMs9Le4V8EJh3wgErII4H YK6af+fwvXA0v548E84zxh47yWxVYgQ3pBvXDeVVJK/8A1E6YfYmjZAVXB+FmhZk6EgIm/3q/D8r Ww8D3T0qpDylnsu1Tp2Afmyikcnxfnbn7ZkbAStCxwgWviH/JO+04Gl0hzRBWX8o0WBxME2BWU/O P7eYl+p18WhQAi+ux+tMsPZoHHROk/Y22unJJFAg6jBAJqeEGcMNIa04pH/wNvmeAFKCui9P49pO FdnxbdAm8hmYklHTx4Dqr4lC0YDHTDBAD40H+CqkwhrgmcfUKK3qAB/bOE7TWDI49Xu677NFfQsT SV4mtEwtnMYr7F/LKvusRCS54nuWG1fV5UmVfXqdWgzyJs6H9Xd1X86z2o7Slq4uZafxATMkOBcB mkBfiZ0S3oeUnL0snscRzLO/Xzh3sUq00lvaTltua12h366UfJx4SCzLw2wZbxaJdtx+IfH6Ly53 WfcEfqAGbM4m3wAPo48rMOy4axQ/A5Qh6baB0F+ZxhtrD95jmz93Ku7RU8/ropnbb+UNpiXaQvyR ApsHHHJm7calyxScPDfL25hFeMfocfSZ0M1sPfNH0kxVP9LyRzLK/P//T8o2TwUNORXhTadaeQI4 7ShRgT7sDzS00WqZuGrhS1zDRqdd2PnxmGQDBC1LCQDLeeNIYbzp62CbH980vcHO4EBFDAs6jkFE MU2/nUTeQprdBh0AEldO2slzvskVJYanUJIULbjuAgiNsWOLb07rtBc+3kLqMug4goFZnlvAcBZk Fp2oU0UVca+cTD1Ww9TFbnggcTwOWyZmWKwZQzmO2xtPccZKvV5FX0osbGbCIIZVx591oYkNu3qP B19HulrwubpJOHDqdb88WpuvzrraOokIkJZXkoyQl831fQUphrwpKpgvS+Cadf3kf4GjPa1YYU2k SAS4CerzzQjiC6i07ekZ3/gozHNMu/LM4s2WILzE5hkbNTunvOzJAPHIKhAod0yWFnS7RhaMv3cQ vhjIJjVcs2TWMU951VZBOXOVOhBevKI7gFH3NVXqxODp7xgQ971wMl46mYNjiH2+xnGGuPX3j6mj GHoEh/SHkYES62tKEsI1qGuSnhdbTohsbPg+6CyLATAFdL5enkOFuAi4su5EbjzHNMcvG6nDex0Z H9rfCSXESl+pggpSWtP8C/m9GzxZ4I28fJKstiASZMAgnuN3J4WP7SHp/D+/0WY0TTFBUSvJ58o5 qg+MKDG8fsPG4/u30QDaD4hFVk/gqm9+dVnS4ejFjyqp1PSVoWKDdTbMCeVf1rSUnXbzSHIlVoCw oPrnPlZbC8pEaOZ1eItdIQ295jFeKr9Thes1LSYs+swVe71u4u9JrJo73IfBxjMbcQ2mwJ9xkvTI aEKdoF1umDlisEJ5fXvGpUkVANZwJ71gZzRv6R1o7Kksrk0MSeoPFT8LJ7Y25D7xAG1gEECbjcy5 VN2dcXr2AdO5nQzag1WjH3u+8n12FAiAFCyAEJKeysOr3G+r8keJQ/V4pkXtKWTXwIqBsBnmAjNQ wyO/aPWwTVnwuaqcWc5d0NntR/e4K9hOfvPMSnc6qNX6pZNXppT95AK/bkRiR6gjm32ea+kLM5Bj dw+Um/Zq8Gqu1RuW+buJ5GdCUWkB5fUbwR60abucEesCnUbxBZWQF7tJNdFykejMh7NxKzdmJdWy dtvwAiN2SzjdtMGb5v1/rdIHcqBkBP7/cKsU415G/yniiP2xSH3zCgYNCoem980iUEIAvSJ7U1Ve NNF/GuCezCiuLQ3Bifbdc2zQc2rG4WyMbHLAisNtGcv/0pFYyreMC1xkhDg/kFs1lyHF150NjAcL 64IFIUMv1HwGRBHwnTT6tGojvqGl/B8roVQke9UAU7h7M1W6rTuAuZj4Rea31YbikM1+lB0i/2BH J+W8fcUJLR0WiGBYmPZOQLdVT7cbIerD2+K+yJ+WZBcazNG5yTpUzZ/YWhdI5Tlb5Yb/8qEFDiZH OEvhxO6Awq1JfVH471VgBVgZ8/f2OkU59rg99ADqzHe2BYZnI2PP5T7zRI6JxWGWLwSc52BRgBpH ta6Rze6T6MJU9BwSehgXT5a/xQvfa9rkajYZ3vt62uXM/9y91G6gbU5eqiu/+L0Di4cl/ClxRhSY TIvIfu7aWxj9CNuxGoLMP04gvJyn0i6eb+7exsNB/DxzcO59UBUluBr54GLOUImnO6U2dxaTtzJi m4+MRl6KuigMxbrVvOkH9rxMLMCTH0QpMusF64qdJuJsh2xQGVbyjS78p+bEFjItJcLwfUhOPx5l RnNes0N42rqO08DWxbiP/UQh6liLcSuG6OHBTVjW8Ujxy3X4XPI8m8HKPF8J937tf98k8OzgIvCQ gykTvARV/IAo2h0oEQNvGRLEvP9BS3rDR4P4Oh0my930ep9jAQaUB42OfCb6d1LPGYfyLvA3V3UJ p91BytgdfQG0MJFmgiIIjJ3Tkz5yEflxhQDr4EYFQcO4KBTjdGqQzxJRTdZGI+07NtTZzfz71zc+ +JwAXRarhUh5BBrj4dGcB2LqlUPK0I/bwaRkwm5Z6kQv96cTB46YIt35EWvHH7vkjGmu1gDOJ/bF EovWufiWYCILwFmYUcqJ4IGNX77C+aPpoxjbVsF5OLJ5mbsij8WTNx9n3qBN4B7j2jFwh0GWpkcx wjuH3+A9lqwOYRrlWEQfFn6DXRMFHFvDHq7QGp27dHKi+pyUOXHiTVlBtkulg96tqPC1e0nkgFOq zsE22Hj5lPnzJDKF4/CQe7HdcVI2lp8BLfiFDRgnUmBKQLhmBEaODRz2f8RpTe/mDrsd3xW/2KzA CtGjYGyQiJY9776fWh0aSmyEYU0MvvgGDUf+vTdF4zoGiGppqKiwVwhAO11gKx0AfbeMsSUjCmyc Oo1Dglp1BqBvpepr171fOv0aVuCpYpScgAz57ncc+eGRLLnyoa8sf2L7eQz+d4lONLXNgG38DRU8 RQSd6kmJA8Y4yAYm3Vo0KWzWCypw/9CUC5qTiKCMqhiif/rhEHu+QVUCZEpQ7E5hjIulRVOAbpaI EsTieFRtBe9rB8NGvOuFpVzctQgUyKBbKMWbllzXl25u+fADzKSwfmgKFOPQPWZWrwf+RYKYT0oj qOfMQsV5Ta1h1pPuu2sW/24Y5vOp2MRhTHG+ZjRZgD5ljgawFyBqHYJQLLlhpQ+Zzzu+XakQv6Mh ICMC1hfmH8ma3tLeVtYd2z0BuHa7QTsTUKZK7nkOL0+o9S0L2jRJmiW6YhOkd0zgZ38HNcCceY2d O16aZWKtsp54HaT92Jr9v5DV79ktuinaU0iYpfkvOT+NXUHcdPPTi5XTYCPm6LZ4kUu881jV1Ecr Bj9xIvfwO5Bb0ozRG+e2fgDzrHpmfWSqqjPOjxBc/TvhU2CYo6ro4ZGeV0KBrTZVYOBCXDG1fpxK eY44EOwbrQl8RCjMT+EKkUCb0baKZIvyCsvUAu1w+Vx8M6PAUjrQHRzp3/ZNXZDUpwsruNRiaoED vEoGpwlvlL2cDxoGyLlYWJp0g97b/jfvn6VKwwbOHvKi7ccwOHEQa3a+ZqEEzEeOVWBdULF0YHBR LRq4chdXztUZBVcSUGf4oU8jbayJVisMKop7gYYlIAS5XNnmFcuZ1wZbjew/l10RHPPgkuJ7wOzF Lp+I/qMT/hYg5b3yJ71wuzyjxFo0G+WtShCu7XdWu2ul8rcjmmPQ5mZV2R7kNvNDDoVDfxwHRh9q EYs9U+kK5h4btBNMWyBWO8HvlAPdUDoGNJaiyTrUg5l5IF2WqRYgP1NgFgil+kCUcV1rzzbzUAbN ybKGrZmjz/YBRqq9DR/oIwf2CfYcRn6bWHkNyiEipBw3XwyO/km/h9Le/A2hsSlAiSiMD59CJ8qX tSfz9HGpMlzDpW0D3taq4bmFmtOROsibflR5LDojba2h4zIDlDGJxI/RTHRg+JzEARHcaRl0kV27 SIldXQLqqBePkzHwBL8qRzDx2sRoWOy8apB9Um4pvjRe6m/pDheXcdUCLcsN1lGZPrTqCo+LFn7o SEZmO7YQBuDHRVO0cJEj9RN3m4Plupwomty7Vj4PuK+OXD6cIWCCh/t+d0gBvfQ4SlosnRrexdH3 0mLl5qO0zmkFd6jie7QXDBSwOUBCB165AKMsRgJPyGpaS2owuiH0z6DRALtFme3EMq9XcN+FELCF r49Ddl+8OV04wBHuRNavv1CCnxWOXKKvOPYj865MNzQblveWqe/3GCUZ7jtMYWxPwSa7Tr3QowTL i23LR6cwDkoSIM/X2uNY6rO5g/zDTkVqXPdwtoKVs9c4XpfcNRJMXzg4C+suKFGhv/nug+iKXiA1 Tlugrmcg5olD9t6bTtD2iyu7B1yK6RFi7ilOJyx0U5ZtbRZlYR0YCp73co4tHbWc6hXtkw1T+Ial L6lzO+TDcylYGK8CUTIrVnEmlFsas5fuo5QIk3fkC5MfljMQZVRLmSK7cmoMZL6KLdyPjhY7p3iI iVtp/4GRUI7wtY64FpqdNxS/I1IxydsnLrqpVV4CITLho3XMuKjqg6OPAVe9Qv5he5CVnm+qPP2R zGZP6nKjBZ09BVDEg9zqIp/jIeU75JCuzDld6DpgfwtnlQ5Hrd38ifePRbF04d1D1VdDKh5719fH Xqrr8vzLIzDDUdgsGtV4NgWcHJ84197ndey5wSvwAMC38nkA852jq1UmtXnKVDDGI5TG4K0BGJUE ebQJ9ytc8xlvY96mRV0dSogmWzBQ0Dm0XeKjbwqQ8pU96KRc1mWwebUhiXAzErnXmpHQcAh2XhSv 18bGAoVAOTmElAcGYRxHTrA/Cs4lmg7P48UTTG+qXryW64xO2K1jappSVggjqwzmOn6paKIyDQQL ARNohrCzanxd5e6hmyki4nHzELZmA7VOsPYeBEHo+TRyS2oJrfZ0cdpI9/Nigt4w3vCqEh1QNFVd 32I/4sB72hTLaIvAsrIhihVSu5HuNto2Eiu7JBOwbZ/4MgzyWuAboQy7oXEcY8KoP/ulOkW/78L9 7YbCQRsJYzDup2lmzWBkZSLLNb2nQPC/bozj7aWHBZEufdxdWhu4HZMhP6ptCojeUS9bvyzsXsoV E/BN1eV4622GAUBtN1QwaiecaflmAaV1rgSgoJVmh1Qgyl57yt+QdxeFqOdZJJhctOV/EKidxKUE ZILBmKzYBbGTknr3SJbXlvhSDCsdu7n/s8IQSY7LrITLTS7vvKTVQtbusa4c2GWTQ7CUehqMgC9I QRQ1WIG8HY7sHRX5lSsAffq/A03YeRR5ZWpx2cU4JPuj4lMoONvfKthgwEKtsQkkN1eiqUddY4uy JYuT9bO149bTJklydxPMehEGKcH0AfCtsfXmR45sIkYoMcCrXPIfyKDcDRESXB8L9H1Hfd7O03xe pvzrFXFBpuZ45MffKwsBy5EToJjBbweh51niRd5Qp6MFFoXTMWWhOCs7Mv0yq58cFW2uAqPkGGQl JPir+ccEhAVBnJj0JNhiVliUjcLV4yI622wn4ScpnnBaCG9QVPBCVw1var9MzbSnrp/NG3K9/83w mUXCvnvhCrwfM8wuUn/kkSw7EFQYupoUg6qxaJx+OS7ai8I3N1qevcMhhDAploGxwEb9QiqAPAW0 sRJ77AJwVIkrCIYulrKl/cZzqfn4hg83DdybCS/xUnSQPOIh0HgDGLr5CKbkZiTuozO2IvokyVRT YCUxLz+ila3wAB4JHm1/080P9/pUzdkC9X74Y7kV+wA/MsvIdf3s1iCEeBGCSZTkZlvbmbLJ0Odt 56hn5GfWV51Emtx3B/PdQ8gprFYD35Ab8TBEhfMI7J4Q9JfVarbT7ZrqDE5UpvkA313OsY+b9hBi goAKTeYcmYio8bHDY7YhxUVakno9rIWfZpBZSXZXZ/pF9UF/1PrOzhdWV2f94v+XKArkDTz7f/Dn B5swHjmgTYQsU82fz373Hl2WgmQdV+6X4CwwyXrfvgTuZ/XQTKopSbgmZZW1JG5gSGDyIyjE6Xye JbqBVIG/fftHecnT/4tuWvGGJFsRtC70jMmDKFvUhgTQlMyyp6VqBgHv9WFPnd22rSe9zFx5uM9w J1lshs7x12wOddZfZGimehKyAn9IZ4baVCsI2A1de3sgbMkRJRaMzzqOU94GjIoBdYoE9+gNtBad LJIMuw0A0boSQCBI3E4uv8TLrMvHD+F2RVuEA6bX5baNMwh6bSL3LszR0l9AvA+Nfc6oqDatDETz KjHGh4fIasGGsAlrKjX7z1LS1+BanTMvas0BhmoWIop2Qh8neblzpmZwuZbeRSRCMwBwPr7W8C0O Sk5bifqfHCR4xlygxGXXqt4EgpmgT8oBuXyzL62fykmt2jQK84+hv00Zi2qRwPKfZ2yS4cwFd0Uh mX9wAZIaZaGsdcgLdFM9jeBuTfJobE3mXb8ihyBQTlyUy1JXY6Xgz5kOUUQpvqyeToT93uBGxzdc 2GTAJrKIBngfZzGFZkyJ1P4S3lNngG5HhTPBxIJ+Or30ZVcwED5Ua50m9682NhNldjW2X8yDojcv ThCcVn0VEwewCO2cD+8GlEK2UI1eBWcpwP6BrK2WeA+vwYAjiAHiPlnvF6DQWc513SxbDNGVe87E GRpCy43dK58nPlizFY4tBbU/qq/Zx3TbP2iqj2R7baRi6Qu8KztvihfwUab11k4SI9wpe7ExjaIs TmKRhrKtekj/sTsSgxDPcJpJJ9QOWHfK2Eoj375QbTV6MyEPcUMIaqkFH6r/dDAEpGY+/rZiUlm+ CBgILfi3LmyLAQNP8I8KOtrQfK2h7W1YiSpCKvkwOnumbs4T0cnwVfal2IOWZPu8bkFvS4PamTSd M/l9w4P9TSCEqqgmYh6b1d5lai3dX0CvWKE4IPlmfpske7j+/Nj0zYOsfCpgSfGV+2UgK9ct84+G dbJYpJA3eNys55gU09ELvM+1GdE3iaJx+gExBXsUUI/gX1ZwfC0wPdWKAYc/qkDN0w7ez1rRQP0/ 9EBWvwOC34/POzejDATxO+rKmsjQXN7cfVzKZRWiNyAY88XGwbrPUSMNlH/5+uMtEESt10VJLzDq K8ZYajnIkBOSPoLUhkZOaIHjV+RuvXGPUrMTQ9qU5tCOypAu+MYYNU7pCLqj7h0Y1KgdK3SqIYGA HxDxaHiEVEkksnowjZE/b/nCAb5VFBoBsvzks/cAeln6SJzjk+/Lcr5LYhjfm0YLAAw7iYPfv/wF NVFiO5bnWD/Ud/gZ7MfsUBSF3A6ebm9GQ0Q0BHP6vQg3zBQdRDJGbLXsXHmTQwK12nwhUzCM6P2D XOFPtoGwlS7/hYFD4pthgKL1C4F49MuF8VKOKPUFnbuK47VFnGv2QH7/kBcGAvfJAGsyYozQOmh9 JwO+ra3PC22BJ3SCp2dI7XJqe/nkaHIRWXFJSr35mwNgNcoXw0wiAaSDotkACLS5z2RUlJrRie0x w6j6QvNCbx5vIripYz0C1uSq4sKZte0xJKZUTn9ldyZ2jP1Qp1uuZbcnKMCRoEz1rezyUTLT+VFA /ICQ6PSijTeZPSpTlk2OSEMElS0SgmvqK34RFZbQnkK9DotD0/EzrtdLqP34gujShat28haO44fx Bqe8DvBlRiObmvXzCtD1txdwvntOLxOWZVX2KCdvyPdAC1LsUgCgaDiu36naLUqdxNqi8MxQ5M0p O6TWYMqYaOCq8jds4JaqkX+3HyajIiwJsWoXf57AbHxcx5sODFjMd5k1Mt3KprktAEVfdlLGlrdX YGp4giV2bvsgjVJioB3eU5m/zrNGlsswrkYmZLxJIk89spGRzIQsPJxnIG4ghkGtpQWJ4qroypy4 yn+XCSfFgkw5H9p7EH1ygVeIc6JfIVSKmlTO/z+qauHmaIbIES2o4Ms3J9v81cGrrdcSi702Kujw RXDvGB7ymd1ox2LohImDjL6z18ok0IURV/jyr3ZD9FWNJLFOgQPDe+EGW/O+vbPFCDUidDbA0gJe nv6BHJsJ1SUue8E73d6PdqaQIur4NtMNvjqlwEs0mLigGEfVFS2sSRnVjWFdU162adNM2MUG5E8O +4AVt2GOLe3i8zt4LNPRVfFlc5rLhit+Fi/j+ZLJu8/9pvuq9NTXUVv+sOrvVTN22SxQNrFjC6cX AKyRxV2dSFH0Cqn2H++YBaoHAe+6S1pBblM9R8s8hHOxibQOzdiE9WaGGS2mwA9zTVJbO37CP/PO v1Cel91fpM1uOQ9qL6CCWzhGkvg4s/QP+EGQF5VDAiP6W3yNtHmpSgQM6GjZt5EHdMQ2uU4DFK+A Oh5IV2/PiFQkPw8YsGjP3bjPaTfwQehjLOjzRRJZfjqZNWTXg+5vdwA405lKBNwRRGmtV6nWq/tC 9AFifYWB4V7Uw5S9GoEc+Ja+ssKLBaWzUaiG9LUY6yZ9DtkCnZRYBdsjqiw6EOT7noDIzqKU+tQA A8BIhW+DxpRnDnz4s2+2OgckiaeIQyt5e7frHVfbd7W/fk8LnmY1J9oB5uaoh7iOVvAqz92x0Y9S wxo4GiyT8JrC2R2rUkbpEw52d3TRfm4HdzBhdHD5Vr9eGbZbHohcsMreP9dVqtrHX5Js/ElJpnkD EyWebCM2hl1EMdMXgpvNoJpgKcrZg1pEfNapKwIHRZIjuH324VIZgdXll9eCuCsYmfofb23Ia2u5 ZcHUgnfuVGCHMfkT0CcxQzj8KbkAshTE7tJiDG/SwQ+3IjB4L3gkgODwb9dJ/WQMbks0Jdt/MAVW s4TZS3JDUcTrEXrD59TJ4bn/yrEAedA26eVm7x8MXIx0x/58fEoPslnh0uYSDN6cCQGOhq+STRDH wXMmxaK8FzCYjsMm0HQyFvHJNkuZZ6T9tjvXlKv1g1kvZFZhAItf4BQv0jjQAMI37Z94AUnXRxEt DC7QpcxMqXtPI/x7vdY5y6ajdzfV/EmuGVoIR1PKM8SZKvWKHEqO8uXirf3gdZxt4DjKct9Byhvz Wf9n+4joLobITVEnX7+/U2ruXxSguSBro351fT7Z/U9nPu90KX8qR5uHQLSASrcLXj+HIclL6ai+ EiY9AsjwBNvWxGd11EYVImbWHqw3XCqjABfWW/tUQWmdWy/y9efj1gQ3KWq2wWLLhYSzAiTPfnI2 Rjk4idSO6ZekyUX6YgRQofvGc3dJfKbGKTTNDW9YygXJVqbdMqbCeo5vKs+cbSy2g0mE9p5eFcVa 3+Mlvj1fyafXURpDnqY2KQNTi8bmud/wj/nrWGU0UmF2oTLSfESEDzvyIB++3JPowfFFd6CHYOeU VB1gA/YtaEOKvZC/WMpS+0LP+X30kmTBgefdBlrN28yfWIe6hpDkMTWlDAKVJF63JzaTNps3Engi /brVxJa8vA//RW5uzn8GtaZiLP8PifJUxVxm2rOxMW6jizSC2OvMYTe//NYzKtW2hZaT2sEqBn+I bsEOqLo2jiD9E+aIcLeW1br2u2eR0ZMUgjn/gnALN8uZjAQ2g27g5Sx6TndvtXAzsvZ1kXxzQyRU k9K0FYvBLO4sKW7sCnGb8XSU0t/822Tw6I7/8HpuFFQsY2i0/UITXjf9Plxglv95M9Ftu876FBuW 0A3iY4rHavwwU8tq5ReQEH16Zw8KZVXVG9TGYRTAI7ugwllhswezjxL99Ag0tGUne1jd5bNr1agq 0hJbA5No4Jz/Bim7qBeDob9BC2veTyfcJXwYIJDy+/trfNQC+9cI1PCOizJZq8ogVacGulNNpKVZ jGX4AuXQqDhHT9oiLkPHDevNQfNv8DvU7tlhYVmOrJY/jcCZizw8xqbBc9wCRnP+e3kfejFL3xli TurzOdHomko2hkm3HElq4TxuFgd1DFEVG/t8D66TJq9g8XaYQeVtucn/juF7s+BtUNmgZjk4R5W4 +Xc9tT1LhOHQ2AdarIgMawHvA97JI4nefJ9gg7Q1Cgr3VR6ZGHWoZ/Mkv8oVriE5DJy18i5WdXfx twgZpkW8NWhuLaUSoRe9UgkfQv8BjhyaIIyjP9+SOn6WDjqCI1waI/E3COSpcKmPvCZ70EYVad// chgcFhs0F4Dz5m46lf/fIka3OjmrTaTp4XcDEWTqW00HGrBnmV6o1oC63qVUQ5viao9jTrmWEoUf rwYA9dF49VpygaKpYd5s1SyCRQT/B5FHiWbsmRqMBkh/1LTJTvaqNTVjs93l7IX4AsFHLIqiWCqv fRe4xaGE0F1BS+u7QIkFFgdKr4WhSno7LT7q0dDy3g8vsWC/TA8mHoBZG5K6AJGzVzAVFLAmTEfk AN3h29OQ6vfcYmxiIClmCbUqZYfPddaZvLX4HatWGhOnESfMcvfiImK8aHctWVok9MNGA9KS/Nc1 G05plV9dwmM8M/bnipFS5OZB/X7hVhM/0NKYmVH2OcZDkoiWdN+VTyQLNnNXWTCMbVSwxrSyWOfQ /iBZIDR3M4dw/lGcA+g0JekyXhSfrlT53fX86XPJrATmG1jQuu6QikqkoCKnaWs7pvpmg8wpUe9v 2YqajyqkqhU6uSsvkMb/n/EGtnrBMt2aOE6jHmZnDZ/blWr/1o6CrKOFVY1PKV7AGbwNJq6U8rxt GxKni6rQ22QO5PY8cFJDj87YMX51Fz4DXX9Srg5lNEYv8iXg3fjttA90CapMMcjtp6YKSGRri5aO E15/uaOdcICB9BMFzWoDiK7ILomr1fQ5L5LmHCML7RMaiE6XahPiZdlTLEO+r+wjszwKSPnA4DBw 74FuBBe6aoyUTCHAUqHkQsAQtX6oeudv95g3VMhj2Pvb9WTdhbz+MaEora2N89ogBbwjswodUR0E gGL9mOQLJ5735lmM7/1dAUEd2uTjwlyPD+GSaHfZysFFLz5kfg6wCM/2YpYGWj72Nim5+l9Jo8HZ 2gK52eSIh4IULXBGuyqo8qKZ6+qdcpt/BkP8Xpk6MKxsSvtSHuYElXo/VRFWUR9YjgBoAZCYnFKa Rrf/Vmw2J6JJ7Mh/KCGHx7jERaXWChHwn0+G6JQb2M4Kb0/UrZiLIGCRv4lMgE94aWp3fZqvT9X2 H15w9WH7xbZwatYPP5D9Qq/AGZO9sKZhZQC7S+QVBnTHSSAh7dgQC8LlznzS1J/Dt192iwRqyaoM X2eVF2SXv63D/e17KPOsra16RqGmoCv/inDOTYslWvhhDYLBIwZwBhFd3JDE6b33VmGhXuoesc5c AijOca85QHvCmzKWpE+LMKrBnmayZv5eF2B4iTtFtsDWyqp3E8+m/q8n9dwUu8OHFVC6dhjvlblq wwhQkToik6gA7cHcXQCA7pv/HzEjxluzt5bXZP9jaj/nfdIqp6fHXYooCwtEXRiLCK9aEvG89DWd uAFq2A+I2sT/LcaSdynMCj+uFb7shx27jRHXB8dY7AL8U3Qtc+V2s0rifwXZhn30NR3BKPPEdCP3 cxEY61tn+tPCsQ/muHlhsQNy0FwfpoiGpfOxqRY8kZPPxxxBTllNd+CgSI6k6RptScU8XQRhzpUH 1PhMKnLRBaO1/jxCtuO+UmY7NpReIGLxWmkH6UPdfdGMZlSO6PrRiJzaBpz3Ss1qCfmvEu7+e5+L 8uRmsW/IT4peWRkrXn2Zul70JN+VVY18e3sl9bJ7dfVwiSgTu/rSGzwbkTQ6KcjUkHQRg6j6kFid W9m6VexV3AxjcjKdusvWDC0TDDpHVeNGXl8OOLWvukdw9+JfHBj+7P3FgRP/YUrrfBbZDkhacT9+ luDm3H6FRa9Pwswbodp4Da1a5izzNzXUPjuGix+XiDybRLsKwxlTQcW7uj7DKQEUS5uVq21wbCGF dre0nQI9RXHSQVWZSAaaoNjM3nem9oe+B791DKyqasNk+0qvgp1SFH7jLX0jolUSLQBMtulxwDlR GvJEq3+ix5CHy0VUNOw2ReBVL/yXM2WSSFgKOVNve8rEM3E6y6bk5aDBHjqJos/q/LfIgCI5kDCb arknSXGCCTFaqNB7VuAKo+7kjkQIXIZFraNlyUo1Z7WsHgUwmrSngi1YL/mdsv2QHoyxYF9mjqMf m1nFq2Ow/VFuE11tgXSXDonnbxrf3ZLjijXkzoBo1DOZFbioTpa2v3RA5j+me3iWLVw8KppLyY2F VbCQ9o4YVNgSu8OSUQ6Vi6SyZkhnx3ZGN5m9Zsac23RC3tTnl0C6OL3xV7VYjS7WEzTm7HIBfHuN wKwjHhddht1W47kXEN6dPqL+9pt5xVMkp0J50DcdqeKrjiMzHrE9uz7ZQTqV+whoKRxie4TM/kw3 f+2o3UMEAppJwOLfUhHOo+J0EWR35o5NwScC5iU4ATdgbDKtMD+n6md0vh1bmJLPDA9nl57raDxK plTY92PuqtY4lqzAO5AthzBT+YrrJaYfvCk10za4GblwSRYByFAhRyqkzsWlJthA4Ub+xjYNZwrT h2voIsH/n5AXZdA0UnFCUdhkLRxWklZcxLShz474EKYoj2+X5yobSGlg9He5y7+/hTeUrYgXz8kG g74Z83iJykqNH0cdByc/CzFD4IiJXTG8hdP8sxObLSv77tpVcaeAPL6E/JWFxfda2E0vk0hCzxl5 pSS3orWUCPk4msJm5SQLNiqBtFvysy8bcJU93CG/r2dhToDs1wuvtv+Xmcs2OkG2GIhwrwwmvt1l OfAexgn9aWEkC+WjWnALImWiGsh2nt8/+TvxOD8fX1jPQlWf5XvZm+CIaqzlHul3q82gRki5B2dQ YwCGtKxUzm6pCgS62yeM+Uaio+QrcFhhULy2B3LFDpz5RUFAg3q4JXVb4u1Od9dl0zrhMNPfKxEi SEvCkZ3AuqA7WZLY88P6mf2Tbg7xPDbV729rEDZjLdMscRsQ6QlOhMQCi7ibU9ShYAf/W3CjKHi9 GXwdZT5wlL+TgKDKtDO6YKf24AJhGgDb7R3h2QPJpuGiyZwbku2nh5amI4wdUnh8uZTrXH1s9om4 kJMl0cjKJWxW6tz2ezHch/XfqOkSuq8PyfmgNXiwBe9XR7o7CLTXYAfxZ1F1JdPfw20BhWiZ0p4Z L+HecrUW1PZb81Tcs4e8azRdjMYbw5AdAUmf5SJPoMq+sGAsZiRAr23QhfUICIwdk7ua49M4h5jW ryYyCQ7WRILzanbjf5vezJnZsE3UQFwGXPxuREcIKwmzDclQolNgByDNl2YY+regR/TnsfH0Vz67 M6f3wM6QLHsF2Bc751AAuMKRJaFRhikII6ARQx9+GpAXcXK+pTPLLPvjW4oboPuyCokwCacsi94l H4JACD6SIFSoa1nm+6aTcwAfOnF6YMEqPxW5GFw1ji81kdSOTqZ3DEzyL7gnDgegFDaU7FgE4e/8 x2ffm72SjzxOepUP+ogb6WknJat9CXaA8piIa2iUD4REnh6BG3uMKxiDWb1vPaZTcsrHg7B+KrWj 69qOqg3ZRgxe1oIbVIF3A459FtM1E9k5NldILDezru5kLfxIeSJsy7J0FHddj2rhIQfxThL/x9Br mr7NmG/k9gS3zT2eBxGItzGcLtZAI6RTcRhY9ULC6vvHOLWAUk5ujsGLyBjIFot4q1OWVzEtRkq7 wJ46TvrrBcIUgZni0+KAM1v0zNYfzgcTfIDMzm3jmjiOi5/mdQOsQg2YCzPGYXQ1OI0wOXn+UG82 qbfICuLA6KD/IQy9+u8kRUYDebTxZnbVg2NFaYhcF3RAKI8d+C4Op+oLll53VZTrCEPeSx2IzeHS V4RwQdg+z1iZLPpf59Qql841kYXe9eELd0MABm52jmm2o3rfPlWT0RlCxk5ureTxfnjq1j8LXOoz oQjZ+Ugy3ZMRoGo9rJWhn7WcZ4bCCOLjs8aKiG4x1Fl79fS+mLAIvQuDt2LSP6W46TxMQQE9z4rs P73PXllT6hePnW+ivkRh37jd/4KezqpRDklBff2RVR9KR0UNPtFHsI1L5830J/3zvMWFcfJ4PwsR 5WlJu9WC3dbAo2S+SxLBE353UziuJpsIFy8yYEGzUl99+OLObGkhICLU90y87xCdpkaPqYSpaEyO oOHnpXtNKE53vIcortzglpPTUny8ORwARhXB6I8Ik2thKDwtRWa+OXi8+Ss57mw6uTcUWdZJnuUh YYT3I+AGHh0fGx9ibsgIqaIHvTGKlvwwlXgMhMO5MqC0PAwZDiCMIUvb/yM/OcG3xOMyvn+pfWDS 7Hkbz5BrY2Hyz9Iyzewo/fgDkZ6Z6iWHnEp1rJYnbxxxeRSk/uC1ILDOV1SoQX7zarVkrxAyDk2c pznNMjR/Jq97BVpe5EyMKL1jIvnZ6SxMRMmiDgAjBgTWvkjM4nMcr17dPGjE0dqM5/2OLNGdqRgi YJsi7ZmX+A6N8Czg3YVAs4L2BBp0JR9CbhfuoR9BEyMW77tzuuoNwX6AjGLqVQJy4fBNYZFkOJlv 5B4sawJOYmixF8+mGAE0BmF1BlnUmvLwgIoZ0tSly/XRYjURmv5DcQvXhJ6TOSxeAsr+64VNbCJY Jclh81o5Vmm0MKSYf4luoHFHFjmTYIqIFRfzX5W8kQ2pEdkSzjZrZgUfdGzNuCaaT4LLU3Cw3leN M9oF4Lmc8gybbuu/j7d/RxJxFFo1Vd1fIcOUKiX4WmVjGOaZes0vYLmVE51lisnW1GGr3YcxQdAy o0adiqJbT6XK5ReMLLkAm6bOXlZwZvR34bO4LSnEDEqV/Y+MMi1YYLEVun0mlgvv4hBtaL5moqW8 /qRuxC9p2KT+WDlK2VLn66wMG+28ENvxNOzwwyeW+MFIgjQ4wsu92cAtfWxlTm17vHvViMSSVlK3 ilQ+hU4ZmsdBQPBD4A9pTEsjteXstJg1gJnHVjaz9uC1HzR84xBZbYPGcAt2PMfVIgh1p8vNK8nZ 2lsshNptsGu8dgVESpUKCa7sNXnjxzsTJs6kPnpXW2IKFg8uAssOIFsgCWofzRaWZmKR9fUQIwT0 0T6GPCo4HoY/xDQw3FNEsIOpvibKjYav1rxt3J8ua5b7SzIm8HOTLIvDBC0xD7p05MPx5Gp02QAD y+NoShJdAfTeMteYt8EerYOtmtAonUxx0Zfl+uxSWCSGSC8RulxdTiajyfcVSLArSO/6i+Rffa9o CZWx+4PxiY842lfCJkDTgp2Cun7ClEaSCxSiMWItBeZpZGV2SQMGKb2EcXiev1ws7rl/xI+VfRjU t1vh1si5zMQLgDNGzwhebWsIYeM42O4eCEzfPs7PxG0vHhr0PwM1bCMr4dTDXACAW76EK6AmYzkw m0RoKyabZfuzhwzIKNdMjASFU/Kho32cvPZM5x7mlctQTJRCaKOxFZfmtkWkAnm73ZwtXzDExcsM day5yGG1ciN4wYo7n1OR3ygGK/EMnVXyO5dT+N2c4ioDorjvalXGgYvYc6HdKM344kB9RpYgOZA4 veepNboBTECdKpi8lInRWdKYFAoJWjl3rO/44X+mV8Ig/UUtdZmYm0QRXC+uBH2YI06sdxdKSvlX TQvVXBHNuuZ5LQBOcLNkugyquHeJYeXQQNEgtU12SL5UKhEBt8cKYfv+0J5P18GKk3I/CI3+D45P ui8MZEszQ/SchC8E+3UTA4jpm+ZAqKL9/FV9lGAHfnh9iklgwWVknEmpGAo8/4jCLr8Rul4jwHDo FNXOCrMsug/GdnImngFyC/F3R6qaXh2TQfCazDb2Krxdihh2vm2lEhyUs3GEKE18TtbogjkW6O+D dhnp5n03Mh7HQF+yF126mUZji84j47BU37wnl2vKcB2MbXEwRmbgYK1yAdT0c2liPuR7nVsvc2WA TLgr4VpdVeQ/dpv6gF0y7FA7iOdZt4BcVn9bAdFdpniXuDg+TN2+tFTiTqu7mFL/zZlMGz2m5DXB A3qDz/y0a2ZKUERPRupe521liFPLNY6uo/O3o421llUNd8d/WvCts1t8ZNW/YaK6y1WGnwC0IwOP Ulk0+UAM4mTBxzGgc/OiVjwWEPMOQkCFaMs+9mOhC8R3wVdb0MFy8hhrIkVC/dGd2PkXqOkehU8P mMtQosEmCOpWIQqDVm9GZVXWarrtdJfC3CXhqOrIfsWB6MzVZp1vv0b1LWKTBoZpMFm9F46vHeOY bJmhrDtXIlEyCs3ugTc9+FdDJFGX5W+5AqRzIEW/qCMV6jye44YNudq1TB10ribSvS2LazEaYA6w mz+XskdCvI3/VANiCbz+2ie5z6GkZPe/z0H5kD+9oGZxMnR497sZAwGxlTUp/JteMeHuyYfqwFp0 HLG0AN9lIyVoXyGiPWvz2dyk+UCZIbtdCp89+Io35oDfBgCNwlbhWr80xg9/LpCK46un0nng1LPU IoLRCXoJTzvRn7y+aGvD2Hod5RZ4lDxFLlzE5Ijj9e/EIUuZ5ISSHroDPnww0IQ5w5sRtufkUOkA +QCyIIJQXuebh01sEd7J8d5Llh+Y7Q7t7AvUMHluRpA/NUa6XhGG1HSiuVz1a5pVQpRswK7NMwQB V398FreRA5B7Hm2Jy8QZ+Oey7wU0juPMSruBs9iWhhNXItzaY4cWAQWmTUc23Zv5B0m7148ejg+7 JYy3TBxa9iJVyalBdiD1T9y/uZ/10cHnvY0mXetOXILe69A5XnQM/uVR3Vx5JyHxQp9BiqTr2HGd kRABp93WKXv+Xiy4XmhYMPC86UGo86MVO4KQ5UUDkUaLy0X5eFenKdjpBcJXMgxvANoTrD+NkHzK WSI4CUqvcvbmubZWAx/+/BHPh9D2vH1KYVH7OBpyQUjTHjmlkdr1XhhdGbmI/tOYHmsAjNveODNk 139+ciAQVxQXvgh80NIq/Stm6gzCjxGW6M4a4UmqkbfMeKIO7pSAtdZW0djaI04mRH3yQa3GQoPV dXEwHvtnrGf28z2CJlfky5j2mzHJlQBcywAzJC0l0zrdXYFHKHohiW8cRb1Anuh0ThBZ9DW9b1VK kGWfArQfW+Pi5bj7LjB3i7EsqGwJNphZVWETrPXjJlF28FDTWmT4njr2EaItknSb8rxg2vtj3/4I GMoSTrm53h1ux21NgtlCIDp2Tv+oyTmDUG55TSDquJCpG3zsevRn55rt/fUS7Yyf446ajkqTLJ+D U3CLM32GHGpyxluNxZQxlP7Cniblj2UwRFhACreGkp0NJWWhJoIoPY8BYMb6jFj8pMdghRDExtyj E9wWCSkzcw/QyZ9NZ5RkhRm9E6Mn4gdXHg5ZH4WWxEaTgbtCdAR9LSfzWBqjABYX3OQNJjAoPE2o 9fJ5O35XX7KOuLq3Q5axSB6Ps9J9oSznNvUS/jVS/1YaBPxyM3k45hB7S4FDh+1TrseaNDKZldvd JP9nIZuVD+EC6vmTtvXRwwqvBH7erpyVLt4/0/zuv4DmFfIQ9FmzPZ3tAX9dJRxqccP+koR66tr+ DR/EgjyEsWNYtOk582/YntEYRGmj4X6pVJgk7yRmU9ytXhIwgZpBwFpneZXLl1wPRTSUEBVlp3Vv nhT1uRUAfAXumETh8aBio5x/SEmr+gbzMg/F+CHU0sa8/EgC0P3Wwk/V3X9jlpg9pwrY0kgw9Rx8 Im8ySwlxWdx6eN9Hl1HUlpFrd+Ljqr38GrL2ApLvReFshR+S/gy+60gQGjhosUbkoSk9oftsMDD2 1Rp4d/ST+exsyDcaM2EnlbBc3/VO6zh7Eha50xFop1ImXIRrtnPAboiTGnjZcK4TG2M94b7kCNro f7qFYf5RxiaE9EfUak9JOEZC2CrzNvZTEv8iSmVALpmqJA7f0uptFM9DEdDKEu4h9A3/Ktc97Y7r cHA8p2sEBG7MZj5/yUaaa+fyY/1CCkqTKF//3VU87E1MuyB04tzt4yXNLzO2MZ+Ix/vVBKw1J6Zm olsMgMCTvbZPnB8Q26dBDOetl2afb8zc2/z2phh6JA1aCV7WvCCU6R/bSX1BPnUbYIB11l2yBGzC g9PTEg8Ai1eTfwqO7BbERdIyINUMwPsIekRY+IAr6qJJogHt9iFLL+B1buJnhxiG3qyE9jyBZq4R y518ws/IPyzqzujCIKPNToSqi2U/etN4oXw2cioBtL89RiLn7EEZEut8m3uaVuEdPaejUh5ymsC+ 8nQMLDu6dsvHzkNfKkF7hL3PCgnKHZrXop4EuC5eeMRPLHsEqaeqdeLQsumXWBxjKUrtTq8Pti9B pY/eL47nhZqmPlicRHis1h+QRzLj9Q+eo5EP4xf8K2YeWkevDEB1wx4Jt2TE8EBKdBVm4xMaUBjB cKWhawi84XY2oJVFmOsN/Brr2S+OneDG5A0+x9qfS9fnNerIovrDO5bm1HKRpVWNT+SorAIHMF+B 2E6GwOAJRfLOx9/dRxXmbTAeioH2xki8bra6gTCUfKYR5T7oWWHr0epPwtNu4mysRcMItq9I5Wkb /n3WvmRqFog8eaLWuir2AMbqT4wfTPNAljHmx6ka7KEE+NAl7XsYI7TPAFjP09aCJBbTJ/twOeCG 5owjUCiHEnBCdKEDoT9ORcc7LBKeUkotSJvB3H3LjlEa99xLNHPbi8W5+YUiqaoDT1tE/d7Xf7Pm 0T1GxS1KSfIdq/DRxRGBFtN+3cPWUyDBgS5bvP0MoRG57d0jrTSs/MyDqBVUgZbPYzeiVtY4FWSR RF2w1MWsUIm2yVLGn+31hEwjTfgCgZuV6qRWNk6AHen8vT244HX7Qidm3LObiQ3nIv3w2NBeClGE VGJ+K2gtwA2lY8xIR5FoRq6I7rimOR0fnnRkKH24KUdRNXDyh5IV4HlyxqVPl/iT0l9/1wTZWBoN KsLmcaiPELCdNR8pwUj2/V7u0GCBrsRzJUFtxIUVVPhIoX7qXwMpnbbPVoqOQNPv/XoK6JBQmm+E fbQ8mT/9EupFDuPxVGhueyq7dScaKJ6bfELvGWYj0cEqwU99RmPmPieHP4oqQ5Zp4VJ1FKzWtUJK lihGL3zZK1c7h/9tyOEiU3HfLHxAzj4KTmlaAF9lNtd+ovgtGmCms1Rq9KEYkv7Aw7L449Pljdz6 pv2/caGdPjif9yoF3yJrwYwMtZqBSGWUGaZsdCyZ8koTk0SyJevcrSdGrGOsOBU/Np0gl6t9uhYS I/WrJdr2T2Vo9sL16upPTM0ZwqTAu0Ff5a3G2ld7qov4dc0UFqTxsG9dZ7LxgZG008mg0wg1Iktq ft0Gdo54sePHdR6Q35F+A44Zu8h4qJkuCpue3h+2LfcYg8L+Sly7xkFCOYXd6/OEZDKDO7oyLrIA jYfroPyAphtwAY0jJ4q90xjF8oxEPlzIZOrns80nEK5XJ/7etXXSKa6IPP7SktmDZByQQhz30X3i P6Y2s/UmD697xuzr00YVGXEXXiZHUex2JqGIXcAtwfJ+uL+TfAefbLUA48haToMAPItoYwUrOqCB XjAtfx5XBnw9N1oV3wD5R68YD83FgMPTVaz4Pe1OwTBtF8DzAXVnImjkfonoCZxZRAEk2FDxfw1b 5QpU/H1o8Z7fo3uVqpQaUMidbdGv3Y6oQdqFJ/GTe6MPEk9pe/OXrmEDYbTMyPbwmLI6JtQAXl9I XYzforFukulS+CRPUzK04N/JDEJqKM4iVyr3YWfxEx8rKCNDkPE88up1my7ZnEdEMfL7gQgw4k91 gKW3IsfaipPbsHi0ZZu6q/vy8VCp1poAbHWRUfgyBlDnouQxvJ+1Ec1tTCl78G29/FN+Uc1odRs5 /1RbbEUxzt85+HJf3SbX6GI17skpKluv87h8C4Nepj2TK24LC5YNotrzj78TtALT6blm4UNaQyHT Rxcfy80aFs8ATPMJt/ACUIvcEyOQXgEh5QnphnAH6mHfFksnrw65DFVwnztbsL+YhoOPD9FQpt1q IOtnlbCxfsArAFsRzCFvbAXgi/hzmRSIqG8TFv6+wf1adGkikjWTQg26N8Ol9wV8Vi5nnXkRXpBI oKf04bzW18Wl1ZxU5XW2wleaq92dfQYan5W0evY4/4aHrwlbbc5KslQccGfjHUaoHE9yuIox2S8v LJet9lBC/MSOspoF1xO+gLH4/xb9OMxdpoOKh7yQRPJqniIYSULqwbs2RU6sp5XDRDAiIph8OIxI SMpc4lKIQo7pDEBIhD3h4r5dFWSvZQjKeZqV6f4v1lNkCiqby/Ibg1QDResy9WQFvKV+jyPrfcD6 Z1Gh23xkxHHBpxYxKNbA+g3Ry8GH3v5VeEq1ExyqC/tmKROH6+R/iAc6b1HGI6P8xSdRoB0zrICC zePxRPYyfLUBZ2qi03oMUOGP8pDl0+id5NXQ+WVN0f3GF35ggYbA0nMGFvUqDTlg0WZlqIOXL27R GDC/m8IJRu8rTRuKRQbLAPd5jbqj48O1RkcH1zsVAsqyo3OSBYYoXfOcWdgOBsJLAasSS834BKFg 9rt9ncQHZgxiWGT2XsxrNIm2Uny5oizB0EonQygMgy4TJTEHNWa4f1pPLPt8SwTPoU1PYykpKZpU Mnzzwzea9Vm29prvg5muYBm9UrIJ1yOsHoK6T5DXrrQ9bGnf+URqaxuBDrhLlKgDgNs1O9y8Q33n Uxgk+zAj4a1+nS4qzS76x0vgQn7+VU+o7jRwp4dPpMVdKy5l12A27NuYnYoUpj05+8W5Qp2N7ls/ ESGhT1QTioVXuNfRXfNrjMeq3hQ5ImHdHsW9fIqXfD/A4Tvdec5hR4SNK8RmJozW0EBFpbqTjaok OrReF7MY2RB/Z9qTBi16c68BTVhkOBHxKyFt1tAIzQfp+Dv9BucmbMQKvWTI4VSYgyVaP9roBTNF YGJWWgE47QsTj7W8mtzJ/mWqyhSs9Q7EncrVVwYtdkTgVUuF8BwWYwhBw9gVVG6fWneKMH2fBVM1 8jQTv2Y07KBYJosmrjB6L6sjVKbWbD1ZCB7WTxHaXf2zDXNj1D21Q+y4YHrEfZT56msj+ZrlFfE7 xc5pLtrgD7gQMf11ojHTp3M8X0AxzxHlCCHNCosETwGf507QqBwg1hqv+5FWfGNl2EAoeOh4d8Jt MB/0J9bu66aCdT9bKKLM9IcRGYeMwgf8deD5TkGnigj93e74Heasjd+lD7CvayOYSpAuJIKHjaZD 1ySBU1vHAlB6YgtbOrws6Q4DrOLh3IWvRahtq7bp47gTVAYGwQsNMSs9Ga5tlxNSIJsYjluY5Pqc n/H1D4wDHKMhyYU0TJ6gRXZZmunH2SItcmZDrDTPVpeaYEay7t1n0z4zeEhB0XyGm65QNJA/c8d1 V70+joE1edRJElUWnxmNl34EJoUol+HPdDhlBflwzGHKtXUF1aB4bXypLzk1bB4r0J8tjr+LmHkH fgLaMmiX436uoYzJUv4jGR3uIVFkgz3W/BwL1kb3Md2X98XWXJhjLi8xwDAlxizWOOiJvYSucwZV A4U3rfPgzvxHdITbPXSIz7EMcwqEyeVMfzpzd/T6QVbjat5vupVpr+h0tWbWyurbYGro2c3gZoNs XpVbO4ZXcOCwMqA3yC5SLk//ITciayH37CoYnK2UMmxs0AymMT/omP5DhB3Tzce6762qZ3miMPLL /9DllenunrBY7o0p0Z9KTI+85FmQmZOIBNmo6PNIPMhnto0lihG5mYgaDQ1svNRm4p/NVaYCk6CA IOWmryeo+xR/Q+ZAI5RAkmg3KM0Cmb/grKiFRVqsIewpFLvs5h7FK1NkasmWvawfePLqblx56FWy rBXFXywe8JikJ64ikNDEhhPgzxfo0clNcDtB9ipsJ69SfIuvD81WleLgBXFw7h7sTuPqeed6NHOY ChR8HMlNOPQHFFKle6Vcop9WfC8bRIPGtrX+loXBU3HCgqrUMmV+IOtNZ+FJXDEMVfEol9H1eARd HKmIFdO3yeO77+Ci+vbieBGrmigPb5t3dRf6BszsP038ZUvuRpNL656ORZsgjmpOtqRJ8ppPp9Kg wJRkIMf6ZCiJCwLNfVEEb9Vcbk14vDAGsLN73fqt22iu3fwg4nL45cf2pzV8kbFuLpm0qsJGR/vE Ri0HV0x8JULpKPO6hthwRXXZJlivPsHEJbX5oDZ/um6UyRaur+rniMu6VlUZvtLanO2PPlDduHkb gn5/IUvo6lZzV7XK1wUAkQswAFiDpc/VhIWfIZaVbbBL/FEqn60Nac5RE7MD5N+oWwKuENf2Vx68 Jk5SOIYZ38TtucSwbLos59TijWSiqCpQp4Kn660mm+p0m588Pmkf1SFwjf8/N8R3ffbL506X5sel oHqPOj1X895gBJjI3QO3DZzjOblYhPfOBOmGOIb8asjZLFY/T+PMKvfVdFz4Nq03WvbXQ7zqGsJJ lTmR8dZqvecdoKWyWbHbCemqeOZg/RDyxHN1gcis6XUI08mCTMo1TZ0SUeharYsIXwzov07A3xjt DOG6Xed0vy4O3QG6gFiSwbbsppC8se8/1EX7wr+S8XQKccABBnlGhqeI2ppilSLsXkOhmIUfy0dW Gf8rTSrmevSoslAXHDIgi7sBlfCGYX1fLAR+KGfulBVk+1mgwJxl0/b2PeQ/NOTiCJKIuopydqlp QCp3F4n/hP+c/LTb3H2DQnwwpM/RxdZ2JyZe7rivkIfzxkR8+EpadBmj0D4U/BoqIMia/2FakbN8 62NzLRTSfxKavklK6o6L28ziibr7FJwTfefp3T2y7cDIaIrvntlkXfZRbQlTHCM1+NZgjiEviBv0 yOnJGCX4PGZUXNZXPo/0NP5YWNjkoYGsUXkF1pu1QEpHCWUaNhEA9slS8iMZ5vo5IyO21D0LpVix fJB+jhu3ZOwOi7/h0htLFU4kuhlAPRk+6c8jO6dYK0aYJOZtPC39kC0Xr5Cqkps+6dcIc/OsTbPl m1uASDiz78rjdj+0qPvLBXIy+CGLNDJ3haJW72zQ7h92JVQVWCdh2hwF5CbJOoJTYFWKQ+bwi08t nCWGEmo/08/UONW0zzpPd5Vm50eCYPXpPnwnypJMynMxll0JScWF8hRHBDSqdkT8v+WFYHiYc/tp pptkFrQyF6KU1uK6ElPkPh3ObWVAP9H2tUDAYUbTFSR9O+UNXT8BXv5wXg+gKUhSifynQTLKqd6L J54pN7HwlD1rpdMHqsdv7QLN1ZbigZ6v596XKshQ0Xwl+iVryDeuCBd9/ZdqoR2NooZ8SgebWKpL nMEiPeavncoFLZ6eA/nI1BC4JQYuTYK+Ny5ylUbh38mkcJ/tU1CE030vkhKXC6btBVEaj2nuTQSe YI2/GtN/z49p/tGwQKiWyFf2PGEClrzkLjsvXD1dAS980xEzLutMhPZl4gcbWt4tD63YOWS+kXN2 zmLupW5hpnlCoCZLu4PYCwsL5jUbZQlPBuCFYdtJJS/ADOxk1EZjYb8GW5D3m+mzMg+IL37YUUYF XFcs2YJ3z0KLuCNwoiYnqDZzOBA4ia/jj5X/K3cDOQB5OzezuG808+03+eefFXqlzMeHZeyXf5h/ vK3TVbOFSDrO7iW3+NK743kA7NvhrNBPosFeT3EDwmcXOL3uqFBPgRZbAOTw7CRZdNcYQHBtGxmg A71eGr34pA1e4JB4Ifs/BiR1OCqL3hqqRboTR1lXK46fD55JdQHGS/7g3xFL4ieZFPDJ3WpuUoxQ w7kwUFjb92bXMpgR8HmtBEI5LXF7ipuXyT+FYyJJAmgGEBpt336NixUOhpOtpWLobZnvkRZKOmaF zjJPHH/X+MlvpsjtgFZ+RSn70foLbw3vUZd7v6rh2eZkUeSl2XYd3hWun74moZ7FJeUv5ltpTCYs 5UM8LGbkv2ibMCPDA6lMS1YQBMLCDDzUhY/4KKz56fNBPg4qoGEdrUhrf+iwM5wGfU01wx7misY/ RRCI1q3r3haJzgp+hlv+FvDirkSFcU1WH1DHNiFwJsGiYR1hb5B6zi9h0NfDsmgNJoAkmXKwhNCc hyIALVxxl77FjILQfogQZhzV8fTT/mnMaTk8849RlOJveNy/kGPHXM1vtZzjIwOX91UKB8yNyJj3 ederhseP+KG43fG5FaM7xJbxlW6iwEMD5Y/Irx/RblEAoIRmqkqUUEk0ZOWivOO6hQOBnhs+7UzU iBgmTPdQmjptdFxpnxMQW0HmKhxwONoYX8+pd/RTHUbwi6pq50SLufxwpA5zKhIL/wsaIWQ+UQiT //XY4pBR79t0PmvvnN3440pZHOJJOMF+lWnmkx/pDP2aK+TIk0Qc7sH2TYwgeJEXZcdOmEv+wFby 2pJ82XGNSPE0KGe5Vqg9BS87U5hq23q1uV+5G6j0VkYKhOeGNmxCjVs5SSvbUSBGk9/WFc+SJRg4 Cl6gfJmgi+R9qEbSJmu9lAfEkSgIMeLsxCnxUFON6ymjYuexulciT6ZTmrDqOOmtLkTV2tgumyNI uvvq5xMpoN9BG5u2PAAbNjCTsRImi5/U9Scu5nKorjmKDDwHvxU8XvuWnky8sQQQgeXvezQgwoUe Xb3ROlako7vRbRio4oflxd0juzkRFVOShPPl9awmvKvbIYO0Y/bp7FaiIcIF859EcMrMcDyroZSi NnlnMZlxHuM01nnt/pYQlkvs1BqxlDVux7feeHcHUBViNkt1mpy2QXOmL0ejRUynmr+4V4DJZHR8 RUCOCtFglMeaj1js5TlaEHiv0HyQvZhM6QEkzJ0dkdPYebF/Dp0YlvuiZF+wsawxM0unv8E2qF/v QT8vIDA1MbKibxvaqkSbMveuEwtBE11cgvIYnZ1VldoMG8WC9meVLtzX0dw96VH16aYVg8qigunn 3Qw1LXZNdd2pusOHMS2TOFWvHP7TtNymByiqiXlO07gRrUn0fPfb+CJfXklgTJBM8PRBNAGQlwkj QEkLwvDvVAvqa/ds3cVRIBpcE/DmSDV3fOBWjK6smFS2fvIwmVilPjffA4F4De/tNz/GFUjRalFk 7i8J6cRZ4tjjgAr5QBM8j7qPRnUFRBuAKgU3Y+O/QQ18Y6G3PaoD8gMmItD9B/XJGZZzhsraTIH3 ir46tcHkc+4PHRY5BLI+LfG87VuozAzlw0O0Rk8ZFaFmNM3vUm/35v0/YPWRAvIWd19awXIY0uZL 4LKt7TfHGvmKlNixL6cimFj84Bh1ElG5mAq4sOM1N3AfA6GfMxOVq7lJX0QC1s+jjZqbwnapG+Tf kcgNGC5J5kxqU9isiy8RTj6Fy2xMe+l+pc2yvmUzxYWv0zfzIzFKyHHyhOHCTk4JmuKQpi6ipzM7 OkLSBJfgHY/Y1aD6d+Fqx+NSzr8jGyG6dLG3AeXciQGviim8vkcLkBAJwP6RpwXvIdrempAbnENJ zeuo8Qw3LhlGbpDzBlCQ7njI7XuFJD9i2ZRExsiMHyHXb4jiFKmI4YI8GsfcW0NVz9TShUhQFHQ6 Xr4apwk8W4ddMPM0kJGHCSwJjPFivQhwxtYTkjY1D1NCCCBDtAfYhyC62vMWg0qfgtgZ00NuCIJC NQo9GgKlf58V9Nx1TSyKY7IyVy++lrE3sXiVD40nO0d87rL4wElaI4gDjpkf5/S9CMhEnxL4BNGe EKD0Y5kP58L9V13lIAWDfpQFj+6eKOsDkvLUQ/HqR7lKMoD+vR8qv0jCYoWkX/18XU1jyVLzXk/J EFcTMJ4zKtLs3onX1BEQFUw/av2z5e7c2DqoGsFcATPIweigsLbP/7ROKjVb5BB7nUwG5zpTVNh2 Ka9AyvFo9SsTwLnYK2mVIaGIIP8Zezu5o9dSKn06AzKymzoTixHgaEAjLtdNFuxm/Xkwm7ox6YeZ NRXcQn2fjeGEAIMdx0ijFgVdB5ivEKLFwgdKkuU1HIQKScJWGfqrP3x8fDkeCBjS/Ou7rqKhhVye uISDsm/7b3JDpEzcunS+KrB94/pSTGXqE76n+CnOXYRLjg3/tKRwq3rpOKGp1/NOuG6MmeiitwjX C1WU3M3ebfBoTxBYReZ4MyOK++2NS8flhIYpEEIjHkGaDrpQzAOcZ0xP7zG/JuWrnyLy9xgzZswm eZOnFFnA7xAHWk08ko3FtEFHN53Z1Da25WR/4ao0rAyiazzen/RIHTDS5UDSZC4SgOCqI3UAE5Ia /MkUkagv8c7BImSeJXBu5U4kGprbt+86e5xKJRg/CRDbFFl22vcs7feCX7r6A9BVs3mNngLpsdcY VTwas1zAmtQIoS3G13/HxVibZcjQjogCc5NKW6uUI4Jvn+Y8HMqFprA3IbVM6W75gPHNSo/khS2d Iuuc0PVhZzUJq381EvSm/Ifz1ul8Jcx+N6b181R9Sn0eJhuTUgk33dekDvqzae2Dq0XVUV4VdtrN QwBzZSJmBivDPHdDktkzi+3BfYR/KDfVs0Q4N9isvc4goFsZ+nhbhYi7BNZO91vnzpmW/KMb6HdN iIXHibrMIIeCd1IVaM3+aZq/e+yFn3zF18jJDDCOE/5NzIySFk/bPAjDCijZGPrRzY900hsw4ZHA sBila6a8vSWODoYfsGTNcvK5X9ymf5RW8SCQ8SpzSXHjAhLdT7IuFQtMRDmUzBAI3YDcEbyWHBS7 B28+U0f747n9hdxhhe/aCgnESxw+v57dI3jwKLPjhtvXIlRMcK3cTnUfl9Bl7kB1gY3LtRUR3uAe JjxpxSlFuBvnBQtspvheU47NPHufZwy1E+nGE+R7/JIf4j2Us3x393peZZdf2CiJyrgxj0PPKt10 6N6yfQRfnKSRnt1aLohkCTy/wvrHmgQnb+ccPvrnqmrq58c/C9C/5WO8BfztbNRs78kxc4MZ3BFB GPGZ/plnObDoquqMD8D+IhXeqOSxrsMI9ldsfsoWaeZOQ/dqlmRdkH+8cSFjy+Y7zWWgXG30raIx eOA+CQPJb7lvbc9JiwZyNTFGSMpLNZ6HPslzo2uP933/g9KeD4erT4pSOCtUSkAh1I8ar/k3KKgZ nMeDPTNRN0hUOEpAQsXSM6adSSwO04AS83bYODy/5HwLpemTdI6KOMEU0GFHrhn12ge5HfYfQB8g 6Q2fxuWTDQB0AU7So9bRWzI4W8pKJY7S9VNGN2obr6BabJ8kc5yveU5T0TYyguysCILx2QWh4TQP J7SMF091oJ2/S9FX1DWMQBwXinKV6MQtXWrTeL5C9idVbwWaqqXSRZdCkN8FWptlwgf0NQZ6kfy9 4uohc1D9Zdjs6IMPOpYyBaKtUEkL7DIi38Pgz7RYWXDCl7uW9TdPFmQfIKmoCgA2697O85F8SZZk YsP91kb91uGYPcwGJxP1v9qn/r+/Ry56xOHbXNcZS4ohqS6C1x8jzuEm3Rs6Ji5jGlZNdZETd1os rt+9erCVsC5zUvo8nO/jBO+sKhgeTPZZC9Q0K5yf0899J+M2HVIxV8UbItEhbGh/qBsE8IW8A/VY N6CdSKFAtkZ+QmbT/FC9FTke2Tcff8AaC96LBRT8X2EtbdcPiKVP7ceNrlvZsyLJp4f2L6AMm2Ex F0ftHJkmC6BBq6K6+8n+5N+0O0z9a1ED2mKd3D/f6u/ZlQWXaMJ+yeAc8NOKdo/pPF30eKPCeOdz fio1PdTwzMXgtQwS3ZEDKmNm9utQ8h7pyenkDEXVauUkOWJrD3L2fJy4ZJ7zEBEWA/l9YuiZhgeL KKfX4TJ3kTYzp1lhrR9+2byA9EYE6LESRbutOluVs3kLrZPiylSXviYVqm4x6kPTW0J0vkojNwto 5hiSsxHvuGoxXT5p29pC0eDouLehe0ZPp7vaR8a5HgyxwDalWe+kTwykd+Pi9tsN2MA00Xa/DJLD IZU5Kg5I3heAKN2bQCVjoRiQFgmAu142W/mNlic8XRCy/jxvRKFcQvw12+c2GVAMb/3ElpQTdJaT 588dUVT3U8FnjZmXnSDCcWxA2Ej+hSanHEYT3psfWQxVOvnzuQP2NLiMAq9TOCELv9OtX08kMCYF 5Zd/rklsUrdEna6cygnbsdIeUQ89ixzROswD1JZLr5DT4vmqOCrSGvGjF0RMHN5fjGdsIMwQp9Jd fqYp0q9G5KVB0aMVyfY5keJIYhsDazPirHXWGqROFR7RSMNpUQ7J5hu04ofURiH2GGO/ZSe1qXpU 0pGuM9zALC52fMWqSuvHWzyDS2pS/UCYeDWHFDJzHlNgKx4kGPPiluZZOEbaceOpBJ3StDMmezEW lBVijBW62ofdQydoy3Tal24mwfkhxBjkE5LU2cOVHB8ZdDfOc70fDHc21TrKCAiv0Lj2Iro5lT+S CYshaFogvtSRueUhBuX7qFYHY/VT8Mt9wqAEOxPvUyESTzC7cjnnv+kkaEzQD4bvAdXrzL+/4AjI KWsBxG85FpcciqG8U9QVJPLMm92A++VUjCrg50e9m/lAfjBnFMSi1kgyr/w5acGWbkJ4w5yRJfeg gieqxr9bi2CFWgcohT2Atauks624l8zFRR0UpbuctgUiN6nNn4PyusMTTJQONSf0YZfzcKdW2n1r d9rcEO+owJL4mISUByOLIfSDy1nh6twCAW/5peeSGQ7jiF5uef2/JVHjKPcJFrG5KpSUBQFYd41s bSIXrViS9Tvq6LNZBjEezu1+w3VZroTzq3cDekfWUif4l2i6LalE6jmgcV+sPbGHufqv7iMDQLHE CxQUF77sUtJMPTF5DlrPBxDkP6vFJcehcQWbJFkBLytPBzN08853qQH/4f95+xKmQo327CxZqybm LcQI2VVQzkU5i5qSt7UxjoogOeqrM0Qw/SDn7/Uh64tEj4dJM20PAMaGYdu5VjEH3csx10Jbn3mx jQPlpF8a4/bmjjsWnNYeqjl7GymXCQNKGWWxWmuojaoye4yoWT+DkEisdi68u5ERqoE7TIN8s/dM +I/Pk20uEasFvjN1vV1M614DMZq5rFRpDHz/+wj2oSPdRKpKKoANfIzQib6M0JnDBMjsnNefsBzE OC0WiQ2gsoF7vaKdQzbt0QfgQ3nhJBArSxhDjfV7hF24gzKDpbE6iplJafVGc/S3KAQ/buhbmkGS NUU0SOKiEneMP43d4AJIQ7ZdvyPgJH9yFe5gHGb4ZP0bm1svax916LvdIE3uz6fn8wM35Q8r+gn8 4/qa9dnbn1ULZoCKAbfsg0yztk4dtnRWrnXot0B7mWN/BfvrmSS/7ppAkNiOuWi9HAZ2pT+ocDPe 6O7jUGQ9lV6Ck019CxLybdgjEZH6FEnpu81tSoQOmbPlZ80Ve5iJ/L6BpLRQh1A5usJhzMxG2vt8 sdM7PX16e2e0Hzpf6b8nL5l33AVAl49pu3BlvB23Mn+i3vf3EYM6lhaG57izB8FmcsVt4PtzzOoI qC8lHSkDXA4KSstG4YA9vWzGzIhvh2CtCOxRWimLeQBY/GEQ0Ffe1SlZhqtG5j5b7/3xqJr1xQjk 8HRMYgQGYJp13o6v5HLFw4zmaVJUmzRd6Std0e2pr84vhqJRD5HXzKDbZgVnnldFee0Jx9OAv1Hq XHveMGUNPz5lUhDRIsy9e8F7cTTxITXDPrm1/6ukJvi7k6EcAAVZIYjzSJguJAvYylOD7uh8K7QK zQnvb6P5IRSxZXdmjCTCSjRER+8tQinFTWoB5gFCn11sIsvGQP8ae7qn3j+Hyk61pLjkooOAU1bS Wvs0MEJ0FU9uu1su0SuV0KKK0SwqLGwwwAbz7LU6p2D8X6GHPZ7TDcJ0VZnUNTTV9BweNueHBdX4 go/I5bzJt3rIjE8W6LEYytoJwrLC6ZLEonhyn0JvYATOI8ggUZ1ePVnJIDEFuny33+wIx/OBupYg 0buQL+CueF3+L9/cVupq/t25jNAA60cI20N5LV/WvgZ+MOUaNqDiPJJbFiOmQt5V8cC8hSCfA36e Ja3oB7YJnDHxa9Nu1BUKYIEZagqOB+tA7Uy+QEr7DhhcGwTgiyaenXaycIOS2tPgVHxrkemjJ7yH n1QK0hWwS0NfcpSwBB9ltnW1g9wexfEVQ8zppTmtDRZx/HI/27cJMO7X/qaVl2D1/J/plNzIFga0 VqglQPOfBCAc94caEklGO+BT/h7myG5IaDfxzdgaiEf0buZVHCEMz5ksisQM78oJNEk8EtSjtbda pq5mQMfbNsDegnacamUCiEwquOCTc6IptBd+Zkv4QVmXtzbFrsXn6H7NPLVmXe5/xi/5UCoOECoO CR7KW8AKseb4lnDR7GJQoZFRwkEJ+lT26eUIiFckA5+YGaH56k0mCcFiwDmzDXxsIElpxfOFYgTN rjovEOMJnnR5nQAQ7Sxa2EDbpmgctSX/lBB74132mV3rsv0M/eZV2lhkUpmg8QKZz/6yNjNtGY5Y LLe9l0UFVHYvp6qf+nDj0THa85+xLLJMooGJvOMRmoGOe4RXtPizxn0YX02uLfBwG6SdjPQVOghI H9SjzuKQhELwVfPI/nF2ND55n4HdO9y0Gb1DncAwhkDwwn2M1B0pG8z6WgLfDBLWHc1hpKIqNjxc p4IIhP5oi1Xp2ytUZJd2ql3Ukgf+o9Z6tiss/LqtwgfNos3Z0pkO+pvgGf4Y/jZRUgfD+9lypYZk rih+lgNSLxQ6gWJNQrxmdKvM14BXtbSgCCcWd8rDhLfQWD+AqU5/zu3VprCspXuWQsa3LVFKcEMD tUVv1vlmMcCR4DbhWv/n+mGgqxvKefoSHao6Mpmkz2afhULUj/0oOhQFSEhjDGB1gFZaGacGfkJ+ +1g6Bvn7RJl6XDi1G+Wgf3HBwFtFMf6jTe4c9ezNQRSnZP9x7qnw/56/te744XzMgKjspSxb02pN 4g78paJ6Zu1VE2Yw3cgL6vGugpDGEfJL8FI2HsZwbv3qVolFflsTPxKKO7WAAl4iHeT4WpjIDqNq Efiqs9s5EBJJ5lcbjPcd9hrM54p9uVY+BkecneNxRv9d+LaRdBLwk2twsS+8Yl2pgi2/s9pOFqT9 Tv06d2jo3L7RTcHD5LVXbH/JMpjVue/uE31TIdxQ0cvsv0c1ZHAscoTOK0uAMUDdX1BWtH5Duu/v C8K7XjFL3ADZTYCYzmHzjZcIkBjfQX4m0BdAwPz9m8laUe2DC1jFbRMHQYJ2EZs0IHbWv5xxuw98 FXCp/6Tzm+itJC+gUepquOdJZFqsZeJ6P34iQsn6ofO3Py4fFby/9D5bB8DBusAwFfanvQfY4jaP OzpgKEnTe+9V3o2XYy2xbm+dpnjqbAxsjvbUScAINAmYybrVaYCjQnwXtHGbqieuzK272GcP3iky UcdgZyiLt9NQ6jbKN0l6+pjhfgi1VANOKmXDIImy1C4guYwIsoRETGONJ9jXA89yFpTQL8IvkC6e pV8al5lE929WrgYHKXRr11pPdVRv0kN/uPVh2WBUAY/68xEA8D7/uVpoY2wpO8TKIXmA6PWQ+KvZ NYCFmag0nNb66NLqCkzww1ZVGUHhC68iyOwA/JQM3ChetmMIfEKBRnIeMg24Xd9gOOirU+3248L3 uq0ddY+xPXgbJRv6RhgmE+TKXCuMafE9YeUesL3fmPWXsyem1Bqg1T8I2psN4Kskleqe3u5UvvtQ SUASzAiut6U2ODacESo85hC9JPXZUEMOd6cGjDlnH3HJzTCBZjnGasUZ9jyzNczZ2T91UJqPfFch skicMOx53LPJAUz2+JwRQ9pE/3hCjXF3XNyyXT/c+dr5f923UAAAxtF2v/n6QedQXiIgeSepsKPw D2FE4ndsEPgGWnZAIYVnBGRPjkCCEeZlztJc148L35OD1DsaofwOMmSacTzxBHzhrcgLZPi7AsuC Bi/7nhqNOh/2MYDmGzuy0l1fJ8vKn6APkg4SmMl0mEJb+SPgLtFHTj9k+oxSAeLvBJKaBt2gL/Lh nH4sWwCyWQMTbiMvfjuBpzzwRexOSZSuvEoLiUH10fib3F69sd3BwQRcbSf71o2LqBwVqGkFGewv uD3S6KCfNuqZMjO+d4lrcY4Q+o6IjgLfDkZ9vhAMT3N4uZblmP7SO/pcNTljHWu9AQ7uoS1N11J0 zvqU2ooWOVTd9573vGbRZ4mYMD80vvtYNJ0an8u4CTwiMxCc9jymej2ZpiPtTCFHUqwlMehEUB7s M8yD4AVYfTOWBctEQnWo8cL8bR3fJJXr8ktqpJ9LY5i7uwZrhYbXE5Zw81+Dicl9x1EFc50vOAEe LWmjVzxwJQZLIvpS8mHZfGukVc50pB5dWJN28z5/zwh4q1s775CkJ1QjPQ9kcHRTnVV1sgos0ODA nsOocLsK4vEfj9VIwBZGwJAcDqupqrsgbfTpi6VbFyPMClw/p/n90u3bjRrX9w+4FL6YKBZymF1Y Ga4pjFV2i3C4EpANLOZr+T73hyBy+DmuqySab7RYYkem+IVDDPfYB1xW6FZy3gTUEQzGyHlyJBMK /JAslYqB5KyFGhLppmQvVsnS55EiNFFr5kByMcCb25YKMKEvPx/Z0p1MYkK1vBJMY2bRPLfkd1Sm BAswao/fwVeR6sNuSZX3ta6d/ZvG08CEaBwpr222hpxa2VxlQtxoVclif++Dvpwhq+JhkNaN/Crf ZYt/kNfFyyvK88V+N/D1UKhR5VigicEcnPb8NyoWITbUytSLp+7dNpW2+b5VD5btzwbLabWdvFiN ijD5nQ0twuw4J/8d40oiY+nbYGV8Z7P6EeOzSWZ9iy436rin5L1zXtkIDtfiStjJoqGvH9++mDkF qU9vGXmK/b6uyOxVL3CdHXm70ThmBKrAXQ5qV07JQfFIl4XJxkbjh0RArugSMBkyXBLwqBRaEb3t tI0unEhAqUrJO1kXwNbhiE4OoT9CuxpEWMc/3t1fDOWUS19bFOV/+GiQATVFqS5LByy/VZcTDvtS ZX8yIunI7svlHsyU9yTepMjNyPTbOQRdt0oPhplaxA9v4wndPtF00So6qRseXYIbFLHcupVhtG9P LY9hAOFZD3tsPVAnnUe2zGHzOWFG+fltJv0/ryWR40PIRARGV6iv6ElHI2RnEZKXb+jdWYKQFNNB iuaWGcJy95FSSSNeiscDw5Wp5EmMsILcP5+4PNBqJDcwyeJrhl/fmNAAsKqEO7FLN/W3fRYQ0FWe WQjJ+11+9cjU2UCvH2rSQrVYa4tVlIp88lQPYUuaHvBTMqpR3q6SeFY+e0foSxReLbFaQi2yEtzm Q1aJUbBBecYjs99dEU8tRFzvSTLvJYzxdjdIHoErcLi+THNnm1quVolt++2HzE+FcaIspgo8PR7t zRT8JISnjZEhnZ/zYUBbntR4wVMDnDerchtvy2f2C/HSi3rhDe0oDcc68wBfRxCKjWFyk3+U1mpu r18qK1kWewYeS9HTVdIkIpnCAyIPEh3Wc6o4g70ep9vaezCdGx8MZpT7Im+0nXowIF/whdjgIcNo OoHuV9WWTvqeGjyaf1hGVl+lNhKzBj4IYd2Emx25//xGAgtbclzt+yz6vbpIPUkfhogjr7AQatow 01OxDI+H+HumXSsarE55RwLPK7YRBoDoIWmF4Bwm0ZbjmPUMKRnwZPCSN/kwZdW9KtFoELtbV/0V 1JYSr8ppMR4yh60mIxBGOOoUOdvOlortANpAkVkNK9u8yLC1yhCcg982tUhwH9DjoHQZlwty6W4N GRibQ5Vn8ybHTVQXiaIpLToTuHdxe/eij2+Mgq1+zpDVb1UxVXt919IQi60djc68ggpbcSpW6yNt 5TL7drV8Kq6LlTiSNpmLNf8oZQwktMJHuDqADpL6TYsqMi8HO8gFOAsqFFzvSLn/shZyPfMIzA1x WywBW2emd2hBM/XNIJumEpSQaOoiKZ+U9SEsgvBkmaj8wNmG23eHENvN1ahFU40z6/IVnui9Riz0 E3WzUqOOwch86L/V3JtqtbqaLUbXvZ0ovpMO7V+JKsrHAi6kOH5BncHFwaah8J6UM4nemhqSmbcY /ud0OEoUTPbourr/XsvqwdWlffRnM7AkfmFA3cr8S05mKkL4wki0tB1s9g+ECePVPJKEsI9mDSQ5 5d70RIyzEUP9vWZiiRBfFM7KxrWu5Kh8FG5YDsXzEIdm3N8GQcpW2F7eXYf0UyDgoGreoebcw4gS HF6wjKQoKaT468am/hEaDF5nxTgplLOb1CfWuIUomsm+t0GcdLwa+dKOExEth0P5Dioe1DLS+9ci VugW08gZh9zqXtPRDSjeNzdqSDDK5wrlwFHa5w60SEQCmCmTSk+e8w/Cest0s9SGa345Df4Uk6TV Y8dpgJDHc5ADhJ1ndN1cwcP+VVIcTAtsiyR09LvyKANkrCw4GmzxWljQrNJb2aD8v1sI7PYOi3kE +ePjurj+ZkXku4g9KN+cfT5y2551700ldVVDKDErsbvw/pirQ3vqk0MaxmFae1Msf7V7FwDVxr43 VGJDZ2haQXpDa2akws6fOUC1flo9xFTNWyXNXraM+u7Zp/UB3uPk4b/tor0Xx5VPdMrm5x+ydReA ZwR8IbDKaWt5BWgsxIWq3Bz/oObh3SR0g5M/S7FK1B3Zy7S4apr7Q99XuqazuAZdy2QMyXHa3J/p PKtTU8cZZFjS7HX92DXbGWSSVL72f78CcJUw9DUZpa84+d73hUS7Sf39bQ6wiuDRkxmaPHrBqPZX 1ut/TsDApMcHmsIKFhPM7puslnsQOQwxdE5IPfpiCEcwPDIzN4bzs/Of7kCl8yWtqzfSkyv5SVzh v4PrmXgvJYQPIwDT0PBNLVPa2/fbccbhmyj3CBcmMXR9U7+4hqHyJGx2KuWKI/Oe47IWaTVX8lcY jvu2VVFGtsvLW4s5YR2k7SgEeV5fjrgdvS+lkB/5vqmWFml9+Tgb0o8jCJVq119Th6XHOfnG9Tyl T8zfR3VAYsg5PuYVVhAZUy2CZ3P2a4GpCYMwuS+9PHofg5iEqJm1lx6Hh5kiIqW8IpQdzBfjmJOb d2uhOZ4bOZCdeuVyQ3Qx2KMyJPnXPgYJvDdZpPWCXrVxRJkaKCRGi6qgnoixxdy42ZWKZTX6E/0n CA9lGyS1FBrk0vll9pGOcf5IUZRpiesgXP9KAh+iDlIfhu+bna7DO/OLRY8CeVp295cwaErAVj/U ZfXe3DJwlhEoITjQE3Yz6jDFfDGxY2u3nmbkibZa0lsjUyNbKN1wIb1fKw1QRkOm40WehUxwRZ1c 4NNrmKRFiBE9BbhUr8dGjWnPG1ckDi/+rwo7HrHMjJRm7dJ1WSkTdn8qKtdf/XnLGN7YzwX9PtEi AvrWAWtCfXLHEIPDnHZS7xphtVMq55y0FFqNh3fJftW3yKk+FBUjjTOamXjCfl/+E6x8BMsTQBF3 DUjb/Aspnf8Am0d6EK5byDD/wGoW+8xZ6dzdFHSP5pc+vfabuHbEI3Mjm/qrpJL3KRXTHqZknyco NzUSXvr4Oo80iKBKTJjzTaxXjcLfyOsD9ez2BtVp+BOyLGKzejv437IhzVCC4FumFAWxUN9aZrl6 vQNqkWq3zjaIxgJ/qatnhLJNxmWBPWv3hHRvuB8S8xixcIuBNl3vj27iYlcl7EaqKubbrj6nDoBw Ozpq0WrtYtiqRwXHOi3v8dO9VQvGr93xGt5axtodoVrJfsAAvYuzbqkvETlDGS3ZAKBorlUCPNZV zXyHXyy1pwKTEJjExhiHx3t9WobKOlpuJCJJ/+9PK+i6+AZ8i2rNGXJ+a5/WJ6HGZpevYy2tWaIM n62yFzQrgPFvWqOUatgzh/1LdzLH7r3yM2jpe7Ol9NP8z4+joRp/wj7Fdt2rtJz27CZi/0v5KpEK 6wcxVk6iLNnnD1RiCFf6p0SV4xTO8735Pns2AI+YartNxakGxSeblDknaLo5Gug5XckXSwa03en7 4c8RHPtYHiIxwt0kg0MOkSJjyNtKV89aGYm4j03V7mcpvMYAgpnZpkklMHx0/JGJ8nbdjZdEl4eS kfSZ3DbKHuXwNuhBkzZTZVG8I7v1TFnY+3FhhZ8t/JCZaULHqYtv9dNUGdvH5kKJALmYCZQ86YsF qgy0Oj82/MURHBR7Z4q58uJi51Xt9KLThUcqysP6YXdn9q+xWKssz2IgEmZBBoslT7J0ChjhM4S2 bNol1oO5qNIsPbQEwJagEgVtjqK5SKm8BdufPyiFVX6PsNOUEe20PNbga/CnnyUieKdiT/3SqGbv hzMOf1eKoBplwc6Q9vaJ6jbWtrGVBSAtau80gT7S5fFJrtH+m8EkgCD9gW0FMKgGL7pIXcDBomGF H/TIvmETrUQfLZ0z7FFSjLRJlljP977avHz5iEmxldYcejGiNivr31L745Yz1a7ppwzLFMJPUZZv GDL5I9xiaWbn7nrUZjEJZyyN0M95Lws4ZtMzsMrC/cSwaO2fN9tjU89UnzyAUc70UoR9/EvUCHJP aJUFxapcDoqNMCY3nqEV0zD7l/8A4F04spRv+K6NUOJIUjb0D2rdfaW6orC9qJe2eKVsxDmPWIue YQFKSVOk6zcqYQYVU4TQW7/WnCV7/4ldHoki92diMSWgST2Et66XxldBDdnYb9iRTDw/SGlcopjm Hw57qQEuxiR1DOCeqe46D6+Bi8wPHYBLGe0D2h9EMFU3HxFyXZ5+okin9VUWEMycWX9DrIcZ8xaI eKSZhBN1XQV6tD03QMGXddG+FzD15Z9Rbm86Fa6aI+Zta31owAz4bRtrVx2Bn3yzpw3au2EACsJO l4gOXIdhna3BNnAcRFyOvdBUyPlFnyLByVLH/4+Z8VJ6fbyUn86ooZ4H1o6qSzLiYzEJlRRe68Wc uU2npytW6b3yRqnN8+Oy5CpCLc5B0xG74/3bRpk1qORrbjGCLgmR6ZZMHq81KfwMcdDz27utcCT7 8cY4wR/esJtoiWrLy1tM+IZbwDOl4KS8b+KF/RkmANGg5GjnGLqPEnBlpUcprq53BKn9b/cMZdqz cT1yNTHpj3DM3Ab6fyd8elePzuLFX5Kjgju9ALUPPIwfCI22UQeu7koMQXJBBJj+r4/1ZXx6EWkD 62hWxCNVjtb/uEq4UFKkmqghV5S4OLmPmXc4VmC8HiARFXBd4c9TJ2jRSShPK1VxEfTCGjGqc+j0 jaF4GuAihweDujcqs9gpP9akT4lJfRYVZM5ycJ8LyxrS/4sgqRJu7tvpmQRxwfZoG+pznYIZN3iN r4S+qzeIKsFX8tOSqlwPGLVukjmT31alvavLFu5mRXxodtaB0VGYWduk2Rh7mxxUCjKeuYwGICjG AFXlWXiDEUOM7jFl99COVZkxbKDC/gq6jGGkF3faNjbdmjI8wwZz/E7Z6/cbn10UbDelMfta5lLh WUU6TtUIUUtptHcQZk+FfhtyY/RJwMpEKi8SGmJQFqwCFnfLlSZFoArU0Q6vCvXe6v3WYgXFahq5 OG5cjJOSzEGdFm2FHN8BjdujjujAqz3YelBJ6+5gRLtVPwKAQCgG+lcYGnnb5UeWujmHM86gR8nO +eYuBszKT5sdtPZGSwIY3Ow5TbqUZJhQPcKf2F6C/n+8KLoKnt4rAcLP8HUfQ9+DBjSdonpU6m8B uT5BUguTuqJz3e5AuIeGHXQajkcJYhfhYr+y/pyAOaHix7fQj/iH9W/UntJI3+iQNnB4BZhFu5ip xlZkvRCVI/3/JXRJDxM6gSorShBoHquE+NSwfXBWOJut+74y+JFOCDwRhzQB40eyIzLrUn6qCnVT wTrsEe900Ty9tjSfbqs7EyynvZkY89DY2aE+GZV4vVy3GmZMGzKJhHyjXfgOsq70VNB5CDHp/JBK 23BONBSVZzBSXAiR/uhSaVntn4yD9/8NsMOCFndtM/iSeq3ZBNkwGnLWzajGzQb37JAlnGYDdV9w XFotg6KXx58GRHs2O5Bmr67HyiGTQniNzjTOVq4PD3+svfg0DsUTgzLlAM7X9ZKgpcpZiVTl08Ad i6YUuiCVNyZeYkXuhR6TQVJThb7KhRbU8+n0m9Q0kGd9e9eO6H4uBU0RfDwqj9ayY1po+KpgR8QR 4YcUjFbhnUvFpXP3rErqt0VRfP9eJBSmenW7D8UkkcO17mUT3VzH5lmfnJqkgXU5G9hDtOTAHwKl K+g/4k9GRqyvm8rawJ4HT3/wEsVudK6ZmSmj2F/5Dz1269hj5k44iwew4TGoUIMlGF+MrW97RH32 WWX9Y8amaMeLM7uP2ZAgt6HfW2z0bELhvMsmv58i6nPCoTukqPLO4e7w7Rq1WoiUznhyhJeD13d/ uRSmpWVBpHonvJmkXdXDbCBPdO6gnS8vVEH5R4nIBW2lD8uVIRTsdTkz4xXcqe4A3EO5af9V38uN XwHgH+bNWEZWEMR0ocdjs61DJCyn5voej8O5QQpbPpQm0D8JZSXXnUuK9Rlrsda8XY3ITr+FFZMo 45J/AhfoWpAZkYKernuPYJfmz91j7lDDbi5cCa4YFngPDMm1x6UfILdgqj6m2fnFP5C7JdpI+Esf 2VHa7l4CeOZtzbF9Cav3a0tV5+F41OPVHmNRZtHfWt4BvelnxI5cM/u1TEBVKrtNUYUl57TrOlwK omtaZcwr8Ohkg6Zu6K4K2x87mviaG827MRqxniEN9KPO0loSta2mf27j0uDMEV4D7Zd3h1CzWMdH 8T43bj47brLq66fdBNGHgJ6yOtstMQJ6fV2Zx+kWLoIqkfKEhUqob/379TdhrfPitaqmpntGbbq+ FNULQx53Tk2cWoV1DOQW0m2YCaIBe43FcAgVotM+pXCRZOU6+HTw12Np6wZMKAWKiL1JMZLJOjEV N34+H4gu1uzRZzZggCJXcqx9kJktRhbe92SZtu+szTZrHUWLLH6APmaiyPESArrr6AuOsPju7ee+ qlYf1IAdIJPAEFSMl7jgHZzWhan3FcZ0XRlzOG7Vy3/yIbRG/wkFWKpREk+WUFY1v33BXQkMpKkz n1HrZzCQvHniWuA5QpCvT+CoUYVQdWX37CqFIeBJRBYpIKy79estbgoKkdJhuzWRHb03xhflx0Bw LmiCR33RQTfhVc4zJYuad2AL0hxyjALSfkZK8AzTht9/DdE5n6bwUEj5fTSYpSssALjniITDEwa7 HGnDUL99qqh9m5VOCAV2qUzNBUgwV1HdwEwVwxPa+dE+PDkCE1nqAbtgS0jAvgXDSCnaEEXuatnE kJmjYqHVeW1zdVDRZ+v8o1NFVTTyiXIoSqk13wmcH+3cA6yE4q52NQZLDTx6JNXu1oC6iSQhsqDj 8yXZeuJD6+4E8vQ7dcaiUU9KlIrTsc9dQOis/lpXsoW98RYDVOnAEY3XDLzMI0HCCaqEUmgSM625 ffk1LZSgWXD9rfAdPDLr5OHKJk6YrBUzuB6NAd99UZFZGn+xDRBj61QsQ++ZyLRiefFpvEj9ifTQ krSlMzoe0p6Wylk/Da/RRFctlb47w7ncBi+ws1MA6gRMCMGVqyZzL3MXfQmRKFK3/pzdha5rjpx5 PqdYifc3lio464Oviv3sD9IhDxNQP0H/TqxyhEFHMszBS01vNgyV55fzTCZWj9uYylUrNfRMDDj/ zZWcJfn+o2KKQ4SUo//kePUSwLCk7LoYnDQXt5/M0FjT8Ca//AcQ4so8XdZ1mt5+mtTxYboMXCL9 8v7rfx6+p6L5HaEBYUcQ88xvI4DSFvIVsIBSDFv51xTJZgVTg9kBKousMX8QoG0OWSfTiW6Vwioo gkKE6a/LX5GiXak6mxoYQW2yTW9VRJjNd4IuSshGQhmgENI6h12szhiTJvlXaj7fDumU+vYguojc /gg+PmF/6UyeLxUxshwO0TW8SA8hBdLYoofUURO2CzKjuWZAfDj8YGgM0ti+ySK/0RE8lguHMt3I U5eJvyeWoR/rWnzMsA4HEwR0onVR/iExgZQPRMrE0GHv+wtaGd5VvGjBgf4ZuwW/D/SnpKIg6858 vihQLxaZmPIdLeHvcXaneL2uuTR+06BqYdsKWp8l7b01ZTUU/Az8/dTGXnIEEO/WsmvWKxolGfzc Tk80u5O3/RofqA/1Mn0l7AUknkBftRFfYIV5RgDGEudPSlzTdmL/DfhFrRuoJxD72D3QvkTpVaJB 9JX+kALu6alKzYinC8ydcH4hBHkGJ4sZN+o5voWRaKA/gQARJgzmVPFhRHIu1hO7rBL4IyAdrxzq 0B6DhWp+dPgyL3yQadHf8e7bfn1EvFfBWxsene/GoZeSWa+4+jznzB0JJpepJMXJT+xUJXItOFkv OvZL2vkmm+YBOfTr4uYiHL2UJRdswiajysomh/KFiw48igYee6k6DUD56zr2FFtj7lsu/0L3mRRD VhD/kbNjg/oDBfi9hB9P+VOI/REGK41WFiFyb18fl6wg6HG8LNKl/jiQviWwy+3LNgrdcifaD/z4 Fv45ZQkZ6A4/5eOb290otsvCFz503Lpk4dVSZtwRfxEzVX/ajMtr/eOm0+8H7lWxqvfJlxuFoLeg O8o61HwDnDJntDl8A9LhS0dy0l6VWjLeYeFF0iTlComuHQeUajdBtR64BB/mWTht4Ojmp4I27ABq U9f/vpMgQ/H09M7vXHTrBm97IspS+yTYAzIyDbYaUG2MBK00VY2YHLiMh1Ad5FP5/nSBm1b0OmKH HJuoV26Zb/CQYTO00vNgTnb9FNQJJ2PXXwRUD0TQNyj2jyqpODuPjw4/DBFFTJjMS/Jksg9uUd62 zsSI6Hp44PV47hiWsM8P0bz4SeuBez8fhqVHtdopCRUXEdWdeDwfK0GVUAS19k5hkqmitI+G3iiv D6AXncfcZSDSM9H2J/subAPEcYZtqWWqOyvFdrX8GWyQnWLUemaKpshxcQ+4EeRTiL8fapN6o5R1 M2VsIh8pF1wApp4kpTwi57GTD7kS+T4MJAR7vSbG1TUmtDdHRAu/btKTG35YYcvxm6g/jVk66Hv+ +xmNCCrOX9cKrY5myQOHtpchROsF6Q5DgZI4O/dQERpIXXY0rt0ZrcfPuRDhxlC3Dve7/0PIOvzg bvrDltmjycJ1v/2UXclLm1hzMqF9o9Pq0F5q6/LynPrB4bwobRPVaquZOycoRcb9iky3iLLl7BGh o8vcWESQu0wdQQXN8G4Q1q994QE/VSKhV6wYEUleSIaLHSs0I9zj/ddRXCicI4W0pFXS5T1yJA1c hlh3kbdUo17LTCfJ49D9txSdtCtHN/6Sq2KKpsOKTAm+k+2BqS8xjL10E5BvVn0CjZND/efGrUKg +7vtjd3sq27clc2OqrLnLNjl5Do45OaUQyspcqryCNL02zn9k2OU9XNZSabjNdpXovFwBdlY/TLK D+WttJZsX5OGt37yeRPnomiB3pkROonedKFELViTBnQIgYVvq0O6/lcARmOW5eV9DGWEOYOYGgLg LgdN6V3SrqxuOLtbWN1PXViUhBsmAz+3uGMUak4Q4t49jRiJqYQAqLgxrJZ7FpQ+SGejm4gj0cM7 +7adzWmCC2oFRBwruelaZbSTTgohKfcPWjMduy6w5SSqwPSJ9nZxEkBwU3JEckk8pev9U7hD6NUA ZbQM8Aiy2jRUpcYUzVIP7SEtEsYZ2xUym67isaIqgwJ5Hl8ZX/+HyH/lFNqYAifFJSw3Avfc2sp0 2+6q1k/Hpc6VvvlW36k4HALTrveHrjLXPn44f39VTIVaFhKmQaagI2T/ZjVJtFlcw+kn6o50Y/c6 2mS2vqHT64qTs5kHPKf32yiH1Ra1QhUL15u51f2i3Nmg8FVg2P5SQ4GXCCMSZvMCR3jwLksnd+qQ ONaw+Aifsu/Elm8wNXl6Yt4zkXVyTKXzMeeqXL5IYrYD5yiv+YL2eR3E/eIlQwbVWvO/UkMIx0Ae F5+GxR8wWHLmtQ/66vQDOqSRt8/aMRB2ws6KuBDYXwzu6M81m/orOiy035o0N3CKvz0k9MCN2rGf 4UufdPP0/T0sMVyBxNdqH/LHS/GLxq4Za2JWRRNYs1rBf9oJmQltYrvAKhvicDsByHKou4BepCWH aqkLskV32K6ohQe9XxK2lqW6BDkNlBPvepxJYmrGWstLDAgX/j4ChQ6tm9OVq+VGHcZX5ufl3sPd tgXgQpXIGAM2floJfgpY+3zOnhk74vbYSetJ4QYIfbeOEAKuc0msy3JMXypRDarooH0LXGa35ZOc UfV3pNPW6vlwFPkLoGwBOd9C/C4lTvG57jIE+TPTkKE2rhJdORfmTo7KBwZ7rXU44qTkH0GXRwKp tQhy3U2k+dpxUzeNkbGrwUSuhozvov5YyrWg4VWYLVPetjKFxJzCg+M27R5ro1ZC+jTs2sphiVqP eJxHjZY6xGJ9Fv2tPHQcyw7Ng9uGQS+JcVCF0j/5xFHy/0SRen0j6LyjUvwKQ+dscLvkUPvfWnKg lEjurMAemjPKJC3ClxRQrKv1eFXhLPKrn2A2eUrYOpJY7OZ/nWElF9ZOtKrUw92zY837eUT21ERl LaATG6WmbpqRVb2p/wc5pcI++n1NuuJuKOTT3ZKmgVKyFmmHoAEPDt9QkAcdEJ5833ZByK1AtmhG 1LtcoPMWlZS9MO43LMUny4hK6za1ixaUTXe7j/zel1MlZ5onWUYKIG++CnsKNqtSHnMkxwLIgWQk IFTwvy0BNtL290nqzULfTOmO/HdNilw6n+pXHnZmzqkBu+p8NEZy/EvnGTtOckJlscU+vT/NTpTd M7LpLfK9S3FbaYnzi/bg0uBI1XIrH0WycDDFnjOtFbvgiVe3ax0uYKiYw9KYDSRyM1wsT8NMAHnI ZfxSOXBRg4P0m+supDcK2Z7cWpsOS6VEiWSgpOCI6mdMw2IDt6Ygx9I+zNtQPVeKFDBLZfUUdcKw AzvlGL7KzzTLU02e23Qx201J/FdZEPA2yE6gBIVJNozEkUN9LHQwgu0AsTsFNUNNZATNtX1TKug+ G8nVaIqfJ54tEK9ORi6E0uIo5Lfwxn8SHxsOYuqs9Kf5lIBtYFd5lCIUm10+F1yq+SD/ysJ1xibs SUMWVLSSxbfE+MjeZg9fboYrStDZ0NfB8W/srvnxqP25jJYeAQommQvgZMwQ6XZqz+GYq/la6UN/ b12rqqV7VQTKNvjQSmrQ7hkM2vxivtZfx95OHldNQYxpGwByCK6RxLRvK/xx5f87NC8r/p/2Xzmj FDQFWZa2COeEfYFv3xatGF69XtClBd+i/o4tXAR6aTXGp7WMmwDYBVTi7UGqkQKDSecWgpKAaA1x mRcRsIz04Z1eYS8y07B7GirsianLrJOUclSchiACxbzBRTEVEejHVpdki2ckKPQgS1W3kASfjNuY xqGcG8BOfngyPeK7GpAy1V5g7yhpqPpjouvSOqkjdsuZJXRO4SRoWXXHGwKX2WOdGs8r20dT2DpX hKrbAxsmUZIDSWc0I7RTx6HdPVWI1wTXgh8FGjoVIpJgZ2MpwwmeoX9W0wN+Of3ngF4lLhampFey leghCQD7IpnUndwoHMiVULLSUgDcuI0lgblJlsHMnEdaOkUF6cBY+mPwcsyDgCi7rqzmw4TtQsEf A/mVs6V2AURTqAkBsRkGmUYVBVL9ys8BG2LiIUQzHKAFkTLtGLh3JwcXMfJsdrLAOy4RjeVSLBx3 OFU+ASpqTtyNHLBJxMxTE/LLVUmScxwif7pNW2DCgrgw11Z4NglbM7YHXUeTshBAhXgfluA+/6Dl wGHaoueYQWIEtXRWPanrueVD128XWHXs+PNe4a4qJnq0OceCtegKpbp0smAFU5rjiDh98Je2A7a7 6+qTQnI2fG2tsb5JPazAj42opFXEy+Ko5cTxJijfB1rXuUvCZnDgDIjEKaTus4mbck129Ro1pFRO YHX/pld0RUatViDQ8XvL+b3C9tdApMUVlEPcCms8GFZu7e8B1FAjXGsmDN0GO+ZTm9/bE/4gWCs4 GmlCBoQq6lVF6PduVwgAAdVIV75ufQ/OQlWK9rObllM96kOTB4WznazcKMw821lllUquG6+6pGlA FLLQwGr1TAl0rmwMeOeS0zwfUPB1A+uV5RFki9DGUBqIR2SMY/rznHOV0+lx4K4r34U9eOBai7zN w4jqK/8L0UuSO8qJiUMgbvO8WRyfhp5SJpqapzQ1TYzegqzPnMRGZK2yX9B3ECA3Yy4zZ6AXNhyI khwqZQUR+IiXy6BvQqyYIDCuRxD7ofdyQ6JZzVpA9HfqKq8iBMedJ4k7zvxqHJtvjE1+fSxpkl1O BuxQPEIsMBGc85Cp/BpRr4JCU/xQzpq4/zRZG7sPZF7BNpdBHJ6SoNQwcBuO8aUHjPtBKb9SbRCd Ttr0Xxr7BnmWnvFGn9mnoNfnu2fdaO1/RrUz5tlcHLKW8cYbg7JvaTdRDD0vTgGVV5DDHRJO7K3T WAeQed+PYecgF2Rnr0bPRMikYxdV2lVnKCh28aWb4yMtKAZ9wGxNC7GUBCHWO5xkR2NFpeCt2N0X g6wxGQbU90UzdMFXMIB6JXdm80lIYPJWBAiOYxbDKUXFGxlpY6x8Hw5eLnrhfkxGDnoIcTOVf1fB +i9W9fy/jESPS43PCoPgGffqOJ6kQeUy3TgK4xBgfBrn3UdSxmWJa0+79nekqOGOaRii0BBqcxL3 fc4K1naz55fp0N3sQnLwrIblMxkR0275lpEQmrOxE9awzWIPm0oB2SZpBAIqciG+hlLLY1mpXnFs 5ZcKJyi9gjsLzFTzlrjg/7QOqL7XR85H+nUGuumNJZ4EEz4y2PoHGmMJfDAm2CPlEXD5M6v5aCW/ deDIpWpRSONkrhP2Ixc4bb0TDfW+80zstYi5h9sRS0f9AK44C/kg+jZPflpP93eBeKOCfsGLWtkH QIdV+YRegDJLeC/oSb91twviLiHNajn25Emu1yoDf1WiGVWGiNCBDxqJ+Bwr1aZo9N9txEeQpo++ vIL2i2wGLFBA7gG0j+e7UIc3LZAs+bnhgyFs1rXMsOfMUzgtggkCE4pDGytlifLO6t94Fhpes2VN 6x7O32L7GEBanLSZ3od4Qyr7aV1NisVsuUJUT+xw6FJS4c6xvGa44dC5AJuUU+oq+kGAs7F0Ki2t L7Hp3JQoQmNkLwivWFg4W0Hgo3V0MAukiOGJ5fZJTaVog/BsR0hfUwgqobulD88M8rowm5afiiR/ 0/c7QEfsEk0lLMhpgziKlyg5W/QuT0ueD6amV+KqTxDkJ/tu0yu7/bJ4daYfmaJLMDbGcePmKLUk LK4ERi3MWnEMGp89QxYJ81z8Hknm3V7OE+Q32rK+KtbDug+Jqrxl3XSalHFVHJsrsyhFEwgpKcxV uNdI/Abt3vkZ9AAUE8O5G524Q/qZ1bLiLE0pYkjdYQwT0FMTq/f70YnZvAfde+x6Hc64kDsGiaXI +567T7N1LWNh2h1fYEhwc/br4WrZnJ5tS01gUqcLTluByyV7GkUe+Hpkm9CGLwgyiSO/kGQpyZxV UrUTxoORY5ahf7MgQ9/Hb+7tDDenUTv5BId4lbzlK/A69433fqgEWPCCY+ijdgEDXqBM2hD03dv5 zZy6W24Y3+2isOwldodfD5YZUTzzoKqenfas5YJogFb/2roPSD0dhb7WqQCPgPICmlrl+i+oKyOu HQDWg6zs5KQgDwNGHxifpkGaNZdONGWwKf8pa9Yq9blBTP24MPebnfC2p1/g+w/E4PwvuLBzGidD LpmsTJExpprTotOvQgUyN6YrrhJGHwmEJp1JG2xzETmcoKjoJVoAGi9BO7M7JIjg0emYNPKod6Bw LA1g6BqhpUNKQGxp0m59kHMpeVqSqaQZqVj7fu2HsiyFOrKcdmyo3xY+/KwzWZK47+WIuvQewO1T lial7SWsN0s1Z1/Ms2Jq/sCmMkM7wbYogDQxaekNaaFPoO3nCl4oWoV71aayFWqNe/OAj7fxlnHH ksmLxnB+GoiAzkFuoJx2ihCFE0dH9qvbW4k15VEpsU6V+7K7lyMELYELgmSieo2/N+1LC13zYnQW uVtrCorpJeT89PhZlvSb62ygmQeHgBp1c1C5A/SvRQDSS2OHYXZD0bNwn8pQvLzHltDzaPux8XWF h5qYO07+ddL3FXoh+LOiff1D+PmQibuJzwjXjaiKyH78fe8QwQzO6nK4BdH0wGWC046AXReIVNJm NmCthwuQE4K5mlkrHDd/p9D7WaJqyfzK6Wk0QM6VK3TLGd8sGXAN7Cp9QuWlIqC3wy3uq1Kwi1pQ 0MvlbfIV6edwG0aojFAFVx6te7pwf1xAJIhUjn/3SGEJPb9QiWDDyBFy+7KacPPqHhAkD8YLheXb o2sO43xQKFz2l1Mz35B2bVBBsDocQ42XXrlAB8+4UahRmm2mNMq4kIu16RgVbdgDPZwNxv17K6Z8 uNUDRk87PYuQxApfcyWEriDQAYFfLW3wGLvAS0s594SHP9I0Qk3pOFJSYc5ktoFOBrJYyTAaH5Ov ccbFYX4NzvFy1IkkZFTpcoVaqzuaGjwBs41mTsCdgZlfSAec0Y47e8t0mlvvZ18Ju5XCYqZ6PA6u S6EE8IBUW2g0lA1jgLn1oazP/XoEB4QH7DxaSEeFZNdVdzJ8rpQ32ZbCQapMPHDSaR6sGmsjt9xJ bvmilhtmTHKDCPEOzATATG6jKIAFoFFC3+sLTkZEbyRu7g/7JE4nfv90R2Ydk9XEm8jYNpQXgGGW a+W6J21vTYUNtwfZFrFrtvboR05r7y8GwvfYqabX0nRYKE8/sA8Ub9VIyIPskmZXgpuwVy5z58sV qpeFdvydEr5el5jXFykCdRTFDpsrdBDAPyR1L1q/JfJHJr3cX+2322HEu46FWKZVb+TXxojMBXn8 RbeoF/YNM/pJKN00ppUDnoFS3/b7DMSSqu3sMSPyh1aWGiLZSjHWTu+N8yOC+MdqQ4WshVc0qoM9 uaDlfHcfMii40PE0K8xoLngtOTxN7gLBHJ7gzMrVmvNcq3VRqPcrfMjIefRIdskeojYIeWxFtbCA cetLQA2RqsGgkf4nC9hzpGib1z6VkFLnxkibzHB87PlYyMGS78EHB9GM2/O1IKX3Wp1JrgJ5/2ho TtakhxhjjnaLJdphcgAuOw+tkgyS1L8ItizaGbcSAcrEKGuHYhAXY4YBwHX84lrVKCgQdB3eRBJB TsdHN9n2wZlBTzZarhGy1seaE8ja80eEob7STNivZ4s6+3u4Y2DjpvKLTVn1x0g/dgWKrGZx0rB8 Pzl6v1Rf/lj2OjsD62v6i5qRzugEHSR6TPOG/HmPGzaaco34cgBcgr8ZDDpw9v+txbZ2x7w5E04J T4Rg2jy+uo5/ZcWEhUCRv2o0kfyqud/ToktteKL8yeRFpePPLNVfg2dJZRcEpfHrSH+kDcP8bLEo IaSvqpQu8SNKJ7NPd9lmcmGyfDkoL/oP5Ce4DPvIS0N4gdNllC5mMCiNTQM2HI7knw7WMoSrDEy2 bgaRHcxN6YUYdkGHoCnP5MDNtetrHrmxgSR6vIG1TGJTnI8tropyVIIpto/2DLM2TDO22EixZnLY UUAD+YieFom96MvdJa8TyGl2wjS98nVvtyVh6cZjDA8NuRfx5BsmtIOM6QR9U0thORUpbCkfIcDw BbQGJxsNJpT7OjJGdLFNBDxDmpCNJBVw3kQ8JrFoFuUx3SqT+KsPqmPcIk1Qknk3Da3YDZdTXuot bHrswDDG2rHam7M5NEOz4K8EBZy9CT1iYtvzPK9+TPB21o0InL2E8QX4WitbcR7F8qw+csSQan75 YPvGR6UWHZXwshq5JeGz6pJ01QXYj8gbi/O/p9K/3qCBduiVheVw1FiqIEo/xMR5v0n74LjwyeH/ KW4EgSgf9vnv1CTJV2fsmYtGhGl76fHzvkA+oIfdiX1zTRKJcowHFDn4UnsXmHyLL65Ql2zfrt0O qj1HQQEh8YsF4fwn6jfW37kIXNEcVS1oSl+RzYbIUhEh7FYq+xYwHbsx6lz8TB+NNVbeNCB6rJAY Q5RYkQNmmcUyk4+jJzhBgQFhLJyQgRu5BMGIxcDZYnr6nS8kj10kTXLXdpJEK/nC6mATUjUPMbs4 MiacztsmMI4EiAoVP68gsO4CUfpLOm34aEHrCR/KjaqrF70b46ozzzHbuZ7S8TXqfE/M+HlC5GH6 BSEH0ahe8TveE1pzHjcQgMZiUXdglG9yMCq5fsziaqPLs3nLqQQ+DnzWId99w1Lp0UPDluMxmZWQ WSM5e9oYwtwAyNuvm8gixMAaRVJBvbdbUYuOCYsspZOs16WZ8gLQnkpFiEWTg4n1eknzPcsiywR2 oY3CmuQpTJP8PgsgdllcabOrJbEtJwlv3hY/fCpwfWssTkXijtQX+9RS+GKBLSxhYPBniI7Me4tT 0HyZmE6KESVKesxiZXTysR6LPLHGzIb6bS0nGKmfLR3bxa5iijwp/B1/0zLGoEbyAcILhFv7kRhr T84F2hs4bMgiP44ZXS6ZrhnEE51z9LwoS+kDTOC9WeVWR1DUdJoItbXKDJIx+sW+xG4W53yBYZa3 llTPEbFxxFpWQ3p+A63HGpPlMCrQv4mErBPvyJahv7F2jNO8eLjxMJzFUWdi3JxJgMEvcGZYTbMc zB7fzI7aC01WAQxcdHUmCd48CT+Fv1XJVLMP22wr3oG2TGElL/HVbp9X9DX7jVp+xX3KP61WaBuk F/HI+b7ZPPHLbKEIKA7aYmA1nU1oSctZqGz8DYxfUJvsDRbPHGiUEyWJx8GIOunGOrTibukHyfsm 10EQvzN2O4g47RsA3ZvR8BF2zzxtLf5Y9ymzcQ4H8WXKbVtOaa8doN5hFdajvPprWOQ0s1t3/sig vPAzs1yPo9iysWk8kPfFWV6bsuDgMMZYTCAL+PyoXwFR7IgMNDh9UnwVYKnZ8/+NJKOn0u2udFds WTs9s6Wxv5rOvRRVwg53XuccovXiILcXYc1V1qCeU+OZbRaXjANTnWeXRm4x+wiu74mgvKz0eNFY JqxJfYj0G7lXchcWQ9uqU76RDb8eh9KgDymXrpWRTAHMKj43tpS13ca+qitwymCn+QxKo9qlvW0t 3GqIzxoRBuM/UiqRLvPoV4x9pGTnoP7J5e8f3eAjVxsk1XJOesjQ9p2eRphDGoApPBaKHu91jwAy CB+KEoLg3vrMYR7meUVSaJ7GR3nbstuELZdHmsmtYjVtiZsd3SSLkjOKa4FlpiVUQ7r6gfYTUhwA FnnDPZnnoUY4j7BlD2iW0NeRUERy3/0pA8A4P0t829fDL5AzdQxhiRvs0eGz2Q63UA1k+wqeFm+5 CVIFNSREeTNcBbq1jZUhRcmbvnbd2MuVKwuArzd5sdPddtM8gA/TCoGqR8tgTzOZ0EBBk7CJK9gU rFLtdzhEYZwKC2wT0BvB+n/2YOure6AQvI3S/COnmkn64tx+t8zHtRBcoDe7/ToBzQuVV4Ybwlrm 7cS207QRVqBZ3qsSZFCLDooM+L1i5IO9gVIGhYotV7GCFNyHCPFkPLyGmPy7q8UDcEtmcPjd/QOw 5dRin/D9DzsstU5sYxsgB+XDZKej2Hb21HpLGO6FCwZkY8Xo1dNOb2WnnvYZRFVh+3g4NwPpPNTK jJlGXu0+R1ahqI8c2rfI/7UbCmybnyN+FWh83qZxzLSOFashibh4QChS0Qz5/cheAW9emGYKbJbL EMw4oLvPPjM1V7uhLYbf4m1IVTK0J+FXpNQblOMTLmIJyCpJMVNoyZE9qAq1601XkU1zG/PldfTi 5ZL3cIDxg38tbz/ya4WG+LrYXgvvaFGb58uPrGiExOKZTX0qwDE39O5hg4EhyeQE9Ln0eep2gaJC v2lXLvKvDD08MpmzXMEhqIcMecW+sdKeQ2cAf23pRPgRdtGcCD/F6ZbLnv+BhteCunoQItmQVbZ6 YyTZ5C2q2kubofcmlI3jr/gCFtkjy2VEMnk29mWmAUmDYRV96cs+pumwgJlQ5c/CDDkq3OOUl7ow kD8F12BKVi9RD0qK8GtMX5/aDuEDVurmraATriKRKlI3osN9mnXAF+eG4d163CO+OoUlw/Ij++gW lBsHYWOoSOhMs7Hoa+zv2Gjq3XSHDSH73ubKQUFoIuoX/vE6+O6IYj6Te+6vzLAarZOmaddftb1I SspWet5K9vx0dGG9IJ9sHH739zGGN9iNPyqGAOikf9COXLzM/e/HmGPUbODgl6bGcCeWYaAYZhz+ HMWs4f8fduucQKxvjTtOR6QHaxW8tdFaL8CaTPBEUIAFgPz6HnvOo/gaIoZagTNbzHoFZO7cAEYp BHZSGRZisI9q8ytICnVTQNf0JA3Dgik0QDv5vqgfn7JPv1HU4QwFkbQylL5w+uaR8qfmxJyYDazu 1K9pzjbNFOp17T1ce71IC03tZYPSGTt5XpKVA6VMfhkN5zQ1OKPEl4c9KMb7udbER4ughG/Nw8HC hROb7+ULTi8hoNmTDlHFJvS0h9mRN9slwt4i9vX6njjL9f3HG5cajQmp8+FQEX7+pwtjt3GKbzc6 estkSgOWQfI/9WEjtDAKBPH0Cjcb3cjk8ei6jUSe6DTtt/a+Tcq3t6HLU3gMrFqFiUpOE0hajVVp WX0IWlkqoW5ccRmkHgvsX1oUeHyPwfMqIBVKo7ES0K5DW0bXt/i47xHwzwqIlUOYQkfqjbPngy46 m+r7peY+CD1ms7WJPd/4+JSo/CPybDrgB8CXO1OQ1LNAdT3tV3v8gi6TIRSagKlV5J43sLTMwdp1 Hc049GoV7NNHXJIdbuBExRjF8WpxQSV/u3/cCQ8v4pC4cCu1ZsPtjv4r+sEpzIbdfpdTeGzcmheQ TUtEAv8bT/mZaHq18c4oESEzDsjeL+SxDwDv42Chfart4eIrQmQSz2Moz6Pz10YLx4hRclqf4MTk /FgtF7fg+iwgIB4ldrgfTUWTtdl0wMw/S80nnwdFI/w7i2SKoTT8JZsmigI/4d7vp2lrYXupX53H wsG62oIOD8dCvCIhV7WX9TC0nBJPRss6GxiWijHwHSAGvNxNFrcqhliBpLU5+f6KRBXM40C3Wy1a PC6ozDkYD9c+sHN0QDZ+rMMc6bfu6OmyGnYqnExs/cMyZPnE9qlEvrzl7j84zEexMxE2DdpbevsV tHulkSMtw9Tam0b4a/PQiCNueKaL8SSexWl5/mIdcJTOKFLH9nXge0wurhgvi11p3qNWoaoUFIyC VBbjXPavQcc4+0Ax7yuJK1onRoI3iquZstcEt+Mha4k3fwzlNsOkuvWQZR8i9Vk1qdr6uSaYe61/ h4w4Sypozo732gKzI2bX74hTZnKCZegVsnlOosZiYW91OVAZhjc4dqAmSGf/sUxoRqmDt1D8f90V ZYGG+y3OoSEqOeyph3CoiLOcuxcUzfdYTjoth6bacbonj8Fue4iGVa3mzC1tanwn9jSDF7F229/k hTCIzYI3M+dHoZbcd++wfd8cgqXgjEDvriAwbv1ixo7w9gZeE9g7AdRwZ2azSxisY+d5GcMQwAA5 h7lTCfg1ZcWhg8SvWohNTmibxmTIOwzHrBhlwKXJzqpbymLF5IpunOqxqCemS1kAqoa0EruT/m+O lLkgWhFIjyaugR9ziOjzIwLad8KGW8R1G69chKM4PdmqmhYABdPH6sL84C2TqWdsGZosxEHZWlgb mSD9iyZxMuoi8mzJ6i+KxlTvSR9wkME1pSZQsa25IsbYD0mrZ5w86429/3fM2mocWqO5/XYxumqN tYSsF1PXu8wjTicPU7v3HM2os2pO4t+UlXp13/Od8RyxtnofMMCJzWB3/q40XMgIIUcI00TD+GHS bC7TqoTTa5auDHUNZoABG4juyc9T4QG2YOfo/bbLDUOZAMATs9us9aBPiDZdsY4/h5D9wRR3HiYh cDektexTrS/IFvqdF92YFpTo7sUM9e7VStDmeXTC4rMGqnCCvs9oSl74hAEoGgZqms4k8q2FwnQx W6cJL5emfmbU3gFHjeKjNETlHjguQbTfsAIrkmx+6M/3xffptmBjMZgoVS+mfeUmzjjS1IQg0TCm hjcrYf+opIvQTog3lYLmVscItuq/pbh0p2s6d6A2kLI+o3+ZxyVAR1F6813OBRre2R7gF1EMtXOa LLMSExs48yGObOKFDv9FFpePPKVT0CXoLM+UI8fDNgdD8p48RRA2dQf2HlW4EuE/mDUqGQMVj10r TXitpw5s3+LSObRdu5AlZ1HOiZcpt6JQ7unalDLDo2HbUcMXPzrra/skAsmVoU/MUC1+lJcE8Kjd ghnB4FelomfWdY+eIM/K7rz8u+10kXmmlRoF3Ci8I3/lFhWwDU3Zh0aLCZ+SUwTolL+0gtq4jE/u guAvPfgW2QlQl7UbglvnC/PZnpXHePkCqiuLdOZcYTpoMQI3vl8xwIcwHh/TDi8NmNvZw1/Nv0+y aWz3aB1teZO7qeEz0LlBdULXJX5SH/A9pwl5BAx3D2XYTKFZT4dmcJ1lBzxBl2WiDXEGg73vWVE7 PLBrYzzXG9k+5uMhpo3p3ug6Nm9btEsVVIIqBATBb1LyldAmCl0RScaStoTUTyOqxedsBzzZqxK0 1OtdjvhO1Pa+2Jjg25WB1dPU9yUI2B4av7HNhyjAZ+jv3r9/GwEQGobcEsLQ+HK9pI594n80uItu HCQmLGVM0AcCJMdJe3RrK+LhTdTuUKJQMb2foVjHQ6u2QigN8ZAmniTiD1aBO7KJIylhwwW1Ghgn tCh+sH4QB7rJZF7+7Loo3/aSw9h47iel1qGT8CQXyF4F/enFn6nIUccO7wRCBY7nThHtwgG1Jq03 RX2d9nkaojsb8mSoznhXiLtb63SUhQiIJ9yy60onVT/KJMcUBfxep3d6OuubRdtSAkzF3pC8KfxG HXowKO7MHD4qyQrkP3WMM8KPfuvifPbIY4XtK5flgMC0hcT/ZzE1fsZtEmWCJZpPhAuUjSedFUyQ kmkai5bdi7Cs3lcqJMOWzuJreREsCHWvMCmfqUBkguHnisqdfpZ/OHuFd0XNA/u6CKfmdDi/OHaT NGsRcMchYzxc1d+jhPYOeIMb1Irbvq9p2KFefLx1aO/KYoAt9YpdBjw3mn8hWGvPVKTlO9o1TUWm Vm4sSNCNj6dL9XLDqDCoNSLxij6eYwzFDrxVBgjoxyHApaGaImpu87w0LgAn5FQSXhJOTLNKr0FC d9VmrrMcEwXDmNFkr2jU83m19FKg5bYRt3R4vG/8QdmZ0oL15dVkWgjx2KyQPlnhCvFMTwxsr2FV lZ12ExA+sxQnAwehrFvygEMTBCoLF99Nc/ly/aQwR1C1O1bv5udcxzqYictM4u7UMm6h1LUkcx1U 2PXMnUCeVldZ1ZM9nQ66Kdygc2q+0oLU0c1NuJyFzqbJOzLTjeFmoV4y/qfd4h5PJs1ywU0a5NYk ue7pKY82ZKhYz56aHSxhbjSzZM4ojm8IJtFVhpQbkL6yZjL6a786KckGgb0e7+pNARSYgA7YN5Mc gLP37NiRKtFeNM4LiEOsUE6A1GtvxSuDI6d36hPMlln67nUnRkwu8M3KLw5CDd8SQG7NbpavFvTJ tnEMdrAk3DHBRmHwRVOGSp9g9tdLGOgrlOz6aMeIue3cklygNq1CfswOBu6ghSry0/YkGk/gor/X AWxH1oG7CYr5+uBlGdylVOSjkdVOl/mRM+RBO9BpNGzpxUNGN21KgiejoyaTHLrnH0/LHZrgxrHP ud2lJ5iueIOUvJwtoa4fb0O1bu1zkE720UZNM0BelY2udaqhr4vPerlaGHJkWovSj6zG40EDOdSf POicneN4AAoRGfvObAV60qWzKkQYB6uVOcf9BcOkaHeSqYnjjSCztVxVJOLkr7BVpvWELcnwFzBN gnHojO3hItlOmGOm6BQpmRxLZC8Bwwc1Q1LYWbzUIOhF5btL1rM03t4CUjkUGhZqDVrVGZbG5cNQ r9oxh+mqTb0ssj9IrvWiLHQ8kRlnO+gsPB1orvV1Rx73vVedpZX4OF0FeYJ07QvmG5nnXrT2krwK hiaAsDHTlHqBpQqwhenH/kfSTvb33mcU/nWaQhi3ySxtfr19d0vbJm1+K6LPr5z3fv/kASo5bgbU Gl/rey7w8La1neh+LiftpJzsVTwm5yWXZ1I8PmvDkqPVWcJYxFF8BlQ1dfn4p/YzoDZHzKGSKJaH yfpcAicZERfi8IWsxHavDWvItBfeNW3ySMaicAGW9zeRUQoUmHF3m6mOWKe+xXYJGcYmgyPxRJBB KaH2iQggUdWsw8u2x1i0g2lRMZXGRPIwyX8NRUTACuzmVRFCDJROoK39Gfy/B0N35qQ74MZSUREH x8loJdUuJ8Mk2xp5qZRCn98dFPik7RJWjKBUC8spsltQToZ5EElKooxmIBxcQ6XFVZ18A8kOARSK nEZbyj4EfrHxI88iTV4gJnuP7hRSFG9qkw0JaNHfqYJSwl07P/Lr6IcWGPab4/kR+noKCqAwttJo Zof365X8InpzySyRWpPX8FC9olh2ZFypGhaMY+khuP7p3Dw+pfmC65x7j0v/0s4AesZa7a4y/JOB 0gcatEox17+l6ph+bOxL4I8RPJOB1X2mZZbwMpBMduojTf9JUfIFAeryglc/J8p5UGj8Tq6v7aXq 95aKr3pv05yPHedgAVhjwlZEiHuR0VyrwCkrn6qYNB0OFoWcDYZi1ZV1ic0bn/iQiK+uF8KR3MSw CjA1gcIn/ak83A5IAq2TZSC6YFzkkwATFfuCunsx3+KWWEaVLmh8cEjO6JPPqpihZjNmNqpy9dEf +5DXhB//DeH09hafD30LGCv1N9lg65fRo8P12+aaPd5wtYJddPKtXKSEwWvR2HGs1uzS3sDptINx PPzLfUZlIOvC6aiF31+dkZP4TcWvrCo4vrNi/CROaLOtHLIFFVCxeB4Ti2o/I18zP5petUvyUdtI FyeaPsv04cXTSt7/xj55J4wR0O4WLMZ0DqWyA1Vplwlh3dJdxnghzHnXxx5evASHVK3yFBbDT7kd sb5N7MbaRdVSSk16Dls+y/VeqXpQuLAnv88M01tg0wjZyyKj0PRnC1RXtmllxIavca8i3hF9zxmN 2kWE56XqLXItAJt9rptTbfzGSoH4PyDMFEXO1hzkYTZCCmMijPFLUkZjGbLs/Np2pkGolaQRGmE0 Jeu3FzrVIz+9U1cDYdOzEP5tWwZxroznkkd60X7CbkTYaMyqaCefnSrlMR1fcQFAPycQeeVr6uYk 8gn3j4GGaorW6Snm+YEbrQ7V/6FMlXHmIpSraDrc7mKDGMUKiPh3FIENUbIbZJN77PSBTrFEOldG tuL3vKYxYgYf/GO4lolMNzrFn2p/xq37vMB8K8JYFpm2sRgfzjlKyE1k7ZE6pLQaRC2mw/6I+50S PGUCMzO2amwB8hGyBjLgh5X3rULUjLn3u8XvSz6zFuCFrf4rCqntXg/jMVRrKtrPaYXtoCYTA+02 GFdllrssH6kPZb8woV4mAnMxcghKVJMv1z1vMqbHRjabN382wTksIunkaijXLA6UaSYmdZegVGLY rynyvxLh/oswTR0Tun1E+x+BS3Pi8HWarGXZfDwdb1OBFI9aFehk6p+6cuLz/XNDwBSqt3J9oXGz cp4+O1TUHN8J+UhlUcEx/S0XE3ee/WrWir5x+TdAoMyWAdF6gt3dt2XJZZx0W29TIfrvN8knT1l2 rDHMBvoiuLDJbxXEzmUyavUQxFQFaP22P/nFjC52s7VkF9tRriaXpTbnbgc/uQT8Jt4/tFqBiWfU 6v6+88iBKN5d3ggrLhdMRT6wUnz4aLFWRrreWnF/IXOnx6fdCOt+2/K9gLb03VkF34GCEyHba3Pf PdXsgKaD6AnaqOIAQyzPX4bs7Vy71Y5dMqRG1az6KrZHueUz2dF5rEJ7vpFCiD357gIVC21Y3Bp1 /L925VFkk4c5ZOWZJsvqGTL7YlKLN//s0onobVCP9MRnsZDt1iEzMjb2DvEb19tkPUZXcDgTGD8C rKyVctVJlf230PO+n+hVFINaFzcz/QaYxjgyydxOumkOJ8ni0Z6/nSSqF1Vv7OgBbJNowiO/OvRE MwxRQmtGbY2d4QpdwDIc3v6RnfIBSB7dipIjau57geduXtmOZL7MUtB7GvTRwnwJxz01l0FPVFnC Z+TC+7sgl9S3Q0YQRH2r0lIyvfhGKf4GVb0rCpzjw7OUWTaSxrraXVLUXJoW9GfK5MY4ShrvWY5j wA0qGeHA+ayjYEjp5VT9UIa8QOyIwsRb+f8HPxVBQpGMqBQs7FtuhPteQnCrJ2fc8MyGTHVH8Y0j kZAq1OM0ZirZD5n+mgj6tU5hJWNRpYRk+WseHiqp44Xo9sUAtU0R++2VZcGR/qDnTVFUotIIcKn9 Clz4vdmpWnhe0hkmzbVzs46cnFIMc1sVR0HSfDWWYcPUYNfhE1+X87y/rgLR0xCJ+dmUc6rmXEAY QpBAgOUkrVxHCFSugqS+xuTN5IiTOnrOWlTqukJDSZuzaXh2f9P4kgMcitcmmxRQ0ZFQNdKya5VN 76KoJA0qXJsM6speHBfuoWhOj1yUeysK8MiEDIewA5h7z4Zj6EvM7+1TH+IJywqb5RwHuO99zsGw Fy2ABYSWzvOI3yrTF/txVwE8M2UKPATb/OayZ+v2X6ae+nqqEeF/Q3k4tzXvWF7C+IGvVCAVrWI6 KX6DZbPxNEJysUi8Jre9d76lRWGVjZedqvZ3rN2RrWiMv5veJ6UElHrbPmsYwDxtyDRCGUguucpa k+i+oS2owjFbqD4AAHKA4Z2OjD3r7mmV05pieq+NSDx6VZHwDOi9JaqBd0kJY1BBxKKRXDzQR068 12IsZ1dzwv7xpfi0PQFvVykrosszYlNdFKoSY+Qeeozjtvw/E1PPFYyKvKm9f1/kiVUUxX9/psmJ 4Jg0xvJxw85srBOXW2jTukSqZrDlaoYDaA2NERiee636EQNrYeponTcHt88bhMGa8wjvjgWY9UeH 28XbHjOb3K/QA5284nOAIthI1Q8kW/CLVYjv+MiQRYf4taVO4hriaQQdPUc0aidxIW+M1xgFL7OC WpReAxXBlu5UUOB8Om9LXiI1NIzGjELW9iEDF3/1vFVcjdVzRLeAyK9T0xFKf3m5cf7g0I0Lj7h8 CHhT7aXPSo0NW3oPRX8PHW1QjxXjOvwlAFRIkQcUQX8Jgm1M2nc0GkQtdTGUMa0X/UNHeyP+p3/n a77bmO5wXjQsADRE/ueCr9MjmmBQCe627fHa4EZWHJ9oM2Xx4qFuZhbD+TQtu5PCSTX/9RicnwRm vfok05lGs2JbVV3bp/NESZwml1Ux5Zc8NuLgOG9dT2ZdW+zkZqFgCTVEOY0TbtQnk9shFFd2zy5K m7L9rqSlV+nRX2OMJSSCkji/V9vmVTzILg5f486NYzVAu08lMB/FazJCfJgIsXkFaPvDuIscNC7e V1tMmNNnOHdFPXKkEBG/OC61iPX6bqF8zUaoL6rvK/dSOeWSrgLetdyEdzfOYou/Gx2I0c8AnI7e utOdpHjBv5NG2f8T5OSDPEyduI4emi0Rmc1GJrBWkWducjOpp9zA8TT2a4mt6zwEdatnqagZKPZF UObPvzc0omVn32qYIaLQwV8/eQVc9kgKozioL+g2zwPQrYRVrNiBWZLTW9f/7IjVLe30oBFJOB/O mWFx93WqNzVXVDlBq4AyKbGFCoEOYQCYFLNrpdaCa90VjnOJUAKpON0fY7wD7z8bd2a/PzyGUsXQ ktQXt6jQ7vJ9z9TXie7CeAHFoB+ug6cmfIMm5e7BjIXnTu0JhFvWfKHYlDv/jKxSKnt34HZu+vCH QPBL36rGpWppQTbCp75LmKpR0a0rm89sUDWRLIScSVs6Uo9lRulnsCvAC8LUpExPdox/1yASXSnG DBSCIctgan2TPU0gG9iAgLP9wddwhRH1wpuSnhP1ah2fhaqXQCRxh8XlAgxJgL7u+xvvwYufFFnr SJyshJPVdUNs7o/uhBvXt+4x7umgHOrw6a62qWiTCggDGL3mNRpHmbRpl+/yhOuUvczMSnEVNEa0 cvZLWW+USQqup++rIH7tRCPAwVmEgkdh+mzBiAzmcpDLmH5pR87Ab2dht/rg2KDQ7/vSWOXUfThl c47l41UElnwnO8lNlwAGyTV3JFT2tVbKjWqUcexYbxkENfQvKp/ngRWQC5karsV0XSo/AgbWwRkK iRM4bQ1TqghexR20iTkdTi7MidXABb0ynywpGQoSRwT08JT7bME76gQnJlUIgjihIivfc74Cgi0e TWRLlSBzF41I71/WV8Y+OJaiWu7q3pVaH/sqxdQduxSaYd6v7IiLnJTjLJMfSXDvshwqFXC6raGv EzHPLv68wNv2NSfCeiFSMcG0+H0Tan9SqEVu+zOrEey5RRxBF/Hcg/tGzqXyYbfukvq6QB8qG8Hf grTuETSwrfSEjX0idVV+5H4dTafGSIHVVO/JQaJO0d39dvYre4dWUtZkAqe9JrCSBMdOC+HZpWMn agND0onqBw86VpaFvzV7DWLzq4abJL+lP/wEcctOBABC/nq48EvRVshyruZNchbJHKy9jhkbjFjG KSJOlw99K78IeAZhZhFDlsBKwNRD1WYQbpirEXZ7qgKRhuybDPZeVXtdKburwZis8Ril5N5+DO7y /G2By+Y6GookMsZWpRE4wkG/N3WhFFsNoAh46LplbNI/GSDzRxc9iWzbzW0951tyuA9I09eUd/yv ZfftHhDca+oQ3o8LoV6ndMVaK6fr8Hj2ymPlrU4dCfeThUbg+SZBg4sFTSfbmZo4VFWWixKczhLA 18kS3BEgm3u61Nm4LGp2XeolNUGPzTKhYRXMkE8QgbwZVduo5XfMHNaJpn2IuaK9A3Z1TSkEx2Ji pArDV3k5SUJ7xioMIXxACiFAG1WTvnqS2Zr0bsFvTlK96IT9IbXa1x9u8Noi5AN5VEabvrP4D2aX E6sItpr+du71p4sOQVXrS6KZjtxloJbpBLlEFnka+sspmGhj2ZKSbEPQhNCr2YcsGPD/w3ZFTlxc 5y0OXUI/uoXxv/fqygOy3iJIJKTePMjvrnwgQNBECHfgAhl+coNfERN1c/2vYhjfBGORuciGVHBy Y+O0S0FTKy6zA2fU8hselg7lXFtVrmd0SUmuwmrVqUhi+dSoZTr9E+dZlljqTLy5472SbzeuDfRn KsT2fOc67LBMlHZCuzBReLdCa1YIjqityg1+VHlkXXgzw8cZ+HPYp8Wezf0t3mKimoOt8lKz858i LzWZyOhCkQlf70yThqFCSHw8IAlPGJ8YqUnKjcFJkRFF3LB8zswDonPauGFxRqKNkA01J3cMgr9m lw/xIUkTQO8PBx6USXdHPgVYgCFl4SY57+9DWyYHAIs86ibCEwI42hIWNtExuuyycRP9VVhuhtGP kGdcCXjGo4Jp7zbsHI3qUl8yrgcoPMnARj/XwOZrAhHujSdrooc7fulNBLJRUDrCzW9iICgi6cqF CiHoH5SablMAGRtdnVmSUrkWySb8mla2BSuPgeyXEK6Iw/GT8cXjaO2fr6mXZw9FIEXv0Iurjxqe DfbiTfTEC3w73qC66k0Ll2QC1DVMEp59mz3u9wFZoFtmUDD4pwFXvBl1qvqE7uRvcvavx0MlxejW 8udtjjzLt0DEI0LmpFNe0u7KNbIbJ2u+vTguOakQOalNmQZeJLnL3vpvR8/JZLyuLHUfXZ3uct6F lLGZXgp53GCs54TxhElaRPN2HejZdRtrilmAXTc2FWA0lRdNpOMQWw8MQ/2qVMnVZ7X1Yj/xl5t4 PjEL8uW8Sal1jt3GNoq/iIZxKhJyUXoHrIQJtVfv/3e7T5ypWT4ljPVN291Fh09HKmRulvamLisC IWvjlQjaW0rmyt2qwCeqq+WHaNdS5kYkFYvVQQLH4AJP930WBcJbfEtqnm5eVwMi2NxIpriFnfSg kdJUt2fzReoBMwzoYPhT0fXUgZSV6W2FkBReeG1+GAGAVw0w9zVMfTyamjlWNkgJdUWRLbpjBRVs vuYFhloYQjwu2xrRoxEccVHbI0gOXzCvVZCgX71nEGPmsjojxhfYFa9IgAW+x+IYVlWRj1oVvytl 08MuEvPA7gkB5S6VFVTma+gSPeSYMfoWlrrceTtDeWRCRx3Ik524zs9wozk5ZAiI5MiqwmLZ+jG1 IMePEwPZCDX/1alycpE+GUqxGMBfE9SZK/f3uTQrxhFC4Sn3sToMgu78fPQHzo30srWzty5TYT3T 63HdVb4rxgds7u4DIFe8Mswrn5ZZtp1RhlcIAvX2FV1XKNmeIEGnQ0089Kf/34ffyvVXJM5zQdxQ idNbhyXWbmhlFjQB/hvv/kEzM/mWaimiAIZB7hjXbYGBdYWgYsaLUCSLl8WBLFWbGve1BWu/DUkE a5Z2AoRnHyzWxPwF/8z9HyPWKxTk/j3sE97Y/3x1M0oKax27vLz5lAfbDqUwNL6+fp5fmMoQslq6 PdfyYHVtLqSUG8766gnWQA7i3Gu39hhgldMyP+Djc4CJ6ZKJqar/De9o+KCYy/MkuOiAU2/cV1YL PdOMedzEtRPuXXoBJxSK2k97x80Wn2bw0zHHZDl5ji60EzVgVq62Fbb8sy9ICIFnb0Tnm5rhomOX 1Q0Xi/sm/dCwN5A3C7reUR2muq4m225Jhbsq3VKTX50i7YifDH8IUEz1oHTseqaxwUlcCEFSwn4D +0jmrj6MFX0DxbJgaWzmW1lvbDIVF86fpH+8k8qYq79MNYn292YCYNXLpGKFvHlmz7OqcgQ8S1iO iHMVfW7VwC2DbnyppR9HlnfUD8+274sNa4io4vBLheXgp+p97pQMJJLTaVINXY/Nwrkfa2Mb1LPo /2Cnxd1aX3l8suqu5OSjpD1+LRQkFLqQ3/nvb/S/BGGQSFsSbYmDKqAE1TmBcG5xtRqu8Yefe3WK Y+OZP8v9pmzONkvEvRdpwdyKNlYG1CISzLE8+w6cbIAT5pNDmutxXVsgj/6pYaHjI2/y5MA+h3jv w4WdmmACx0LaKivXCM93uxd5WrytKueTbtvtbiSjlLOI8VTRNfE9m4dPE316LlR5/5Vj1Tn6DsSP nNtM5npmOfPQ8PTEzBpOlXBTV+WNtmlCvcaRKsX6tj8GG+fYW/wM+wB75lYKWsJGAgW3bQ440bCy yYQuCPUL+LzZi4OOHO8W/O/n8ghAJlIU+VB+HsXJCoaQw+YVV028NrQAbl1lgxLZZGH0Psl8wG0v BdFXeFWq2JHKYy+39gSByjOKnekE3FQIy4+5HD0jMC4tNCdthRCw5vwlm4LJ1d+ZdoHyeD2R3XwE gcXp2JCzIcWVyHYo2eTObzLQZJD4BwD7Bfjn9XkwF5O5yojnbB9bEi7S3T1oAHjWaKs0rR1V/tv0 kXoQMT2SrxgqMk525GXU1tE/Y1JJhCP9k6VVtC6oR9PQVcp2aAJ36e0oA4+ECcusPuEszCHn3spK GvW0/QMeCJwDJ9ImrlfuttUFrxKz+2xVxNj8UIXs6cKCn4wJEZPzVOpS3vslrYMwPGP+M1qzKLom RHwifF+My8ZplB0B3ROZb16DTKJ32CvgRpYibLbzh5M1Bp2dsBdM/XaPgbb2L7KiMPicmoM7p93T XcGvrV9a6Qm3crUetU4F2nNQXukw6eeDQ3pzf/kg0vSUsC9ToFgMr+sebbt6mLFaZMYil+BgIWN5 ris5rMpddcxaT7W8XHOkgpMVonQcoMWh0XABA3sxkQzgYLHWjbD/s1tjPArHtQFLfLeWdBYWM5yl yFq31vVkPUtorAtcLnCrSY+6hHH3x35AbvTiW+JbWhkNZwhacILMXjJznaqToCAj5+Mmqf9K3MQ1 hxhloqzUicff4yCie/uODQPf2L0yJE3iIhAu/j7j/+ift9Od4vO9mIOxLxdHpEUhEVmK7VLgC6Zw ks7uIfe47tBmiRCLTwNeCH8wJQODIF/P3xCrY4eWgfPyi7v5JUjoIXpUqiXGVmjdI2XIpE+kmJWb s5+L5alO8ZqVR81INUwve23JcWcyYTygfYFk96StHvzQk4PIULi6yJEKbx9YxazMzqZSeBCd3aJ+ hzHnMC+crI48/RUGtXpgq9i6UQdUpaszk4EVcajHO+tTMxlb3BQs2Ob58It5IPDa3KNa6exTw3oh 3aJ7/jCdmNS45X1dGf0SLAWyxmP9NXuoJU1ACNIHZZdoZBnZPHcyf/vpFv1kD6juSf9Bp+8ArEGo tzn/k+A8tn83ePQZn5hHTa+tKbDeLZsSkeA5tiZUOL3TGjgu1yYAKANsglAuwiLFvRPBw6WiAcFf Y5CJe2mH9EXjkL7m5BtBEfGgjGqFLsR7FKbIiwV2ZVy69WZrHyXeb8udJS5HzOXip4bNfgHUEIW9 VXiz1DyMFfuthrqINX30ZbIMEBGhrheenZ4NByADAxDnM4LlEqxfbPggHZklDKZEztubr2SMnqGT bW/kbFcVRqQpqHEtbKYWLzl1OTVvY9h8urJWYn+IXbKnKpkd383G2B38jM46gDJswSlH7bqeuu0Q 5E60i2nDgmUDkgUbIgvvE55LLSLTJpMOVuvf1jndsB7ZBD0yNe02wv4tyn+xuKBIfnjZbjZaG4Ik NDXdjPj3ma43kqU7k7DMZIYxotbtq/H19vktUUWD6pU8Hdjk0cNFgZhLF1nPi3ijnPmQkhOAJqYH AXowab6Z/WukZCsFRhsH+aiorjgLaHHoDq2j54q4W3aR4tmG9CHz42BUoUSKWvK5L61n+6Zc2q2Z VgAYKphymwY+WWiNnawTSwmBU/QJzEVfTwkD5l1hd+5Z8sDJf9eRSCpF7NDRq7gb9RPFCe9BRMhY R1kXjoPM7nxSRh8RjDt7IQcczLhCbYyxESqiFr470VFSLlADs+HV5G9SoBmIfe8vDrt2D9dXLHdR nUsA7VzJEO6YtYoKmZIoUBQlCJC68CzIAyl8Ka25SZ84WqXYaFyQ+/zkFgAoR5skq/6pL/QzPIXL F763azAdRqLH9SUSL94OjjhwazL2wQw9m6QS8OF1bGtUFbriXDP3GEbRfJ8a5Q2a8L10iitoNEEQ YUbNjN9LvDIf5Z8PRuwlB/L04Tr5Qf4L5kk3Hze4LSP9V2nNG4pIQv66cBS5hRnMjfdBIApUJbU5 i8eKZfVJnxD1M/cLK/VhQyuOnW0h3OIhjkmPcocX66FGdEl8KydsOSmwmXWSSYcC+mVUcmU119Oy a454gV+YCZjWoC+2aeNaxw29/FDeWuGyzEyzs3nyTZFnJfepUEQXt8r1DgZYBXYXWPRg2CuMHJCR nSDSyqPAan/LCdxNvBfu5f6bcZN9ReUMXzwKh0E1oe182CZ4LXCqzSxYBtM3lTLAGitaihRgZT8F hwj4pGnEUdXn/SKk9bHDyJu28w3gqPtiua5SSFuXXFMwdUe/V06bfIBuNy3yH4A3A40ZsZVMVHyh MHv8WUDxEf31cythrlZYwKvGB2kvq1b8jheFNUpBHHkBlDrUB9WuFKy59etJ7AbkfAAsUqKpOnf0 hBdepzxrAC/eoLg7i1a3OmR6PkGrWwdJCSoZ7y4ZJpQzo6lN5mQ2sdoTjd8bE+pyyEqed35pbiY7 rl1LQKQtKB9/e1VOfLq8BD9UHN1t/vUfjhbYJ3jTiNaegckPvHfuoLqrZNJ17tnSIUhIkeMImIN4 yuoM9XArT2LrthbtVi9rEMxSx1VyzbCfPSvnupma4mtTIOa7HMJmWG/ysBLMpo00piXovc0/uBzX Mi0zbK2tEe466ShTtgavGIQSETH2toiIUEatiUSzd0GBSmYJKR6iQj3vTW2vokoXLbeEgtA772k8 NpZ38MSaqfOSPOmJ6TqPWmVK439IwoDR9rGl/9fTSlRvypSk+Yj2Q5uBq8GIRqNztmPVzjAQPGFy SDylntkXx5TtWNWedqcyPNEs9WaCkVQAtigfFoEQLfrQdpCi++p+BhSiEidCS9VbqVoHKc9HEvHJ hHwA/d07HNUB0p/5PuXcKW/rP5H2Jk51kNdNQQNJH7iAX4FoN2uhNSFZEOdwmTfeVASAZuVFI3JI ROf67p21d0ERtowZayBx873ml6yPI1Idbf/wJjFpr19IZTL0n/8xg165i9byl66R5cjQcGazRkcT 6qMi8+cnAlyEYXaV8yZf28tB9GJTgur3wmN3aOkhBWyg0LxJaifQr+DwqNjM/UPvrZCf+oQAjA73 idTw5IUqi806bzengm0on/bKBgTrCMr4E2mEfgd3Dz+RUYzPbgUvKoOfepLtatusLSdGCEuw1cSf Cnz6aS3upeGaxDUXy/sDPbND4I9PHXfwrcDniU2fm2o15xk007PO4bzsf/y/jZKzyqMzFCJQ2H72 6QCJuNbSYEkMcqDdkJLjZYD1xxUUwnB2R9i4yRfrIefeGkKLX3ONBHG+0tVC7AEFnSUn21mTlEB0 quH9EPQGxdP52F+aWnDE2ic9G6Q8ho+ShYTQSsILW1PfrwO4kvV4En2B9AxCTvmW6siVRbxZLljB 8h7Eyof7so35TUABK0e8z8N0e8wHREIqoTWuFIsdrlCqw7bavV8jElaPAAjYdQURl/kJ1lukO4tq m28f7kZ4ZGG56Ue/VIL5R2ksJOsE3Jne4xIbqtkfLoNhTM8vbGJ+oJf5ZNHCxf7aHguwCOQUG6sR CHIg+nIferNYQ/TLoe4UjlK+LJtFo4JtP1LyYk8NuTj0uVx7OX+yQesuasHcR8/D6Zv/wVsfDVvJ y7lWh2BwuirJbGHQZblrFq06wC3vqC+5iX+U90RWN0ea6nEuPTeuzJQsKoD+rVdFkZMjA9kYfktW 2qoaX0ZjjmhHkKM/zYdKuIdPj3+14w9JOK0pWKJUfHvKt7bYWkxff+AJI0NXMWaHF13szu6JXeYx +XSmh5rjRQPdPBWfizKkvkhSG1GO6rblX6TC3Kb7vIJTCpXzX2POoMurubn6f3NhxnODy+6RfBW6 kALemtn/tIUIEA23Eo/xdWDFq8ncoKNOEdsXR0Uj5PO12QgjFBTpSI0PTOYMAm+EOq9DHJF2tVDZ zJXLPonvl5PQTA3Qx2w5Oo2+y23JThJOEdSqXTENP+cUDf6CRt/HHovqFSlFBMDrs85hehJnYLv1 5rWKXsVuVCLpxS6EYm35o2KQ+rxi/hbm+KDATAbRn/XCY/QKDTQCjQfMaJTc5VyPnGa5uB/4HzB8 wGgUeOltgSsrd3gHnanrLWpqAgZUauSFMoj0pJ5TJWpL2z5S7U5jltHvlSBfz01q4nqlqkqNapyr oQhbIQg6euG5k1Y8K+mD4dA5njtVTH8m5ldJnAHtsyEzi2WL7Q8hpkNdwjFrf7KRu7cjkOflOdAG Gc0AQ9y7pG1fnjq3lCHhL0piZZlRyQK2eSxb4n8OZ5PivG2fsz3tWxfODNyu96lh4SF8TwLU/hy0 EOlOBf1Eu7vlqtsokMNv0izfs0bCFL+OT252P4OvVrPa4u5VHFDOUMjt5ZhQJFdoDJBNBHe9/ecI YkggcUbmt54CCE5ai3qShQd5pMrOBwDi/XBj8hk0sHqbfGRNTBdRJ8hCl7O0vi/z4GkV1f3hA5zt Zc2Z2WY/XctsF1CxsLBhofKAJe1EFAMmdoONpCKCxty2xWUHzItdclP1vhTEoR9Wl18jXJ9A4sL6 URMWp+DSO12fAuf8spFXCjVYD0Ha3MMZ+Ylehm1KVNMS1rOfsMZy1R847b1jT9d4/jp7NsgIkMve QjJnzRlkERbOgtB1XUkOVFCGNb9f/o0Pp6KRzi8xpHtDkczruwSBmvIAS8tSkNN3RpsLBZAf+gsM GibF3ufNq7gW6Yc1/Uk0+AiL+0PNW5Lz2LoCVJohu9D1/hRw1ShEEMTY7d+6yCgcHvfNFr9fhrWG DTEtrwNfo3Gf8Dkn+qzc+ZjyX3N8ky2cFnS0hhfTRNNqOZy+NV0OODhvd+3dZDHW0Odlc0Y1TjkB 7zvxdSr53QSSDMZ/RXSwnFDdhDxwcHUjkEp6m4+A+v/IrFpPkKNsQEy7L1E1Ut7yLlZ6Jz0W85P1 k6nouT896i4DedDtSHvXhBvQOQ95ltjYolCdx8AHAJG2jLDT1GQcBpNvXPtt+yrIhvhVF3mgxJs3 4hzRMLGuiTpmVqqz8li8SLLx0SPN/fxIKrrghpZVzQsOB/W6HIsjGMk0IbpjYV47F2ndeam4nm+g VmhFd1OCX+fgeF/ZIjQbxOjETnPiNaRVjbME92tynxKbaOiGEYY36qnF6LPy+Z2HY085XFemD3I6 rHBQR2nRY1d/ytVL0Cj8H+bRfrXYxriExNfKJLIVuCtdYY/txKyUiROHOeObIkMCxCFkT28WRiD5 8gsBtOdAhnTckVJaWT5XxGSmAZoxdKFw+ZOfupQpGRuUsi5WaLBmoKgqcSv03rz7BsTmmOdqYzGr BznKPnMKGcQ2TDeDs9X3DZrSnf1r4SScjYZSXwgf0OEHz21CT2xVe+L+06iMohtXsYRpB7j0r3ii Q25dl9oZwouKaAbnrovICcYAoYix2L3SsEHUdQ7d88d+uU2y31vBXlHRg2bFBZ0rp57DT6mkggdu xlHFb01pBVdTIK4tQoiNFCz5Jwsb+vSi7oSF+YZ/LEuDGEtWQ8ik+4nAphj6xwDsKfKwcOzFaqxx ZoPQ99ExK/l1bV+peUjRGIdXnwjT6qUHXrXb0racOMnSnPQOgsN+XXcukEu2FYNVJsvkUxlGcenB bI5NiK1NiXXXnVQUfTNJ2Yj80WD6BgCL94J5d1Sc57LoduJXa++1xJ5YsWGCg0a8gzprSr0eV0ml mFc+89ubRH+MhFitrglNwFJxZgfJIlLYfOKy6Eame2XDrW4xb+9Gbc27AEIUMOZ/p9kQSepPztZN 7eeHhdxj+YJFqkslgxKNIkDhGx1MKeUS8jwggK+SB7T1oNFFyLJN5MCixN1QBockRxxgzV+GkWyV zg3n/IivzbqTDIszhdLY8Q8Kia/4U30qwFy0ucHEihKIFNE7OCQxJ15VFErHbPoIpLWB64rd3kYP yjo9OOluQifOvVrF2zujv7doSeaO4vxtgsps40cqlPpZKbOv38XuMBxCzPuiHATasW2pvhQpuXt+ xRyx/8H8Sh89Xg0zdXbmQP4kVBdNZpBKgFKYenq9+xebBoT005w/9o4KquFYlczPPTeewBTRQ3RQ YhCFRCaJeC5+K2EEpOVOqVIOzanVtToWqRvmt6xTnVlJxn0nkswD0zh/NKtDRWw0GiOXSjTw3Cjg xlqtnvP8c+mZKFgtBQopVKVmyjUetElaM8EJuprS4F3yVDwoj5UADzMvv+qst8f1yI75GR283oYg m8MbWWsN7PS8mL0MyAwAn+M5eB2I+1EwRCE7GlY3fc8d9XWAKW1RxT7JjM9gKLzd6jBUYsJxxpuB 8rNLCyf8t/LzA8X90IN4tHyXM0EXqs8+BIb4j+LnYaDurjwUfVYInQhJMj3EQ2JqNXpLT5pIv0a/ wjv2jYUbNpuYDPG0pBFkGYszodVeZwpR10l17eaW3caceo9nz6JshieW/PrI4Eqc4ikRzEJeT78s omlkimjxQNYbiNPW48CxZNvc2DKKF7h0MeX0RRB5+4wmVOmcLuCGfFUfB/P/X7smtBW36ZjZSYhI Oy/7kGlZ8RHEUC2ISNHpp3dyJY3CMwETr03WXG9zXx3mb5Vjlj0ZWeq7s+GnkvP76nm4rpMKZtsp y0ZGKV7/nZkDJOPktXHY1mDrGldgoZCoD4Xjb4k+iw4lc/lit01DW7pnvVCObkVHGEt9EyHTyyZx S+p61xpwCjxB17lGldq3uOoKwbVPfn0xpU6/xzcdxazeVNtNs0dtHB841e52LZ3DsmyRdh5T7NGp 6EYGb2uOw5qDgqULZZ0AYyzl1uWCgixbfk45WtYs5PE/U14TsLVS7yYcjn/pbLSYRdnmhSmRVKKF K+y6sI0KTSsN5Lc6RJa/QBOeOuq26Sb+BrJC0dgZoj7T1RWP/I9reC1uusEpKJCFckzbRWR1Ei1D fh8BmidsHQPKz5OyZXVkvzs3Jk3GjLzVbr7H/vCAaaYmlEHXuWCpDrglVmuuWSEyYPx7BcAFKORX 080G4cKWC09fZ/H2SvuckqBGIBFVeQCRbSdeknzChCg7jGuQEkOaqCqXMsuD98RJ5Lyu0/eWkp+2 GjTIQHPxCR4Xw4Cbu8yaVmWJzpl3VsKdCAjFiQAT2AgeGa2EOS7Da3NKyJZ+SRn6NzHGBwXsH7Yh L9QqnjvGwJaSIe02XUqz90oqubXeTSJErjFFFuSM3Qj1y0lBVYKsUDfet/bcbK8FFvwdHpBLmWey YFKNQ978JRmLi5YRDiyP29GsjfoXXGcNxOAD2TWoPRfS+9N9W1bsOp4L2R3iuOYRpx7mF7gsvMhd tWvEiDDyX+jHtJgHfE4+VEjNdplrgtH21O08iYpX0qrghEKo33ydWYPHznCCMGGKieT4i3TEd008 +KiCiRhwUg3yFpda61IVPjL6ci+Ut+aduW3nBrmdn1vCUrykHHsDaAGnLHIsHReLEQAv3rrz6W/l qQTvmP5MUTG2b7Kr0hCbLPNofjij6lLskS6qjMJ4Hfsodm2wYVOcXNgQjixyFq+WeGhG/VYZigv6 sb/rNmGoZNEUDiIUXmKAPqkpAP1jQyz6jiCurRxXP7epZRpTHF8LRxn8syMssUShEIF1EKHm5+OF qPcLgzRzfGn8IqtaSaZaQeaxHZdT1i91wovV2f7C/qRqx/M2kmNhAeQVQ1F8Hlv3XuBZoKalCe60 lqfuIUHJJxboWTsebdGACnYLqpNvoPra0EvMA78wLoun02SCebvhbfseZUVe97VaSgeEWFMhhgJ+ jeIYEQIxQ3zaaxBc8hkNSqhZRfyC5sYZy23Rgf8tfgqwGitnvxhAfYfDhZxPkb6CrNPXspMexy/N 0pyoezgHpMLKBwj55ciSM+u0Rx+f34rcom9rZdnCabVTa6QBCAf7cwdDHxKOWzjw/vitCo/NiZwE mv22Zb9Y69EgV4EfvGIlnRNfBe+yQX1nSGJMr/iUwNTmJA3RbdD5OsVomGMIipujU5PsTA7hWVcx XK1u3oItlRyjDaLToF6lGlCmFEll9xBCjMl74qzfLL5D6JDPguEEn1aCifur2kv2m8uM19xQrgMx KUJzBXOLKBS5KgwPSMpLaxvCmrWn3RQufgwl7PcvsscdZZpMvxBKns0vdg+sb/1MS18NIpOolFKM zVwn5VYicpbillfXP4qphEJ9y2jF5vdGMwo0Vb4UvFxW2d/fzno7X/+uAw4NEbc8vwwV0KLBcvFO zJAMSdtSKczyZYQlnPo7O3HiiSmIpZ2eAPu+QucLhix6cyhZfsNQ1HBJJZHeXYPtqHSjxmc5R0XZ 5NYHKv85w6AafEs6K2oj6dDuaBeKZq3rrwIImAeKsCo0NTDmNrPGMkndA6ohuh3EwD59f7FzoMz0 n6O+Kw8DU+Tr+aw8DIJPg4cReGYW3o/9i3ezbVEXJEVFOl6EZYLgtb2qUzPLLy+C/TAMYezcHWOP Nl+5K3RlLRxY9a04Ockvrxjvkp9lErJ9zBS/zCiQBfcHVEFyYm2csvjIrzApfxuiK8fsReCL7lc3 1pdNPYyEDLDe7ZKzSjVW09bJIY1/kiHJIMJzDEqcxE1d/HiWum+lV9HdVLZP2FDPWPjuNPJQA/pM Vv3UDEE05p9Wkl7/uw24orh0lK/TyGCmW9tN7kvy7tVb5pKhTmr65maKX9zucFgjjxtKtSG1Xq1Y sUECm+b6/eCTUj+SycN2zxvFZlcXJGk2wnLbZMPGYTWrugrfZvIYYcsbp9XZ6qWOxjg+OtH/arKs bwNmIsblsgcWxXv/cLBAz7AgAO/lt5eaoxLBs72zzUHKvuElCMiJlnbQ5KgmOJfDxbPmR9lWJutN ts8wdUGUAjXK0RpBPL3+KJ+UTJvNafRpCN3j+6051uQV6C9MS0RNHYh+3AgGeO8FHmOx/ciFo1v7 48edqMkjONXR5p36oStAjGXEoONZ/GFLKxgzRRNYU1oLk0ROI9xe3v9JyxavGt5nUMODRKWSk6Wn V3SuapiYrtxRD5oirUPGXNdhVfgn0btK8bNALraasvklHQJpb4SesVeWNGEZlrj55jAYBtt9CS6c C/2KWg7zW/7VeO6JJBU6StaoQ0QlRJaMsqfmE4CyvlfhRi52DaalYKctpTTYDSbiIYfmbK2mMvh2 45wGYKHrjZ6QyDP+3o7rnhj6qtPRQPQbQnODF4ZCAoTwH3Vcb2jR8HDwwWiDq5NTjlaRY8Ohjill kPM547PsSC92vYafUXP2cWzfTB23w0Mgvfgm+PaAOkVQG/nPVe+N/B6fsYU8U6gb9P54vX0s59bI yYd7mKcank1MQS4EA6YFI/Sawc1wHncRRhKQq7v/n+zDT1UC9sR+JxwhIqznK8xlxOE/e4nDKrNS znytLf7EscgCu+zdr2l1vLZ6qUP8eZd6/YImcKWJd5oCuB4kPY5suc8F6bd9paeOn9AJ5qj149v3 /tx48ZMr1IEocxgLOly+Nw2x51g+Mllk7JC5SwO9Bhih63/NgDkyugT2cFNhvNtaZB71NoQQoy/V P/VC6Tf0pJdQiaLHYgdGfsc3Q0cjtSxzjOgxbP0a4iE4JL5UUVglmlDVIfiOGHValFguZTTXaJpB zz//h0I5XEc9Qu9xGMSfD+nMmbdSoWi477js4ufpH47ODgZrkub3p67KdH7lpgDfyMre//XrXPgT jyWQfpXDMANrwz3cL4zTOxI+mbTIYw8kxhG0fLEm28TUTRzO+PmMm2qT+j9nWVFKek5H7GfT1x9Y JIypBsvCLsoC+tNbxnKAm7EPkMc81Rd5vXcuaRJo/C0zjMEB2eCqcDCUIcDMxMZbPCrZFqX9hQ54 bQPfezGslHRx2Ydj/IWg+MH5+kFkbHmpDevs4D0fYT6gR15lbYKcIdL0d+Ox2GW2dnv/hh3qK23M PCWucHx1yjLta9EUPH7gidGsFFfuZ1soCKTUtzXvHIdcTOt5KviFMpINRx3BbSxcXHLujsTn+5gu nszYyT0cDT1CLObV9xUSwdldNQ+jxF7hDsw5X0Yj1cKnabShw9hmiOU5+UAmxPWH1Sgb3D/sc0+C mso+S8PHNNDIhxQ6UsWiwUcb/TddwXOdPiO21OJEirVZXJSFcV2CoL9I1HmvsK/xHKHhgfLuK2F0 PzZ4BebHpElEqipuLrNPLxKDMDFYPbeqsWauSXklQSnfGeD0oIfOrFVq00zk88b3T64I6ofF1y3D lV5g818HTlvOC+S02w4cvzj4B07IgmxNiuiT0JVuBNh48OogWpOiS13b3/Cw8LbgJusVQ7ltPl2B 2erjlnGf8ZNKbQ8YIUVoIQd4J1XeBPe1jPiJlhCjH+ZwjPS73P1gQYFItz59h41NEz6SuCGSBd2D ttYVp5dMyGiHexfuFThy8OrBdSiP2ewmfHuFD6zDmvNh/gY9EC9oz3X3QMlt0Efy+1AYrDsGXM7H uv4BcJ3AofzZWcMozjq7Y45yojpVeXiYtECJMJMTR7JMGMweySwO2k7L9Tca37ZVYtPhU5aJFHMo GE/8WG94C3ctwWyBkpzdOz+ulOv7FrY21eTPQLweSr7XwKMX18o1ZMl9gZ4eDkOZpzlM0k2DrlAm Y/L8B7RGW6AaPa4nkrTmz4w0H+UjranuNZn6tL3gTuu5hwNb+7XAQiXq53lB5gjjNho3CU/hZGfx 2n4d6bAmuAamUh5rfke10t2q/juQnrfEH7jmZAYa0klFrz7/KfcxwmVJsP8uHGRgV6VWoLY+j/k1 /fW+I6zbzVMEJfs1SbwtHavYIVGpB2rH0Hl5cI3wA82tZBrN/hIpgt/+hLxW2tgFzfks6vyRR4a7 JUDpVYsMQRFGVWgXDY07cW2/vzhEVId9PH6D6saENDMzVmrLW93oISsHDWbb1/oHFmAgIoDZITGO vHprRhtBTgjl9NNXKfafyD5/toOajJIaERPyrGfQgmHjz/ptKqBZuyarYjcOHSdWtV0fI2PsA538 Y7cISko6VtdXYhReT4a8jQdvU3JwlAi3OIe+S/9Uqz7K+5Im+gI/RuGSOzaUd+SRbP3W+JeIB82m lWDRZ2La6TfjIfWrqkNmrhZU9ebLZs93aXZIpd672bMcW3UKob6CBpF5u2qfW32dFrqYmQfbTNXS Zyntehxb3AicJrn5RcLcAQBfhWLW/ndOWObY8WspL1ehI9ffJrNdfL93wwYNgXSfeKSED7rlqXNf 8DGcoIYEhCQXciJqAzGdeE2ULq6RcTJ+cZ6czWkrihpaKIm0z9a0fT3jS4V5l39vVPsAdwcUHk7L saBJ+ueCjaKHhju3psb3Xu4DbI6GDTWPcKuF6uR4UuEbW8PRlKkYr00lxUWxSoIjP8jzlmuwQvDw kWWxzuJNIaawzSZDl1KtMvWMqe28R+aMaJgZ++rkJFKvZHIUZEEQshTX1lzC4sB+7GO+rWm6A7ie 7crgJxJTpxnyaRfNKwcXYcqGO4xwN6p5jYSTdKWF5dIDWLkahiX6yUvDj/dBOfI5MiuKTjoNbW06 v4Kkyxn82YZdYcxpyNodpafHeMElDsGVmQzWJ6ANPW8N+zBNlStaMfVl8Rw2bO71k5GiALZiGqtc 55w/Y6UncDld3/ldVGHtvhO3SqucW1vNj213ahqvCvOl3LFkc4WYnIIZq16m5kJ8JmlMOPakKBIQ KDN45DY1f4f9QayIKxgzcvLgDFL9aP0tt9ShqE4vPB0y5Co+h09nq7t0CQ7WCs0f9PZ+RIMHuo5J ELSSmAY+nPuqd0UkkXCXYfrBvfVTH9Sgf0Tc2ob3Pdu2if5FtUZd1aJ11DLZTYfwp7e6suAfD18C 4Hy4rfKzDOZ+dX8NPt4JYQ0MPLZ8xWJbqCWrNtBFI7sIJNAuQigGjkRJF++1vucGu7qDKRwHVvJV bOLYAOcCz9xaylfppD+Ao5XDTGDz49ERfobm3BYgjya26DqURyWjIeh+8h6gy9BxsFhyJSbPfuT7 KfIg1T8d0vmJwhwezmuaEou7odgfHsZ4EXNinFfc9+dO3t2ZIDco8XfihbArzBw/3XnydeIwOb05 md1ObpAEtiZDt7fSoaFe7LJedtjO5G3FdnTcIUB8XdEgzc8imU+jRIJG0fj3hb1tx1WJK9761BBV zxBx9j+OJWZUc3A5UUPKjiqnAGHQHgClZ7Bgkd4Vd3pkvc7JY3OzKXHJjCZNNFRDV6dLInmge4F+ OzHFJlcKZ9EuAQU6n6lVulNE/EwLaPfu/zW91DDkoJGTMad8jHfGMr9nvJRyVbdOAK8BiKXjrhJP UO3g1FJLy0kpIuI12vLXP8YLiu37WcaAmWeXv2G0H2aFN9ENQ8a8ivdlI0BzhNVmJ1m6XVvU/y1b 7kkkWklFsezPCEvIXMWp7btSfqr9Len75Yl6JId2ZXe0b/MTAPKHZJeg2bxYj230FCGprNY/4P6H OTT4P2KJ0s3Ux5iqMYCYSA0SiSU4slHMfh/6opuZyisHfDIwKUm3HZoHK2afMYVBNq/N/PZBuiHS 5tsovM57vjJV0axS9dDQLsCEO9qM8K1AqGmbd+zYbcomCsgrcK4U6QTRASdUT4UIPAEpYoPcKF+5 /3bIYWCq2j3Ubxodf0iyzZfBzKBXWMJwa8R7ycHkLx0u03iwx+Joi2Y5S92i4LHxwZbUQPo/mkMS grpF4KQqjilGiBi1l2r6Hrs89RvBO96hWW3WfwBUknd16nPg75V3TGxpN6ymy5FhQmdjMNhkmUSE V6L60DiYvBnjGZtPUZ+bop1yy/f4wN/rPSB8yjRL69mFVyXFYDS8MvV2kwGrbKbVVTQXGkJOOrzj wIKfiSK7Q6m3mNZCr/3ssTHG96l+5iNJzuBfDhEFv7sEdIHOVoDWzEfZF80n9XXaVKgNWb5YSaCZ Y2oM7f0zyEprmFTbmJyW6US8ZL52ucCA7iZzNOv9SRi0te37mXPEd7y2IUnzHbCoSLSSfDOkheih TBYJGbCZFjgugWupoKx+fF5XLF6gp/I+7zM7rrBUSo/8pY6zuvQd0N/954VTwF9imBbTTf4Hhp6r 0VTimchnL/GeM7cckm42hTVgJt0TunXb4kymADEQuDzwgmDnijcJczx981qb9sI6Jd/8J48lou92 9CU7pjxOnIkrK0ZAw4Yp8wzV8xVqP6UK4MDqaEYkSp+PC/Y4GBt/HfXNXaeSr/alxzGoLVyMaJtz AIjnzd6JLuXTuOI3RxirYjWedSEql86aBxzgoxNcib5vsWZ5jc+l+mB72W6meRpTGlOKNXm2t7LM wC8he/Wpw7oW9kC0zOrTqSqGbxtIn5Ew8Gq30Ev0O+yv/XooHnRwclE00Iv+AzzAkXfnibWxbGGA 8J9MFIGR84uFskQniZ5wtMKIhlNDvHz/yhMUJiE+QSLxhpob23J/S+BecOEblhyEEDZi62r2cO+D R88450Op+GJ6TCngHhmwGzD1e6T4eSEmGVv+O/0R0FPSEz4Cc3gaw+9+lAPALFjZKUa+QWIkP2rG Qf/JtWrR8qWTN8/rclGZ4lXGDAnPwHw3jutDE2K93K/JLSXrUs0uavwG0rfBFGi818PqmgLgLrzS BSz5MPum5FDzA72BpzhPGCBfe6VzXwhsRxSiGTGCmWj840OGyrYcFjs/9wNH4BvOpCuPVnstKegB 0B8S3CSVneP/iv6uO4ehJ85lMoJr9puPmJhHYKA1BhVZQ9pKXqGefc2P2XD1W3ZjYvsqo3asoWx7 oLYAOTX05gEz4nF6kS6JW0Mx8pXBO2WAoowILAtS4hVvxrqgdbbKcahg+xkhjfNbTrI0Aw1J1d0G YhYIzj43cJJkSSTvBzmwRQ9jNKxypbXPpeVSOGssZYcva8oIuBy3lBPaggO+1Ifx+X029ojcglJu WeqJFG63omrmz2z3V0GgmgH8P9hJvQyRuZSoxK+5wXiMMKSWJNXWh40I1/CUPfLjg7JOc3bUx9Bz ZBZ1Mj6U8edrLNX+FvrFVjaDZi0xhC8aesmfS/UxTzi1SOhk2mJX2gOVGVgiGQWjUxJjOFJNrtJb tROL6EFWjuozRUoqNE7sahgjbPmH/ETfFLsZfQV6LV5+JnW86Bn1uVlglzPlRrw9jWnID8eZNSMN d8AFD2GhTx/TdiBK5ZSjnWosKPGozKb0YTYAsIZyDDqo5nN+yEDvNEDFn7VzDcQsg3D6BfMPFmNe 42rxFOruO4eUP/fwrbA5rT2C2yz2/xo+eEKOCpgr6gADSkQ0kV8cKEmC6ViNCMInkXQ4COHjsWEd shnlN4Szwlju5UcNrH9xAJA3jMbff+u0LNx+87AltXdPrQiq5pQUoDCzpxV+6DW0mlHXqzoJUvj8 DNezaqKCRI0QSJxc1uqPgxTweT6bx/ctndWHbivi46RyO1/V0nSJzgLApxrqeECSIg6Xe4DgZfjY 105TBe7NOub7STGy1/CVtYPQ9o6gsj8QL45r+V6KIzqvviRwnMI2MSl1JNZPQzlqDCWNrmmlhRx2 g+AGNotFdlKmRpmfAjfvexvNva/F0Flo04h8YkODyDyLUp25oLK+uLidqFM9jVg9+8YUlKQPilX5 oLn8dtgs9o6tmhWMrY16hgZNH2Z5Rtq8vKGIlQ8cFgdjI8DNbqYc/n4/9UQSYbSZMb+Zgf3VAPRS wiZLRfD55HjFBbbeTEdbbshZsQF5HhktPbk3p9t9K/hAaiaNKPh0AwiALNI8DWgiWHaSX76z/lAO ruIItETj+9JD707GoSoVK38iFleZND/NVfqRhr196je1l/qo0FWAD/TjG+JP2ZmAL88RmfUkeX8n 4rPLn5H1m2evEM+RtaAsoLGb5iFbQJRYVhCTynnaCi2LEiG4hbzsKznNKyMnNIVPwUDRDxY4iG/O ixrmwewmb1Kj6Zcuak6dZ4XCuAYlp1gDNYpbUzpf4ml2nui/mozJrVNFwCsTG8X1xm/5+OwDXYzF jA4PBylQdyeGGD7w/Lsdw4k9pgOp+68aXgOUNwzgD9TtqLuZFvnoyrCQympbRyBiJwn4R7el2Xe/ 9B2KX9D8ulmw6LB5FivjBFDdkDSB9yn+zFpDrASOkCLcZA5eyjiBAgX0YGF/qXbZUjdG70vey9wr hIQ6PrTvghteLvup8ExCWTaluCuebREely7jEQh5JXbnBFHgV9Wgy+jq0tDAb6v4r3IN57jC21sj IggBpFJEHbwlJ8JQs8iy7PGfuKH19DTBTM1Sph5qsOiB8wu6d2XhNcF/gycGzopdlTSxFm8anOnU eJi3yG2EA5XniSSmMWuIrK5VSJmvkMr/ASx15C+IF7YoomvgFkLVYx5WrnL0RWuxChWZROeVpIwv JFrxhNv2uzxq/1UiC4+TZ8Nv1/WD1FA2s9jGVQQdR0Rq/gWiIMc2A1DOeb2JKRCrF+sQAmFfee51 y7qaDUUocm4hVB/QZcAgr/ovwNBs32qlexoK/0bDPzm7cduhumZH+LoOYyd0RyrdmLfl5p66RcOS qbQv/hk+db/cC5gLaYWRg6NptM8a9mQ8PrzDmGIFkMIrmWY/bMNvGtWOMC5LeNc2+C358rL60V+z RhHRzgN4eKFuVRrvwxdPVFFR217SuoJaMacb6bh7pn6+ApTZQ+K8nfAnnVyRbVJIN1KOaiOMPTjA 2Q6dTdDfjNQMsHI/YVtFF1WRB2OYAlDjUygBbwGNRQ0ocQGtmCf9jDfqkeDw1ODy/Lhisb3Eryf/ bB+gwcoClE0Sa5Zf0TLFAfJgUDFx8v8OPfei2EIQ353VpWuAvuVjJk4qdgq4U3NwFxn74IPhPnNR QjyTIjcRmalVtxihvRQfu/osnAee33v5Yc0yuM7Vmsc2J2EQzVBVyvTGDnfu+X7lUYUUXqZIB9Br B459RSUTgCwtSJs06r+a3QtClDXT3BeANJl8eFH/hROhwqJ4eZpi4SCW2A1WR+nZg/9EGnDNlB7y 5bndsxI5VyOZE5cLG3Q8eAM/kqvjM3PqkfjgdYd0oSY77nKc9Xws8YWN4sZW1MoTPYDpeAnkuIxq vAYosNXlokfa0fRUxeQF5RmvcD3bv/LGqCi2j+sflA6csaHwA269wdXVetUDeFumkq9z7iQkJsqt 7jbGxV425c2rjV4X8J68+gAzilahCRobHEAn5KhwNNkOHUR+VinqR8Ud0u5Wsts3wIk9cTzFVQ9R I/mV/u7IYNBrhzsbtgcDtDR3JxIzoarfmqk7eLC8y+u7eyJlIwypePAPoQLT8aMxWLvgVBrFDyR+ 4oPodXEyCJaPcwKq7dYTqwuY9QZilF1Q82H8pPAeuYPi4d8KwLcGUafowTLZ3+rqECBSwG6bWWvS wRrqlAJd0HCtCWgETNa3eINwsXklbcwvFgN+aBf8nzO8Eq5+6jNuMX3oSM+FF1vwtlH8C7+QcT/x nkkzDWVKskcLwl3KqqiD2tEGdrthPb5w4S3jyqi3OOwABumRPCPpFcmMcpIuilz3YKnfGd9FpCrp ko/0apf9/qAc5/dh8TqbhtDKKlLdqSCDh+Zf/LxhR+XDCqfUR7+QwsGR8BtQ5hz410wyRzrzrdeU abtwUitfT0+1LpxiloTc5RJSHEvXUnkVNxOtu8uiXI9oQX8LBX5KBG+yPbZJhyAb29nTkEuEqpmd BuK/s20MSyXE6u6Zo/slvzJjYJKA/rwewoLUjoRCibSSHEE/voMHTMVwK2PKEx1OUtWX83A8FgvH eJs9RyMdI9RymZ19KQrUhvJTyntmXEdHUpJ+U7+oARb/fUQpmFtaFm0LPS/HNuEtqgGGiopGQaR1 VqHfJu+L/fgg8vy0jU+LlWg8Ca3er94uTIzgspE+MTS27bk0RX+9KFqc16vb9pqotiJ3tKNU8rUx /iE+Ai1LOtFMPpDiP1OoOsoV3WXhh7ligKoPbyXvMzgjaDB6TmvL29gfwT9imyN/ZIQ9aIWRFFkw F5cjJ1swMEsbI2naWxSZmiJ2YLf76tWSvogB2DkzsnQMduDNnXFMMKXjdnRltgyAXGPWrOxE6N7B nr0oS3mGgsJbFA2MZwOSdZkcT089lg6APWR4Q4Xwgbe1cOcxmI0wmuuwKGuG9XJ9SvLmCBl+pwrx L2o81ZZBn2IS+qhnnq6iD9r0KEYyj7OOwkf5uscd0RhutBM00bpzmfTC7M2rgNsGX2Ok1I8djF64 4VM8O4kW3Y/nbN1TyFzsMsnL+I/6aAZmUkE2u16Nos4fuP5l7qdnlNGwkeRp7FREGgBnZE7KxWLm Unk3+j8fJ6jEWiDKcenY7S3lLFHgA1K9g5ykc9OFl7fHwdYcdROr21PNgTGCWr5YjIUJQVIOBUGn VHY1JpW6tEn71MVltgICw++zAeRf2nDYUx8mou3Zy8z25DRsVFJv5R2dt9CVxPx9AhRK8fvssBLi I3P5TesZu26P9BP1tv0FUZxKThZ/nZlviNZfCvtqFsUEpmOuEInh0HycZ0NdDNfxxWFpdGzGzxdv /7p3k+ypRCDeCFoTD/NlSUZqBuMqunu+cBqwe4W+qLsRcp0w1M+WMwafZZAbGOZBqEIMseSyegSG wp8tyJQQbMsuCLps1G/3/beqAJ7CHJUfVFkb7XVRfDU4IVMsB/0EquQ54H9qIjl9EzShzHLgEyya 80q09hKmpaXfJEK9bl3L/p8lwiF1+Aore8RNULOYfauXpq4SThF9LFwObpNIIAAhfVXuBpYIljCN MVZVOU1OZ63bwazY0LXesVRJx6pGvap/hyiJ3IR2/+vPNMtgdv6HG+Sk1SwLHGI8E2sPcgo91Xud mFvb7k7tdjKNwf8rRSfqpd8Jlo3FuXfvrYKouSmyb0O99t1VpdFvo2wZv3aMcYAEFh5cC5b36ciO g7T7Dawe0B2BcFKw/OSki145q8PFBp2IzV5Q2p4OwmdeWNLSgKNIoMW3WpgSk3PChbR9GgEUFyzB yrKXtT0XHJvsAFPLrdNT4jIr+UeCnSxLElBGlU4ZRV31DooGwr/mOENIpvbvWghIw/jPEcmambhu zLquVNa9Ts4WbVM2r7YLn0fPg4HQjJWilbwmtrc4Qj+R+2xLdo/+Hwl2aaaWrOgHANaPuKNH5sZs bBwMEnoUi0Bb8jCX80HZqr9CCYXBkx5jiyCzml47nDQFPkmpCvnUJZ5J1NIj+Zs0X20mw5qJa5Gi 78mJt1WFTdlz5rjcOiURtA//SCm0yKvKANlWXeLek9Aktpbfh+fmPI895KYS/FVxCVkYCfqvw7vI rWE+7FpuTEg/O/m3PSgkenEIHSCk9Cel783KfxJpHnjSbdda2O2iz+RvALZbJadBQopMhaWe9gAO I1m+DiXOXuED+2DB39RiM9vaBsl+BPGetnUALkpKfSi6cbDIAWu0imxXbBS3Jsk/tpNhM6H5xeG4 1x7noWpJp9X62Hu7OouCvALFNENWVn+D331fk+YkFZ+7oRv2UDD69xIWd8Q/fAkmMpFX5L8yTYa8 XL/XY/mSaFPku58FREOgyEvND3Ndl5TtvzlpokFAJLKXP3FfegEIVIxsze0opzMyB6osJ9DB4apy qI7JMu4gb8QbKFmnkr1T6Ai/1TX55CxWSOXvB4Qd4H7qJACmxBmVR9na95WnI8n+44jCh7z95/bz qQGck1RkWmPVF2PuRld0XVOfuvK9dhGQvpG9PFQc7wmlH8gxBcAB5QwZehg4/+Ge4yJQMiNium2h Vyplfxx1FfBSWq2ChMrF2G34MI3ui/6xYf17aC1eBBMaV6wvkCWuq6gxFMMgby2aDQw6ER93uM+j 3lR1+dQgCvPzJYZk+bMYYpdNNMs+HzeaUYcS2HX/3+sM60dxAgYWRJ1+2rm12raHwTnll2gKFVOv fXKJzqyS5cFuEz9J7pM/ZvWeUMggj3WjdoCo9osjporQ+ejz6cPocr2JlWgcpjUKRcN+xdL/aYLY bFGA8+Vp8WU15tT8tD6+mdMpxryQqZ2QoJGt151zd/XzUVhgk3EtMXdQ8y3XHSSIAHWE5Sryexs1 IYMxJSqXEhXH8MJ13Eeg2zKiISgP4+8rzLRaGwI2h/l3/ewB8UcU2/XQojnEpv1lurbeMCIHjw/p 4oF+vtQjptN250qvrIF570JOSEjyUTtUlFqV1hqBnV+lWU7ChPyDLVF39xARI3i2DL6xbKGhUAMi mu5wz64dtx5xRGw3uoWB2qsT9Tzf891pK5B9hDjFM+juFf+9bE6X8Hl9Ap6GVVq0TsS5Xaj7kSpN NUuZtK4kfTvZwlxjOpUCicQss82NWnFfCZxeGQNCafb5pXvX7tpOggGCgnC8iUcPoSrfqe+ULv2q oF0zsOUrXIwAHsDo7xdGdpiRX5gaebMweM0Cji51ukFIWzK0Tjq12SgvBPqv/uqu7Af9n1qRFsLW /9ubqWx7azDL9pjJLbMRmPW34KXPUV/TbWAS2h7ebd0inSs3NdHhsgzF/LhLYCFsmQ0pIGcAl0hJ kKC8enAYWIh1PYyG7pLE9k0ZVHejaqSe/KvisJwn0aNllpkrVXVnMEkmcoXiTKJMtHxXw41R3Qz6 TE6h2uAEYMmIqndO65rjjdMFB4XggcEzxYgZvT4mAJbNr0iSq9kEwaMGPGABx/u7sMRJMxLbdoEU E5AsbjOfTKAQeIkZXScz9p7dQ0AGJ2om1ByiOK1fqoM/2ryvmtDY2Y4tPKYN1Y7PCURRFH2xbIMj U0tIWbxkcphrmB3QdEvFgUQ6mzQOWd/tj6/xhgjWNkMJwg94r81CQdzju8dOIs9lnsfmKVC193gz npQdY9MXVmwMVobz8lW6/WfBWK2g4hIhB/nSTAndYSZISZ1BReGRPUDO97MGHegCCwJMBWW6bEmp 7j++wc40NoDD1cw4mA44jzN0t1PhtPxWGqxveC8zcuDmicDrhVSFDji8VaEQxAy+W2dHGWiTqwEi iesr2E1qzchmnNkzfPA2aYegrduUurXRVReURDxoZanmeSIjcD05982cn89+YbbTPx3eP1Ax+RgX 9X+a/UgZrfOZg5O6+FwRqjxECFKtVXzQyE3Ji+/FxAsB+kcXo8TRCjDP1Vb442obSST4iVX3Gv3J behMoDUDvbEE/cekorSI//kDRqIoX0I9AUcTKoUkOR105gjOm3JoGkA3JjtyEEkgXlClSoPAOj6p wVlcmCSaBeMJNw5jY2eQElvBIYw1uEKrFOMkVvgrbaZZP4tCo2fJ9MfCV5snjFE+7cXomDUBuMqe cDvozAiP49RhiFMk+8fiFDnHXlh8cf5BGcfL3mR9AHRsYh+dfjkBzvTWsMD6riNUwJxwTxAqKVxr ovri7BYLSaWWs48PRV/j0CjtG8fmbYTVCTisOcRTDotGQ6ILbNwg0C/SY0IXkkKkbNZKK1qBIzXm dus6cyHgAGAh6sZfh1woqstdSjPTvO2I4B1o9neAzTgyiRhJO9c4mc+LNppczf7nUIFEUBlGyszH e75uNKDwqZSw0iPJN7i5kW5QqbWAnHVODTYwJBTVMf8msQXo7wXbNsp3CYnfV4mauREGBWWEjeh1 jSk9qR5dJeBfCaGVrusSrx9x9PmjMA6HbuGG910IrpkU+2gU8QRj5P1gFWrhokuOnC3eh0n2hwl1 hHngw3Lj7YE46Z6r3gUvDBRXCPCJtAyb0HMTsT5V9fUclR1vcXvC/rIi4+O9AsdH09FrDMyipaQY pFc+/hwWTVs9mmOHm4xyLb8bZznwE790vNWRuZQl1cjSdnVlcnUjqxOFcwZDPVJ+hAtPk56fdzhj ztQho+C4ah3DHmgQd0vSpgjZ5jP5HSsvx49kayluU6V1HO96Gp0qy//v5j76xcKrP9/njkE5AQei oBN6tv/sJiRPJxsYV8zmnPBiRZ1NhhFecfeTiM42FKoCejnc3aSa/W6yk5KzJHvdueZyiBqQgrZr 9+iW1eEe4zZTvxAsLbK5qUqQ3w3WeR5TZzRM6HMJDAUlDScxM2FLSOazE0cKLMbPBxyuwrjvv6k7 FFMR7NA5EEL3Hqy9f4cSkr+nNvNjGJZvN1oUUyTc1pO6HIlQPCaiyLpR3tHr2LbZwEKbWNO0XHk8 L1Kk3k1AafN0BeZzhBcVcvroNBCb2ipFFEWZl7KkpGfzlSndjSCAIGdkTGPP+R8T0ZcW2PhtPdMF l0Iqp2Aepe3e7kAraik1YlbTXDnG44DFbtl43VBF2VnWxVCs1zzsbdXnsWWnC+IrYfxkpLNi/iOA s6/RttH2pOkmeWj7YCVgrCq91dlPsA82SCNrQHlYh5qiusjaDEZVPRfSAI4J6O5jJfWLnGIvxl1J yV2gU6TTYwqzc/RbaYJ0F1mgycrZlYKNO6i1TnAjIrOafh0tZnks3B5T69SEKdKA1BrOqrthcJ+4 594H9h1/Hso2em9sE4Mx263r4UR+lLIgJe6xUBx02y902a1ycZvd0emmcUKzYaz61rm5KAMF6UBI YUmiKeXDAflo472FuZraKu+4e4FvLPUEpbRXUYifk0Y+qv0eCaj00Bz2QbxPy/nfHRz3piwa1xZ+ 5ripOAde4QGTFCXy7H1943S/qniYvXsUDUzZhF4p4jSdguse/E1aTXM93qaEJEz5fXkqKuJR0mf8 T7edounSv/nolLYM3TFPWbEWSt1LZcCXZHsLK9RnUEQrtED8s54lP6gOAG044KAEvsZZEjWRv5eh 4x5tKGmvXNgm+Nb49JClv8Fvtuj36qEdAsBiozagdNXfHAdaRabIECFJKsYkeA6+6fCpukXWkU91 K2wNr4SO93Q6BRXTrYxKtXjOHE7xkZv9o29TxQnuGTLhW0dZAqAaglDigz7PQyPcyiXG+SKEFRLf bF+ai2F1nQDyLq2DxtrND+p5zr6QO+G+sHilw5pT9/HBR6TbnQRFQwRiXyR6BuuEDOYGV5CvSYgm 0ucgBbWbyfxMjAf/XWU75kFssoFRuAd1vrRtTPwF34GrPEsXPj52fGdVWLUdF419iNnHnLQbgB0w PY+kysxG7Ymex7H7LpLP4JfLhYSUNjYMGvA3Hwgy45WKXXqzjMNAr9c77Rbiiq/jyNqdR2KMlQto N3dJDg7NywwocD9pr/iQ0vwlsXGnlXIOOqFQ2GkCijvt0HYY6Vp1CNgW63RCpsVRss+VgbtdC5Z3 1FNnD1sfzh2/aB782UIfp2LvfPEYwkN7PvG8F+EyfOh60U2IRT4EtHBCCyk9FEEhOIkQ1Ed0hjK5 cHh3mVWCGqKrmufeD1uwhLhzf6YBbBay5ypxx9boAis098JUtJdhMpXHa9hdlKwv+W2Gk4Te5Nqt rzh4U/N3wMXJZ+mSPtNqyjL/h1ZlC1vuxtTPGobeUWQcAK5YD4jdoXA0FcM0HEUeTPjF11NJouro EStg/pFpWqZdB7xChvElXmvFHyB7+T37VXrLcOaS6Hz7nP9SuXIGSACJ07pGQ36e6hQ/Yvlioxy3 vli+iQ/8PtVOJQ7hIMZ6s4ld/YNOrnZvqiaBPgz2LMqFKu9Fz4J/vBeMSLd5EjdCjWTQ5O5JJ2+7 onJ6P9ApXwQv82i93AvsrbGw3AVrH0KyCM611KYkhdIqRau313cUVZSDKvbmATua2bNXQ5vRkkN8 UARpNf1Oy8l/tXQb70Ert5hdeCyZTCfa72goFAaDml42eFpd7Arn91KTfvsStlDkoeS7dgz+K7tp 3H5v9Hc/s19x3CFmwsCcKXe8FpKXIs5vE44TKS+WrKrm2YufaP0NasdBtlDrpuuEMBzmjVYxB2J2 c4cYZXd9x/hZVitUVuIlaV8/RYFlfkdfJVQ//RVMOLaSeHk6ArZjVxocDNMUEnd7fTbh1ZWfy4q8 HDjxFHssW8JknDOCumDizWNWe9Nf7kAVNkezaXsD8GxESA0C6T+LIRy4KdvRLtqqoByKHyQQqx8i gt5IKokA39YX8DMYrijvcc8v3923j0/NLaiWzfPJaYk3OW/6/88YfIh0PmROL6Oh7cWX6/s2BUE4 2v1zWYydZ961Zd8Fm9CMhZHIq0xim/b7bxbsPhRobVEiWa1mAp5Rl29Dcq8KILI8+mzNxaDR0UdK t9fYNuHuy+RtHcHkGI5Oogvgwi3Twi8Xee2VJqv0K9deReoBk3c4AvtnL2yGVmqpzsRZZYrXykLw HMDZptzRg8cax36phlYra8/J360C5hTxsSEfls4nPQt964wqSPHma4gYMTrCDZLwOVDeoqO/aIJo XRC5AzCpatOA5M7DNlD+GKDLRPpXeluA2jo8eE+7yQsxKMICIdM4kJIiclUIEnbRR2vjNMLbjBQv c4cIvJFNgE6xgUScEk2rykKM0jfnlbA4dE/VzMJYa8/XdyCo7Ar27RX2PWafLHPGFsAjlfdsZRXa YX61OOZjGXLpxvzD/dEkDZEcg/frJkkOD5ysmjDfnPKPfqx9P3CvsOvz3WqDYbRZRwg0rEUJmjZF sff108eIxbnFH3Mxt7Yy86i/sKJk2nttm4ZRbGv4a/eFdSAG5TcP6UJniYV+RyKzmilfVNsLDyjM PaImR6T5Ezuh5BLtEGel9temRr+sWWt2vWyit9sXgWjhYtg86Q3hpQHOY/QvLlF7i2xO0DPSW1eB DSgMM0rSnhmuC/IME0aVxXF3Dub9CaPrtrxwJakYqISpuqO5dILfEnG0Kcjc2n9OL2zPBNib633k kqzmzIyLEWPuC+zIPkF5jBZ044yqcz4z0h4l3h7NcvpcQdo0yH9h1pgmUHEltLX2TgoKH9Bnka4g Te4E3owHiErP0aP48Bur02WzOiF8xQM93vDe7oi1b48LIlSVDcFs4kUgqhhIlXW0/NQY85RsY40V Y7Ly1/hXNOkBoQ6IIcsP58FXc0lsyLU9tSaljGWR7zejpcYtG8/9hLUIdyV6aXT/F/ri5XUFTYzd m6w7J1nHVrcQy3fT4L3JvdJUzYlZ8TXU/UcuHLUJ//swPXhqK6d8sYwepVwPNJFyGYvjCf5LSz0h G20jMajDpUVJs//XLfm5KxvXx8xA0MWPn+PMIVk848JYBnKW+AKh22hvx3P1rJ+gL7GxmbX9JRGq uvmaEd7TG96uT6VVIHSrjDr68ZqstaXczAYZLCCTDPqM9wB/HvFjvB2yXDvKT0P176jz3rToj5S5 8te6hgh+YuXVp3FDFUWffVWa1EMGt7Q9SpspIdkLgLm5xb1x7fInS8gtth1wwrrF6m4Zqs+ELIcB BwddwcQKXbqAYKeWix7cyd66C+Y88gwMJKuCAiiHPdymOPYiCvnQy8HUIqFgec2MUCm3EaI9qnI1 0FixjSOdKCGrDWLHE47IoaqJr+PfOE8jiFcNxxtUC6KvVOmZ6+u1X0ie8chDEJzBsGBg5vsVdan2 ZrMLLqi+OoU5QKA+ffTdN/N9DEJ4J/8A8HM72KD03Ur86VdlQGcYSBgPN3EJ3nh2y8d2kmEOPOxj PlGMpuWAsHYNRcxA/WaSqXRUF1kIbzQ6u4I9vcQrdwxuLh0Ed6cGp/QHCTA5OCTvf8nMd8hGd8KH alEIhGem+bycKJsp/ZQVEw38ey/d1tQWBJKzZ8bpao8ChuC7AW1U1Fa1t7rWR4u4WejvVZxSjI2f DqX2lhIyvpuWDtUfXPK0heGSl87UH6TGF6dDz5fai06GU2AGi6GYi73Vc3vDFFBMXeu34kn/OEj5 8JJyyK45p5TsXX9z4rUeo37MMnHpvUHZ+1gpJE4bBOpKlCJwW7ObI2ElDeu/h++/pdyRa4IbKUNR ts4wUofXN386nAY5PZDbTPYzdXazTewWM4m6NiVWLSzPvA1UweOl2Odilj/gA3/eJPYH/xdoYq5H eaEaY332aWNLSeJKjPnBJnT8P9EYBFv+i0nwoSxpsBtJGlHRqhxZyFMm+aL9Q92m6ibJkk9UK4+l 5wRDmfYA9GQD8t8o9UQUIXBmBwcQ58oXTJnZtLfGva/XUs+eA5JUZBo5ffOAMh3408+hAObcTxFE gLTM4U0SXmTvaIeETzB1x+M392zFJQ6wprqgl29HmYB9e7dtjDkKVlN9rDRF5DiV+PxdIzTmz7Th alCHqfooPDDDQQmWEO7Ojs65g8fFUKGiigHPH4hRuW5xKR60oma7zrKC2qnkCYx2pF9Rx2LWtORw 8ulOwANjWMzgj6JqBzL8mmfT65/7YNdk56kGDCY/qBI1i5ztmZF8pHaq9kSO5/YaH1aZgjJ2mqxq mPNdpL9kB7vxFvi4FzwCPfdH5kobeG45F8xf9z5rWM8QC3VROwcR6gJDYCxmxrJKjxEkOE5vHf3i vJJUVXad62Y6shrOGuVZeCxpkdiJFQy/rze3iMARfJGok0MPHTWFL2elwQHEPsK4w9my1ltcjdn9 l89f0cijUKPSxbrcVHc8IqqDMKYiSdqVKO9gVtiL6V+A6TSXDkmzXTLHOsc3dvT0pJMQE3p5PGd6 GVp6w4/VX5F+EeHrxsHAIz4gEDHud8s8UcBH6MLzzwHy8y3rKXqLO2wNpMuaHQ+BYh/q9IXRP2va kzhuBwkpdeKDaNHnXE7+ELuJEVNAY3B0vfUIsg/TN92/HWvUWcZ4pfYdhMSy9liDaqDIrl9lbaYs MKhk93CP/t7xptpgQFE8HpJy+4isRJkD3v7M/gyAoAB2Gss0n/HV6H+xcDkMg7EspwCTw1QlM/CU RpdnirrvPlgizC6AI0Zmfya8ejo5aRBNce7NRS24ikHysc8MwsXv+/52yFTHiVH3ad/mukaLARQb wzT1rRpeNT1KqfOFlGwKaHkdBiIByApqbSI3GvkTpMPE6CQINWfTJu9+dY0Z/uXwIoDZk472Mpa4 H4pEHwLhRiwIRhCkyRlj0BKW4MH96VYalRJhNPJRCNMRqHxSR9nJydWtmkkt2IFB9ezMLd1XZwTw prMpG0WtJhohaDWSzrmXZgh5I6kwzuUdoCzARtKijR3hysb12Ej17CiD8fqKP7xOcjOpXbPOZN6H TKZSa1CXypN3ApUkNHxNNuKnpNn7K/STHDeT87b/HuzJYMco5mN8gse71TZMDnqM4fPV4ib7/99/ ZpjGRv6V2xOT6Wr7QNNpBRNevhEd9osPbatMzdDEjSsT1BQ2bltErKWtQ33d8oc3lu94YKy0ZbGd J5Xg1VGZh8HiNZk7Eko9EZFlEsUeGf9UPUDsmUKSAhKtzjfINRQoV1QsoprDuIvPEJhlB92ohLoR jc/DesHwUdJKbmsAk0g+3KZwNcrVTMTl60iED/XM2fu2YDM7Cege9gBmaq6xjiaei1Yq50nZTct8 25UcOgL/IJKh3ZffVOAOEG/3xkuiK5dONNUR5c5Iqmdll4rYYrobBAuRGAl8AWAHkM84f8GxbPvB 4oam2HworbcJptMsWQjXtz6CvmfOdm1+A3I6Gy1i9xNyWvtNR7H87n+CfLeUQ9mujWiuOJl2pr/c Dl0SiF89885D1sYTqtvaTPMnoJm+OUJlT2zjjJnpxqF/IWhxiLlB3bX7nsGcz3I0j5+hvqk7eXn1 kHtR/AuCKEGzLpFUMojPjD0Oqycd7xUplkpAkekkqipaomkKP0IkHQrfoGPGgTEpDUbjTndUI00/ lsm0bZKceiXmnVU4XSwHvWnopgamHayYGRZJvoolxBvIiCHByFZ1tGi/bM7UhpXZGCZjJe4G3miX K2XqWlli2crdNWCjICTMbnnXp9nT1pqRyGm5x29tdKdW+BIa08u9uPuKx01onwPIZ825Yl7Ver42 cWGN+aEDs+FAZ7O4SdLIgP+FpET0aYwowPWhIxexnacE0A5CzsUhz8345/V891w8wKcH0xd3iGWv IOopzBEcHuUCZ0LmI4ccatykl8/YqtlgGqxkISXB4TourWMJ9MQgEycCbeQEXi7lOAGvS7V77PDz QyaExqWqWpA3TCtpAGexARfpERIRH5cWQR50lCTNtjEzJuTyAIrE6FS/zgqZDEz5RgNxWjWi1I/F 0V8M/ydyGh7jV64v0uVzl6CkxWMeUqBtGxs/A3AR7feGtIohG5dl2kUC6hBGbI8H0rtdbmouNr2Q 6eD1n51f5HrFAAEEx5kz79bC9qUbRfgRQJ8rWViG+f8sob9Dtxb3t5VAgYPGOfO9np2zpg/uaCxU HSjaic1gwCkTSkJ7GspK86nDfAy7zF2IaLEokArR0KhaQHhk3y8DG9O2DQr/GIEaaYa8g6GOFu5I VMiAvfcLM3xSCYQy3PbY6loSozcha3NUam7dckHsMrdBK5Laqi8bYAxUOJdZKJJb3qUXERITIQqj 8veGJCjaCdXTK30U6/neDqnfcslYpQI3MVueAs69k/Ehs7T9gMYb5VJiOctcinH//W5HMBoZ/hi4 iZIshU8dzF6dBuOWLcYHt4cToFYhiTbGu0RcUVi82MzCr8+CDTl7rk2VwnNxpza76BJqF4z2xApW FsoftlFaHJJsR9U5Rt5JOhXyErSeI+0DL/EktKA8pfvtTVG9IS7VcWEjCNzidABupgHtwq29Ho0s 9SYJBY7mdXs+CWOGLE/onhSYkCMoAFn2EWeCVZe1/rTFBlwN7BA9+Cm+0I4nyH2+YoBwIYRwXItg geqetmzynwM20f7p2Ao6cCnmzI6v0c+LKb4hyPDedJfZ5ecI7U9pXjxPGFWBIb1MzE6kQ1rf4gDe ena5j1e++EBmvg+LPowKiWeQL3GtH3GeNRE2E5EJnhoTrerIa9U9q7IYA4gC1Om7Npoo43XBgR+P lPy1ff6BXxjX+riaYZNEt/MHcjPhGNQMh+p+HD+0W+UH4euOaieQiDNn+vDir6MUZ9qS7EcSX75S B80E9htfu6yj3AQInSAOli8lbpxrff63ZdTlW51tMxY2BquWPSWq6eoG/H4x3krsEcIA0l6+ig5d 7EP6x6QsL96IX5GZKH7PvAZeXTgGq1dbulVSS0hD+QWhm9upGNsbfprgVOTuVqMVsGVT8NwPC7Wm 9jtfUgKIWmH3YkO6NHv0O/cXoWcrmD4kw2P2qLgEG5M6eT3aepvF2ztY5EcREaEuygx3zdhHNEN+ xl6/wNeC4SefZrWBNStgNfYQy6sgehmwY+Knn5fhdEdChSCpx0mOc1rkqnoMNeSheCF8Ta/+YMoQ OH9n2K4ZlcKNA3LRXzuUAaK0Oeen8DGaVm/SU59t2bhaTcNO45DfjEQc98ojdx4PrIwYHVLRFahy laERn6liXkcFOzD2XIwwajDSV9RXCkq4o+l6o4jhqBtbo4CPXlbWyltb2k6lQ+Y+mqYO0n3cLaPA +5rtOqMwpd6jyrmi73pCO+y9t4WwSp7P51LMLc9ptRVuzpgPAwcShaZQHOBh88V+bY8L4Zf8UXQa SyIk3Yovh/vVCZ0KkeXK8dVKel7AHoq4oC8idg54+sUXUyFVo9pU7ZMeBszkdbkYjakOMbIkHaes vjwz53OkgdUXbJNJ2rKPx+t+RzFd0I3XA+iRFcmhJ/4nA8D6cEdjVrDAUl3JAoYzhW1W2d+nQDTX Gu5ybyTHaUF+J71RcW3UlvEzQOS5YbzCGDpZxHLtEJDCdk4Pj/ryHOHCNca6WPOt93GxB5Uc21xP 5SbGN2fDR9FoTEESOm6EcrbknrVVlW86WmmrIR73O8MVg4SLwyVyJVLipWnvYuGGpUNyC1QzL61K xlQGoI0P+iyFTguvF2xPho0LNj/oJDMdh3fMP8I/NNoGGzmLzys/kBKtQLEL+/UvdYSqcyURgstb NGxRWBRfuNDl2X1N5nbOL38JDVwJTnpMaEnrIkB4siR2dqQukVP3wHyD798/ixK9HQeiorK6aLEQ /e26IjQ245F+2YPb3UddoIaKOYUFp6BZj0odTbin1rMuioWjA6nXhzjE2tILJxNap6s/SPGb7zhi RU0oNps05MbjKdLsooyQvoDD3bj8vAXY8MlgeKJO5I7Uv9hmIcgio1rvYYqu9vsJmrZJddVH4NLP Ze7rRD/c0CXOpJLNQjAw4t3hwmglR3qV8t2+f31YDGUJrk3JqUpAI3UO1P4R0odcGL/tb3MSn0fP CcqnxR2riMzEpkTAEZKpeBekDJA44SRT/k5PzVwi038Mvyu0Hzvc8MTSlnbevNEBayThkiYrsRxS CkyEnTE4OPonIy7/eH9lzEyZWicMJ/KeRVTvdoqlfMd+Ei/HDa7OJdB8FTVMQ9V2UHaXRJp72Qbo qN05m0MVKXllIUcqKHF1obHU4m2ve9PHkkD06MUuxPXO5CMCjUqVxPrq+2pqllWPwpMGSaeMmgCL 4MTd+dSQEVuNbRbNCECrsaKYzC1nLpvg+dSoh3nG43cQBSQkxPLKDcr9zF6Cnmm9tg2TV0++kUw+ ypilC78t4PB2HP8gQ964mXKInG3lYl20nVLuPBoMGEBJ5LKmsik6qYbaZIwJreX+UV8xF91kbJhZ Hr3I0wr8acaRYnFZAbNf1HTiSpkXVYTLvGU1VEDBRkuBWq8CAoIEBiNwgYdFQS+6xJ3yS4ThS3bO vYdjgqAYgngcREus1WXWRjvK1wyKwUpGbWXIbgoLjJ3NJvHuYET319RcYDv0WIA2SnglgTskbnFi OUg2Q1CHQVhL+uNDPkaBX7Mw5xYT8JAIy2Gla0ZQy2uoKkArQm8T66iWnd0y1xRJp1rh+VKidUCf KUtOXxs7/8dDeITROMwXRXQHrlfKYl4hT6jb4IN89yiLRpvfOyobc4IkrAJBnYyEicsHHDB8J2Ro rEUKVv0Ph0xMdhbeDcgj7DzjIWdxNN+zW91bH5umSKoXN0u+fp3nNOtns4oxNFjdwxWSg3M3mVRz LW8C4wACZ6THO2/yHQ6qvfiTbyUNHPQllPyRiidXvpWcb2F0NEFnPZBlIJS9dW9ZUh4XO65a5WRi k5wgwMyYpWDiI7JnTeuJAyaRlcRdYlt18igsziiWRaoAmD5DwN4+bBBsm3abHM9KaAwViAPwWQ2A t4FZ9ur51sR/K2bsCUz+kzHGQoTdLIEQ2inRl7M2qAb8vM9ZU4zdcvEhJ/Y7IE3d6ZKtKkhofUky FeYxBZjowY/9svjk+A5X3RqT3/mNOzs9DacqsbJRH/R47AMB+T3d3g1+h1J51rVBWZa9/NkFpF7U DgPiA3YJPiwQ4j0xcjrlb89TLVFOfEqjshHzhavEoRbt0she/6xR69m4uXIX79B4W3WH/PeBSdCM roWtsKvQmfA3eDbTnJIAkKUxterKs+MJs5PM7e3sx+IgMT+zTbYVEi2JHk72/Zbk3GawnacLUfi7 w9Bbd7dHkZXEqTfbR/hicDchLqgkwZrrnbybsuQaoD9sT5IVnBNhHIzD21mguhrJ/ivzJ5GG36oL /fcN6ZGG8UsspYBiUpXuXUTFpKU3v5R4wZZaMeAmyUf1IEFi1XnUgOkpQWNmNRJeQrqVTReVvnup K2/VedXWuphBAVlZFDvpvz5YTenQDLao/gvFxBto81hYd3XqMsQpuA0DM7VZgqLBKAsBRg/dv1bU 3sP1eWHq4+gBWWGp+suPapf9FXGGglotTvB7ZxqbFESn6GHPkwiIxcyRGDnNhLo/xl80FoAA5V3U krWqBcgCb2gnScxzIirHxyzm9+h/XZWV19Vv2GEbe2OPGgR9VW69PvtVjovNcIeJBzDPII5SJNHO ArThAekPB8sBw2bzzJd7xJRElrGBUTJmlpeZglSoDAy7+QAdupWCYOI7gVGQh7b7O8u9m39DD75x Da/17Pb6+NMhYol/Kt/x1j4hCI/viKdkH+PQTgHX6o8cOKodD0CyBKmZ0TSTBZMenD2g8DDmz0Uy 6rhJP9Fg81zFwGU9quc6uYdNv0q3mm2DMXQhEVl6J0Wu7X+7tqUvwfY4RHeWS/+O53jfiCRKiZtQ kq6n8niV/KVVBH/p4UpATVRyjyKlWHUQ/e/efo5tl9MEaWpHolzsWfp5M1i8bqUom6oliXH6kc/M ACMbG956Pa0IGJTALFH0fWYuR+VNRe3taFduD8QOFbcjKbHzx2S8F2JlHawTDLs4I0Nf91+udDgV xwqdMJgfyuY6pjVYmgiOcjYn4zbPyR8oluYV2SOLYeZqvejdnikPonXlPFZQOqRbovXqSGzvc08m 2fwLPfsNXCsh/X0ViQ2b5H1oStdmb1iU8NwpcS/IzqTetcq/DNrsU41jNxgOGHP3bdZ5s9T2qHRz 5gUUtHqPH8nHAc0x8GAU9vIdVFPgxWQxqVRlAykXhdjMATVk2sedDaiiki2koUiRTOHmxt21HNOQ /GxugqMcZykClZQjThVJD+PSghJbjSWgC2rLx16PxJnJq1nC0PVbRGv+G/tMSHH1Dh9HvQuaUKCb nZQcv/uIWY+T4kbYyB3ZGGQ014nD4IgLIGQrMmBmY5mhNcyY3IhKIYnNE6gx24C2R0n4BiPcjZqo fYDZmKP7F+lPJDC3SQrpBeAeeg/J06mYA/EW4eQaAKUQ8uM1+q48ivoB3cMAMh9NCpfVkHuwgYz+ wCxuMjH/R0jPCE2lavf2WJ5b4gPbraJAeZPJ7/O4yuS0GWEhW8h9CNroYtMv6PQ6xtgnnsbxA6dL THkIWr0hZlPUayd9jzUXpG9yFglnC73puihTqMDhsP3voo3kvfaf//tr4uz41pUmRUFiQkZm8aOG uZcVOj2ZlTPQJ3ZlHj2S+gQ90xBSM1a2RqDzTeps/LWAnwcIOhneu45ka++d96U/moILbjEGRUwJ BUc85a9uJuT4Wp0t/Jp/n2INV0poE9UjfqF/3jmnhQ/b/u4UtmWhamkAGRATKqbJKnxtngB405+J /KcR+FXjTWwwmt/PBPxzvVTFVRnO9xTdJYiInPUT+VAUVdn4r5SvZ63FbA8I+ZynM4JT/1LYkhCO PQEsjE29iEIyaP4VTHsT9ITVEvfaozSEfWZc8Cs76O/u/3T5edQfhbHpjYoZuMf4omLSPydXpR0S Jn48qjj4lSHTk7GR0NrJVy7j0ZvZKrqzt1pa9nouat/5o8/qA9ZYRl1Ubtbgwa4mgQ6/Wsaz7Hoe SyutltQn0JiQcseF9QoddhK9uA8Xd47bDBQaAMk6w99VWCey0xvfeQp48h8MaDKqPNh7OxVPqRR/ NmHQ911HleJ3f1JuoQ+U0NvK2Kvwyyj4FtwAcmuyeMrSF17HLxC7HFyl1e6Uih+mqy70pBAYCyrG 83JW1aYbcCSwvb287JYkv7E7VJ7aT7oQVecNBag2S/SYtqhZhAqCNU86+w98n+5Pp/aDeQZB8C8T 9A7C8sA6aQxRQKv/myw0I+qxSRw81Xzm0qoxsMZYiFNbksHh7zHPlrpxLuuTa18cq4GR220ZW1+e y5Fyhg3gW+RMTK/HztoDtNJ6CFZ4AC4R4/AcIrYpwe2forbS8g866aaooXqSQQxfrSrGuDI1KoVp OjVwHt5v5OikVwW6U1+R9FKkxa+qpBW9kqPHp0wPsWjutI3snXl1fliyehAU5xBzpINuczU3XASk TlGBWMM7a21IklH/BKehbBKCDQCJG8wliJyCAqf/vY/sWeWYaiYwjCzOp75RBb7zsskJRRUGBs4U gb0fOjBvSdIgapB7ofpuFBxAbZxTsBXHEQeQBoYeJe9rlXqXCsjh42rjzE5Cncok9EjPWPIQb2k2 /JBcgbMuwxW5netNgmggEvPKGniLta8igYMxMfRXZeZiI6cgZzDc8B5Wk7aseR0nE+OlMWJUlWhy Lwa2VilT6zb/TQn7FdRn+dgMk70Z8dwBMFzo4zadjkAMmpa1+n8aiE4NrWyhQ0yhuoiHPdPAGyjh AoM1PNm04xDH03520RNjlK9A/P/aosVsxONw062U9hAuOW+aw0tW1tDydD7eWNogZnIZmpfWcx3c X3Oe7kxY28I9L2bo2JOLMld4+6myCd535t9miVhiFN2sXkKRFqBHJiHNiGRF59CI0lsOfjLaCV1v U4EoLB6yURf75ZlqxDFTvFdgEj7EzaSftH8XbTDQuqalZeuH3u6gQ3G2iAqbM2IxTkkdeStNff+l /cG27x8lKNiHdwYlTYesl+QsieP+gJovjG4o8+rqMw76FnbLCp07UsQAiCfifojz2+TtJnbyNRR6 y2fYqui3RgqNoDxsk4yGNmNrOZ+1/78PWWF8zbNrHuivE0v3Dm9ND3bTRdYrwYze1OIeO31ExQXS dLgBoHZZLxSo/VhiMbh1WUm0u7PVXd/+0lIhHpxQ+kyvMzoSRxNvFGi8N8HzbeulPRFsPsPfftFl wGPecXM0+xd0CQDOM9P1R0XMOYMrQk8sh1onWGezycNGKUnFS10ajHaqkK365fhK6vpHRuSvNuNY E9mTeUivDgNHpbRsG0zbV8CKyDRTsgv0FYvBjPFZGXFVrbQtA3Xkke30Yt3bAuidzQ0v0c0cH4Ww Q9dBnO4zeHhtmB8TafnELvMS4Xt7b9MGYrzdUeiggF4t/xhsrZY+e5wYn3KF/LLmq857nz3oJT9K wTxFTzNQ1oANBVo69CehPdJiof3jUsz0WjB1ZbTnmglZAJVOlJvRkL9kKi1HiycLw9lCLPjcqQGm VfH+r6+iFxq9kZty7MhXY1e+XiMXXow1pyDb0byuGmWAWTZcPC2gozQXc2JsT7aOJ7u2aMOr+MEr LEBrAx8nv1IwBN9aY5avLZL9KAk/wa0NnUtFZFQyQRt9C8oCgzy5GaIRv73IY7/aF0b/5EspN64N kS2XYO3OtwjKVqRz34eK0g3LfMV6Z+WgM8qHK1c6lveFB2FGs8TuTeScVAu6SqeTTkJjF1iR3u6g g7LZqa/080/YFzEesA0CKtjnGfbzCmP48UxZqtDqhuGeYfCSphC1nviY/X4GixEiT5Du/NoTgsaA i4B5cws4aP1xna5meXhZTYLwSgyI53QZYCSvd/v1BJfpjcgn+rhbvyt5Vk9Nusx+/3nCU8MhKY0G nh7rJOgQ3HWgPFklLRYkSl/o5vpCVDJaAyXZrG3LjslMJ2za6vmC6/R2wVJoa6lxgpOq01M74v7/ XFU4YrFAXfjRFtWdwkctx9nnIVm6rSFRWrG26AtxyVjTSf4m5wsaAhinmIPP1iadzWXiEjmXhX5d r/kTX6F8AZ3nyQRgbJNTo8JPJFVQtLYINe7nd1CNC8utKHFaifhaG0/eLPL1dkwFHK1CIL1CeQJu 89dkx8c3/VEWf9upPBqHMpQbqYWvY7Ix/rYDtjgrs0JdH+k2eQkhwH9Nj2z13zFjv1q3Khm8uyHm BeOVZjdrJ8OgYt8PIlYdu7DX0vNbSz2+8Q8X/7nwXqpFSnDGOMy5caTSfKPyXvpapqZtwMbxxHtY 4FjjOWvxsHl13N4P3KlJ6twlWKvmS0mAROEThmc6T3Q25TBSog/dtpzefTfQ0ti5rfic0cNir33R XMa2OIGngZqHriUW9SdY9h8IjbAXWRcMFId3IIsonJva4nmv0A9fPUVQXJIRGrBsagM+dToURHiV McJYM4udDnBo2oaIfjQ6tQN71Q2qP9AYlPZ0XYxYl+SZvFGGvvVKrZfsmUmXeXR+z5da+NjceHbb iqThF5ZlrRY+mVwcprSKwgrcavRuERHvDBA+gOInVVPyVKhWbcMDyEeqds50MV797mmmIoGXqTHE DcUYwt4EhuAFRPtuQ/kNYSK2KAahlZ+85nZIiL4iElLGyQSBBA2/8P9fuM1u18zRdQjC2GylsNB7 0stdaHnxXeVQICqjPh9vCrpjLvAKKAgVlotcVRt4ihF2f5ct5t4EZptnq59jyUaiVz80r6Cr/8aw htwrr6eoGoFgcVpULaLviBZ94lM6bBvKXEFOiKPX6xFZH49u5gBFNFfKhlHGkTTWjNHqdTgLqqar E0bh4pvwX/2CiYAFzorma0/4asVNUzKCUW+19nqMy+HDBOmfgEHn5zj7RpEUpbynh7N3c7RAxcdm 2wWw8S/aOkTXDzzhJxOBZbIhAotIvowzm7R2A8oNtFnliBH2618Do4PUsPRf9lZpHgLfXIPohvBK hpS4o6xoVfGwsb0icEEe5PYp893ybiCb1YEoG0Iu4P/pebooY3+LJBE7LAwWDllQCEkiEcKKeE44 8QDj81rHu9fzduuZkAgYaxxfGexYmaA2KeGym/5rsSLdC7P8Rjf1byuW7FrKC0xJE1Ez5FnBS9pX iv4WSkKgJhmJyRomSnts+D6uRPRtVsOWO2fMJdUdW3xGvUJXexnkYMKbVXlTldeZwVn5REKKzuZM evpsqQHn1QZnpwhQG3jtzJ2V4v3yYVdiGPCq20VDFjyDBbjf7/SDN1mwcCq97zAbhT//CepB5b6F bMjP3P3vpiyTFv+QSm7OmJJn4uL3mrvayr639fzubzSE6jkrEGktEspLiKpzSZJ9OO/uLnhWdi/B vqPgKUalVJRDUoOMBfKViKITt7uNBMTI9uAJM1FndoSt/yXEWzK3juk1HdDixCxPIJoGeOA5QFac Qhmto3jbY3vKwor24e/lLuD5Dj5wPk3YhiC6VMuFwxcghOsjhkyi6EIDFIblF8Nee66XCiiqq77p 4QWhKrn5cyqlxk4AysUrfjYvPpIIn35c2XGezB8M/hqErDjw/WsfkQ7ZoQb9MeqjYu7qNWiBYEb3 n/yWzQYOfT8mxSU9zszph907mw0aawwS5zju8lo5riDsCPyjc0/UZYchIHyQob0yJlgJKfZ5xg43 Q7is89lHazw6bqHUixvNiyeITPyHOnKY1lRbfbJHRjSIThr6qMUvCCCFZHywsX03Kj3JlrfPTqvt dBOro+ZRMTd51g3o/l9O756glkURrGN+BZ7zsuoS6OLnreoEwkbTRwGu29Ou82gCqdWJV9MI0i/m bobO9KK46gbg+0ASOB10XjZr8AiuaE8368rMoTtRgsaDedF4Z+LNQwGMe0KOrHvXqdbJ086EmJSH 6vS8+SgHHsOBC4hRBYn25DPmotxZCzmlVyeibyq8Q79EOd2ToxA+IPvRH2G8AV88/UHWjzT/VsWY 8SYGPvUyN0Z+rLCqBvAvXN9KtDXAvaQTm2WAs8mZlGh82v3w8KYJUYoUKMFeCa9AEbGbwD8qjQMT Y4YbxYLRKgI2RyVfvNv21KxhHax06kXs/6DIKhQQbXoaEjb6h3ZBJoD9CRE+80VUNbcahCBHfWvl XLaLQtYNfJNzKcIjMj5q2acA4jdG+lfM3kNOBkk00thLBy2j+xj+4jamYD7QQ3Uvftpq/9+6QuL+ p36UHastjtRCB3m+iunqKYWv3JaEqJYFx61vVYFmux86BUzvm6nGHPpBpD+98hOf98Atalt5ns1h mWaJs0j5EBb5QfzmNgEB0i4qbbsAVbNj1dvUt1gXAYfz6Eafe2sZhT1bkoAj/f279Up65DcVg+aT XjXhvOesj2ILaMA+iZJJSVCxgFMi0jT6mGljGybhKIfQI2q/AXANwGGOJ+PWqNkXkNyh5utN/32y NH/dsjWQSI3xqjWFRjncB3BcECupcclF360cQu0Is+xxPhllocTs8udVM7db2csMwd2/24H7dQSU TLmRI3+dv51Wu6SJoZnefehnYo2Rp88xzllMris+fjG4vNbmXaSClsIGbFdRMsN0h8YE+IZ5w+iW 4yNdm3LWa58SyOX0LAtJlpX665xS/03XpMnLHhQ74LxXgAlC1n0wsQvZvfSmixUIAWrxRS3iCDuv +KnEuqFkycqEXg359sqRD4hhkf1oLnoe7omqTSn/k6ASRexrqO5dox/r9pHmQmJ2yA5QZzgAP7pV 6PK1ScjbejTmwIQk9Kkn/OmxhWRLE8HFSsWN6Q6rx5fxQykHBPSBBMQGjigfzto7Vw90qmyzYVm3 FIzjJo3c9c4jNhJbTLViWorQzkfqKZVh6ZzcTP0CXHq/DpZ2N4OKTsFxfEWJK00EBaeF0wbE1O0P Ph0LiQOWvfgZQhPXQxkwoy7rsvp7PDAFujpTIjoVpyayAQzivG97I59nkPUoTb/m1+CMCG/jhmBr n6HBY5500WD4DolCtCZ+76p7vb0T+KITRKcANQm7n33g4PPrTW6PkOwg9e2L6zheQKSgTf2gjLDI 68QSBuLOC7hg0f3WZm8IqLjowt7JxaYqPJP1abcxjf82Pw2VuIgJKDzSntzqyBBROrkrK3r5QsVz mm1rN060uG9PBzO9lyCJpHcl4P0rQin5/GVRyuMx3QbOuLtGIc0Bue1mBxdX+90oEDR3jQmi57f8 TrWRfOc09sRbcxoEAWgWFpNTd+fuhe3edjyr2VS+nZyuzxOYlwGhldkyptZDd9Z8PTZ3AY4+N++u kRq9vjnihcHtwyk83MUQuRTVuUi5gVgYySEaBZH+25TJYDs5lTuOO/067hgfEpBTMSGfaa4pLlsG gLdE1BTPrMMZDN8ci4LnerFWq+rb2swjECviQ2VW7InZ/odk1HvqjG6jX7WEv9co3CcaKTyeMDO8 Xos5Js6r1Zo00vFEPR3lcFH3ujk7T6fONi9rvDjEhD/gioPpINOi2/A+nvrSg2GMW7Qt4HERlrTI cw1ilVL3gAmiNkVwOzPyC8aN7EC+RZPnOwHmriidhAGD0zvyhi5wcOtM3Kwxk3u//E7Ikqe9aHC5 FKFCHI5twKAPykAFT8Wjdc7jhax+dN3FUB1GKmv6nh21wkg05Kac/6yirHF5VuWUPBN5XUUZEOjy V2UfgFH8fAslHfQkYJNaKqGWYxYmGtClBj4GJd7Uiuz9dNw7+K+zSjAPUU7e9JiUz6eJSWoPcY0y E+xonwMihdornFluvhNe8ir1INhzBTkaGSQWTQ7spXOCh6rXzq5+oHHOahpG/+1+Vy3EWmB51/EF iCDlR2OTdtF2Fx2OV6ZwnsDmHozAjrUtYnmCzE8YBR3eT1EhbZNN1KwGgb22JMCkV3YNSj2EczfT BeJ6aGIVRLZfrNGLs1bcaAjxDLkNxdh9lTEZVc1vRTTG+K6kepNg4c8LZ63mO7dH+7kwzXl4p1f+ Ie3NgT3GL6G9THEYKhxfEPPZDROShSuoYFt6ffTZ8ZKPFL+IDjqfMo0J2kkECV2MMkcK7YOftVk2 hHCqfolZ2uq3aZ333RIeHqcRs/pUlwWpVjM2CyW7NLHsTTnI2VOaE27U5sRb5q2zmaT1LAinSyaq wpzyvnlqCtpAliRSijJ57FLGE8Fnoahfu7zRXjLnLzylS2N/uMR6OLzpMYT6qFQPSdlySQjni2Vh 7h+cKSYeOjDt1BVONWhzp17LMT4P33GAGh/kxgEVOCXcgmfw7MHSladLHlprSo2o+GpvVXLkJQ+H qCrAbZusLu2xPhKipUlb0hkhd3UjnLyqZDjE42NHuyUYNNdZetsQJPl2eGWpJEzSMmWBshsTHiNm hGquBLoZrfburTGRqkQRJ5xAMjK21aOfpjvh0hyKvmeNuyjQXZ9skqAn30Sn2KYGL0T/ub1XcDhC 67T/Py2Ie6CxBcwpUfYZnkUtC+fk4uACSSA5qe9LJw4UsJPMBvHwubZXLoLx11ihTVP0+3iKjyYd y6L42omVIN2lYE2SlEoH0zjQS3yHn6CQcvWt2LDbm9O7kLJuHngl8mqMsUMr17CDvOiuCQIGw3vv M7xk6m9SyA/u+wvdBxza1uJ34MY52PUa9KavPTqseciWl/Frfb/1jb4H5KQK0cewSB9hbIipA/Ni D8Rli29JTRRMhv/bGrkpOBr6CeoNOxGvXbiWxnKZ9BgHMISD0UIu9Rg8ZVuOyE7FhUh7BldzvK5s LkUquO2kTD2am+f64kS2mEf6mEsRXSb9S84CljceQy9LOLgBnb6P0EGyQl3afnZhIVTNRd2/8cdd r/vteiXZkXWtJSC9gxvipdKkI258LNgvf65OH50zCKm4ngXS6HNnU+d2LUl5dWlLaTaqzFQayJMm dIKkITwEUezWN8JLvehxVwE3dxkIYBQGBgRk5BkH+1sq6z3tChbrem5VUn/15lAuggUompPwZOle 1NqWLUSvy5aSbGnb5Tm8w0+YNAL2boQrgmAUnG6an4m5s1JfSqbFWridO8UZ5eiEmUAx2tXevRwb ZTLougWqObYtYwinNnLPJgkfWNm02ljTiSQru96U7n2UuqIHDVFVpuubpemVqvw5UUDNI5b6CsKx f8cF+5ovZeywQg/mxtefvN0kEFlsAtDfQs7do6LlMqiShdP813XNbZ8Efe6lztafhRCDa+FlSgjY 1v0HWSNt5ZRMgNmpUc+Aaz0FyzmxOzJY02d2vUoPO6etdyATv2iuqpm8RSYYFZ2rOBiDM9lS+ZYP VNxd0k/0HZ0xYvqQV8xhOmwliN9d2b/T2R7cpxtr9xqxyNDAzGgs4FsMxSs4zyVSYFNetle/uPsr 1hPGbviDRh2lZkBi/WgWCeJ/3LujFWQ2g7f5EQYNG0HqOJTiMWGzlYsV2f8aeQDhDAXw8NRBht7E SP5EliMQTa+N4I7tmKAn74XrBVqcon6T3h7g/depBeXRaOU7Zn/6BK+P+4jgUWtV8sZnvuSC9bx0 N8KhBZVAAQpzzAZLllowUOvvTAwHMvp3woPX6eilwKaMmwoAgjhuqr6Jh2xmcfbPTTrDbCrvqEAM VF0EXap9CftdQBqhdV1WiCb85f2qAAvcCfoZZ7Q/hSc3leZPwkdYYR37GCCbv95Xs0VuOr816QGE EY4uswjaXPI5E97eyq8IvvBqUIBdrPr3YBzpD+R/RFb0ZyO/i+0StSUzEBiSJi8HGLKuziQMlIri P4Z+kss+0zWVeqyUG6FKTnoBXXS1SOFIEe6xyjR2fdcsUL4Uo45HVvR6pWj+VnPi8qZ8KrvAffVY WItqjDN4wbTclPqp8ulvjltWZjVx0W3dhhhtH5A0MxAwV6kR+2vVObq5NDDvLZ4Q8dTN3y5ewioR BnfyyFKXvPNcb7kO1HIUH6E42b7HLC7Thm8n1qUQMc8WqVpAnIiIQhCdSbUJ0QjhN9nVRH/OxNip fOZuJ20oevIcZnyfuiF8XcFqxpwIrtoTpzGF23xFY7lGF8K2JuBdwT7aWB1IHZFzzga9W31od1Yc iVfJeKMpYS6IkvAp1jdO5TUmol+RJCySHEZJHwmhTWoMbjLHthz0xz+BFHPWiAiXDDCKLGyfINJU RGx2gexCDf1u2XEIr2ESfiYXQ7IJ/Pxx4t7PpK30g6FIGwkMo+e8jg6E3I4QSY2qzAeq0ukq2U5Z 3kXOxywZ3jO3VtkP5Gtmd3xfA7XbMvSgp/TA4qj0PKmu7Z2eG8SN0L6eLMuo+QC6nJJO1nbb9w6H YWvFMpCyDFcKTcVSAlDzv9MkBSLJqUED/HLh5EVMekLZDrik6oAktIkpCU/IEoN51GhOfsVjHSR7 tjgBERzRJsvoKZmx9rS0v7R8iYTUP2f6cyo9t1EEaU+3hj8Tuzo3tATaZNZhfEI9qZ4BMZRqxplN Qa1L1Kt2rNvck0IFmwynbci9W35V1FeVYgBW4tIUl2fJbsquxTVWjxaj7XzyBzKQUUo7r4dw36Qr qliy0dk9pLr9vh2STSDIRvVbUYACn2q0xH56y4Q3DJ+mLIzM9WHs0bkxUBpVD11tpXLKhM2Acv5Y orK/ejo/jZk7VlZHJcnDIEAlzHILQcDFFIP2gsR3bFgEqhuB/X+4BZQNRWsk9V52oXYFj8ByBVGX Uti6uAz4VbIqfjpDjXATYAVG/JSu8A3syv0LMThxt4UH7SrbQ9yAU3sjPeVN/AH2f9fYrvIIq1Ne CKeAuGesxhxvRZyFL2ayimsvB89lvbvtmOo/U4iudWueMQbzmY5jIjCKC12nAq8tnPv51i8u6d4n 1ClfQciThLCl8HuQJ16PZMVe6TD0Pme80+uqoVS1jw9u/xfUZ21n4Z4ptiJOdoKMbVa/mKJwbxBJ xhV1IeB8Rf4xKaq9sTd8nX5ghqC2dQobAWB547g9lRPLFSXM3wk/4jrlaffeBU0SDM5hWE9PclTs I/G/81/I6j6SPahvGbt0N9yKBnGZxlPn8jdcQQkW9xFITpCB4WM29QoDZSskuxgEAsTQkYham1ys Jkpwonr1rnTY04lnFrjpRKaTQbStIZ9ZYZ+rPPC9dZo1TPnKeXP9kMVzBz1036w9Fo4Yc622QJeN /gIGJ0gyVk1QueNJPfFQHJr4c38Zq3NZUP6gliByGboN6auCxnYISi4U4hSucIEu1CbSvQXMrckd Ihutiq/kGvLwDg2KfWn0kUU5UzceoIWFeqBSlhDlxdnEO5vPyd0X06qB9rW1F99x1QCcaJ2gwcA1 lOwE7tNR8nRzUU+9J1OTi09UFSbW2zfn5dNfaZredMLWjJqorU05iVUKhtsBO2l5HcEb4E7W/ss3 ItlAwn/Kjs4c0wRIIDBpjT1k4IsM0IpXsc+s3rAe0nkWuLpeD+DaN/cwORNkUjlXfWD0KKi4FpDD vhTQRI/imMEgtI7i2MrV/5sRviOBLRR1q+IQFeJ5dJWH08l4hIUNWbLONotKhO1q4mwIX5lpCoXD 6Gj7Fm5F8FPUgfn1D3hVRhr88xUHfLtHPb9x7S1HmS6SKNf17FtJkXassdLZXAPlvXBY+qsNTUx9 Hh/s2VD7WmPI+GHGyUKd8L2tY5zi0leG5IxrZ0Z3VSdkKshO5HC/JX3Krp0zuGpqnw+EK+yg1GRh kleF7NEv1+BrypJii52IYvSgHWHcX8vIucwiWBpvRzJHeFNdGjmVpqA80KaB9QCzEmfonRuxAYci pPenH4V0PYMW2Z8lfg+AySmb5/N12Rs9UFe0WmtEMFjIaoHV6POd0qJv4ApzE24A6ZgFoSQbZuqh anQfcXfUhwVg3mlJ3ptcAF2Ypk3KCGI/x484aWmcb6cA3JTwmwWXdWMCGl/VbpbRmA0X/2v0Wq48 MhRLsanrPodFaqbyoNNySCyuVEqy4vwpbwY8h8zCDI3dALD3i3rbyyvaWAgc1S0kwx8xKBEvjZpR 9dPVF6NVeL41DiUbzETdFXJpvUopuCwEzrohC1WVJCcW7x5SyfxH0YwYy3+iLtt9Eiv7a4u0JX7t xM7pVr1yv6mnKJ100jksI/Kk2MN/gC6yRuXVqdczUYXTfqhRkoTTkSHdVadKtEOGYsmTTJ4APl6F 4YBOVsm7PJ9LbIGG/gniH5WoG423g88LuwSLJumgePu/8jnamXXv2Fp4jBNQhiRAUxTTmF6jO3L+ +JUmdfU9iQgnDsb7WiQo7lTAj3AJvOPBS5n9qmYlrCPvWHp1AbFMEAN4KuLtvoP3JYIDtN+zMUc/ 33Bu7V1nii3zDrsh5sjgM9iH9FIrsQ9dCkxlg/2FmckVvYWXKrlvG7NzAMcQvvBUuoQM4m4IUqkg pF4PiYePeE7EfPkx0A2EvzdpfCHtRw6F8fVvBB4TiUcH6E8g+j8EC24xXt/IklwnJB/AwIxSs+I3 4DgsCGiwgk5eCCCryeoImmlzo0iTfYVvfb2pRsZY9r5MKu0lIbY7pharQMOBUNBjnEbXshco8Rkn 6x5Sc+KgNpGQwVUJWrROXZvKOVEF/Hnr95jQ53AU6mpTzgZd09KKfu8iiL6pK/+x5P0bonZPYqlu Eb6PTy9sw+Bck52yll/Z61oZELpP4Mi0Vr+GTxhCY7555PoxQ3n7maf136cIOTLq/I9XujDqSWVL /DkCZhWqALAH6PS7a99H043YnrJAL1QC5I94Oy5/58/IzqQy54A5Ks0jDVWR2Ltc4cmtixECPXLe CnGc+86N6E7AizH+lGWIBuj1wrCgDNzxfJmqdWnmndA3ibeUm0KM6o1jZBg0p1FsxcJeNW/S6I5W ipZgaYPp3gRYNqLRXSESkP9FPQnfOkB18dQkYU15gtupPp1UggVL+kDy3xtVIXjHgeQfUbpYmEaj UcBfnW2DqS3UtYZ9AW/qPZhSBOoFCLw0DK9pnPzmZHPy6+FiD/iVUym9aF+sEndkC4YIw0WWnl9q uy9nhEtvKvHFozS7vvfak2Ji+HXYu05Cfy8EfFBv/rgbSV4McoK78YRf7Ho/MHinCS/q6SwY5rRs rwvR4lAgb5k8tpI6D55yvRDgpdc350IC3x0RwQ0ErHKybP+DxAaBkurkA2JrL5WpbtXGsiYsIsCM H52KgBbQLfc0rConiwHXNIoOkKkZBrK9e0/cfHpoScoNNyXyDju4xeGPXjItlsCZZ11+IsXLni2O EGqX99x98xovm/ybrDX/W5b+BAbwTiZvx9QShc0ohrETNGpEij1HvtlEE0j8GXqeKJK4Cp92gXHh K3Je0A7K2k/QqCiMfC3NlXFJCZLGoBvG6+bizvsWGXs2fuszG2bD9LUeIT9Zfz8gwTqDivBI2B9s kxjMBr1awqaUTAqK21KdlyF8LXD0LpWuNJO/yzam3Vs2zzqyM2qtgSl1ZuxgtMwaF/3mVeATwof2 qIxFX0ZegsFD1Vj09jLOaYGxYKSCqHQ1xQzcFsOELqh7GepHatjm4UCK8i0YvMhjZ5HEqTPd6bKE vwDwI82pSr2U2AC4hCBLrAiQXqPPq/mgeS3BhzJ+PU/gVHzv/2sKinABIMySAfjWWLSRttNRge+6 +kDS8AcyNx5kNFDnmkrk2XnotAcvCxB/KkxF/jL+NCJ4CMtOHxyex7z/uVaSDuHDRo9Ocg7Hj7z5 RNYNt4bUnhoRscXI6W2h3N42TDBadenEpAscjGbvB4hU72TlcgffymN9+m1IY3up659yTrues/sS 9tqC8oHsBX8KQlsyKnlcAMkIhwHv7bDGWqoeKm4ye5vAAQhDSxd8H4C8wlFJHPL6t/mvens++wnj /+vXgsePe4bVbALcpSv3aBloNe7UNBk/0MjiXF4zr7sbh+iTyUgnmTMOfnIQMETSVYr5HafvGDhX 44fjabcTsHTpmqGBbablvJICyEetPEQwrY8+1I8T2igD86kGph/DjVuc+K170Xf8R4ar9p6vJY2t zNYdS2szuRwsg7ROqUmyXBL8Xw96ZljD4YymxTm7H6y5AwLQm4z/HDmSNLo1jXYgk652sKEYOpUC EgNIT8XMKrPosv+K8Vu12i4nQNd7VpI93JvReJWmF/hCu8C+9tVq4RTnPq+nFQ02c4PhOVo20sqf K1OXbhTsheaH+ghz/LM3QwKFph2fzWkEaUpPa9DKghTIli3DDbtQvQcjIE9CijuVQGj+tZJCdYFo U6rypTeacLVOOJ3hVZDNONx+miAAWhikze9iuuSeX9WJmKq50is9HzOkHEbFfAMj3oL+T085haOx mhLjLZx0iwDhw6wz+rHolRyHx4iarKS2Rg8Jj/Svbo5chcAZeRJKY2L0IItzf9HKwCNbg2NJ+hXp GMweoAhWx5ZkHkIYXkaqfd6u6v1n2vfczQFadAkzVdpbWItycqj0WNJV1etjBHoPvK7xxEldImot xpC7WNIW/RWwJtse0nibB0sDpxmigct4UiWZD7P8m2M9ubh1LQvb6q5ch9RWJijM+Zh2xJXNvVT/ YcMyD/ox3SC4J6rKjlydDZRNyRrNFc8VdRlGTLxAGkKVPO8hwYtkwEgdpp9Jbgmh0Q7KuL7LDfuY 1YWOnVau2seUYnwPxfm42U7HMGi2umqRtRAKciyTrxd8LXgdhTkxgYMTaZH+wI8q7+A6EsdbuQE3 HedAMr7qjrg1P2QCb+6AlCguVL7QIbQ59skqO3yOkje1YLFRoNUjywSO/wblg4Kko474Gat53hn1 uB3AOSBvRSCA5efTMzsdScZjdXbK7BPnYPsnJPqWPO9jfcHBTnnz+wDVxnr8ZYbxlLr99102xjSK LaEUoqA/GTLARUw/9Xrgn2c+UetVBjzCzD8iYM7SIMnUBZFcCb1bAm69f2AfZpWOpONAaZS2zFTs ER9puyX5GIUe2jfv675F4SnKbKC8UtoszK087UiiO21fm5TfIN10bz70pBComKivXZxbu+3H8LHj wG9E/6sH2jO22S0m6/cMTaovjCu1bAI3TIpBRdX5m3gcC7VrzWE22+mXMykR8f/O6f4hB/now+ER iGcTOS4BSVwMG+8YRBqG0h5wlvuUeMJB1RWPbiI3t5a2lu6qH8yHmI8QBkeVN0FNaamvHIE/xbvx k+aQhSPPbfXb2pZomt3xfooUr5Lc61hMIX567qAXzxP3ao7g8RX0dvQ1+554gmOPkABc6r9hLB1+ 2ACjKhZpyktTOMXU9JPUPIzjdGprSbkZfshamMrt6Wvz4HuWsmg2GKotSAtrCy3pi4iJdc33/OaX st//aObqY3XlKQnUim7756W9Wt7xo3Mcn8JyLW+jHlGuyVbLM8V8V2cXAhHrgSYMeXY9D10C4rqo rxpvPGr+iyrER+7AJUDwvRKE/ktxPcTGXvYzGN+IG64+wD3wQGsPaq2PvTXwLdo9lQV8Jh9QYX6I AZyvqtqglegTztSfRzNNkeUSatK1nhBjXRtAipMBswq27DfL6Ecu3jrvRPnRhijDTlTfsKSMDmdR dcabPAqfPHQjXgx/0Zn4WpkmDElNme2SNyrbOsSBkVW2HVkXdnPM/apMYRZ8n96GQrFUWF1guooS DmcGWbhJFR2bBowdv9714ata3CLQ5HxBykjaME5AADooPIoYrFs0Vf6viunpOdygsRcripS7QXTp qHc2DgLIQODaOgkq3N7snHcMdjicD9ZNWNrmEybs1QEVDijkrF1m/vQWKQjQVIXTXpn3amTNapBq FicosS7hLJ3YOBycOiLxHWdaj7vNH1eWdtpKiSBn9bNtP/3mNkPcocvC62yICz0J+TzsA6F5FZQT EW3dPPSLgA9fP7xc5RpebqbO/OeK+T4vGi+7E3jJc+T8w92RmmoVJkMp78ZcoxL74P63Tqw3AP1/ 3SYSmbf8SjFx9QjKEqwD/8YIWuGk9UF8LDQZZVjcNqT0I7rhuMQCnWJtf1zTOjrY2EajG8Yvn01f 2Imo/vKyM8NuGHZyBWCZ7ZhLXtTUFBQyps4ZEgKP0Wpu+B9jxAc9NPCyopHia4IeuvVkaFUVBeW3 dUb/x13InX5chYIlJ/etJSGfYJJOWxntCWjKaG5d/nnthZpk8UMGA62i5MPPZJiziOkZuC4V8IKr ATCYHD5+sMf5IYwbLYQVF8HUBhgDTKVX8A+V+JvK+gIb8zwG51L9jUKDZcKLN9DHfinX4EQyK7Rh lnUqqlnWsNBm9p6ph9ro8HNz8LMIAFW0HrN/WCgkN83xf4KGFX5bs4x+JZy3trlJbaSxX5ZQYMN1 pJP10uWppC/0PDXlEVTq/cA8TsfEVkEk/jpfaRHnRreQcdTmvhdewWkBUWP3pPQy66YuHeulK5NP bgb80rz8BUN3fizZx8Y7v1zi4meCM2gGyhcg9YYmFm21U8g+ETlzzkXHRbuXVNk3dgHs0AV6ihhe hL22DSUprvkyFfuU25VwJ2yLAtvpucMBIdwXa0f98yAFbCV1hG5oDg+yTRD8MJtjMinyRg6nc5np lKbPuvYHy9gg85v5VYFY4AwdgTB7woqK0LTzfyTFu78Bp5f3Ln5GkjEY3ru1CTpxeslLcunFbnYt 5FddK1vwNshi8JSn20Q1OAGX9ohXjZGw0xYfDyHkF9XoMjgzHBVU/bGzI7BRcDE9NU55WLOqlMzr dX1ksX4U6l7ZmpN+a4FSutaWGzIzbAg0ZvYYLdbwBDuOyvmyxhE2mzt/YHVXKzhCeOwtkchGzbVS iEjArwARCuW6SQshnTI+HoOqsAvZZ+/qAamUCShErAacYf6H81xMzHESX0m/vKhe3ueiKsgTuiJ0 ivYxaeiTfcaqwM+y4+AVS89acm4jBQ1HUAM2VXdB/wPeI1YtVF3C3hIlxDfNPAX3qk+qGK6Acqnp VbfxsJOF9Al+6lyLM9wOmIdnI9+Cd0GXRO+hgSqYMMPZDHRpNlCL37E75O/z1L6oxUVxmRJZRpni lBY3HpaPQHPgmNm6ZmUDrTjTdypO3gcILOzVTYAPCqbptyZsLDmimUvHwBmb9R1/nIzNl+Tv//g3 kXsx9Y1bm+Ydif3m0WTIMzxNYbaDFIFtL/u2wPCY7kkua13RKWKo4ptDe1BtK6s15OLhn2pEn6s/ VhgIruSwFRlRo8TiRzI2JJF2fR+olH4zW7ro5ncwYIUXO+ZUQwaHlRuvvw1GGLFkxWmQQjYjXKm4 NX9w17QNvycCWSADkNhDcVTsG6Y+GMVmLxxViw2zshsSn54vV9cm4VX1dm3kEsZKNEXk0UXyJ7xk FxAEpchSIWXO+NLY2AcRl7vNsPMvc0o+Vj877q29tqti9gS3eY7/UOiiNhsEjvuF+WfWpQ6AIDsG grZyan5BhIf3ZgldsSeCjn5QUFRKyIf5hzfeenpk2/1ZDxGhkiALTXAWwnqT7gunlmZPBbNIF63v OxfN9EA23NhcPOvATXdKUMq1RbXjze3hx5mI0W1wFuecqZ4r6e2wsP+op0KjcrTX03lYRahPZL2+ Vsn1099VJlE2kdzx8Xb94lVeyg2DSydB9bpJaKxg6Aga2NecE0qVfrllKF+IyBAsVHt2QQDYHkUn 0Jxn7Y72w512Qz/RxNwLNsRMni4nyCCUM1IMrm7QTzyy0iOfQPrZ1Y5/Wd+ywdvFEiDr5RMng+Vo 5qNuvIfxGYgg89eCmUjVcXTC7Xsf+s0mokbBbkjKGvgISelLtrMEap7uUHnsRztGDQD+tNEW1hAp 4h2X/z8Ml6LIavFS/RwxRy+Reik2DQz4cXOAj30DSCrSdwbGgieymfQD9Z9tWz/mbtL3va9DwIo7 kzh+Ln4keH47uQUQwBmGpIr19i050MAGXr1UgAro6NSej/kaSr4CDvL8H2SEVF15vkkoGz637n8z WqynChmW4WTsULydaXCvXmWBtGWqZLT9o8Vw+pyWbUTNF85uZ5Paqjhgo47sJTxTmUwcKvi6REMJ 6B08nvpjBCm/GwVxehBm5WHXNtDi3f1KBAiLU+aawfXd3golAyQaHVZW8N00cU0bPaKFsgYeHPbY /yPDd1XPmMOlXjdCITXT+X+eoylwb+/xpQ3oS9OwblAt0nnrVpzJ/qKgu2vY0c/1xbOMUnE9bS9s hFaGNp40pbTFF31jyH0Eg7b/INKV1kPg2W0yZ3rlzs3rSsWsG9t9wk20DBv5571TbVXhIOYOgKpx pEvB0gVnJ3iIyZDxVDKNiEnnSzDgFoTIHzKEJkIEZ8ADi8XActdLtvpOsh21ud27AgntgsjK/qkh q9zYrwTB+S3M0l5/k6T3io3IEuhpSMBPV1Mv1oIv97aUF3cQx9eEK6tAoGXvqHl32EkOzAME9dgF JoBr5OBybVlRtzFZtxOfDSd18QsoydN11HSWRR8DsyZavMh+ea3J9QACBW/3XIhk8SKtgcODQt1B G6qyRy34ceHmFUFA/umY7zZsjT1RoG0qEwRNgp7OwOlW4AZmpvUANeenbF+yps6WqEff4QZtT4Dq VJJMwdJepPqA9DeBIpTAsJOG1S0ySaGjyxEmCcxZyf9xEkLz8rpssU5Zc7iz22fgxCYtUfVM1jHK mNK4iP0X8u4TIvB6MxYXNCNha0HEIVhUxOQoDM70RVKesoglzkXSAgdEr642o2IEXFFOWV1CVu/V npi0jlssPujaOisoCzqmxLj4Ec4jy43i92mD7B7+JVY6PXjM4lz8Ddbe2VyXcBf8IvO5uXK7gmp0 pRJ6z6Elv92jz1gfor1BPXT3KbWgdhKAM18uQVODUXNiLTCPzPGDITy1sn6hgQRmqByPJp5tydQH dH/RdKvaTc1o1Ne0OKSZapTncVCZ7nBzxJ1Ig0e6IAx3iUMHUm28ARiFfemSjUq+LbTs2so5ISdx SVScoUtt06xAY7gjLxOnsnXoU6m9uAYTQKPjL3sReef3No/MvqTAhNXGWRgB0nWdxDSkoYt6Oapo Ibf93+m7TxDMLpykJhPUHlYM5snYnNywlyr8mbPaNcevsFyLSSB5KC5IM5fcL9L8MHvSh48D9RkM nKMNtzp6MTXKO5Xkz7tLy7FbaBrd0xwiwn4imKsyavXgrLIP8YJsw3zIc6blID/nDkRtRjxXYVd5 qn2W8z/MDn9hE+jL77i83gs7SisdkU6YaA+RujY9sYJKjYojIM2376kBkraMPaS5c03xh9ghdKhW hIgutA+pOkvlsvXUZ05CYw7K6NnSjPbDmQBJwrM+eUprUzoiQcCuAZ/IBVTM3R4fdpMXgP+xyzaq fl/HZY7GnkLvzHI7davLfr/SazGgETyP3A1Ala7Y+71mJfg04pQiIXCaY3myssmIUsxM0fEe6X/B vexCXhdEo+okYzKk55qBPsWa2bYz/13jxrFaPq4le0wTvrJKpOBkQH5UpWPzcHUlxbs5aDQ85Bpb w1nRZiezrHWddVcwOV6Ut4WQv7IJZaO6NSQBTAwLQ3paATV67RWOBlVyeQIaK+0jEtwww4Zk2Ccj hQwUAe+3Rj0+TzS1ZJuot+ORNGJZFrab+hQBlCwoEjFTUVOCL6iEmLuThGBPO7sWWJtIO7NSaAIz g1RzbRbl1kpi7aV1ScOGC7Ng462Hhr5AQAsoHCa1nBMFoIXKyS9QJ7R62xKd7dI+aEfo+9rbe9yB 3sueN0ksddKaYLYkLeBiGNoMOxqSCLmzNABD+QkIsMcE+ampQwaKxnbAac/1YMHLWocXPwx7aUmj 1eH6F96AWF3gdMmnxzr3BTfF8ozHOoFYGvPWDnYunAIdgUUXrzPia2LWiA7o+aybMdcQXpY/tKW7 GWjS6tAJMk/eyWo9AlOi/cd4JDWGIJMCP/ZzdNsUzkbQIwuVzXTr8vC/SbCAjv59cdTOucIG+woJ cpeDabbnIkscO6AmhpG0mBr67rbaKv7lHXB+xlxP0kCO+jVozSaRL2J8cwZqu8D3LKKzodwxssRp 9AS2l3iSdrBQog29uw/ml/ulD8iNt8GM7Bbiv8kjf1Ihz0sffQYG9oKtuj6s7ECJ1g7t+OKUb5Qs c8Fj0g1aoowdQC2QOLBQ9w+5a7RuWgVs5WYL6/HrDXIyQ2IBSou0XAaCxTVWr1zRh3vs8aLdK9vZ jjrkyRDpL8fhqMMfOr8Q0whQ1291VX38/8Wsoa/HekszVpuZN6z0n8vgNa7say9+tnbnQKSY05jT mB9w8qV59vmZT9lMNOJXGuRKwrxkqjPpILGwuiDAKuFHVTLRXC4E4KUTDyKAMOQYl0wDRYJ4K3Hg N9rUke89brOjmZ35YBo+dwNE5ceCRN/hQJZahVGJ51p8in0VDgAGrEKJbIdGJNuApx+TFCIzdAY2 df46DFhtGzXuv1oe57voDzkNJv4+ywF9W9JhCx42rSuJho+WAofGdnLAG2112GEfmuVJ6Rp7Ua1u c0fs5utzo0hp3dyi6AohyWVJHZJW+v30SS8JFyFM/JngQH7P/0g16VoYHrmtqFRSjHOjAfKfNIGe px5+vNW6tKNLG6BnpAMlT+lE7iQh+TJR5RfEC3YqyAc9IuZq0qAkkZAjIL+Luhjepn0LuvkH5c+j V47tS9Vce/rt4otz6YzzMxZeapKfOqiMTvirgD0mvH9ok/3CUcTqrX/fnAjkSXcMeIiafnn0sTRd MuFCTaqbbBCYXFZicw0op9SHuB3U6JDJcgdZsjcM8yFquLpErNd/7+hH2krOAnH33KosSHM1Cx9t hSkP8W039ftYM1woKFXiSk2Qw9btA3h6vubDdAcUU8BDikfa2zP89OqXIBT4+mKxE5gha4dnTUrL 1uCL28tiAlNoTzP8GjZNkU+lqsHU1MtWgfRXqsrbnPkZXJGyTUaOPyzW8OjcTE5LoRbtQdw2lH14 kFnUrWOidh2b692tCdKHTBsK4kIFzYheKXFnG9vQmOuSoeA5I6hY4TOcOah/u1tQJSkp3m9p9jrC Rccxw3K6UsyWfIN2ixdIctGI7GMyElDw0e70fq7xOaWS6sFUwbIq993OdhNvg7u85eUWFdOSx5DC /Ek3oWg9Gv5RNTj1egZPmi8JosC28+9GfKfgWGG6Kj98jCCg0iN06cI+RrL9ueX9aZxOQR6JJK3M ZxH+WA8NUGUN65wTPLnySMP3q71tMPni0fMbAKfKmUteI3A8rFx6+eWSBb57djrjZBR5Q/8dtZxq 7S1V7rgMfqe5+cdQLTQtux/ACUyq1XOGjp/WiEtM14rKtnBVof63hNmofgj9TeazsG9ywbMhTmar vy2y+NRmYSqaFhQmTFCtlE4kjwjWLSzA8bTO+F/BgqOMSEaRjOMFqk7y2pZYHAAJdvuwQrZ21cX2 792E5xDRo5wCjdrgiHFP1JCvuazfaBKxafhdbSw2Ry79e0RetBUOZEVg8HdSHGq/3Ke3twSGEf4c OpO8k/8KS7y+t5yJxfkG+hinrtqWy27o8lEBLmxVhlZKEao2Y5jGgW+UMqcgW+1J+IocUkAjGA5S tMpLpvA6TrD/PNgdOJfet7i8D8KHQmh9IN3xZOrG/ML3muNgkRIe4VRJKbb28W91BpK7jz005Fyd Z7k8evZr7hhPl3n/OVWKSA7sgk29SAtSu0vfWzp3++y5AGSQAZxK8ENKqHuhs3o8cEmOry6k3GPp BFfQV4QmaPiOTAPW80k2r4Akhnlneb9yEoIMs0vVyUKwQtgHeH3NaOWhTh+FrfWnb2AqUXU23lHv a3nNHMw+9pXmFD1fbQDp8IO09z2shaAnuisL3C3mgXGj6EjZFdeHIbM/1Y+H9Uc+EG/tqEOj2bUL XO8kRgkfTe2czIDUCScJLVHq5Hk1ZX2kCgF/eTUrAtp+1AcIS7XJoDytvCUqw3OHqrZM79FzOSah rIFVnwYGmGuCGVbXX95TuWAXGoq+1XOxC9gRJS1IqpMwN0zFOQNk6WkLA6yoxqRVCA/csoqzHWo6 hbO7icghCwsNg07k8bG8jyWMEgykk+JcDZb8EYVmzbjvnvv2M/wRS98UeFCNkCUvMWnH0fMdkJvS 7lL/SETEPJiT+SdUUHz00+vuBQHioDRYfbDtaeqF7ElBzKC2iLSlRHv/Cm5ksoWXEnKsoHHUQoC7 l2SJ9MflG/tmXjcQF8NMVT0G08ET+OSLUNmpaNg85TZ1f1Qid//ntyNQEfBK7VZ0L57f8rq/taJq 3yj7bjAkoCQfD6UZ3a5lwMad+AVfI8hRpHhHbCY7XLEmEWpiiK/+V6XIVe++p2ad9MXu8ofvSeZ+ v/rjfnpanYzi1tqQtQI/UfQCx72nzA0WmlKj1nRvc9NFsuyFGMho2HsIVgzRkWYaDsSX/qihGlR4 HE33T5KlQwrSv6hI8VpiXbZfRb52DyDk89CViexXNwUWaXUg75mKtcNLkd+PdrX0h6FH8in2QCfu fzi3au+DEjbbE29jyQ4r+imhHPGe+eyJvdTXGpN8n5z8FkFf9bVahlxKNruj6DQekRSe44VvcwVc v8LKKIQUFB4w2rBbGLfVhf70osoCN/qu2SM7Tq+5zFtVUA+aVk+RP4Pb0oZxJnL9aNh0OElGnq0Q gT2MK6RQHhmKO8LorWK2dsdO7yLnL31fqdpyf8IjlhaCfu83xzikAmuo+RWZHBoY3adR1kgMNEYD YgjmpQ5/EohvPJRu7HIQWDoes6bLxDbTZhBY6gSrsUJpwrdBBcPovei29/qf87R9xkCgOJ3c4z+9 TVv8+8z58p9nXJg4aq0mXejYTgLaLRWhHvdxMLF6vFD2KY76SXwJlSq5QnuNo+PJIIQ7U/2/QOY2 6dahI5+Bjg6/NE/UyQx/X0UpP+Af2DKsFWtQjBBvMU+cQCqv+aOQ4BtDF2J9oXXXPKHdy1sr0hJP yFv48PhF2A28RJQz515+OiINhGU8ZnpPfcof2E31k3U7yEd30hU8sr/vKfGpkJmj3tp8LOg9BaSO gLZdcGJI3LZPlpQWdwRBqLbuvYuazuBlOW4ek7iMpxMZNjJa4eMsVqMkKfESa4YB/wlkuEw4cWR1 vFuBlQityzM9I6ibhqBBttzTQ3MoqryPxCoBSuHoOBQzBYZ85It8G4ji7DCjBihQXYlS/2z2Bh6k 5UXVK8AyogAkSdLiU2cnCdrwMx30t13nF/rrYf055ROb1p5AkchLUaoETcKzPMArD8mp6lPocFFf wW0tYFxHWSgkNiR+bWl/f4KShKCBVTr/TEcLNR6Y+9ZtaRnekwNH8S7vvLpX2gRJjkytr1OK3bv1 gcEytXbPsFi072Y7fpXUNSVWF3EMXIvDzddCIqAIDD8uXfhY0Mc3T8FFoB3+w9KUob1ramuBnmOd nmyJOaCxIxMT0nq++0AmDkzjGx2KRPVUHl3DBKuXnAbMCJWVIlQ9cKuVrFZjGBmYGXnwmYLpPfgI UNfxVKazoNL0t4z0VnXaSkLJsWGUwVCzAAWcHaJ84OfbTKSNKp9EvGXl9vDICxS+oEMZ2v6oo2M4 qAPNBrTU4pcPtzvJLoMYOwNsxqSe8UiqLJop8HtqLL2IH6JpyU//APVgBo/EbuFcMwkQetW8gQh7 E/0QS6LizFxMi8nJDVjaVk/KJRt8Gk2WH58o+pCBiZ/QFpLcStsBr9oafj/TD22juUSLL1HP2oex nowRNGUFG9nJfeWGT2erWnHXuCWkWj9ISpOX9xgGIXWtNB/cPXZinRb00892Pb0lkwoGmS6kh9z3 +Nk3sP3rzoaMJhjeTvBpNYjTRBZ6HdJXdVq8ri64JRTeVdMEf3Wa2rQ3BhIuHJLm1VkpTd/v4MaA XU4VpswH6LYe+aCp6HoDedyXcm0XX3bq4hWfwbUiyvc1ZcEMCisvk6P4++m4qpqqEdqpMSYfJnoo yRbcshpnc/fEsxWj9OZ+dykInkljuC4PrzW+PwelpwZ8V5oHLzTDfk7TuXTxxYmIrqMADRsgdyW0 AHHZHivg7/Iqr1K9NWweUTpViCo2ijapDY8BXvIsX3IFPWb5ve48BknY/tkCsmzx5NhHCS5/LqSo OVofJca085aaT5/kabhUnJazlB+EYyjxdCJT+lMIrqN0bZt5Mk1AjHFwLPFEqwouqMp7INx5xqph xU95ZFVGOBCVlMvouB0n0aXoDxiVHCrQ6q3bLmnkOZ9BSLanFVjMQaCOE71DShfSRZBgdxAF4sMJ 8xSgH7++QbEbkMvjyqHGn4zoLOYnp6lbFS1rfOs840MKg3ys7EawExYz3VDiiaZkgQc1dkfzLeG7 vgAj5Hc6aWY320uPI6UgcFXmvGdWGXJ45j80vG40sk1DYVuaVdXuBcfHPswvXNtiVn80/pEaLR2c IdLngKvufRG7ze9BKk02hFmh7/DIFPkUQY64wOOG+5Ks763+exJoC/Ycg1l2tXYjMP7P9skgRfhi aitTWZFVFTrVefYhvzJdVWq/ML5/XoZMoySlz5zsIhZMbTdhGwL+OFLQ2mH8Fim3iMponsxhVp63 nBaxXrAfL3sKstZvEbh4GZhIYBRLaRj3OBOxQJHGsBcY6L4Zlu2ARI815/1fujnPS0LN3xuVgecA CkE3WvxWbQaGlle0s2HKBoalD/oX2fVvG2sdztT4fHiuh0QhjArfLQKUcUHNS1rjClm8k8R18HTd PuNWzXB97m05vFMGj0S6zvgz9T4yQb+bPVPIzGFW1eSuxnQIZND9Q3s/rnk6XZ4NQ+lO3uDsxdLi nkT9+k5YAG6Mw+/WgrpIBLA7UgCgvWwzg4lUtJRZ7OyB4NflgEAOZogShBXueE2+y9WO1xYZRNcL R/BKxM5QGNd15/BdzYYdbu4F1yK+T3XSgaKNiRfqYc3uV4HJUI0Zwy5vXIGlTWOpoEbwJccUWdDp M4q2CDAB0ukNf89FEQrUHjkWJlmKD3dGS1D3Zb250JifwVlTi6PRd0D1AyH3pHhK1Ga6AeTeJlCh oyd+qQXdVLyFcFfSGtq/VWbDW1AfeBc21spfDEy9luiZk7TyAmc+SWZ7TviwYZGojgqFlBJ3DzW3 NpXdaZUT16vndeY2BpwJL+dvFZwq+S91fgLAMHBf19RXa1pXlPLvPiWlUQ+znYl7vjfINbTYyrpO sqUn8fOjnKeQI3Q8fw8ZJiJXM3s8sIgyWi0rqc0iNWld5IA1CUbAslEaeQryq27ROIr80dvf0Ig1 gmKQgPUaJLHqITmqMQ7wX8xmDvkJ0qOwmNL7mknvzAJ851wLCBJudmPOAjKPbFYK425ZH9oE11yH sP43gtzil7GG+ryjRnKslupviUwtmnraoyFJXzZYu64hFtFTod2CPNXSDbFA/iYAkpaR/C5AIGwT cSnvUDxE5oPxMoFn/GzSMRtU2zapbKj08TnSKnjv3G8iqBU90n5uZvOxw4KB7xuC7gCv2qvq5jCv TcMWkoHtyk73ugAGaN2ZT2sEoTP2Goxvkr3DTyM8TCCxfVP7UsqLn0PWMHsq+E55PT2Lr25KYrSl t49oP+oN/SPyg4I7sXCSegqQ49ami9eOSNjKpN2x5VvpetHVylqIW3n6G3gYXL4De3wUH/O8CMBE AnIXJluZGEMoXPps+EoNDuWJVhL/uMgv5hiSgTjz+Z2jhXcoQnVdjOBsEMjQPCcSeDg5fVJj78Ja 0aLqUP//Ctt9rF6YL1YrdFLhMtEgKPXCbsqcdG64zf0bEoQGJY32HnJ0lddpWAF2nKOhecsRVFvJ /MSXksYqeuYxOWwg+9ZtUT89onJSSHjQ5g/R1Nm9SL5OeStgm5G/YCLq5mWhF7BPdHXnUH2ctYBL Uk2ahuhl9cGhBdnbFX+2Em7FKx3vOqWQW4krN3jByuiMyT+zgO12Ot5vLABUsZJimYBPoA6okhH0 OrQ4Vmat0FxXhC2/mrT1BJ+JejfQcHFAq+mfoztcz4EFFakqjjAxS5JKgHE2ydmLAkMIR4K2V72o ppx6NdHdTPnJQ49ZO8qp1I732uOId5g2/t+vgfeZHIgt/YTCVclKYk6EI/e7vumf9Ih7+3OrENYG Ap8dx0VGQ2xelCZk3hBnfjY8GOPBwCzvrO0kPCVK14Zxz0regaqt8O7eIaIx+pPQSK+fWyNdxP7r QUORDmiPFaT1uRSM/5/g3NZYAE4FYe6n+cVeoKWFI0haMFP7cSEhjlW4Dg3aH+3+nQYJdPVhIzSv S/V3kzQjmaoJpNcVIyXDystrByVsSjuKzSaax04NIIXRMeY2WkV6JO3JhnD6DxM1Uls2RsmUjL+0 agJClW8TkpkcqHYzlQBv9r+tqrWve+SEF4NoBwpub9PcLU4T7BFpNhxe5rXTngSUHjMdusoMzqnN d76qhEg85MVa3Ehv9eEsAlk3ril/glVRrEXE+rD8GkN3l/nlyZx6XTghf/UURrd5n1xmJwueSQc8 V5grP6zV+Zj/oICGGYnjWZOBC7oSXUX1CUGCSodRyNqISVk9gdCASI34/c9pDknxnZt+3MqAK2jw DgaV7eM+9ZdCpqhTmLADHCgqDYrmutN2IyhTIJHyk8UjatvHRk0IWKNHxKdSB1fwaMoAYRjL/x6g 1hSLA4oWYQsmxsReyKaOl+2PA+xQ5Ca37vdoL7AAUnKwArIfW6me508F7A/1n3TbL9T3rNwFio6w Pw30m2q+Ye2hje/GHbP7aOjJU7Oe/9XC8Vy9keKX8aEUNcTrFbqc+k6eqEGYONQre0vIAts/ak6b Jq815bVaHZZ4StOB+Tc9mGKf+3RK1quMZBNyT+NQmuQcH1fywJj3g9KnDyltLNQy/EXtbnWqVHRe K+uK6K5of1TB9NqkzxOQjGpjwxa3uZTYnh79OX3UN4lAgJfwSsbwE7NUfwNaduPKPEHQb0igUjEi 0xCAZbAVqwUrlKc63TzC37KfXEubZIuEfg5mRDYWioewQWhEW6hjN+Z2pipE1s71fITVDbSrIjHm Vh39z0GmKnZlYh6qf4tEcX9L7KxocJSKb5d7M6zPcF29ZlbwpMdm++h8qL4nLgAAm6fLUaP2I9if CLKdiI1YWFAT3z+avDB0YJT6fJUKzjaxtZJ4oCQPagcXG8bTdcfG6dpkoccbejiH+n47rniDZlaa 9dmEFr8FUACzNSOLFTyuOXcx/lSl3fCgfIq0hwiYpW5+34iXjrrtPziiMvOkxIaaRpKiDsp4o98W QV0fsE8dQF2QcXQGXXteqZklgR/EyRXSsT0jrG8UbM/lcGiCc7+zlLkedlcOmULBj3k1aXeIYKN+ FRKRCQkx7+aYFHPbHXsGWA1+T0i1ZLSKgXIQsKmZOvc1QtngYWEVukqphhKMETuDGGgrcWlN7r6j XPRKfl76O++wJ7ZHK6bt7lPXefh4HOvGqn+L3V08yeX86eZDFgBi4H+PP7mXlSwVRIojEkM+Pv0H 4KCPFUEonU8tO0GNl9mi+He8P+VTTi6MWM1ICBNB4Gh+omBoBB3KVVtPNyDk8ITIW8scYcS3EOU9 P0fNCmWIvT6vnHmc4oPYNQjrPragdK8OJd6+OxKZd+bE37jxNr0kG6baodeUN6Ew9g2KnwqWHv3G GjiwQbfjwgXZmdS8pPgGDG5VRDgRnNYYZxO5slmkiVODAkTEl4vriRo3wCp0KT6pDXUdQSl1z2xt m2h9HlHQ1fYtA+TBjOVsmeHp6dw5rLnc2Nuj3rS7LN77TDkx/r0lHNaaLc8T1/JKByLSAEY+SvjP Hpj2yMZSxKmIBsiktWds8n25Cg1gopmJeRy7s7StSd0mbXtv9Ej3Lnb12tSa6xYr3h8W8H6jgK+H 0pQyzOMd2MwThWt7SpF1zig2vdtynwBsqz0PaiUehiBXb3gFNiBdsRxHz2bf6CTXSWMKZqhnJdN4 KaQW4o/0olKtVP4ZejX1a/0Lim4RXWuKwSQ43a1FmrMt/3C/jd6tqSzSuRNmeF36vnW2YAwsBhMX KjrTNcfsGMSp8vHntFyxi6lq6Lz6gm1xWGdU4KYEeti9eIBZs4bKBPL77n5IJCtAfn2PD9iS1GBz 32ShdjGM45159qt/xdn8jQfEEXkMcSldrUYhBLAzbWgTy+XBP6bXajM06CP+8twipnHEz5JNSxoZ tXKGrQUMbR8Ixlxm3+1tDRMrzgrZDqsCf/WC9Rg6Y5cufrksHkGd7zCnYuYzkQNj2EFh1m4imwrq EsluRCT+aNH8GlW3AWNbDOlOgQJrpHyAO1EclrBNTpz1ki1galXDkR/Ewc97EoAD4INV1toV7WGs FzB2k6Qb87BJ4CmWIygoKIaFLB76pEvgL76a+JnaxjuApT8IQ+9e2V74N0PC7jTWJHqBa7Txdt9U HbkP3rqgG/6nId8PwC7IMrsocvYRUXsiLK7t2np8WJHs3a+xZOy8EbukTeNlgwtKMJiZr3KD+u/y gmY5ipv8oMRKsfuHFh/vbVznZzkwAwxTCDoAyZlQaHF4TOKjr7uSj0mBpVUe6Co5BAQAypdM3Zua PusoV57UGrFqDI6jMa2ZkF7ZkOW+Gqz9YreyB1A+f97rDeAAczuR1amVjxsfGABl2AQNdiPM4Keu /DGxXZ3U7wCjHboDwwCrGph/Sq8WFkjCyZEa7aqRs3H6qiXT74thmNT87XwFGoEQIZSKSi0yAlad xRTy9GMQKQQEBHYeUOCbhcmVI6Gp7J57k1V8fZ7UeCGDY0ocRAIMBayKaMUX99rTwVjkqLk7J4Vi Dv8osMeW13BGsJKv/daiolm+7wAG5VSpCrmCXtxWk95s7JWu6Ua21Mkowghb7ZwYfHE7QbC6++6y UdQkx10g9owLX8tgM5mhbmUC64CB1i07UXg2czHyeUWp7C2+vbF11Nsc/v80PcaLECpB37CDha6c AK0krrSsvCUTgyPOiufeHAOysAzi/H4rR9CSk2cdj6LMmPhB6LHcUGxWM5/7JhhUJ5hP6sVD4Bli rLEeeoOyJ3GRr4ssFaRnWiAyUXIj6Gm/DV44gsrU4jKfYqB/EGUcxRj1AX9YB6E+y1IaTWBMw1pr jGeHGPW/i4pPPRSeaMJcrbs2sQbOaHq1/KPH40DrOyNtLppvF8uC9LFE11jRsR+b46sUb+wYTcTN 9uSd0DJTjPQNWIja49IGUEDyfToH9dBjgNW4JdqcHJx0KQfGU+ktmOOdhUuubZcDvAfLmGRzslji yOSmSEjalEmvK5UvGmZwxqY6efAPXTdmlEzhfUCFA5HTnCcX9V//GgpXXUiut7HTjPT5qMMDDvIZ g6/v/n89LDB9lNMyCVkYiUqcZDWDj1atsnj6dW6YY1WgYBwU9qBT/TtlMhXvUMklyiCd7YZco2f4 mEHfVswH3hSZZxogtmFYoI/fQOOtNzDcG+iixZ5QjsBVkh4kqSUNjpLyhXrQpfyq6URzD6OTyqld OxK+xfwmh2Exwgg0hKelmKhh+AWaWIRt40gRkrAeUbXiHUietYNGJJPRDwaZG31k0MIfmLhchpI4 KUHZBvGInLE08siD6/EQBQCMuOykuuIsTayUOQ0H4KxU+QX1x/2qdzBPgbqgl50kFZm3ACGon2In YpDUnf3qHAdBqSlN0camskfN00DjIMHNKiblp4DNJa1T4s3qAyLeXhtVfqBy/EjHkvubFrwnB3Lz ujhIbm2yZSmPQjk4v4O2JdR62UDtifcyZUKuXKzqA8Pml2eXYDeRYkkhTc6tkMaudgCtBB2eMXTO aLnIFHhGjinKvCNt+GQBcb18hoAOUMTBQdw8409nmmONg1TpVfmN4EU2YWU/U9cBac/FFJ80nuAg WwL7KL8e/AS0gDlrY1cihSRnAVY99GfYCaFWRSsD9XXQtGF6vSK6mRYevcYqHLHQdafKXiG4kbXf DZTvoQYWcj56dM+y22TnhBnDy9qiqnW0X8vRl/6bbA1yH5f0W6duLteTOHhvSwWIGpmZgwsp/Q9y meXMqVjBC3ox1keZ1G9c78S8tr7GzYFhBN5SJJ9oyFS1DHJD4RgLmMxRueMOjjxzy4SG7Twxm6ug F+LOlCBkSgs+3SObQBwPPT9/8ooAD9HLl3xhVfuWUOgLBFyg0tocf69vTU8iYXvCn5mlpcM8BXjM 7iYAJ0jcEFFf34ZAAYjpGq9afq6smID/Dro42X1sHQu11vyOkmWRcCBTwmNo7nyOXgbM9lR7dDE6 vW7a+83NqrLGQBOeZ04dHjQWWGXWSOGTtU/wCtUVgEkC9EJTVA53cYxm9D5dWm3q15+Ofd7jOR0c oRSLcowdnHq/fo9yEGTct3o22CORD+Nyra99gYbzlJD0werqndvgmkytm0teB+iFr/39fuQVqlbz vbUnLWxdOcZ+phE6VMpgDi1GtUzUMqnW7xTrvlBkpz9O508eTLn0WFgIrUmVqh3mMnfEpGppjLqH d3L688szzhYil0Dvo1tfnpbOmlUcRaZFVksV5gKRWhnRkLhhcdx3h8qosjyvnxSP0v+CAHuJZqh8 cKUvj8dhHNPe2tk+cZmyBuaoyOMbNtBuyiwBD2jJ42e+16fow/vLUsaTYGjlMO0qpXEQy2/QNzea ru9WgjQjKT3QL4o6bAtxHlVHGNiOKS8gDJb/8+WCMUIH/F9D1BzYakY4uMaizXzxmkbsijzTsJhL HnPiy+0eM8IatcN1kW0VkZtQQe+90m96w4cYYQW26Mp11/7kV6qqr0xVOtTMJckIdgcUeGm7Nr8j DaVVYaMWy70/6fy+9XVJplsED18ME5ez2E6aUSmcoxFrvHPLsy9trqIc9vQCU5L09+YbAX0NAYkL ySrsRoKYL31qY7QOXEOA2eGCn6ABDchxECnX77FxYFEfiwfmKVSL/ScOFvcVrVlcDFOWBNQHEVII Cb2ZjdmHJoImqxN2QlPzv2bjbiIEUrbp0rMIfj8NNXdVqEsPUUHFhST62rBbBqV2ZltLSPM6w9xx JMYh6YoILx1A+Vl9NBO4a93uNZ86kvsxbg409L/auVwzCS85NPWGdAEBlSRu1GHUaPi8wdiKfrLv +/aem9pLD+6gQ0wLh3bovAA6elw04k5YR7MEMLdlckOl+EUOpgd2OiwU/TJmJSqNRvpc3UZEwEeC dXnaWlyVqPg8t/oMBE2j6suLQPovpuSOBw2bloI7T/LcehZBsIPLmWsChtrfoq2vs9PkRzU28zYo ggI370+TmjQu0rLFQXpUdtQcYa1IkgkA8XhG3CQNFnNGZn6VOrR6cC+1fETivp5fsLhYA09KPUVO hD8ZXbxxgD8gYAGREIm5BHSe6WstOIjfbZMjH3zzmCNOutKnca1HUv2USb8sDTMP0AiDOi57Z6HN rvnLrX8MLLIwWqBR2AYEYyXMhJB8co5BaSypQWU05gbM0Xw7himEPvNbXiBYbOXJPCn1YBZpd8SI 0IGg7P7dI4BTFU695sR56RnpTgEOtD/JQh4jy878CLJCc11LZWBfifCJp+pzmE4NBZYIq7KeEg6F hCys4imwBPK55i+KlDkSJrj9qsFZhI/9gzPxqit+RSqnPkL6AJilOIAORJtrlnMu19Nhqq3SQL8j Nk/eoZjjO2BTtBogF05FnKeROIfM2/ui92UOytbi+q2wKi6ePyrW1XZh7GA9SCs2YBptoKlxDI54 DA+VafBGoaHk1ajtoZdbPPT5VWxBbL5MqYA8uE0/y4S7j3WSxmDC+qZ27J2HDqkK78NP5vVltTt8 CDPdaiHHKGrD/7HQepDe4YNtkOZf3VSK/yocL3pbJngk7WmdGBjSuV97MQ720yhQCJaI7711qALu O88ZYyHLW/+JCBE4dmEutdJKPXgcKlncleYZUsWNaS1WUzHtn3C5WhDZjgeY1QC8P3oLK1zUdH98 viQJkzDp6b/xnexgvC363hH85ZoVWmCS2FJLSYKIe8T9IESAttpq8I18g+KWwvn3qxxmG5quMSXI QAONjNYq7pr/3qweERvWArcEXOPRxhlYnsWASLR6yyoUaLr6yJxAC1iSAmlXtvLvOJVvpFbQrOvU KsTiskjTMCAvynOKuD4anmUzKFBdh5+pjHspEW592g4Bm/9DqdQPlwqgFjC1obhsmkufGwU2lUru GpJ77J+G6HbnMtOgDURvgZoynL6WLIrNKSHmDcIK+nST7fyfT7XVye6b1gR6PCrFNsYUvOV38eoZ XIyE8HkJ9JtPrvjpjePOLOAnaS8YIG+kwYIj+GyOePAuRbvR3JuEe4WJD2LvIV/egK+8/6EBJ3QS ElReHiSISLS7PEI8M3VWVxfAAO9mBsY4NmIYmlGfLvgdSsyWYYkdS/A1+F22jqhcE9LwZDYT76Oh aQacun75Q+Td7lTM367bbJbadxKBiDxxWpQxAXskJqAv3Tyaw+0+DKM+Ar9MMz4ao/7yWxzR7gCu sAg92QTM8ZqaTsoiq3/tl42oZrDq+5mB8lZMJOfB4FC6xG8Hhkl/bG6EWDyGDmePXWNR+fhNDXyg nDxhP9EBs2pNvO3hL94I/aeqt4IwtMfHSY6VwQudDPn16SyjZ9kSZ2CVMYBx2pLl2D4FA8SsWGKi Zbiy6EvR3Zey8T9IjX4wyN0+Sy5UFPRm2W74olP59sCcFjGy4E729d73M5gWEj1Qs1/eR/8oSoiG 1F9xb6Jb8NKf3rGWczsSHmuWCL9xmCHjvioZ0/di2Ash2xmKrHH8hfSGufx6CDY42Q0Bv09HtwxF enQXv1B4ME9NeorOv3iRuW9dR+wFdIjo0FikGU5dRGhofDPT/v3eFBssz3wOYd9+j3UJuzQmVGs2 jSuU2wBlX0wip2NTYuARFTY4R8us9V4ZK2GQvmXQ2hdc+kdvZqOB35OyIAtfLCUOFgZTB6/RVU/e 4NuCymjRigtXjRPKpm/5dZA806oSsRpfuFl95qL60CXAPcLGZGu9qnY2S3/Mv5Bst8MX9gpFlz3z 93uOEMtPQitm6RXFoxWwZTAM2U9oKEWFkXbnEl90VNT3eUxF95VmTP22d8ZoYSFPhV3P6Tt3tkLx S4wE1eUnl9YQRKGsu+GQmcFQObKcEnyWcXPSlxFpFWX6z4gpDow2sTh7lI0ewp8OT6By049+bPcN hb/eirFvi3KrMwC9QcZQ5oZpZAmr/3JwCAWEMJ0LV2zSYCkvLy1+FPIvb84QCFGoX2nDRGKHd28x 2bAr2iZiQyz14/JlobvihIBbokbEKwo8rexkYRRGdWBhApsHZ/NysfsrS0zitdPdUtDP+ks8AV1L T01axCjqor71WMdski9xef0QF4kpyW8j0PfIDBItXY6+RIjN2q4DTqg8RIA2J5S4/gffUfqQOAS/ DCqqpAYhc4sRj/24v005LpEbXpiLaHaehGeJ1R0EyM/qtOT8OQUKS9r/okTc6stSfzt2+CsxfReD r8hPecByu9d0A3FDkb5wktq8FO2yvdhEuLVBr/QpEmrpvmYkvlQsNlrWt/IpOoqEBPyaIVDCO9P5 HrDV8URJF7rxfoydzLDMGG4J7FkICX6yYn1KlwwBAxm6INzk7f+IL7NR5VYt+nBZ879FvtO9+V6N lF9F3EaGDX7paslGO865TVMMcW+uNRNL7dtkXuuW+55kuYaut/Ttrn/BW7kBV+mi6VdMM4o354bK z1fGz4TAEbD30MVAemI7TpiZZytNeTV6D1qX3wBilxxcSJXE7FwvEZVVLDbi8GH/CLGskFi2CQFQ 9xWYpTSCQbz8hFYBiZJwWZNiIfl8t6VDi8qkHC7FRyCjxvsE2adDw6tAN4hm55f3o5FkhE/PehQ2 14rG4n1MDhA/2XjvkAOhu1K9riCHljqYbJ2MrD53RlqKR14ijwZLr954JHOnQhnXRwHZhz5xROSz wD/oD8VASZAQ4au0Eo4dnOOfvXShUqq16W3SM0lsTXEJDuiPGOKI0aCgiIVvF25Quy1wXB9eIGWI k8AkYkpfkBV7L/NyjVt7pxApK2jeo55wQpxEXnLi4mqmG5+wRiXrBvWQP71n+s5ccVQZniRSK+n+ RSPIfAVOjss5SdPdjynz+PvWgRw7Tw2bszaZjieSNQhbXuURg1c9Eru/HBBPc7YyFOiemMmiUzWU Tl7NqxRts0iQ6BiDg9tEmNOLOAvKX5IXzHi12QP5hXIVH3liRq9YBNewHGik+B3SgyW28eQMP9oc rcvedEkDoXiaiDY6pxpCYCcvkPw2NA53i4V1/1gZmcCcrhPJ0pJk0osaedDZ9yVE2e2yxjyPmU82 Q6SMLarwFVTSufU2DDANIdlkyaVpvoJ7L83WZzvgnOCKHnTB/m+lfWsPvddTxExyNCrQdZT5jAEF Lgtso01NwlE7Gdia0oIrwqjAFTUNh8S9pvSUWU9yArR0KJ+8EPnVr3f4WL3XQR+pp+VuQPEnbUB3 TFW771yChL9JOkIojgbcAJ3+UgMxMw9gCUEOkgijda5d/4WpA1l5YOf3oQzZ887ktWJx4h7PkHFt vLRWeQGtSO4wrAaUnfEe0kkvbW/xJ9vfVowhNcGC+aJ4F8deZ1mrNQHibOUaK01RSNPJ5aB3ISgm GadphVapCYhXcsparsvcgdxMrvqgSxFripY31meW4I+mTeTsNdynK6DX0Czq0pXlUQe5dd2+y8Q7 +Ci0ITB/4i+vL5aSwkR8rS2dRYyvwtAbaNW9LBUqhfS6p/Wb84sbN9Wf599vqHbaCSfO4A7du741 KvCXbgOJDxJKgjqg6gTrKGuTmPYbi4Tl3jj7az71sve2iQU7k1Sdo474pQNdY14z8c5X18UW3iaW 6e16v6grx1m3Ex6/u6deYTRuqXXGeVGR1DW8O/8bWACf4qu+b95QF1OBIr+4+w6qS3pYIjFWY9w5 kTPwP2FFvdVIY2rQ+rvafj7yVg5w4HJd0D7I98pT9DGwH5P6LgJk6W54gLtAMkPBGEIxa679anvz +Zqh2Mf1eYbkbmcq5ulrLsLfwtZfIx/LBH/7aCuP2Q1JKvzMSyeXcCOrvzI3Co+fbD30j8u/uIMZ 11BAqLRDN6JB/iYIxRGbBihXLTOtpG/k/6wGHT5J4ivulvb9dhSlmu08U+VTmyxq2IPo3xtta5rh +a7+3vJjTzouU0jDDL65YUO0IvjxtYhdp4OzVEnHWGqNuRDRTyAs4+jydzorybxlpVJYwMQ8zQuT NTcuTd57aaGci1XK82WO4grHahNvmeok3JBptgJG/GJCcndnV76UTdX2sdlU3X6oAfvA4DXCmJx0 l3EamyL+YjdmFhRiEa6JvmMlyeqoSwnbPRXAPF81BlQp1N5ELo8L7pH7yUfHL5P+17sOgnPs1xFk Mp5bmqw69gPzEzFKwneZtf0Ieh7J4u2/P4H8AzTgk/8MZFY7T3d2ixbqxNUvj6Plp0JsE+W6YpT6 EUTdSFgC2HpUkJNF4KUHnmV8CU2iDzJ4qa/twFBNuni3cQXC5Ib/sMSUd5WOvZXgNPp68GHo1Uur qiTQQsMeHoATv6OFjC0I3BFebRZL8JbuOyoa8fjOchhQ+VfLW/q5XLnLeAQbIL+eBBUOc62T9ByF oZqnZwl4b44C3dsWJ8ZA43MUhrMfAsLgnBQ9EUpQqvSqlFx5zgx5C5TBFz1BSl0k6CCRkswXjrNE bLFiCDu97LXyHMuIlOCbOUSKhrV8cUlfKcjcsm/KSWTwgOZClV/evDvgCxIMT/bW3WyY9CxGS5LW pMDH5/DVztJc25Nu3ce5PW1/8Ze/AkS7RIXrmdBZFv5Sx9f19QgNo/1wccDViE0RfoRrKjfuRrY0 pVttmUFJ1NjUIi9mpWYtUG75qdJd0v7rGUgLzZFMBsT2yhDurAihdqVaMnNFLvfzRzbKBr/LjqRo kButNspuqd5AX9dzbmOs+A/OXR/u9a1bLyZ5ez0+FfgMVqy8QsdUjuk08WyaXI5yTZQG4whnZROw v9csp7DFr1ZC9lTDreDNPTqOD3ttjJjVXQfbjC+jJ1121tc/SfFnLqnzsTMW6dvXi+TkS/qU2q57 tOKm2IHyquIulgVKrOrq25fRsGNI19SS3A/7XgJR7flaFQweAXrX1/QPorm5mlyjDwDiVmXvlL6C e+3X6ALMQzNBIkI/ktYfkldRn+WPUYW/+uXSuzRs8x6EgITH90UJF/5+shtuZPjLffmIfg28K9tZ AHGSItu/7wwE0pGxzM8ONiDhSW2bKZDzIWsFLS40T9bqsxkA+TV7JMnkglpVDx9T6EHoUM0WwsIw FN6YEt7vShomeop2W0ZT+bA3a7gvGdEyYdyrKeX9R8awSGpRdYHYFWJlzxsrzFK2BRrO72KCX/9o WF2QFuzbNIw0KgGrLoESYeVokO+FJwv3mYR1GDVLL7u5vUOh3C03S8OOfpysKUuZAqo5frr25SXm E9DQiR1q2MF19QlaUWXgK2IDPIuguumIwbH61q6UBsXX/OfBDwGV3Ce8PdBS3nZk4hofmF7m0FHW 3+/WhbOLDv7jnp1WnI1OrSUELJUMycAt3sXJLDoXCmqUAgvApdyga9f8FZKMKgt3q63T00zJHxGu wv5LqHRQPDzhfCoFofrcwGWAcPZXtejQexxMTZhPu+ho9QWQo23whlm2W5b6h3UMEgb876Oa3rRo IyE0onVL2/UpDSHDORgj9zltGAvf6ZqOVVLxH5ITWCZusN7trcnfdHWMr/dj+Zt8AF1HQc3UeqsD dwLEBLzTLEd1ss81DTMZlMosRhS0GnyZ+hsTV14qdNB2dhHYOr9AGpMw6zY2qTkOIq96m8/mQiP3 jXMBDXNq3e3z/zT0eUiS224MLIoB64xsiT0r3Riyh+Fr5fAtIAz5viN8XXbn6gTYWj7MR5LNQ5vp 5XtGpiWWqEhKVVnfz2dOzDzEuGzGkZpBF/8SvRmMAzeATt/G/NZvgOOUd3Qmp+yqThwx2hc+0Sxm 80aQunhYoWGwKXRHFYfSZMT9/Z8NCBNeeHeOaGn93SkIRpRVesP7Ml0ASULDM2ghbV6StZZ7zlXj RLlaB0yGHSHZ7mnA8FB/tHMO9BwcsqgFWm+18fA+QK+e6jV7mdCfHkopaOSwFH4HNqwzyrELV7xS zj7sw9LTaFjswvHcWriO4FbmPuj6RgI5KLruBKZ3ot/N3ALVhtnWfHhTaLZ64Lyq7iSKxnA5cySa K3hPsiQhcnMUr44DL9GtwzIiVovbdn+QUORcTF8IOT0/+t49rE4XNuR/PzcgpDxe2PFpjD/LGlrQ qDgi8HzNtM8IpzvLm5VopXLbDoG9d6GviITJbc9N9+TVypbGLtA8x8PdDsaKUuQ0PNX3ZM3UO/HN OVJtJUtPVemObXYGhd56IHItBhByvNgysWLeyzKb8tDOffTg64gwvM+BK2z4l2usY14fCsWF1hpB MrwsS5nhOvRcRfHFUEIqz9Rb4aHkqBlCfqFvgkm2InvX7ldJysS9m38LZpeU+UgNyJmsy3aJ4vDH EK2WabfsCiZ8W6Gqfs8A0d0pNYN6x8GAIbXgDoshYbJ63g6vLw9SIFZzPcY4aQfz+xJaBEuga3rm 6Nl5sqi7hzzN/0eZcHqlCzwQSW2ZchHU9xCdU84noT/yWGQP31qEbU8vD21q8eTdSDunMCjiCF7Y 4KrPHcifYGkrqSa97I9q1Ke5aLH2p9Mi8KxybsicPdrgOssizyR/sHJOfRiRXGQsuTduAZDXnMbp aUDmiZLf85oZxmIO7eYG1qR7w/QJncKxANk/Q3xMVdMUUmdSmLZt4ii4HFQUaZV9jg/Ns8vOO9Jd /FT9g+rLlgWpJFJqY3Zi0PoGwi+rqIgZvVCCRGPqGEuP8nkHefhXeveaOiIMJQ29wG4hzzR8T0pJ OUL5ugOfqu8btOeSieFnSOHZibE+H0VZ0weswRmH1qEV6WlWNMExTZO7h6jcMPNKAprGa6/SaE1d qfT9uY13Yq0th5jXUabHofyeDLDu3okzEnvC5nAeAItEUdIN5BporeUIv+eK5zvlt85KSs/Pg2Hj j/Uxx4MdZl/UImYd6qXdG6rgSwGGrjkkSzJdK2TN09xR0Z1eoDbLDd5OoDjIzAk9hQUb6kAzNgWT M/BiKCzqQZBRWlRdL5Q9Kp7Ro1A+EUyf84bchdyRLVQmwc01kWs1SXdri5wjeNAP/f4NFL/XP2H6 1cL2wej9hoA5YqUyBT5SShTlP6oeW6ubpYAs1uZg1WdumHkN1PTnDITWUWKKlTW9Bl4jQR6bgPRR MComj142+bzeZqNmr7sCuahxzpROpfci5hn737pMC2a3p3/cBUV8x2B5GKv/gf5s1o6Bb0POSH7s SntwO4qaEx2JO5GxSmJkDgJdtxAh+Hl7kVUCn+ym7Oe2PU366gkSUmDyeqXNl4lyeMw+9JbXKyDo w0lXN/Ci6/HKuaEQWLyaOOgqdZL77Z5WapchAf9DeKpH535SU4kA3IpKgI1SXze71QOIFW/AmAAi aQ6AqAwHyH4wklXa6YMvteZ+KcL4ONEnIn+rVPcnSLK9c2PeTGtyonZT7gl/l/yUmM8d4M3i6E5L xQZ2m0AIquOs0nJ1uNDpz4NFb2ov7nVrkokgnZdyQHNHIPClBBR4IYtBKAS1ssjuWNtbO4pEXz87 YUM1/AKZfnhNkSgsj6lPwJGVEEVHLWahdRSWOFNV5s56PUDZPmG4C6Dx8+Eym5uVTcuYQ2GWnOoD Lk0ghnwCwC1i7l47wy9/2WJ1boU2tsVFglLN7E4yf/ByWe77hfee6mzdlke4G0v+gQphypDAbhzi 4kWXtgrC3LRGOiT69kbP9VtNuJTM1d/QDlt9m/odytm9aYKkV+uQTwDiaNLuOuz66Lo1v+3FgeNN C33qc9MYAN9P5DvddnQhOrzNwDPlJg110TiNKcHdDmatyNco/1aC11UmOu0wPw6hTBijlgLo752o GFVtndKg6weIbUC8BelTK70jH55FWXDyJNAuN6cyLmp00x+BVllcjHi1+BUibOHXZSWMSQXO1gnH /pYRGqveS92vEwI3mxIj4ZqkTcwXdalW4jaYvwEepCjMymgLJtRJ4UABdWsXBy+Tzxo7c8+J2U8I p8N1kITMEDmMVm2O2sbhDfAHVQv2N7o7L383Rqw2zrePkdHEv1gu90Q6x60F87dqTJD9YfAladNU YwfhzRFzt79RBnYxhc/ooFOcorDfb5Stw8rveIF38uA58f/Mfa1Pgpbp/kgvaj14rZKGbLcZdrk5 yrrZanB1ZKFU9KcLOYflQ5DKe1J/ne6LMP/PqmiRhCMZpmV3J6IbKHPdxDs5E2xfG9fB+ruEVWAi jtZK+eVag7aJxRNnImI5crFvY+x1AobmeSKQg+PVFUOkFhR6rJIAm4TzT0tvN2dPQLkGPf/sqDu3 GSG4FGvfP3uUCFY1iN3XBWHh4y2Cj31NrSfEAtogTYmteIHgu2BKs5BxaBhC66apN5PzQKIe2T56 XFMGKt+iaB2ogU7c0NLyfg3l2V8joqy7bEolCnfKohZiv3Su3/0uuqbDfjyhkDVRHUhVsYVzhM4G 1UwZsj73ktTTvFs20Yb15f0D08gYtL71JkUZWPOxgTxam4hQIFGmAnHRhyVZR0fuvxLY2G6j9zM4 McGrVtVf9PTjsdqTeC3gKpb7O860fWM83+lNbhH5k+13fc8lf5edK47jel7VfvnMFaz/FkoPoMpZ NPdjHUkD1FaUcATnhFPtxRVzb+ZGIIfANj83wNQ/k9JXDs12pew2pUoDnZgAu3lXEpLmte3mm9Tj xNbmJmhBZZehDKSOlyAYWx/ZXLRKOj0vYOpsZZdqGGE6nq2f19AvrbDUkCJU3t3BZSDrPq1fmWck /8paNrBhlOVhOsIvR02Wk56vByYd/QkWhbZ3Ui2XXOj5142MPT1jBGfkS33RDjqahcYaJc0TlTxs HwaF36GEV/xmIlnTjJ0+iCsdO86nKlVUpFxd9BETqgmIWEcl5uTNDpcdBWYnMxkOMF3NEKmwwmju 7Wfqdx6zktAHzW7y2fo2RXHh/tV6N7rQ5S4bJ7RLYwxtjgeqcdhuZ2PlvzKRczInIZO9WOZLu/kR OG1eXORc9NOt9aqqbOUrdLnJicEEQFTe3YzBWOgQ6Hol7OGFYDDvv5+PlgJN4AvlFDT0z/geHlUe R5DxovrhnRPy7K23E2NS004fZrsUOnc/K9Kz+qV6O1aOybHVEpYw+CVMaa3bub0m708q1+BoxbSf SJTM1abq6JC/RdqPxXQLRW0YN1Qi+cjgxEVGRcc5j0nXvKaX0A8DUA1QKDBwG8nMev35mRaJujc3 1IHofhTCtiMDQmDpTtBFo4/Flo6AMI7gTfNUXza7VrHDTnAcy3vXK2luqPkmhzZFLDAmIZ0CI0mh BllBnaxKpZXyCdaQ2RQJojfjjdd3nK/w8Kw6OuBpg37vuD41VqSOZXCRHBBi6XgKFCpUbLbx24Wl 1RXgV2D7WhZb10sg9DGM1/NHxmTrgeKSI36nGy6ql38iARMR97ZAgtZobTVTCWoevIH3eCzMk04d tooEOUkDyz9+UvK1bV4yEDSZR1y1QTiRrXnLeBNF+cZO+3Eha1rAnG8wn3WzPfpaK+2wBak1WRTv pHcm8cqYlgB7hCYAowIGP4GUrRmcgd6g68ML1OrpBB5FWGfqvWmP1l4PbhsaVCrVUcozeaReKDoP +Il9vGFdXN40pdXWZ6y1ztkuc/rp2wmogz+GpHGzywUqCIcGqbSp9RtIimQ0SiyJGcRGjG8cDVQD Z3ltZCp0bBkpo7PjxgHYFAKTOd2fNDfs/Js3wkLeVJ4WNBS5SGD/hnNfxoumwZZ8EOg3lLUTjSTq ZlmKSp/tU7RTfFTsekDUzRz5A83Z5KfBOJY8NxEKHhaRaLTxe3jI29QWEh28NDTcRKYVwXa/ZaF9 rDUO08eS9zAw0PR7xtsX3+G46uaXGFFA8txP3j1aOqVUCBj6IOhts0Ca+BcgRJco4XbmIGNyhW8V /JxiHFmv9mHmngIWZHrdgpCbIBZ/a23TftthLpjaK0fld7BZeqDIIABiF5yo+DSFDTeFCuPCQqBo QDkHxFP025AoW98UOPN+3d+lNNrZfODtoYJ0VguYVz/Wr+TsaHfUZG+7+cJnaCQjhhEowyJGV+nW kY0xx6eM1zr4YjpDhWcpwehkuxsxoewGcaaDNL/3KXcOfcPsBy3sovVZpUjp/+giJb6HqP9ot6nT INOfuBy9N5svYcxexH49797r9Rx9uw5s/JyOJdgmqa+mH8c5gft2Ne8Us9YJaDjhvNMQKGjGq4G8 FAA+jz9H9MerObICKk459w02RbK/TQ0dakN/88zsTVFuvg21jSl2JYueVPNdNJvlGvKRpfMETaLF r5yhlGPYCUfEY2bBesvhL+IlOO8sxemnroI55ybRsuovl4ByVz4F2Fcalv42Y/jABvsWFqGNI2XS Vuy8/YWptjMC9l9nQgw2Ec83Y5rwHHVzVci2pZupcrHP7vRX6u8y5gbnp1tNNOZzGp+YIGW4mlX8 3xGrTuDKjG1nWRFIu1xX2RrX0ijGF6KDWC+z4q0ugWSoKkm9FCtCyP6Z/to2ry0ll6mEYBg5Knto ekXA+G2O3Wc2BsJ4JKuArk1rLONczPslGkUbESkt6VTmDpVTrspMuQg5EAn0ZhAYOSqIKyXWcJV9 cCOjp7oAFyZqealPiPHSIxKkMLEAlq79BYZb9blAFVxocbAIgj6qSRpu6kdnHmfsH1FsBw9E3Uok pEVw40WGaez2lRUs4fthDu9LSnfZro3NqghfDlUIkRp8Ve70hE+qjSN+qMBvflp+7tEdCciydpH7 tBWtpFWN+3lFkhEYOU5CrtkaNYTYbikpEd90IlwD0buxWrFbryQDrVzXmnAL0SgTKQT0QVb+dASb RQB7tnUYduEr6CF0BcZKyCyFoh3OPDXYqksl8S0lesoYXuNT3+CkvN1vJ/snGqTIcaO/hqynzOAK enIDcjLdNxAHCPpuGoM1yZklhUVWE88vugSxwqcAZrXtjG1EqW4CvRQt/4ANqgAmUGsNk0kIzYeM 87uZxkab8SGzz+2O0G4+UTbfOxPwNCltj8j7i17c88BSc6/K2mnG4t9UpGtgtpugeT533fX9oa8i WghWE0uWTTbTDf6n8zF5Jp/INsi4el0RcLeHP6NBwDnyq6Rax4ybGezEGQPSIRLrxtc728jcom2k JMMzxEYG658sBfUwLW8pZ+3RtRXWCUSFA/xQ/c5seRHWb79lNImDP9269rRjIClyMxiHVLCCrFku 9MI4tGw4+WfMwf/l1uVWFRyboX5flsUekiPWiQPiBbQcRghui1gU5hv1wgJ2tWXFnu2/yC/WTS7e ppR1JTQjwJuCkYGU1eh1Dq/R7/6lGx2qA1iqWifNarrYM739DRtco9zBmdGzZVd5Fh9ILXVqPZ9G sKkCfhN9gfVso5za0DYOvgNoOHshHlpdklUop8euUP6hUTkq658XWm0w2Vy4dYc9udQgxrbLlVpk fF3CE3Fexd0hD1TXTif7Wl7uOZqIY2nC1R+87IkBsm9iinHkGa2e21L2UizGuc0KdCROP6ItO9zK ahSxnItUMkXwwYR8wadH9smLGwvLQj91WhljuDlLuMmwt5ntXhAANH8SUARYM4lfoPFikwnZOmvi 5Hfc5GlggKfNeogZtIt6GfGQcYEXaPDXoBqonmD1abMFU5GB0tfaXVeMkGuZs8mUdhG96T5TNXSi CXuW4aPqhoS5fQCZ83nt3tXC2ISvoB2/vn+AAyp+H1ua77tBR1bZUwfTZ47nwmJ0jSvTtGaqLLac Ufpi37/gUDY727o0cgV8p3r71gAv2ve+ZiPGtKxAXIjciFbLXCdOpj2CoZ7+DME3gVnzuG2z+bNS BE73YCG++96piRe/ebZfYQIdCWLq3T7w3MSkPJT2rzGyHO4gGx540etzC11frFDQKzMVNwzZ3Rw2 Q9VjDd8CqPf26iFSdB/8U0Eqte51UZoyaZee8clVzSJd+JBGcfeKfovvergMY3b4SklkwXQ56zey ZPBbt9dSZ3uLJGxNbDHST/o29FByle8VKpTNRpgbUN/FKppPyDS5ayQ8LEOXXwMHDWwiLnw5Qosu fmVRNz0XKa0378Rm04SjbBCmqTtq5fo8MRqGaKzIC1xPDF+nHBUHLCkwTGIfi2vTUXF9vYPMqNXU chX4fnR17E1j8S9zsGNBUidPuOU/kDtPyX/Vnz4PQu/j3jGP7Bn/Erd9wqbz0XgjYSoEyLRLAzXr SXQjAcWV0fYqHonsCRlwnFHCDUKD0MGjuE+h6GBApl17aQk2746kla1dJ8Q+fk4HasIq4+rVn1PC 2chzT429LhQXChgjmB6t/v2L3wf+L2S9dJjYjuPpgybh1XZJxWyQ9cLbobMHYlAHVmPMHMu//ERg BYPh/W+y8CB06zRHlSM7hpeEY47dt/gn7r2aeWDE2vgjuGp31XYU0o1tYgAYM8jT8X9Q4I4cChS8 QdY4Jm4ssHYTQV40pFeAOQQqU+w3EY7pklQODz39iWG1lD0IkO+Xqqgujd6qSY9NTtSAyD13QlVl boOJ6bbcky1WpFHEYB84tHBTT5qKL5gJms3thobEYls11fUvv9QJ+DtM36kwhNO/v6R3cgPR3E7q QCFEw0eZluWBFDmVB5rZNjxx+6OWWIf2owxXpJ1oZ8o18Kee6al7X8UT05QWcBZNp0qXOBos5FAT HksyhKl1D7qOfMltAcs9GvuY9fPIeKh9cVpTtfBUCrgvoBIXZS4KqPKucZq7DFdAAiJwRELyFzZH auAk7HinPf+vRQJ7RPcwgMF87VTza33tYivb5S+xKebGX+tQLAXe6SlnnYkfZjEKMJtOIGO5JGXB Y8PDYzt+0pORZ49hbs5owioMT+3lcD5+DrSodf8W70M8ORGmEB/VTD8veJPKjKgb8WpNzMbLk85o ZtmvML0i1DdhVFBtHKiuw8IEAGzvHP6QBGYYJOzTjta/9ovW69lzAlgQlMYlkghvgmWmuumwT+yj tQfb8hGPqKrhtpM14MPviLS00uYVqILn6MpTZisoaBBjHB7QT6g8Gaf+TqQ3am9cHVhSFr/dBhhM Fr2ui3qPOrmFwmSdZswAZdpq5AJkk2FK2L28DXmzBzxT/608wpXQGv/F3lUIxC7naxUYj7cdsteb nlMLbth5zgLYZPUj7QaAxOWa4zwJ7kndUELNXQH0rXwAdh5nCNiGq75ls34AId2cp17oM7f47w6y +FZM0XmT2IQNa6L9CQSClHu5fcCX9Jt1kyVoFRZ6Jv7WOnweKaDoy6BBA+kSXpnxUfwZAmMBu3OT FH69qKkQM1MBTdoL8+6CAPdmYxZO01pRQQzkSSiIZQw4ChukSweCaYtYbdQNRi1ebOW7G9RyyJQq 8eG8UVWJ1ZGq3O99mmT+Y5kxYqW1YKOjTmGAtzFxzraT7hhpfK2uSw65N2dFzyrxaTtmNYVcw9pm OYuRvNSsvv+YbeV3EfV0sQbdDZmc1ciUY7hBC9p8/SVuviy9suYDil3xd5G16AFY+qTKjAh/5P4/ ikCrRPlt8+0XZMPnw+Agk6mvVJD1xJkTurCRseyG/rriHxO5bTwFGBoRxqfMbMW2yyiRCbV3a7JM E0KGrQZrstXrvOfJRhZ1+1f3sWOPSKfMSF3pmiNYMZyRotWrjgMcpd5INf15fQSV2puNCX9GVlJV phs2h6EXsCitJXt9lODWmt4GkcQptgh+OMODf5cAOTj/rBKVGeSDLFiFY2Q9ydnVMmPyVJ9C9ymy okChHB3ZlVb09YFy9RlK3yoV4aUCyhVNa0RFNRjUuxrvpaIQm+Lo49XG7m0Zm6svZSFGmg4/66y3 esEiQ3ed0lRlpQuPHxDKsBq6oVAHhhPiBMhRr2W0JxsCAcB1Q33HuFHzLryAoEzS0V3ligx5wkaf RA0HmDFabkebp+ULPiWob+NtJ8exXYbX+NkAy8UrAZsXLfWq06bYCKG1z9Ug/fCD9Ynxuz9Flk9Y buxKjf2xQR4eIcTVDFZlrQ3toiQdHmSUBJoDgm1CdnNPstObBH7sXuz7MUwBE7vxsoU1rpDCkme6 FOkZ196zb7x65hxQKmu0YcLNZ89OEhEeNL+AKCRaAd58tz9ybSEOSkc2kBYk1Zyb9aywpNh9OaPq Y0REqBCtaxiSktAMoP9efS++/0wQSx2m88t2Z4IrPXb8hdm56JB8KdaMxbL6O/zcxC+v7q9sCX/p 2O9GF9NoSttoIXS45ojZDLYfeIzAD95Dk31bcu47umrZQvgoJc+xtcUZkXU9dziivWNcZZhtVhx5 2fefCiV0mdBV0l93bVLK/z2OkyTrLB+Xrcc6Y+CCCWWZkekAC96QwZrUTk52NCxAxhEaTN0apQa5 A9fq9emKmn6BBdNCNMp8CQXxuHlVJyHYENkAEh/2SoQuhkYpiKdGwGOSCrF6sQy4fYoJuvIwL1Ml hMYI0ABW81AxS6I5lx3ecp7k/K0Pf6Ckv6wpuVRwxRg2C9IGb2W02/ATVsMb/efKXstNxtaZ7aGb eEix0fZ+50oMDL8bv+BvF8kg7PqSlDeSGBnqCuLqQCExHAuJQDUUupkNKfqH+zKYE/QcC0AhDOo9 6BTK/WLrelCxG411QuuiMlZrBnhatpzTE2O/WUaN2FGR/OkqDpqEM8jaPOfg6yLVkGpDWa4rcyKu ym7tWjFoopv8RBAbAbH5AyieZzVqY+Zpf9hBBzknPdaos9oGg0uR8jN+otNseF2yI2W+b9N54D/I MJyxmqKu/Z0OFPumdDj/t5TdJdqeS+tpQQG5Z4/44fd/QVRjFWmibbeCGerltE5qBEyPutAYdseL gUP4u5tU/7X63sOVlcGbOiDObGndfHFYWYW/ZSJPTGcoNw+MTWcZvR0xXy+Tjf6afcOqeptstjgm FFCzyqwE14nT8Mt3t8wRz5kesIRYVXhjFBaaOrPkClJaCy1QjhYx2nNIU/ncX90ZoLMQHJlDRvFU urzBwQlIqaVoCigwupQeWn3u0sSkJ5LZgJDw2adEUy2pmOJDSVsX7Yy8X2bj8A85SIziJEJZfX1W Zuxpy6ez9mmAJ9dCC9oCMpFsPpmbGuxx1hmYZFtpFhRF4WvXC2t/DjP9lqu2dFwXT0TneGYKmjkc rbM7jzEGaibfWhjGR4CVIIYnLyT4iRKyErlcpujHuY4F0c/CviFEwhfKPkp6MSq6Z6Wdiwy5npD2 lWddrbxUp50HxPLlcwzf/15dy/wdB7BU+y3UhNWlh7Xcf1FKX3qQG++r1etT+vLwMYVbmE+a2lzg cq8z/t2lAGhoqNOGWFNEkx/CGbzfwSIwIJSpcSF4ZFis7jwVAqQWtAL2fFYIkLecWLbjnTuf2GgZ L/eOH9/6QGk2R02k+REeHk9isai9FZHFOVCrlhJMNq3f2byJJUhJpssWVPS5DX0TpHothJv/xjEA Pt7IYRhEtAjNwybidsQ1S3Swu+vP4N3LBJPL5ArJBBsrHbprvsPSZnLifZMCoB+ttfAs+IqjWKNm RwcWTPjzkMsqUeytEMlNrZvCEDDtSUvI8lok7cUcl2DNVUDER0utbR2Y/VhcTJZjO17onaxG2/f/ eKZ0BB4CrIXjw5BkVGwmev4zpufsooioFob7k5eroYBEezhI2LKZWowtqw2+BGXH4sRCrb57nGen 6gdPhRtTe2oy1VfjP3hAhmiuBqMTfy1rzvpxOftHdCrYIkb8I7P3MXDefEwyRgQu+RFQwKzv/1EC lUBgh/CEbYYota6m/lu9RTWYn0R89h/CofuO8skLlZpOeiw6qD4xILfX9ThJfO7uo1i82rjHAB4n x2KoNia9oswD7plzEQ0/n0cQrzMX5t58GluBHdZgRzUzlkjkBpFXu1IdmNVdDvAF5KgChFRXMKSk XzxyYuIubGZZoM0wULhtx7pYJ64SGxdIpMk9GT+ES35znjWP4FS6IpllhSBLKwDkQR/7cQIvPJl5 5HM9+EUzowheg4bdZIkHgGg7RzzbFOf9zw4Edvkga9E+qAWiNTibSzFdeVFXoGuJrhh1tM0a+rWZ Uita+QuGwA8uQgkwjO87Ib2lFBpZbVm9xt7rAuJGtL1U9VrG3UQqG7VU+g5LfCxkIDi5rHn18rUw OW5ReAQWHEJSvLHfnUh9CFH8WjfLtJDEawnecs4LbJ8mJI/0mIYhg/fzWtmjlwYm4aWLPlmA98JL gsT4TvRX4u8gNAeGXaMo2etern0A6tjK/2KGMcskGabsjOGnC8k9eAoLkr4+YkFIDz0OA1ah0z2Y DYdTS6eNWYmNkXTnMJgeevdxo747wuKEByTK83QxDJMrUaPZcCgEQ5fyE1cn+M3jEMOFuhxfM9Ou KMzMiFhSA2/QwujSaeYNqpieH72cv/9DlatCD6oQar5RF6upXmGdymeCdKK6BXqiFhhKyq9ouoJe L0o3X/ohNgjztfaqmec7uPfHR4maD4L7z319z5YCyq7UvW3Eepp4m9Jf3DlPR3uNgDOMACzTWhzm P5bXdwT7yV9DuE76vZpsUiQOrxKtyFiA06rZqvYLDFrORb/hiwZ8WUvTI983F9x5OUC8U5mOB3rF xWP96FToDjjMuntRDeJbl7ImvXhGvPU9trIzMFOUZm/5w36Binc29uIiuFgY0yyIbeZMYooVksV+ A4vghffdDNRbtCuKJnVf5mhvbgyq4jeotVG83jCTh2VtyqSdCIIDEKCeoXf3o/modfHDJBcW4TZ/ 2x6CMCw/i+1kuCG57SS/AcK6PPPtXRwzJNsJDzvSmuGp461fPNvi/UAHLnTpD8rIR15/WA184VOK zmSszT7gc3ybZD64gX/nRRckQUtLLl7oy2LT3Iiuh3MSHyhFI1MpG6Bhi12doMwedOIWuMx1gsFV 1oxWRsxVST/l9jxUtv0ZmgwrFSZVJ//o31C6OGPYm8ApBrhZUFO1bdqgxs86+46vLHaamC/c1qOF CCR54bs/vHThpXkTzFaDrvsOnnvHpy37K0NyBHDxWZJqiYRJMvYAGp946yiNjJ3nGFttiu8mjgcR Y/AFTEPqAHJjpRtn2yLxTrNtx72z0azVXeql+5cEuKCtEtFiSN8R6QbjmDjGFDQ8OlG7KwpPa4Wn kcl9KuLM6cZFxaYKFn4qHtONpmP6RY/mr2V2SJ38iaBvB775OmsKGsrWq9IcwGs5Tja6ZNDLTLFg lY2zqR6FEsimPTiTYy11pz+9tQP+ZTRWpdUD8Oqi88vAP9jPkqtawN4VOuJXKxej01UcDXp16uop t6MsZEGFAq9RAJgUyLwjcpH38gdLkGv13k4R+hWBE3CFK/SvJWKFfuTnYH2baqXnF94SA/Kod8Fm 5vVhiTVoPa8XatJnQshoaXrzPrfv5CryGw/gg9/VtFqXD4nHs/OBabLOO0VglPXc7XsqM0LdNSXN z/QYKxwAHeqZWjIK/QOOJ4fKkwWwwvskm0l7LrMV9txhd7lUtH62zDecyFbG9dfwSD4/AZVQwtDI +zbzeAfTs+qt4hcBN5p9kAqVyVWiWC++i/iP6E3mSM0AtlOpfLZHSed4qXiGpZOs4dUclCRyBd5l 5BDt1KGlBw4VfZe+GnRmiRkqbRLkwxNOoTXfQb+lcQDUHWUxpj8DXSJ1hauU+tajC4QzVNWtLWaN a3AYerqx5hTr/NP1oR7SFj2DrgNiWq+VolHaHUL49MlGze6lz47GDdJYeQ+cMUzkod6UOhbDw3cc 9TCPxRpJ371Zb+9BuVBnjrQ/JRy4PGba+pKgrBbQMkljZVi4crObElXh1EThpmenlpqSD+uAMqj3 9JWZvhzKpfY1QXkbOLr9RQbwg9En8hBaNbq7O/5VyiAxixLpjozMVVyDF82LT0CWCFRJpGbtTN0P IQXriur17YbGHwXphBYVvnQgj9MLx0d9Ug3bbW7avIn1TuuY2kcrYVCPV/pQlIsUhSCOUcGSDPnr xofCYHhqSWsQuWpVWHOZWIAwhcDiRFejXxlMIH5EYnkPgHujEYmArH29Tt3k8j4DhDXctbBMPKdR cxk0LPuRqIgqJuUWg5z65ARGXLVAvnv5IJOBLA7fs42xQECBzHKqtMer+Mjgqm/TwFF8DoNsZr1f yVP8zti4At2XtAwdC6k9Ru28Vnd2RToO9KqHpQi/+WwLeepQy8Ky2RKmH/F8Zyl1UxZaEHGeplVz 9Z+XIVFvFneDQ4LPtiEZzCZIGVObLMRQ3xdRUR1HJ9jzpvZsRIIi6HAn/BQJPxN3tqk+dx2e+fE9 0cAfeZ/nJ1hkRKjAkJud+Uqf0d2JkyfFLUanyMuAJhzo0YG4iGf4ew1xh+xk5/b8PqCumMzWqvgy l9OkJm6WKzRC/MRs2wjWvE9Hc++SSAGe35Rw8d69+n5/+nfvKgufDi/hXp+ZySCU5mC3s3uTEaXj UECk5WqhUUYsCirHeIrDUygb6/4OinLinkQvFvfz0ueKvb0XVO9eCfbW6p0sAui8vKrN8dPOyJhk qVizkHHJAicYyaYCIMo3swAhamtdizsOKPJes7otwlqTiCkURKsNKOCKtoZC6I4tV1ocqJELllv+ CpvBEQA09AKN18yUeZEq8ob33cS/YC69pB0lHWnMvVl1J/oYqSyciuqA04KyJOkcvONT7z40+K+y hiD+r7HK2xhQEpXMTx36Ip7hPF9CmqDDSf9W46Akdar/7F9q8NR7nMxuziB6ahuDIXn3Elj6E3u2 ZEX+HCCOlSTbn279vzhf9H8EIElPjqNPLptR9PDzOy31WMTiGfEATANnD2D68pOQT6qjHQIxQVeB vFqmzNbnLU8pyS0N0kKtXLaYGzmbdBmeaMRXp0LPUFgnYyisurwKVQHCZs1BryoV2UEBZ16b9NBV aaFNykKDC4WckNsh/OdmxfesiGJPIQ9zc9oLtOacNmLByk/zppSzncB0KON1Wmxsdxvuk/eB0Bgl Gj5iF6xB/LPJgifzqP0h44uP6Axf/2MAnczO8ZGggPsOkQ9lwIf2iF860OcnQJDoCA3+C5/UO6OF 9BwklzQwpVE28knjrmgrkoxdFRAPbY4r5HWOy8OWWl83DiJFynePLVrA1HQFTFSGKtO58xx950JZ xaiOwTV4WYLbChJVOxRtD7vK/E9lpSUcz+PQT1ZpprTRczI+5ttV93PTt2/8FP4D3lqsg/JPBCLX U1AJGbidAKklYYy98vFvw2Yr9QJo8RRCGsSqYNz+iVs1KBxwWF0hzc1toGrKjqWs8kbqTIZV92il snmEOugXTWO8jRc4k04fmotDWqXWouHNwsSYQQotIur1CTucS5wxw4nBAfOWkqmbkoB87x/cV6d9 QXlrz/Ddg13G75kwj5/pQl1WLWTT/wPCF9qk3zxnF2+iqBsasLUcURQChYPL45ivICAXzm+3AYww tPvcspkzm4fDwTkKt9cSod33dO6HhvbBsr6n1Yz5P5pEpDIxGW6G1AZgxVvR2mYvq0OeRVLmDXN7 py4AkfV5zhMJZAN3miOmELybAx5mq1y30R2T2CvrbyhCOZhNhI4qi/CBmIUhgrQGH5PKir32B4S/ etJscXVR1Gp75Mlr9dSrQnCrgNwex8O85+MwsEt7ldxQsIpwFY5SjqN307e0WP58Ue8/V/Q/9O2E XbpoRLxSjyyPF6UhqRpqcD1V9EdHGmC1tbYLxFdGgyGg7ciRVcJwpQvTF2QracOUngSZq71shATZ xpj74fmx4PuVs+N+5oqcMF1Svg9GN43naoQe0UMmRVVGgKcPKKYms8jZkZG/M8ulbbmb2xoqmxZQ 5ptkyr5+A7NJoCroRW8j2UVUuDf9rKq3OH5GYn2mmD2YpIXDU2Dowd+fUx/YqT3KXd/KPh6o2TfP qfnQyEeqi+YYQHuW5cYqafAMSjDdFqQgTBfris/6pEqryZYHK27aMH5wQsbp3lAoiXk+kGr+ZTMS WKdPcXmZ9DkTsvKXGz//MF1AI+vV6QkRX32V8e07Ekh2bd/ZaK0CWvnxoaUzAGCx+U3O6vb3SqVV HkXJAAhWftUF7fuRQtm6GrFYPoNg2zgtsDXoSXSqt/PZwmzIkXErL1yRet3n188RGMOsSTvFF3xr KVt782FbMaRnPHFYzbc9yLiPp46vji5ed7oZ28srWBU4XKq7InAcXcghgrxqNuzJpvztsXLHcJ3v lzij43gCS7qtNAqlW2C7PZlIZmU3IGDXL9hWbSGejsgQnxduefn0ltwtwTJariPlWA/BFElgLuUr 1jzST052PcvmPc5F62p6t6Z8ffzVrUBSCXy3u1vIPbEyv3qCbxOuxTlzcITHyuE5xqjCg65vnr28 K+yDxDg85uMkJIhWyHF0BnjUSCcwCuiDmgCI/iBQOjYTMF2/+14/3TT7aQQT2wt1w78zTPdnGQWP 41IUg+1JBQ3JG0X46D2gdYcbFFnBqWrto1zt6SP4YZE+LO1+E8naQBzmlHeOkqygqcx9dJU+XXt4 GgOrPGZWSthyNbn0rYH7ydy7annIZFIuuALjDg8MN53FRx3Hr+bZ5AbnC1xwK5y0HXdcOGwss3cy fEsMZBYHLpHxOw3mqyg0iSdUrtGKEaBkOhqoxu40LYrQMtUvOJI8fmOfotaaeU4B0PzXEwy3YZG3 HoDNPw7MZioS5TVVr3/5q8jePVKb/zLZIKYZFhk4rYhGqlzsuhtBonrJ4NzSfjyUu/kZJraudIvw ReZIyI/QTQy8carcuzTJW4apYCh0oJ99Um03Li5KD4dTrHMU+PTXTWJSGHf6iWg0zyF1ukmJsJ/A fnjdkpN56tsy8D3Cj5jLW9LmNmY7g6H2y9C3ZT1wV/H8Aie1bjcb7VEwyc/9j/WpHv4tiZm8KEBQ KyM/XO0P5a9CGF7d/ParFI6L/JcJkro4GbU0bPwBRQKMkBalzJCMyIksvIFuHWs81iC1WOA9mT+r TcymYd9zl2zicFMMt+S2/cNQidZJRU2unbi9HtUjAhlZvaZQSwiA2U7mq9D3QWFn4xiCGM8ldhDL P0X6y/UdkCOxAo4XUKmJ22HnL08M9/PzMWm/JoImOhXUoDl+9TJqCHcTgDj1FYm5Cz+cWs3YrzNb XgIGgsNQlTDTjJqVUMX8Sh70D/YQ+rWv2hVtqyLx1hGMDdbDulSHLUzVhFEwJqbv51i977dgy5G6 SC/3DK9B09qWQEX5EaJrz0R1GSlIYhVW1mSjVWWPl9Cz2A2YBjN/ya40qlvJ+F6JCPJtSGoxwDfP HYbxw16Rnbbdmjvrmidgx4aMcWaRuHE6Ur+P+TxDwBpFEIDCkuu/7VpT6OAsDyo7435K0Fw0iY9M mxpSsPIF8kdwwk3BzuQP1+A2l8meiGsamwU+70Rpou0yB7cpOM9ouo3ZG55Y4YhfKyX80KHlWsfY gay/PZ1KaXq0c77SX+BakmRB6m5DC4lrKgsr3HGLM0iUeMVTFJwEahFeW/rkb2we5YutKljOFIOz 5CSTfZWm1vV+j6eEiJdT6HJ9fVocrQ04Dokyz3JKciGjNxD01FIrDX7EJQJ0QeSwc+X5WnYKCI8+ Eru75e20xe0TxlEve/EhCHT9YyOhxGWEgM/u+zyIMUnjmYnz+ej+RxA1Jg/53NYNWbFrtO9XZxQo MaYBjFj+JN9A/vpCqT0E3e2z3H+4069nrD945aXuc17r0oKi8UDZWYO5nsy/OYpOEKn7h9r5MGg0 Dc8hsmuOsYe3eLcrjOUfYQTdzNK18MZZAiVXG5oUxPAlsiG6vut24mNaff1qQ4VSsMSqoxnm8nGn QJP7a9Gc4PRwScBFwehHMWMVpddmtYKZXtJkUxuaTM3vW20ZnXSpGH7AqtnbSM6GPC0bM2ebXgpj Bsl9Z3erX18q1GchpjcmhiUCVcYWLCFG/HGlFGmVsaPlyhIdHrY/w5aMi5WkAQUkFnVvifeHf+FI oGT1jg1OnVf4yG7BWhGZt8MnsLHss/MgfgYvtLqYpFyAzGjMRu+ihEy2tUZNLTLNH917ojYQ6JOB HSHpOcGXTYQNMLlG7sUImT5z+U3Br0casg5WZjbkgOOBfMRf9mGceWmzE2S7y/BoX8s3PBdYihYd uOc9c3vpQaGfczAJieekceffZih6afobGkOrUYCILucvMmEeyQdzZmcj++YaLRe77UxZLR43tQp0 bB/J4Ip+2/BMGquUMOlnoF039stwq+CMkDHBQDrYMfUpySKAggt35M5jxZGVpe9IiQbfeJq4HQM6 AS847aM6DUh5kpu5rVITRtEByxo4eyqjWWW6QWufvVyB3+Aw2GJoR5Ma8pLkyUKlZj1w5Wk5NRj4 7v4iDFYMLgy3tsfN6XOg6aEzSy15IarUauTO7BgADXOZiaHTMwvx9e3JFTTmwFXC5mdZD0FDwG/e cESxKnRegpgUyiSgb0s9gA8mDxvatDtWnOPxHJODSnj5O5yMjOZcDU2Sjd6qLV16o5OX+3NA9E8n vkrwQ/7ozGdDB7gHDmGO5CD/L9kuCZQ7gQJx14yB+Ya/3RtWuNTVeQ/qh5EEfJKut2dIMdI4NjlK 5kCG1K8AomwAJasy2iYN20BPuwSR0gU/9wTOcGHbmE2t7NYtnkpCFyjaLWwIjiAGZkYuJVKMC0od r7+KKgszTIzQINP6CEIxGvNXLdeGP4pIm19WqqJgMjRUAigujmQtN8+JORIFjaKA2KngPfI25/lA w2S7QzxZWTWGzVRwNXK6vb+TVMZCGl6rJfePI2FYekAEf0nVwnAyOBFm5k5eC1dhhlneafeWgHOf 1IU/H4gVTeYFCPdgaBrVKyyZ6HYlbVe+9oSZGRMDIhyX+9w070E8QfHvDJO6KVD0bOkc+2gQwB+p +qJporBYTiSuPLx/4md9A3r/AfTYTOphiQSFwyluHf+fV82wZmPNQ5+UawNTFF+2LbMGWKOw8rnm N0D3Ggc/iLtokbEh37+xZv0xZukClMvjm25C4pC8LzR+1tfdAoUmx3gUTjK6xgbgfCMRcLSSHLk1 SwSMyJIhyNPycI/29mBVY6Qg0fo2HAUC/Inc5k4xRPuQ3KM1RSEjBEPVw7TKVneYW9YQSsSOpA14 8bb33RPkmTtAJfYzfkn0ZQbVLPOliErqKEzhND7o6zjG8s5ukbY6/S99pCU04FFGUG8bX1hiXGWx dEH2ZcuUGo6w/6X+ufoZtbXo6c62cIWfqwWQWySS+hKDMR8V8ZFlHXnkASfsb71GYjqvf/H7QTiy LchVxcX2UkMgu8ecNMNGjsORMt1EclGtGfBL615D+hTTXkFs4JmXJUHzgHhMaIUmYF3aUxXfrX+J BTOcwkd8qx3QW8rIH8UTEfLbFoMo+NK0UTDKel3vlRKuv8fu+X7r4prLwMaxikrUd81zVFAL7DRw BFku/y+1WBVYWrzhrWIishTKKQH7Ky+14iw1WEga6Sbf8fzJ1dCwOExO+0nne4El6tB09X0/xxYL UvnzyQRZRmjjKXlA3aJb0ogpQDd5r8Wej5M47HdsGqTDt+LlKhFUxurHkzvdCbkj/hGWp/xDRCIV b3cVofeVasQLQkX5hl8UXPggX6scyIQa0fo2uZheuLO5adyELrdX9L+IijxUlgwNbVR6zS8J4teh NlrXMg3tJmxyNK7FeqRaEmcZTagRnGc9tSDakd//LCDhSnXE8O2h7QgU2htwPJsLyoY2PWWuIVnd KapaE5NeqVvsiwgO6akq/M7NfJQOfBN2tMVexoY+PgYccqnAFfnmMqDM4yNXczgPx5w2/toZOxr8 wr+cUeq+k4oJXKp4ithufcDv40v7IUh/0ZOmu6BEdXTFXiepk9k38ajUxesk/JEIvynhtwwtdbr3 QzE/qYYVdgCn8GyR7pCINbZO2LHEZapE6tJbxhW9ZuydOMH5UcI/BjfoRVOI2BEwasfxzq8xli7o fFBQ3mFzZJOuF3HkNFTII8aS+dKl0rKKjBkjmFU4r0ASN7e9XWM8I36dUo5aspUpZ2Z2CHWapCFE 1q2nHlcP4XBD5azgcSWrJ2ezJ++Tc0uRHYKzSoZ7phIQ9L/HBxUycbKcnmQQ4vjhVz+dhnE2vbEf g3/t5SKXwMAJfNzLYN2hPlNIkZTJp3VzeCwV8KZSROA1UUG7cV9KEsN1XmJ5CzzaftfxdsufDoWE c+ik+WbNRQ1/W4Oirad6iBMZvTDdZfFs3q3TXymjgDl2Wb0lPHdgrm4ZadHlYgAhbYR0zwiOrtsi gsU0ED7BIuM85RCPLRi6YVv5C4RZ4w2g59P/k5Exc8UUT4tTBCdaAamiT/zVYYWogUuMqPaF8d31 Ahr19ONVjYi3SRi6V8z4bQV3JAMaFTECCMl/YEvK2Bq2MdJKe1qrLKoCZGP7EyIAX8mDJQdBXE8K 706ZmnVpPdtawcQk8xw0LrOfv0/gwaFjg0FoByg0iX8jZxSdVhaBmYebxWrgQXPCIC1yq/QqHSRr km6oRZKXsCPfG6VesiX7p/YmLdRLB5W9JOqCZayV3xrXGSpaLLrupPiNSyD7WcqZ2shCIi7j4KvS iQWQkTJVnGyJYRQdj2SUxP7iAOwuC0w8rkD+6kioJnxrRnr7rAbtfLqV2CQNgSUWxLZe0lEBPlLG J/zYb2XIBbsWejxZP0Xj62OtQu/b0av+TvPcvwKnhS/UL7za/HcrQMvViMDaRM2KJh5xk6G8cnLS xXx3rnvG0hsuBq2exFhPgnJOsUFSF7OCSZ20GiLh/NY3praThDrPuppO07+bjSN7TwjzM/4/jlu2 5lNzJCbYAVb+4OGGL//IoE+EOqX3du764lF5Gn8utVOvjzT4nHBSlP9SzzcnilGuq5Lt68KJq20Q 1UETcpNCYuWGMOAC+uom4bIwbp2szjCAR+I2geZ9c6T+7j8l/QmOQpPnFwfW38fP7peFNtDk+BTZ leoAzwQCNeQRBb8XwsmALq4HPpsO5PcqiE4wt/Vc/4hjSIcdxg99ey2VorKS2VrG274T4quegTpa +XG7tQAQwtdSN6zPxp1myJq4gK44POiXnzbLOnqO8nfydS+ZIIQcmstK5Z/1S72CcqDVlezKgk9q hhjrQ+FdeEz7xSit59jJrQ+pLDT4eF5tuuY94jd/YZlPAoLAql01L9pcvyp/lRJNhrIIBK++E7f4 jee7KTUe6+Hi9gWpW2+dwoZXn4e4UcCMzmEyFWiBJ/nb8Usj0jgOIEVMp27ugIF5U0PfTk6PpamY DhpHitLAQwsrjUiNrh1RNwbcYk84XAyEvk6n2xGhT9JpQNKQjEAbMGB37jZz9I9ISIM5VNL0+xbG UmenUr6OwhRwwDly7HKRRkx8Kdj37FHA2MblBiqMWN03kkEy1kK/v2042ksGSQwRDq99XX8U4Gmw IcJiA0LsXMrNtsVmxugVfR4YLZKdhP9iyM1J3aQoE7J9s1XPgh9vVKYm/OL/mw0yVWYsAL8HkwUn gQ/RT9RArzzFvxmGr03Lb4VNhbQghMPlIsZgGeo2LyUlAxFXI5mesvoHDGyMsB4d6YCQrlcpZB2g Ry43tcVgsJ7xL7drLO6i+RUwJ456yYIVLF6AnoTWY+nH2a8yFBUq/ESt41YLccsKz5Ql5ILvQxoy PKzX2fi0RQt7ALSbxM50KJEZNqrOx8f+xdSdzSV7MFxkvSyoHPPojFuqA0MCN0fM/Ot4TZmGIFrA 7HevnPhzMKCVjwCaqFEm6U//oGaME/StYTN5vFzH0VdWX/BpsDlIOV89o43GTi2Wjo/W0oGWIA2b blDeO/Z0+TXdrLTq2Z1lp3EZkUQJTpcpNLiCjxZBpDet5lBSMdRXZwB0IBSLQWINq8+ST7oRkXIE QS5/M61bD3n/1yWeC/cIzbhdMwbb+9T0t1Jv2x4qQU0KegA/OXFuf1f9PsqrfNuWVuw5YnU+QzPA xOzc3zNIfFR9ruSQdBNn3sEiKLNy8l0VqxflLHRGXB2NuQeoIhw8exCWA6yFXdjvCEmqPcXe5Q3w M2Fl9SJ+7FnOkicZptljSJuMYDwnHIuOsJLNzp6OANunMsBPaLq9CqT7ecWDxI7KvUamEAcbhlug S0K2x9u/IzsBQz6I8c4PSLThSsakfpyrBmIbCdKDN1Ca+g38/C1tccfN8oiCNjYQju2MX0H1P/Tm +iXUk1ahhhaqQFgE+HPrK4rONhKDiZMbYHf2cuKQcJsJfllp9IAaHJcx2Y5/0+yTk8NAp5buV8aM OwIjwmpv8hrsxXkIfJL1exNPB7TWWDZyYl1UYFgbPHghrZ17dJTqq8BW4yQ1g1XuiS4Jko3c4D1K BxJjmI3sabyULXQfNJSwcfVGozoCmLlcoOexO0Zn49KdOyzcut/9aw3Iz4PBN8OonQ3h56/qm/hT V91d+ik0yEvumjF/80UgoJ06leXx/qnitlgdL4xJVV5smsdeEtghKskUzpw246St3Mr5ic0dMi2O dcE/ppnBbtyzM8EKKv6fCvY+SEj5DIlOSmNRFUll70dUvn1c3ZJIQpDPs52p6MSfmmx6jYv48avM LDtc6qdUexz40nAY3ppFVjLYXIBWhebKbLZWq7ezEN4OVV5+P7056WNgan2TADX1IxZEQ+X7pNls BPBAQxovhLZ/1wmeEh6hBvqtWovpRbpCz2UP7nJyj8HHO7DezPlDv9pD7I+dZyNLvN5Ksq4uo5bo okQq4qVJLUx+rXWjXAojBs6PQlg75Ovotv1g+6f6y5R2KzdlLRl6ejNlquvMLW2HyDAv7lzlglZ7 fxma+pRoubmUmSgAnHUlFPQcKcmQhD7Y0HQhus2PnH/L2tpQmjSuJQAy/UAFvdYpiafi3PELGm9A kZOSPO0gNC7rOADeIQn39Uy3BW5rrVPxshJFUpmwLM5fdfce22eVGQ3Zbz/EyG/Q1XnX62+B/zVv hQx7F136EMlq9wJviK5JpXz6ui7kv+HLQvlepQJa45AfDnj3Ljf9syp70jU7XeJBsU80SN4ELj8i JgBg1szEz6619o/YbzhpY9QHO0jghTvdS+5VCwkROB42AWGDDXgLfZmTFvq6gOqCU0gp5aLKWDti 50n8iBa24ZgA6A3keR6lF38RSIFafVVHGcrgenqd1Q4BzLofJ6E4zQ6GFgaFtSXIp6F+BUcnAHlk J182JgVoHtOWKTiu72+gStPoBchjEdrPXI8IdFmZJ2ak2yksnBRMZkxnr2lfUcMvf5vMsyawm0VH ZRB7csdPcxZtQf9eYohiKBcXCUO+9ghZc2q6Bks+yX4+aQqmIgNxmpxhtWTGY2E9t2Oso9Wyoxu1 gBoB7PnxJLgeWp0Jo7d2Otz2voidZo/+/ufV3J4Y0fTjnNO3SKbQXk54Jgf0jcf81GWdRzOiysrY 8Ak7wiCWN3wVcPuAeMSSRhAc477NvMNr3fSOlnott+u0FlBSf4cYNo9AoJ4BuhyhcjtplXT0y4PZ IW8RywegvJxwZlYhGF8kZxupkY4TcGHmufnSUKQylbloyrcBi4FbvKNh3O3Jh/pAMYYm8/Rhnvcz R0Q+o8eNCA8zOa0bXOSGn4UgoHAxssaPRV4w//BguYEwofG3N4dJ7yx2Wat7MJD+G1njGM1OGPuM DF0zkP8cf5EmmfqQNOc5BvW5LjVPpHSrsr2yxDBqXpnp7EQ6ip67E2vH8T7UPV3Qbe/ojoyH4L/c cGZrUlTPJcwZAT0MUW3riHqBl+qu+eE3YHkMk8/36vByP5Ld/9YCRTKv5d58g4vbSwcHFxZbXmxF zVlNUWofFCJNL187Oy380e14edy7YAocxmjdJy41U9eLK0qmxEPu5C51qD9pOdD/KpObQ//11mhH xC9o8poGWQ50PSUPSQUAe6ws+abHccXsSrjW1gEXvV/lrWkfn63qAMesPrM1w8uwmg0RX4sKaOKu gDzFGDG93Mp63lAszisU5qz/e522apqMCeTJAZhuugTMpUeDE/2Op0oCx6HdOMwJ5jI+S73TlHoK /lbXDX18mASXyfB+HF0H420peKWZAlRbBE0T3TpOgUThmCEArWUftJ6jFGveaiyV8RbfiqiNTVys Xf3cBSseQhBiiGkE1ixqvQRzpaTfdR36BB2hLbfie+FU5iRHhEeFIJY1kFt2ioNUw5rEDS23Ie2H C4UxU/vsNLnmEXHc951h4BEqAVxWugnP7S/++x2uhlPb2s83LZsjyB9tTlZIlDCR0xzbdLjflTQA sf/MPb4Uc+cV8KZBVBBuDqZnfhmk6lp/klN6cOSvul1b9NaeckLqqVd+fjGnl8Bry0lxDaO5DoJP xjsDRuQUMQiS9Gx+PtY51DNRj23cph2Ix/0klbLCtJrk1cgnvwyhgNyKdZKutZxDwLXQac60R95+ ZIyPXXSEI3iEqOiUVPc6BV34u0OxJE2ib8wjdRJijky8PwUowIvlLZMU0HcM09H3Yc4tPeqnPVDX GdtbwZiXAn9cnox9vjyzod0nhfFPm1mEqYA9AKSgBpmSkESuY3Del6HtEi9pPzlAuqh5VNwzQel8 e8ptOKojs+TNiU+0j/4Ex8nfSUemK4Yt6d5zk0HTtxcpN5ZoKjtNg/QLPQrH1PaYqJR5oLkF0ppa 9Ps7tmwCvTUj91sBL1rU8uhzgmKeeCpWmo2xMTSnPN1ijU7BCYXg265Rcn0n2KQ/NcK6W+9CfbIm fcSRlWG6OAGAQ4g/v/+AubRNxMSygvRPBTx5JQgWTSoWZr1AKAmcufuKwCA7S2cNE3fVYtfrW49v NmhziSLnIOkZ7w9VVMlTEg6ceco967ZTvx+0nkob0o83zkOuoz40B6BnLSbfW8EXPBVQS6SBb/6O j2a/OnNqLZqKuelNyzTD/zYGphW+v6QSI44Im+TG4epizplL9KhgXZ9Anlo06v7QGucrm4/BVcyQ H/Vbx8tDQpFKJKa8xVus/MMMXXn8a9cEpgp2ZMFMe9I92wQgKBr21y8RnXKMpYqQqn8hrNC7uyEY IuFKPAETYm1ctKTw9DVaSeiIhwf8DiqYNgo8NkPK72ZQpklnzKHhsQviysjqgn18GBpYq12qaI4h R+t++9rFoIB1cvL6u1B6sJhEYst+5HKlzmQRulmDHKeoPr5uOtA631mT4LAT719TFta/jh0o1lZY GEmOXIIc2WjtjEDx6mLUwSpC1NpaZxSbEEh0hxvJQ7bcezhseDV5x8mkpf1u2pjYogwWAT/RhGgS 3DJbRZUDarWZdjZN7hcyGfnhJ/1k3GuCNZN99WULYuOykjuy9Tjjxjw3g1ALKc7iPwFF71z0os6Q ngFnRIF1pwIQYbRUoEhwjPZ+y4MGze7V0gH0tGcwzZIo/A7ZA23p357kmqs1odzU0eBMbDGM/cwy c/AcMBV//hJC/Ds2ydLHaJlR7qdgHC8LDiNLkWP1VhX+iL82p7GvKfxGgEkyFV0idsUGpOgDX+TI 1rUUWBZNQMFeLJtY+yyxWgnE3qo8z69akegRNcj5YIyVc4U32omQ2M2y57FYFSkPMgM20qmMhEqM Sm0i3/PXxyFk1jYIzCw7Cy+6NfDhbdkQfw5bD1CXaR71WfgansciZYwm9RAto1hZszEzPICXUAAI jWRSIXnQbpIut8BJ6qDnyCUzheMDfsIJsdEPkUyfwKCvYdX4xIsd5mT/GT2MnO3Dd1pN3/9vWUhy T0IPXYowcLj21q+0yh9aZudoCRv37pHKouJ48DIT3h4hzqE/+Fy3t+fxFzDotA5ZdM+E7Y/OzCCs H9hgogTTo0CusEfW0RLcRkUUdsd4lttABgviugKY+Z/C5LI3f6QS/KeiBceB4D/V5lNTEUbfeA4D zpXGYlF2obvCFdzahDGe64xeVmR7cWBg3bqTzIOlyx1Qq6q/S/qmzGu/ly9JrhYXLYkAthY93VfS qpA3wR2IzQ76czZ0NPG7naAtqKRTntQ/sckU7J2Hndy3Sy7muSFZj8YWvOJNS9q0HUQe/xj+KAj+ thkLZE6EP3y/xzLCzpB5sWtSeZxlT6amwk6wIYq5Mmu7AnbEAghLK2AgSsEew+LVpMw2a6FsS5BK aW23nP4SbAQUZifWJuLRI43nurBoq1IQAOCAMGKpzojGgxHCt1SYMPgUoXDg7tl9O2fgyMMBBaoY SnYBHIX817nA0c9NZ3kNjoOQLHId/vZArk4iJa0W05WcnTGg/RPbRlYmC+Np54+i4KdZ8WhWDsiE s5r4rGipsjE6cAd2K4M73q5Sl1wawYTcBvyqvihK7u4UD00xyCnyQijlrYee8cAAWV4203uIVZm9 MOfCJ+kwjZbHOdan++3QHg18bJsJGpPQZ8DrUD5WSkf3zuC2b3qTb4ZPxYzAIQgRexrUz29Y93+T 3HnWnU/iafeqig8d/3SyLCgdt/8/O9SuYaKIgN+/64ThNjosoIy92lOEZdUl1yOK1T7cmlD0KHm9 uVBeVxgmeKSsLCTD9uAqgQLMH0/d923HVG7z0C5kr1Q610C2YawhReyJSMOhkRUIWcQ8r1hpcf0E d8C1NW9ZxsYBcVi1+DKjLKaAnj7hGu0K7NVJDI5J6HPD0R8b94ZvmN1KgewlSVkGaEwDBBSpLW5/ Cw1hY31bvSVuvWPHmBwx9iAgtrOPYm/6Mf+z35hhITwycpOKTSH3ytSYdkCATwVoAVegoCaOBe4M 6+nSy1QlzaTaBMsPBC3Hz3RgXoVF4njP5a8WZHdRcmYeD8rGtLSHiykwI12NYZsFVnNT64kknIfb 34/M9YHzPpPsni5NaBj54kS9LijmANxdKeh0e2CdkwGfpVPG5am9O2FZl5tkQDxSUtwrw9YjyT9U bI1nv4ylivvgHz9Ku6yI7ZRAsbzEqUfeo6QCYEPgZvxTLJYTqaxRqOcseiDdFRy1OKhfyTq262LX 7EMBoFsk9/vD+99eX/0pH7E40H5MIu6CtcgJQZJEkMUxZbcJnynnRs7wvMn3V16RY5nnNpqL6Qhj Y5mfMdj2M3eKYIWWr06p0hKfDPj8X56cVLLqMQOfWX9dsEeD2mBFRtl9JatkGersDIoGRm8+cCqE 0QKPAbJzoYezITIzuqVWPv9ah2QNqeX272Mv1BTnxPSRqNjKy19I4aWPZ7rvzemz1hfcYt+ULqdg g7eo/u37ueFi9N321uVKSzH8Fh9ChQSTMCfHN2ZWDHCiRbJZxjjIVwgRhEZAd6qchhw2SLYggqEt shis89kSdofPvZ0UqGmaiHtGWUvntyqurscPwZBGzbDkr6yj6VEuyFxvMMOKMoVShl60Og4HwFZW 4n8Q6iLlcCIJedUuQJkdLlspM73ntpPmZlmN22pqO84bomOUUkkhyFN2XQCKthFT6lxrXXVCYye7 l6dVP5/wUlXjjKfKtlkYJCrxUGoE8vwP2xRbc4Fec3vee8ZfhHoiGcpdjzQ+0f3V8s2KjY+VgbRx +hIhyetrMD85m5Gm1d+1Ia7tNgj4IJBnwx2GyeHvrkV2VsQP/BXpNKFsY4YyYU3Z0kfG/AmNF+fw T92HNxsZ+AfwzK773CTfpouG9WLDIxFks56dX1NIj0GVAUOC7i87YeaBdy/4fGRbZ34cd2PzpYCE 1mT3WTJU8hotdMCJcLuHvbo+f6Gp43cCDweg45J5FOZ2VpVCXX7WGv1X1fm3Sm47VnVUskhxyRm6 1xqMsHHtojxX/sn7k7FocH+/mUEcZTfmopdANBLrtoKfiJGZywBIa8vGIUMbXm4xi4tytTAI2ClP IpZV7qJEiuMj/G7Wj06+Oi265Dj30tJXB7uBMib8WHzLTFK5oO7du1DAZ/f6KPv7ukaveRG6h44J Sz8YtyBELBVFSac3RH1TlhVu/WIFOJu9Izq7q07B/nfZcrWNo7ZV7JGiXcvYR+1Yz2fZT/icgABY dzGJQ03Iw0r58Qof0tF9NrCp3D7+cqvrupglyIkEPU/q8TU0Mv5ZkMSLANVIvOx7LBH/jZrlEUFd svvvwaSUXsUDa+8jPLkAkRzCL4JtCEhmMfhdqcAmfaM7J8pZcAUAeRHv2B+TaEWxevpImuo14Lgo 1HiNCfEOLRDXKoy07BEYP/1fRH0jw5xalAVM0dzcfN+tm1QirBogGIEHlkBADiyp4WExArknUsIt NCxEv/4yhsZ2n0IDxPhbmB7dIMDtOHR/ww/Mgge2VWhPnmsIVHAIuDWwJEvGco17zvn3g2k0zF3I 7VCh3rX/XRWRlDePN0lfM5/LRLWwl7dDS14XB/xpslPbGo4duowLyzDkINlj1VJHznGFodA0YEgJ 2lobo+9ujNofzOQIXp4kWy/DrN1g5oVLIip5MJDRdg4fCLuWG48pJTPkYGKn1Hu+/lCYY7TErWPk vaQgV5vciVhYYOagpkq+tPcsI1MKvkHAw2V1DV46yP10ve99c+hOVaYBz/zoocFbnIX6ulORc7v6 PcvWgbvfr8uKSpdAfDftZRawfkZR0l84l6xqKGbHCdDrJfbAoA5dkdl7iARua0OgZF+GhgMI0Ioq 6eUv8ses/NSnQyBdFf/mNDl/HmNegpz7CN4GKyeg0365bH5+VPj12oVaZjq5E9XS9POiXuh8ZR82 PmIG4UWIGmD8Q00EnsQruMhhGyKlDsYmKYurr8Osb+NHCANBEJkQfYfZBaxyszeB4DRxNK4QM6Wa ER/ZWrz7t87PUiRaFLfUv9GMKHnxsXiZmxVqJzLu3xCp5IBOtKhNn+z/kqvNpoI06xWrb2m7QzSB whOeyeFkisO6TTvwuQft8zs8fcQodY1vBpb2PbW4M1Rcjq49EoSUTIvN7LPjf/4Vx5ECMiQiU2VE Dg0Mhpgo23GM2XbxIJ2TsF+1VB8jvOHRSbnZMsDSi/93EaikJfJOOIjfFATT+FwwNL7pJJuhG+LY umL7JQjpGv+2jq7454+E2z576Pdd4vC/cg5pTbRRERqy/KbJBcPp6CE6P3RjsdPdlgRolzesVS2x n12vo/3EPBTyn5x67IStW4JKu9+JxACBXe2tbKTcpF1PSQ3CI1azd7QO3c1E0KyPhrHpRUBaF55/ mRdouXIiGnER0ipMw1OxNtm8HMLve9B1axsjPdND0pZJRK9KhOptOqr7mRm6qX5zW5mc0yj8mke8 vwYMOudYjntyGmUtH3Jq9g740ypONLYkqgXAq3Yt0eI5VnHQpasWRQWjojaUcT50/8BdQsQHr0pc 0S0SfeMNF8D4mWZQTN8gEzPw8W2Vo73SIfUpk/9RXMQNuZYk/52STGDF+wfD78ygRXQ/NqOxcWZH 6pYF/LDSU1LRjY1OtCkPt6tdkgeujWSAPZcmj4aA/ujXYg60iHHkzs8E6jmzvVLMeSPNrh3SObK3 gDJ7TJMCIt8rHy+9QolxM5rKsUKMcqR0NU8JwnjEDDvp++65+VY6G0ZwRSzc16Ye68XzxFbbz9x2 ocbRhe11CaztoxTyov8kICh1i145ci+1gdI6OO1v1pCJextaGs1U6xli5hxWn/ZaKcXn0mKRW4K/ HVB80r+CPPVB9dxznbIMzAlGLxXqt73h7V8hZe51IXaMkzsTv6RGHB3b3k2Y5eVTkV1c/1tb/YBH Fvsl2NVbentb015kflek01dHTASb7Rqxs+yKIC3iI4+EzSTH0IYWWOmJ1zznclSijJuXZOv3pR05 fMWUnmhyABK/UHH3CaW2xuOM8l8Mc/lUsuH6s4d/tRhLK+5bpr9Nt8ZJBKZAIJz515Dhe5tPD8me w1j5ar3EUjebOxqRovZxvg59G+ss8hQowf4ajA4nCEbfrLhqfBaTP83dRM2tu8QoCw+41D+TpAqy PEsgb43DR7XcyNi65BA9xXJSbCmvPaOegPW3eplvcCGml3Y9PWyissnD9jH8M45f2bMHDhMou0OO vPi+N5RUX+KQdU4xCtDete7dSbRki0ImmzL0yyZIM1VUn9baiZ6ZFM3hDpZixl+4fjjKLMPOygSf k/tRn2pSFr253vQiiJWRHMowYfqrK3P7g9qKNxARZN9KBP1JGR97T5QYkiqWZ1MLqui1MIxW68Za 44AuuEMr0qDGPMKC71GxJyvVFonxUkf1Z3uuifyM6AyYoXcgX/trv13zfw53Ob9XhdoWQU7D5MYH 39+yr4DeNg6Roc/H3yqIOwrSeM1cv4BGoGPAheXIWCRZbO6h7YXpdzLUpgvLzmxXUdLLucoik4Pv nZDtfLnLKXiRrIJHpGzxEWEL/GfFl++JWI/4FDAEPWxEdck3Mhp+b32eWcjTshnLMK4Epel0T3I9 zq7UYS+HLt0n8covBXJUlpOr2x8LNqFFCBXuBCB1pf7xjhY1RlimBc4LJSANuNyfvhGAOsTWhlOr gvCE3qSJ5qRXHGmR1axycqry6EUMf2fyTCqGy6CdCXIQSFPP3AD8trs65nR/WHYWnFrvukYwFCwO nsrGDpUMeWnkhXiOSZJUoVeWJpP0FEiMOUSlywX5NYjH84KMn0kf4bTtsBw40DwWa7i9d89/MGic om26us5XaqSmBtJMgL+JomLed2q080NQ4Nr8ASQIojlgVOWAFj8WSJNOD5ldUP+OfIM+3c70KJFU o7Djvem/wMInRogw7dFaN6r18ohpyY9Nf8rlK1ma+hd6+08V2zG+7cSuqcIG7ZbliRR+oMa7Wjpc X6gtarQ8WOnMgKLt/uzdOUWtg+/TLoQ3jJW/DfICfZZKQf89fFty1NP94mLo5iz83K/jQ43Putd/ 3H5GiajW7tBKdamShXXsUdxIyxCpj8R63G2IKjXzOA5Ip3sPJA/paheZnq3udMuVk6BqVwrlUXt5 JYVaak1rv0+rv81SjUMCVMwZGQ/0YRZ+ZLuiXuhQnZmQsEvn9+Vt5BqBv+hJ0ZfHbbGLRQrLkIQP ju+2W4lFtF47KUGohlzOgfgGVC9TmqLxfJOdXvXUTBXxF6CHkVUxhqendLdo70nEYTLGLAEzS9Xn fQ+OG85LsgWGuF4NIcULTWY+TWoF/zZPDrvBHZPxMhhJTaizeGcuJPskmHTmYopia8ytBAlb7u+0 Yw2nCIkK+IlqpZuv6YzAsTeGk46nd6FHqaIEPuqJFTxYBNYksqnsrxC8PHws2Zu+GCFTYUbnMk5b P+J/fVwt8m16LNt4ItYiav4K7ISMHSP9puLLWXfDFeeUpMwBRAlpPN3e8cmmki/pwqRc1z9dk8MS a6VpAyvHurB2hRFUQJFC0E1nlxB0JI19La3hjwklLi3GXTJ54jJMVg3PtOnL055+pC7PZxohW7Re jap11iNuHIes7ZimBBECYCS3bu/tQEPMh2PsB8VtGs8VrI2zxpNw6cwisP/PRbK3lxxhxRKeXcLf RII0bvBtHqxfooQ4aMScOPlkRliDfKTXMeGHxUBQvE/6Brqx40OcPpb/E4tY/+xuodLI23euiTRG 4b5bAH/Zci/xwlINnErq3KsM9d/UsVJYwJ91+AvtdFGiDvjOVihkTvHoYqxCAkUnH7QO9Yn3kLBo aDMcJN7fJQoj52V0KxxUZglpr7AB/UKmh+31/Q8oZbM/z5IuWiPNylma+mUjWzpJcqWnOh1jPQrG vqPR8SgCVO7q5RoO2g8EAwo1wqAJgs3Cwq4XcCI3o9CO2KzvHK2SbVbufF0RIqjNAzCpelMeLsR8 tFJCdRWIEqNWHmYdE9QxGPAS3/xBHwBhAAuieTPbZb3TpU/Tbb6bYG5SKSRa5wCJzDjJ8UFLNhyV SUintl9fQfB+4dookCqRu3P3bzPeXJ+gFsNhv6jezBtiPpxWCjumB6o+rUmAxIAYn381D37QyI1F sgm22+7WDrIoF0foUjXIA1ULMxuz2BRX3Le80QSwGT9Qb//EoAImXd3cbiHeqxHvsKLV0ok9eufh 6VYHFAR1Mr1iOd3/x+W3M+6BVFd74hXZc92TmQdoc30ATLxFYtlYaMn6D1bRvBX3y7zAVpXwntF0 BKcgrpJzXEFazkenMYT6TGKN8rXwCcSpg5XXCbnvWAaGeDIMCOTK/6WuUTlGpA35NbgsTJjBOGvb QFXe+wEtsn6682pEfrsl12yxvGsLCnzhWRgYUBkvkwyguyxRfQTLQ5y7UQsghvZ6gKIPpGVKKzNC 4MjYIYVgQ1VtepG6A8wBUU84fo+4T4Pasy9wyqbeW59do4S4Ahx33/44gxwDaOxOS6TctjJYj3ke LQm0WLordzL99us9T748T8t/WLsGchJVB8E/nTL3ahkp/Cfw+IzWwUDyyIVdAk6Vbb/RaIodkrcu FYvZ3zPx26i2MzIpoqPT+Sdk8XT2tDYBLAJPxQ2QOS8zVYhVxgT3OYMr85QXvsauI3hlESZUR8ux vsbiT8Qh6uv++WWqSOpVeZfkVfRCf2iEFiFf/m5GjY1JgjVNBL1XGsBA+rzSHbra8DevE528Zauc eBc0CcYRUUBteDFseu9w1a34kjC5k3qJObt3uKkaDqkjB0RKqeMzmXtI5ACZMyGWpF1vQPYxD5aL hy1vTktqeBPbbsUyrR4616v0qSc44eBtCHVz3SPn1fiNjt/mDneOCeaB7Ijxpy6sUlFJhkkGT1OW xgsZLxgT2u4nXFzLhmdqUVJ7b6YHZt56PgZ0EZfie2ndv3YdOgoP8O5qtgqa79TwPKyc7wu4/G7Q Zw5pQLUweSw9n7YGVJyjXc/x7y0uutlgIwYdc1/ztsLXV8E0iWWkyBWCzs+f4dF1T37E8jsgk2vJ HjtyDoZsYGMnodLb6WrmYQ1LU8iZmnr0M2KkNtAqjGsCRTBhvkBe4SS+TXXv1ljWlYUE/CEERbQh CNoymDQL0yL5xCbAR32Ewp+on1ErzikvPXV3sCsHZPHKR2REVWjXFUcgeGtLdV5rPJsoYUtRZ6D+ 9ivEeOkhJpLYTiR2nGQ+GjZRehfpOQT+kaohtpnE4kL1kW1oyhgx6T2W1GobwRjnToyGoCgtX4C+ 5JgEGZedUqveFORNBrvhl5ez8/P9w21lv3YqxKGlKn4H0QvJt1oDJDM6q4UzU962TIzLq8nK7nRB tWyPRjskogFh2slcR5O4l16Sj7U/pKmiq7Ghez+ukSL+aTWpE9Tf7TyjS+TryuKyKqwGhSW2IFdm Y2wKrhFOGIM8NEB0rKtjq4ZLKaHfZ/W+QCzIyWFu6RebZKyehKjfifGlYQCH76QKWqYV4jGL5l79 83e6KDhNcw9BFs2OfwzJrLbAmBCXAGYzfh8ZMd+oHjoni5JYtOkkAa41mwqSy6a0DE51mY76b+RY QN2HSlepFU9U2ZsOQzN2N9joD7kZGTLOSNXn5kjdnkoEPu/+XhHkv230ahCjy/d6HmUJes1qeKco D+BkX0w7+V/9R0M5bfm70+9+CqCTOFCl4PL0ns8BFVTMrkQDIXuzWr9p21ZcgvRzdz3whcjH/Vbr ssnfc00D+yCwcbCLT9V30/R1kfetgRxm8/dK9CxS968C9oXBSrtNcPYNLzF3+vEXrqYzfjmZO+vU s+hTvO1OgxvfN/RcngYxKTLjmq0FGN+tZueeegEE9U5FUTob7b6naoWOqppHU3KNuULyRfmZkeHI Qk2gW7j50gNnRbQpRXhc13k2q077z7lCAdjxPBSUjvHimYTfOJxrlNZKIGupZaiRmk76s8A3ZrhT yJc5Xn4giw+qvmoCBL9CU8mU7yYkzInXRkXcQoEoT9uBwzCGYry4T9x4W0pdaFEIRaTE4zckho3I Vfq1i0stqlbV1NRJL8U84DobCN/0SZZKb5LS4uv/M+3ovlYpCgR/SK1tiXXUYyvW8jpWhEkAVBs2 nlWJ8/ZKYTIQmM8egRjBMQSVuUFXkQe31vOdRQxX36nJ7Ofka0U680KOErhr+rLpDdSkx3W5QR8z cE71lD8Q+2wsu8f9jpLBeheUpenEwxK41Xm7XBPG2fP/dhb2qCOV7P9fRh5f1XXNoZCbbp3nPzxO t1hfgR/ytdRifxlLFAHCJLV+YVv5G+a5cASgHWd9hnIwGYNv1f7GwxpoO0V4TC2lSX8a4cfrUk30 G4WtqVlbn8adFOoO2Gu84rjteXsSjogHbxVsYhiPiydzOk+/u7txwy9v2G1cC6Qky1P93T0pEdj6 tM2rfZWM2ZRZya3H6bs0QB4oaMzwlLnzbKAMQaqi9+8//cI9ZyCt4t7GK/FKfcCU4cVdfuo8hB8X ViUSdhrMNMW5bYDFoN6McmMgp2591mkbZVz00FKq5D+/Z0G2BeyE/xegtXaQ8gcz20Zq3G5PUBO8 Od2Sg5kK3JQPv4v4BKBz4sLiyBYb4go+UMpoxjTYIlaSdvZoSeTnyHB5TXObprIo8zljuBRMzRgx ETA6Fh4IwXxbn79uxHY7gUY89Kyc2shlaW0FrvOkmIZzCItXGMZ8NvDVSHEeIza9bIk9xHk1hy4Q CS6R/zw1k4wEBktazNAjamzuE+9YGLhzHgIgYOqzEsTWcGsFcyAioPs+x85YJMdPWjr3wUtdXbXI oT7sGH0wWrkHcRo63zVNNVGbswKCRFZH9OAPUjqgepGhMsXNxaRVfyDHrXAKktptGaHb26Z5batb OX1IYDKoV3R3CkC0/K3hkvjXwTaE5NX0pxFbuF/LLyFHor3gfMw3C+7umSisaZF15HeKPUNMuUgK unH7KK9kn08XmIKoXs1bZPfuvrERSsA+Aue3enZOHgG+34MJl6DSy+k6FQgQaMTB7ktqX6iLt6WK tBUnJ/XNblmK+tZ9cCmYFcjyjtUV2Gc+7QV5/T3o642PsLzCpDuA2lL3K04i8t0yhtOpahLjinWh eupdtHV/XBATjTnXpDQoqfQNz7DU49TbQ0F3YDj8eaLRrL745ZSbAyBbHhnsa3VNDTgdsXYhAyBw D+knuM5I7QOyZ8mgFRKaie6Fa/G8xlP3FV7Ev/wfexb5/CTLZUb2aLkwa0VwhmYItdTyFBwRoQpn rEBnLTbrVV0atfKdvISCJwmqy5T5L3J3IKsM2FVOIKtk4DYg/lS/bWu8+LtoYSwk1Idt7jcL0Lzm 2W9CyNkQNCH7fr87hMcMwFwUiYQcoghVCAEeaF/PkjViUiw3Sfrh4bBjqMdtFabE4UrzjWWkZdnv te76hhgQJ+1fYhAOFSF7Pmud+3f/h1oHjWV8kb5UL9R1EIyy/txl9WGCa6AO08O3msdnlEIOb8SH nRdm/ULqLrJiJ40P686u94HgYcSI4DX0r/cTOr+wrW1XK2bC70I/89vDOtd1+KmVLBkIex1Vi0Ec OFhAFMT3DDuloB9lAN+TcD1E2me7cn+4Bah+PZJAWAACB8oTufda+5b1uQCNsl6Imo6SPzARmAiV 8Xv5M/NbHpAc4mOfsRUAB4TWE1pHY2+aCM7zLDcSVg115FKbnMu4Kj+wrwAtChxRHHIa9LLoPfo6 xydGgVBeH9pCvMJjEzDBEkGGKgj+wqHAw4/5FTdXQKoAZiUk0SUxq9MTCgFhgwDBUhNZc4XsSD7l 3Qzf60hB1G61tUcYJJZ2AGnhI0JgTtlbVP/lOBn2vA1MHTzjvU2K3RgJ2Ynq/h2g6sXqZTzFDkdE r26MoC1MMABNwjYjXc8DSbGr2alwnCGtY+Liyd1eK5/ViGJ6G4PZx/tYz/MfqA2iBT/uW6rCmlHO sQmklTdDS1XTVScrBW4WQbwCCZTXXlVvPU4MgRCZ58KHeARiv4htfGgsAl7mxjlyxtf2OA6XNueh 8cqueOfr4YhP/T9uk9gWDsz/hCpikk0Ggyke8/l+mHLVUV6MxNss3KqYTVqsvHZnrkrZx/qMhRAA hvYY3jaCzNYvnPE39kVHUEFDZ4Pb5hGMWJxqMEdMyeitv+9FzqY2shFd3CMcT/Yfo1q9AO4lndqF SsGwHG9pSPx5iE/eA/OZwBgx7cpkhK41XwJdcVQV0F0MuYYwsq389KzIAHdCC2pMprsDzlwSeL4W 3ErZfOYxgcuQRa7W5IJdQaAJwOQFla9eFtiisRYPbDCyZUkQI0BK8O9ykonCCIdboFxr7cJe79LJ vSoKn4ssvqdrr3HCATPeF5Bmb8Hr7KwXDyxhhDweHBowgxaeoKE8M3QOduEgihnCD0D9ulP5IK4q YPLXp0AUM+cqyvilV1cmJLTbWMLQdi4PvXcp9f8NDyVTuYM3zMOvi2Mtdm4KDH9tVMbEL/yr/mQe TJ4IjNy6hUGU+fWNqx/78lygKDS7HW3zsRVR277OHVwH323m141KTz1ue050TWCrvGXOhO/YEFMr CuV4RpnUn3X+Oc0AgDp6sFAtrqFQARRAQCLCV7mCATpQUAcnPyLw/xiLQxIMptNLOfDinaZgFc5A ZethrlJVyXeX87w3kgooar9Z8SOVJ3XIBpT4CJDA+tqPb4uUMJwM7mpQEg4wnnZvISVCfmJuM/Xo UrbKgcgBQBaqxqsroYny4v3G3nEhHFNsazCNlIvSkY/Z7HTeY3cetNLKMZvrInewdzG6m09l6BwR kZrC/jTSAX/h524NsYDvoHWnphIVXWDU8LLjKtt9eaLo7Ig50gdlcJPmYXE95oty9tZhzScNLQqq gDQWIiboKWwha0W/rVId+xOGtMsSFp6XGCdEzyzMHKnm5hLWk/PuWTuklEmtmLxY5i5eO4rlUKVV MeHPI5v5FSah9q6Yna9fio47uuBHuQOMX25f9l2VwsddqTaFfkljJlI5szidvNIA0S12130WpyOI Xsrr1a1UG2toYdblgTdpzJpvli4cCLBPwr/EFqlEfVbAuyMpMS90WTgPK15ls2oBxSGd/26bPnti ABaMmru5l5Zyme+p2bXwZ7VUXbVnwXE81JnsjKpW7y3FH+D5TLFKLeX7YCTA0rcapmwAZd3pylOd +M73thwIsdCmXflR7GWPmIfPHP1vNM/yvE48Ohb3Q1AYW6ZPJGTLHkKUxoz/SVd4HUdwg0LgIXMG OJtw936H37+QhxY8fkU9GEiQTVd0Vtdy7RjywAL8K3hfjuvfRW/m6zJFycNDFxx3SHJ/U7cjByFp sGRHyxMZav06yws6Fmh2Z7Stwq6dtVr/AVyM9MAxuYoEiJhXXgvUhndfdY4uvprXPkP03+EGcJnN kYLEznuuitFdfh4j4+ncjV0oGvLIwpb7uS8xackDpBEWZkJzmVCPUB6IaIa+PyDZnjbD5TZM+kqS eiAz+pZimnCy9vRIXVqy2WCihOcSs+KX9B6G8tCNxnhferjOSkzE8SM2WfH1w/b/dIKLA02oc5oc g6Y7z4QYosnWOOOC9yelxMcZVT7n3OTpCjwgSk2wkFhlyH5tY0x3jc3qoq1UXNbyYS+79UFNvz7Y s6HA/XaQtNbIJFXp2ntm7ONLO2W9YGfmMlLnseRsZK5oAH+R3BLLiOIxf8IWt4Rpoht3LMalv48c usT3VhqmCXJipg53Hf7ifUNclI2oz4K8o6aRVNQ+nM+b7iHLtzAUBqM73ubYuOAiO/a+myMf05Yr OXF2yhh6D6UDdCIqGQ8IaZEev9VIh4ntVNQ8ZmMohF2blK0YETGyt0duu7Fp5VL3pPojrddnTE74 ebCAZoKXD58OO7+rn5G6bbOaJWcAbUC7+nWhWMWH1wGzYUP5Ob9qaE9AVWU12QXBhonLiSIijNdd 3Nc5yLxNhKII/1xk/7+GoENSjvm1c9etIVw8T5WTg3hjBOJkYEW8maVyywaq1rBViOfiivKZCkLO wdHZo6aNlfGc7yOQbUVSCr/SC1a1VWS9pClsK0MyP3NO4eZ2g4apQW45d42XGvsbi6o/fhZ99vMQ 9N1JxQI4+H5DSi9uXo+SIQfKXu/6Po/u5zlLPLreCfAUvM4Vs4JlxWQ1hXx//H7kr8xQgbAsySV+ MLrehm02Sa0MSmBzIXV5V7UIuSA7K0lda6QgIqaVW/sfwbJBnU/hK0B2rFWJqD1Ds+ETAZ5+YWZA GsR/Xb4oUDheq0DIFG7A0gbXVyIGezvRGMQ58zHNORCDroUrftC5ZrdRW8RdN2D7xgFD4R6aaD7c dQTEcVVc6e1dOu2hzim4s8IFRkPZ8slZnuqw5XLqc72VWEjmZjwHykjEXjgY0OYYswMOTm1iz6MY L2Y9Q/rwa5+Y63bjqLbuvXgzK71kku1U7Ix/z1dqtztHXk5F3fWdKEMdQ9H6Nym6XSGsIygvNPNn F+B0H3XvJYwZgAEKO9s7kuXLPl/Ya2fhR5VW0O6w01Wasb3jqceZBgKK1sT4m7uJW1af5Z/KYYcj IcjsRHwQdhGUWyA+8/GCrr0WkNScmi1O6gCIAgBZTliMQqbfVtiuPI5V3yehbdFz/D4aSbNdVNFc 4/LcO5WkhQSYW3g+33P+q3HwDKC119p59+R+NYRBFaFJmqUOmkJFH3GFaCvdSNvLIBpl+qb+kwqp xIft+UBOwxhmUPiwiInQygjGMHU8ihyErx6cDhn9pvI/w6LgWY/33In209usQ2g2CCJ3Eqf5IdCH Rzo9xG4K0Yk4r6mHjLpaxPMcffB9cCAjLkiEbYSoki3FxK9mBD4IhTxFZpuUou/3l3Huyzmfsx3L WXbiDk2D6pC9YaQuihSaCXKwBx9oIgidcCMJ1DFcSKGMIfyMjp3aQCaI1XoSOyOuOlS36Tm/YNTr JUg+TxCE9KCm9yw+B/MDkxrjROXFBcJ8oCXqHvy9kvytUFWAvqoa9o4PNcafFO1PbayWCHP+C9a5 wEfeM66ebH++slqmrPWOMNrnsW6YAp8+6C3iG1t1nDz7P0U7pxKd91v/aZh5IQLoDwkp5798tR+R ajdzAuuDkmhKcfDlvCwjNk+6291D60ULydeTlSXQb4Dc3zTmplPT161yCaTb1i37+2mnb8AeDUrr kErqgO2EJWxfDkniXWroDidhthIhxAwQBq7/DH7IsHHoeTnJ6ITlPOlHv1DfRZPa5eaGlFxIxLPo si+XEmsFOSa3g1+YPEMiBqii78N0MPTsuE4nvRMbNjOIlTWYxTUmDi/QlOax3S4kuSIgwMG237lz WS3PfHS8ITNNUaH7/pKdvuPRmQuKjuPfZQlO9EYmXXiAK28erihWtrqovPcaS+xm9QQZ/bZ3SCVA iaHHHouI9vYKFItZ/o9/MwnE3pqw6/U8b0hEDUAo8VwKAYx1ScIazhgTs5Sciuz36CQ/ptbnxIc6 /qMq+LFLDTnDmyd0oGFBlS/nozD6qr2FYJ825qhEorws+Uxt2uqb2XhaefH55InGGXsbQjzL8pSh Y2udOYfEKtfbFxYP+MLRZJ8gLv7Pp7+SJgGhW+Ietq0h7pl1vUYCZRJ7ya+qVrTxsER+Dygc27hB hF+j51FTdpABioLh8FpBv2GzamHAjAQLPOogQie+V4zH/69UFwXT9KxpXJxRgfc1f+oZMEB84lWg x7DWUYq7Q5Qs6610XP/fA4+GQXmTeZiL+aIvjfOrtdNSN1PyaZqJO1JQJ3lyxg6DhcIgz3wI7VDy UNAEpEKwJo6xw0Jl8FTSkq0onMcD8oKfL1WZ7gfcNUrBNQN/CZ3BdlUJnQQKRQjSo6OvzmejEj6P 9LJY/0FtPEcoGiDQSMyQBKQVKqcrd+MXadPI1/113pME2xpG10PB+tllLOnnqGFK3lrb8lezTCNj GmvRN1cTHoqCxQwZC2SUb1n8wyhcdVEgh1FMJsHEU22VQ74EyxES+WMy+LWrSMXlJbffcTCHOfA9 kYm8ZSHQRiE6OXAJZlDgt2bn8hAR8eI9c+ftepJblATIqxQlfKorklY24V0kUzdpq9qAv2fC5Nc2 mROByHw83OZ4k+AOV2FdQKfoCtOKidBqV9w3EyH+I7xPWvBC2AakX1i/m5eeOsnLRFPAPTqlLW8z C6SwSce/Kkekb5+yJp6MqFWjbGJCYdhb00dG7UKYJ3z+xi90u5Li61qfiypOMzG7vKa/LOPS43MQ 49tcgtowydk/kHg9Z6dCDmxDcU64gOLfp1EseL/irtSR9LXd1dpnREFKw8azK1QgcjwYfGPENuis GbLOtYTwrbY86r2aw1Iqw4d2sqFc9vHfl9RbUIQXLvZrIqzVdMtK6BsYoFAi8sQ71WBEcKoZKzh/ 82CgLjNtQchSIp57RALJg8Px8EJhHsquSG5Yym6wSt8S+JkhQFq62yixQSmmUNsF1AIwZ9wqjUZX e9PAS/ssGBTN8GfZbf3pxZ4nQC5ygrNaSEQrDQxP8HMYlc2prQ4ZyOoP0m4KMGNuyMPYRZnokhZW nFFyNSdldaPEL70By3ChIPPTPytbB0zTTDp5vvT3TW5CuQ0cHmBlCqzIVYpOVsJCtzOdCJT2K33h f8MI2o8IKRteETLf2x+T/9PCarh4PcbPD6tZZ3KmZ6udsO4HLjaW+w6Kj8soMHbmGBH+1PYd9TLh cGR0mMYW/Q4GmSNEbhR8Ip43ssE9yhAtxIHiGvrJoALT1F4RSDWlNpwEoMxQLmY8t064DjasaqW8 9r82tsKW/znq3V7bXAwUllm1o1+bpQAK9TFD3lc7CmvnRJBrQEHJt9uhaR4D9Pys5Gv0xptf2Ffe sSzKCz8Y7B9TML/97QDi+xgAiYMis/6Y45wUKkrOD5V1Bz62AvyCuANWGC+miKu7CYySRViDa39p y27pEdx90zewjJmsljKcrAQDeXSvuu9xIq/BKoBBhb00HvyuQcjlAfCmCa/7qV69tj60Ecox9tfT TyYTBoBsDgvMXljH/SrhTbLf4oxM5CrfSzJsfwNsdxJgC0TMTHz5cWNUBgf7OX67+Vdnz7iGj7a2 zCqxPbKOwwbvjGwNOlBQFik04K0a+b3NbQfnX/tUOp1x5enLHcdGUKUVixaUDqdxNOcSYq39klGx y7+VE2tYkT1449JGmSBBmqyEKByxEwJ87May92UbhpRO3iQkP6ZlLZPKmbC1A+u0Dxu6sYFp9Fcu XEL8Tv25RzUx02ha8/HRKzxgC2q4prJFRCPT7rfEeqpvdNUmV14n10AfOIq0lK+ibhGzBlSHePxh mdAT+fZ6MUv5PRsBdUNBZo53V9XYdjWdoAVpOd2azBDKdkDgx2CzuL7Ju9XHtzZHfyPvU0xVA3nq vTpeDTGt2yOw3N2rjD9oAV2vozMbTA9gIp3igZznc2s8x+jawpZ2s/x6avsfVAvNoMlRvrn260iL xiJIR8evoPiKEeN8CBLYUIGF9TK3Ixw49aYtSee81e0eVXGsNUdkWwasbqCnwMu6IxjHcj6wblCf P2cPuBWjyjwmwL0LNxIo3TY+VUtJBt/pUzjy2NX21I7m7086kUSBApHvpZXzt5QHIRRfHFLOiiAr oSqjoQKeLuJrEGFSQYQir6pp4xPhEt6hyIz1utSsvPLaPyEfZWmn3nZHFTp9DXMaB4Xm/3bro6j9 vsldq0nm1kqezm708nFPKEDkSPI8vwh8MmJwZ0PwQrD//6TcBQEwrS7heyffPf7MVrkTbGb4tKhh k2yvo5my9KSvS0byab4HfiQXJMu8bUdZ8iCn5bAZKH/Xf8XQCT+EnLZPociK2WaQA411bRqq9RxB DJd4E1PHVv7n2AUIY1CVphWor/7l0x6ZxjVonH2MEj89LYkKdjO1XSa2fTwTQf3udCPdGW8Em/oi JcFyI2rDkkHm2qMjTG9sjHqTMHbR9lxpNuCkp/H+1OXE1yuHg8llQA7sWskf91H+kd3sRp9bqH6u fVh4zraBwpmz7JMVhDrwhSVwOOo61mvLIGyCIN6aKs3kXk4sle2v5Kld2OH4ND/QoDrjmCgYivyZ SLUb9/nqKrVpScgUGu71ZJXg+7S+uxgyTIMbomC274nMeqpgXHYwTILuUaxvsXlubJAIABHjlxLE aJ95WJ2OSshVaIy+D4kUqQF2nOyEE/Tr3eS3F2vLV3oJ9BRi2gtX29bEfdokibCpoBvAYTzrBQul 1cKu0AayHAMMdcQv1JWkAOYa2IqwkeWt+ifuHLDZJnavFLLjC52KKWFeVS19czBhT+yMHPODl8ER y5s+XStEQSE0VNDkZ+JSSBjsQYULOeChxBRztCR8ttJa88SnDaqCxi0wJyKFfCTg9/IbcNHFLaTt 1BsDSWwXdvTS3zRufTPchV03g9Va2M44oV2SO6rSB9juWgZ0Ljs26OXu1N9WgGW3usQOcsV4e1n6 U4G5Ck668EwnH70tS19pPxc3M86Rrw5b1XuSka8mD+C0pxNmK+mXvD5I3x8/c+RJC7zBLE89cdcr YFDrT6Xzo8EZr/srOBslsZF7WKOK6EVD5uYq/v6EkPn7D5581vgbHtmzb8HfeFakzc8KYpR6nw9q kWfgRvVJfkPVfCgWf5iwkxFXb3uqYOkbfpR41iW2hPJucipiErE8c0xsGfXeTkWclZaxalVOyrTA m+ZSWHESIdPVc84AriP7yYhdV+ruyLIuJXFm8J1RmvrDE4bVZ5Q0p53oOAKPX2vnY83qr8bEh6LQ quTuBDn2Z/UieiZJfx2iSoQCJOmn1z5HPpw9CflXm+UFgTyoI2PBaPianX5p1INra0UvnIorayPN WbLxyUg+tI/4gQyHhGTP6osR6ZQdecb7ClcZDEqaJW2v0yerBF2HX6f0D0OtvI18i5o5n/wct9is kMGph/JEs9y8myVsaXduBujvZlTPJZ5ZI3uCZGh/9FXx4pmUu77Upmd5YaDHYw5ft/LhXRAYuv6Z vJMU8EN8o/1zuU28ZlI7ygQ/1GIoDvRH014E0s6IRjGkVu+2kmKbWP54QcH+adYx2z2wMGk/ysXX hofLd+sRiEWkxJGp94PGfylNSuyqMWTvHp8qJiGJ2XUTNWXgWCj7E42t9YUxfgSu8vlTJw91WUTA mP1Tj7JoRDtPzajfeD0yrWIdxn8yhdCNpUUZ/TCYUJ+xa0bZkzP4cV4j9b8VzEBTIh+Q5JML64D5 qIDrINKpj71k4qVICkGIjDjy/HpllBpVG5fi+6bt9pOEX8+55OUpqxkJq24eyaTfHiUl6T5pSIi4 zuVCEmpQCpt+i3lQeW5zNWJQi29+vW+bkWVHJ7z2u/Az282Y1WMAFbGQGRnWnXWEXc1lSOblFEa1 mfaw+1aYRoDwdDnmwxt7TukJKDOb1UuFcTkltXJiwiGDXrJixj+UT2iA8QaVbrzV0oPQDhHUFvZ6 PgbksU40YUXFPV6ZPgyyta0hCsb8J4EsZVGFKhszB7crE0NGXlGUam+SpGiST/gH/9YNRyuCxwA7 lhsxrl9r0Nn8aDMWGCGhiFmOVmvRI+5++23awlO+H90ep6D3XyvqrkBO+VRUZZiZxq4XZHmJw1eP ScSJQ7+69OjWL4kBxqcXWp9htrnTI12dcijP0C6yVQ7XnZasbtQ436Q0oZgH+ISyr9t5IMqe7fQ9 9ftSw7Fu1QVJSuJZr7bH8qpcbJJJOE2samer7p8jOiYtskQQ8PTT5Jv++eU7kw+57/GsVZR9JqWG aa2MVFqsPP7bqLGn4fYmBzlBzUYIIocqfwlvPA9P0CfOfqOrM5dlM6zHijRYSU2pDgR65xfB4gXU 58k2kLuytOolfA8vSV1F+nwn/WYQMHibUyBhLQpd3u6Ur95dyY3XbZLN1xC27O7cCR22cZ6GZkuc QuAKAgDB06TgBpNrZ85wy6yZOsgRBhI3zBY3hzBPpaBeXYIhZrZC2Yin1GBa0SsYVjH3d09Xn6ZD pPBQA/XIUHyY15bBWnrkTkNL3xvUggKJhZB5i1gD/4+kYNMdvklvnO7Fs7FShJWktuIuYt1StyYm rxwO4pz9bLIywaz9T0sflMjj89asILY1ZdMZXkljn/DECKnoiMXeD96M4ZhM8o3eXvmAc82xXejC HK3sYXKI4ng4rKCHQasB+pYS8zah0Lddhx25vPlpqG782z80w3VjvINy/9gMx/d/g66umSMvhwFR 15st2PeOIM6ec4/rbtLm2U06iE+uCNBSTwhE/nY1ZyH+2KkdqYcFcWTz6WvFD/7k8M2UvrO2tTv1 KqlnHqH6PYe467aCmpbsu1lBLkEinOoJmnqHCk2+586GBoq2IjmMf1/7QwJPYRux8NQDbBAH/6FL FpYxv7gSK82v7i9PTehEQcYu/SKsvY8hoJPRWiILXhp8B2wAHpTn1vuJ4GNSVLXtr4lUOdb+YzVG yDbbyZX4RmTfp86Ojn74ZkzOWcs+QMswjCR9atC2hnbHfaM3ThQ5BNhtXINMjUQ1GUmuaGBkKttd 24Oxx1fDj66yzy+TpaTDxDpVOqjXP4keZN50SIbaBhVfW0p9UewGGmZU7aDwp+htCmmBCiO8wWzW nJ+4V/gUGX80YutJL3HNbS9EeQHbClHcapby/0snhGpYjSEIouNLLuKpvd9KOMVfFPJMTYMLg+eA NLhjwG5HnmIraqr7915ennSy388lwKkLqE/FDC5NHLWs/B2hmddYmohE4/vSuxYe9oCSDp2JMb0v LDcqSs4GxemoVvAvQ1aS6ogp4TH8yGY6BH3OgmfY0eVIB2hVBBTJBQjHOB/dY3qqtW9+n0MNBd2p Oz9bluECVSN4wFKViXRBhGqVEifXwJQe3NTAisbKlgBVWbN/xgJIVYpG/zmxwQeKOlM9+ReqnYRI aPasU0kacvqY70ALxwelUK7dgEQz/liDaTkshbJZiwlO2+iEmgMCttyEwlrdUc3EDViekimTSmS8 /DIFWMzcxV0eAPSG0BCggg2bZuAtpJxOFg2PG4gatQ54pGPrjOtEW67PHRrKRuenhQ7z3c0WDpOu OqBXVouwM8KxagxH23A3t3W0d6aUov+uvhsrfQcHg1fnsNFc+TsmmEzg2Xat+pZbFDsZm78pV3Ra vli46gjYv9VHX11XF0k/iTgfD5YnezA3s2CY3l+R+xPy/y0DZa+RZ7RvuLyEJCfmXOhobTZRnV4+ vFfHB1ERVJzch1Xvz3rI6aIX+LvGuGCGHW36veCGNg8E/K6JBg6tY6Y36NpvQwTLibgPK1ebnNQs 7UOOh4VVO7B1EFbX+b9zbQGKwhp/eCFGNiJAAUyqbZkl1vzRtjdUjpfVo7uaaL61ClCGD/mwx7ph 4VUMeYuuxxuSSXI1U14F7H1w8zETnf/uT4LaYzX6f2Sez1ir5EZQs+kjNm9iLbpy2PgSO4+vodh+ ofu/m0YQQqY8r/I0DS/xqm8tKn6aYkG8P3wSWvsH9N1hCLO0TUTsVg9zyA2q9U3uY7jMHXZXl2gb WEZgqCEHH/J0xIfa0SlL7bHCc6UWlOqM9Zl08c96u5BWi0/W/42XrmafEd/E2VFv6F2eM6PiBlsU xELINDEtWRxhnplM90HUPLFprODscp2iyQTs8GYvzqINP443aX+5bdjSv16+1TSC3Zbzeqk9sjib gqZjR9rkF9EEj4B0422rwEpivaYfzY4gG+hnijbBsGQ89O0oLYC8fqFKJuncgZ1/2+vHVvd23DL2 iE/slUU/T8xAOsrrUwDmCxfwioJYEePahzVoF2YKNbdC8FBB7FpWHa+ymNKlqCp106ZD1U0MTVAc a1KiDctbDtP0Y+02SeYQ/wZmpbzHlNTDa1DiaSl5eEloLzxPazOTJyQDtOuhJPlmRaG8Fi+e1Lqe NIAvAMmcB7HMteByhzgNgHq96VR4eTnRLfy0a0xqr/eKmKJ1v6OnKP4ha48fLfa3GiymC4qr1tJ9 RBxi3AwtIoyPu0uYrcZ/mtQqXDl4D8+3ezoswP8FCc+n3enB+AZXTqsftrfUv5sP3OJbNhdqxXoE JvGbb49/YZ2AxioopOKOG/eD6Ux+hQ+i5M705ykl8rvkuTRK0NLWkNTeAl7H1/bUUr5L0xrha2QJ 9LBpxZwLeWBtCql0eYKi1tbhYoAa8bo3ww2P25/kle6LeUkDWqgt2JY1EaVrd7xZzbAjUUfNb3rV +iwkrNcZepKAZFLaiGT2Dpd06gSOhUUfBtikMMineAqY/OYcJTuHrr6Z13R6mpB8FRE9ADeRF5Eb l83e/XFAjvDQSCfgFCnM5PrOR4woSFCJCg/lt63+dpOdXcadgbjYHN26ygmwElVEl29HID7oH8PR cm1oVOAZbsuK+NF4vWQWHEoXNl84qsKeaePLzYGRCl/5dX4wvCZAUPNPGDVwf8pB1xmIBGGwftkT 8JS4c1K/bt5jcaqj5g9ystcacEMswwu5Xw70ku0pLwauctAGs4XK/RY0RROfMmE46mpiNj5wCncH yZ7AWMDaYHy1LTR2AePcn2j343f8XwvYhtkNvj5toeZn/QEmUo+pH2QCB++8MlYRjAQWH9Krq4oy HEM5CJkDAJLW2DMMYHyECUUIQeJZNC31RdI7IxWpiwgpftHgjUc4tslfeSGpLc8+EfypAT3SQ7Ro YGsi6T0eBCFVLLwUGIyj2Lo/vU4t1aJIOm52VZNXZMJX4BDc/2MIrpNu8ctB9Z0hJCJkbcVo6BfS o2PNW469HFJ0oRoCk887BeluEunAxP/kvWLrNcG/6lVYNRLYDanO4/IDLh5wM0QlhCVtoTEU7uVw M94AcgIjPlYCZg9I9iCTE4K2MJ7BmDv7OLL8RwthKStP/onf5IdnmaDqkTNDODDEp/PgT+XgvGWH tKtunMlqSTf2Mo6TNc/URoFhSwqzdGuIwgHfNDH8an37uGlG4tBS7tVbaAHj8v5nx+5qALqDg562 irqpVIbjBIuwzyEJaQhV9a8iCsFdDCnO/QOsZH1V7Jp/dJDUuN7IFfMYRgReDdV7epAx3ffMaNfl i1M7oK2eu9Vino6VwxuAJ/0NM0mhPH2IBIGHX1f1qVUYSdZR44xWmjo6eARf5t8D738gowHxT1Wx cswD2iOHfpfeCR0oMbXRNXkYJN1kE0jWCGsSnK9zfMFvYy743zXic/Rks2EF6lK+yMD6eCa1wP9S 5PAA6NtoEdhet3Lpm5FcToBmbecgWdQqNEcB8B35dqaz+eznarrBNfiVU9iob2cbFpa4rD2nz1Km MGrV6GFAAYfMgyJBeReQP+wDab7QEwMI6wMM/yvhCKatvyvnXq/3VCVemjRzN6+19ELIpF6wVSMc TLs8ygutdY5Gq2S4Dl93veNUpzus5WtRpbmUXGyqCJ+Mnf/QbBDTc8vgIIC8NI34dhQfKJfSZGVP fP4vsFFP2dsxDASaGHa1MIGkuEdBLWA/i67lxPoyZNdNK/kv2yUy0Aukf4AvflW+bJTgFE42TVPq RtJK7omVvmx07D2BzYBfDesv6aJMwjJZxB566M4DXaceu7YFLKk8+WrUWVklLAJVaa0erjI02hbs xi0aHIv/lR58wFYNGaQHSd2uhSoAfMAD9HI2PhhcndYlkn0ppBoLo0jGT2iPV4D59oC7EFINLb/R a5Cpcvtmbw6sfMMcM6vrvghWKJxZx6bQUCE9w4G1T/9jrgRRjkG6KkiDk3A4wObzoi7wPpRwN8nI rZneflWJva/FTUC8zV/D5nHOqd6lK6f+70kpKfh7T6mYdU0A7YJhmQrkVa9wZWALlEeIljPW9YR6 rjpLjyw3HDmGWHwKaFYKaj45uKqyDutd5SZgh+QQVOQ8k/jar5PNuftziSD7rB6d1wrgZ8eq2Wl/ L6pzwITqztPMPC2MjZ2Qaz3riomLGl2eLa/+at32QCMh9Hrcl7vo2Kg8U1wX1AuAZuC2jxjXrXST 4yyR+TYXfhGf7SHimqvuZNmgKHOcQcZbFl6scKDFTjn4htYJbKKmEVsGQTl8Ou/E8KuPPBNlZxF/ Qx95YF/gGzhzoCuGHSwH9hm2xKwEO275euRbLex/je1f5ULeyFORIt0Et9DjwJqTvpj5Ut7t5Zub g9tD6v0b+fRVQtLO3GqC9M9I2xpO1uuacBu/huPocKx8h9ClZmy84lVY5Hqg8Vk4A1h8CL08OPhS td5biWf0A4eA+eYNA6CgMy3h3Qtqeu6Z1HD3sW9LFD3KbSX3kqEYLibUU5q2aOuzS8EDJPyHIHNb C0scZHrIzTlGSX8dRMo9tl7iIaJR9DmqsSENWzxhHadq2T6PAjwE7X8e7uypjHVCc1+mYodZ4VqC irQCQGUOfG2Kcq5EPW7D6ueGoZV1jBPTO4IQIOydjZSqdDN/Lu1A6NCAx46Ob7lY2WJ68ro/w0xW SEJJFbvWdsEUMOwCSkZIHYFj7oS1DLiSNfiu/cixP1spS2xWiR0sGavZS5lAM9quTaDUl9zp7Gg6 INR+UKcKAoFcTuB/rNm1tgV0ig82yRm6wrqMDUWmZW4yTf+nmKPhKZ4jYDhxEDpyqOz1cOnxLXK4 dp/dA/iuYR94xmTcBKDvWbZVxic+/Ru57JYl/kZauczzhbSyzpxuHC8omHIc534G4eqetiHi+4C3 hEyf0sck9Ul3mzXyPGqb1JVnEBFBwNp2rPwrCdPbsN0sCFVoiDxgvROa0qqJbwQ3G341zXZ3faHT jh/lGzUlwIaB0rGgWb9EsV3gGVFxAikJT9FsBx32+UsXbAOrbnlI9H1QXF8F82TMV0fBWbG/CShz NXFKcOw/UPs82X4oNwSgtAipOB84rBRnvt2Nobj6cs+LxDTt+IvOOmeCzdgFSg6yMw4IjHzwDBMQ Ty1TxddOmk1TJ2q84SFtsqV4IJpQj7BahLuGCNHIusrJk4pNf2d+JuKDG8qIOaONOegOrg+B7QLn aWbvtZMONJheCpunCSC2o3wvNDFKITLVtanuDCnEbmG4xNYJW7MtotF1XWX/zerzLeK1VYSyOH9o Ft/WI5gN/jWjKIV0OevS/roW9e69PMhNRTZuVQ0j9D8YzuW0kfUrSDP8oJOgRawXNR7YrFnZdrvh buJZJtOkYqf1OiePitrIJZx8zjdOKB3ScvLaCHCXyy9LmWyf58fMDr+B9lSOdHbM9IV7HhSUECMG SFbuT0HPNe0YBMJ9OEVUJUOIo5/IebsP/dXxAssTXqLeNLme4FE66qcFv0HGXJlcaARSF63nGnUf KjZjj2I/dNGTaGOeqwr1hmM+TyogNdGAcIFpag7sBcd7j3JKtfZ1bQh2P33erjGgeJ+E7lyWE8jN LdVe6fZ1jmVsQhFWkxGNjxWKsWG6tnhVaePSTlikRMPxV5DMlRbX47VurmvPIqWtrhCNNUIgLRUi 7qKSCjVaME16njZzRfY7S7BDyXTMzERFlLB3XH0/9cWry9g/qzEr+UEcAdGWFjKv36lxgso2OnaK 9cMNPMStkSzc09i/+gu39dQZXPMg+nHbEYX3FLpn05sXr/Qz4j7BKvWMkUr9GQrn8retsCUDBd94 s0zjRthUHHK+SDn5u7X7Vdz0PVc27f3Bj3A3Kyywf483k/H9PNVD3IfO3e11rzJd2j/uKeX1FoSu xSI5Dd3bpVqRO7HFz34qeSjj5m1yd1CyJSJzk08ySz0YARLgba0/vCRfYOi2rQDBQnobW1SmcPHq VIuFe73TNVbYJBy3RyG3rZkL7BZxOlRqyztC8CCRE89KUnOlPt+LNOFAUonVJZ9hNUtDSrUAK0ie ISkob7sJwjQnUu0YkNlJBlkOG/GEp/2SY3g2TjmfwQTjso5XHbhKlS8uj4HcR7krlIq3N7brbB2s yA6iUwcaAmh+cSTVwx4ElQEFfDGTuxmpZP5mTP8nJYt7wEO4gNqiOE0jszu2Z2A2xNLjuotH3LwZ 7zgyOG4ueop8esUkA1vtwxY1pfVOIQknv9Tke/JkPMMPZ6C18OyIlh9t4Lo6Nik/fQzkYe/h+CRx apULxH/3bK/85Pqa/BSAVmAIQRXfgZ9njlcOjcWAi5/WxekNSFrW6VgOhxbcgXlyzDy5l1K9bR0b 8nmNMdeaZFsd7mohhByjGCAj6t77v7CCoYF/yU+DXxnEUZM5AhBwlXKZLAjVtLsN7UYuGqTLZBzx Cxnxx/tPoYkhfmPjDu1SYhtXtW5g+M2QDsFI8rGL3RKdvh8eLqwoWmjlP/gm4wyXmmXH6+FCKz8m RnoJHellTQ4aTLxZRaRbmojwrmOp4zAigPMVU6LH7n+jFB0VmRZ3vdDFiyjiuRz2wD41YsUm1TzW O1xE7qRopxtCWH6ABlF5DR3AVQBUjd4Qgf4DpO2MEC6UCWTK4ji63ANzwRBLzYqVJk7s69as7isb 9BWEu8ZmW71Xf+cZZGmJPl3kp/JC2nxSSJU4vQgmOVf61UxWLpySemqbAG3Iw6/rm3807GSRVLFa xdT7ks/9v6Jt15TdN8pNvX6noX1FhrRUhERYkY1WlMamyGgvw+6SlOe2K5iZmXPHSaPvDHTRZtXX 79jqnQABm3GAv25MvALCkTwXXbC5hXkU/A65pD9ca0e8miZrsspZihKMoEet2/SbxSmCpxWdFn3w Du/L6dJFy0K38LGyBCKhkDt0TeO+ifO2YrWhOSZUqn4REECuFBHYdNQxKQohmeVkCVCK1SMo2w2D Lt0HwNlvmDaPR2RDwDAsa1rP5w7NUj7MFDbdYqAMCHweEOpzGcDgvYwvmIbfNNDhAQBtYplap5Iq 4FOPrY///RTKK999KuibHS5RruaL4Cd9WVxzlYzTfci3Q28ySdaX8VlMnZ5M8ksm476o+dj9dGeK Jw+AdATgcj4P5Z6863KIiwofd6SA44ChfpdSNNAVr9t+RqltOUMy7Pk4aHe8bNirsJ2MUOCiWbBG WEwyKCWzQoOqJH6Yhm1hEuNOc6FB7Vdn5xNUKSMT0PwOqqHp3JCJOXMZgJdHG2ppDK9JZ5tM4ahM heoMsRCvcl8hwG429wYxx+7/JaIuW0jzd8yicm+0KHW9f30NTSDEBaCqFG66Jis7BH213I9ZAZn9 SJaEOQUME91ci9xadfkoZsUBBdBzmoYBRSKrRryjSxuqEq9e6xTkgmQEdaW/uJIVDHiI0BjuZd+W HFSer0m2/VimweMebKtTRaYskrFII4F07oBpJ26h8wZH7O5mooSftYGXpuFqzn6sSfOldlQHxXVx wC6MAaAFSO+pQMbG0NuUyWL/HFrWCm3uB8BLjBQmwgfhUlRZJ/Hl1+tMoq5Ng/UN7xVcCPLuVy/g 4j+Vj/sa/Sedbf5YIcSyneXr3qrRg3ExjTP9UMYPvIRcsO9Kza/77BSSHJhplH+S3ApM8YIgBxow Bq2csItDM7MUyLkWK5aXGT+VqMYE3HRWeofRRruMjG/ZninpxgXZO/dd5Z74n1n333S0Bg3QBv59 k3ztLjlwoWRAZCWHrmhHpzFnYa/k7q/3VGeEUaRT8jFNcJv+sfgYhA0tK1rxYyU9VxA6X2RgKwVZ OnJQZ6b63ZPArZ6tBUfnFR9i2BGqKUWgOlDjcPbnr/YJl+nzHJEY3ppTKg59AYhESXLeRB9A7khe EYmwUkT1NUgKaKNAJdfk6EWedhyqORmO0TDDrprGj4l2iYDPRk5WVDh2ce8VdiL077c6hfyRVyEn Q3SRD83Xn7FrHOSdl6tFwlyWaI8TxMPeDPs9YWbIj+zgAdZG/IZmSKkODKoeqyHN4fIuEVXG6+04 x5FJwieTlkX+M3pMicrMd0H6hGsgH647qlUAa85WdrPe5bs5KG5LfiMo1VLv2p2lQB9YhwgxDOjT c2gIsV5IDPG4E4+eNnLmNTCeSox0fgTFfXg6SmLi/Njw1DppSgoJ7QttYEj7sLsebEH2uqPPH3aC NWo2DJb5aL5BnI3cxDe++ml4G6+h0+O5iSYP4N4ychqgg++5sk+mEDBkvgNw4xHv6CjChyPnrPRv 8i4VX7tAPHPt8FeeASF9/LzbIXwSjgKZn+csAqV+NmR5Hx5NOsbqWgsBpQg8OowKhdRK1nqkds+p fg2hA1XRJdmUqhEuQtTVM1vxxxDecbRf5LPdRFonUti4QGkPrSsudVAGvak4D8kcBQQstjLMXu23 4y/n+JRHqYQcGJRjgwoPcU2JiANI+CS3g61177oAtAA8qemVHgOpBC74/JsB8jGhBvey9OoWdsVt iGHncKUWTpEQgMvhaqIfWdxM0GOT7Li0gOnunEyGwnQ6UD272yWLSjUeR2PlsLgFf8RaH+s+No6/ PSpzi3ZheZAYAZywBYj+rMYtNyP5Ef/LOHK2AW/4qEhu4oO1wjIsYvvx16Cr6s3snG9TmMf8ObW9 Box2K7YQiC4O8p2EDg+z+bB0DfoDEtVgVB1QDKTKZf5rAe9KNtugrYVm5Rh2ZXArTiyqHE37NC6x 7uDbubneqgLnGIp+qWU+JT5XsnHEvCDNTxIkp+w1ivV3qOq3iFzTEgWXjt908zS242JyB5u1EUP5 eN8P+TB6D/kn4qnIfGpxAShCRpPa4bMunLrEqdzKOIIrRV8ig3x1+16rtE9ovXjZYZkRR2oDu5yx F5MBPeJ99RDfDcIArJ43Ni9YZ+RHG2bgGPlu1UYwIam/wqzhjoxhIQ6B3/lnTEpb04wt85nUR6UW nP/QrFaF6Y+UcLnyRsNh4lZPktxrIg8kJppamOebKWH19vKPG6R3faDV6DanymN64vaBOND8poLY PgHTcLTGqrxUdbAa5xqxXQ+kAzcuxeGUTuWqI+uA50XUptf62l7UrtNQuAtu3qsP+9aq2ef3KMTZ HVjXHmoDOEh04X0wp6+MjZ/XG7fLItWK5tAZ/x2zafBWrxc2Y2t3jFMsoBR2UWV0Pi7tGMecUK6r wTJRiev+sfkx65SYSr+DroSpYaSdfctGnubVJbizSQaHkiE+PKwygkCieZTFu6W75sIX5k6g9y6B iVPAww02TBr435nAo4VDYWLgdaHh2ZFzqxLR4+AyWNcGur50YheHjBWVFNw2sW87O/Ij8dUIvWZ4 vRrCVs+EAbYG2+boMoHeRWaUGlK6XUDiv99PQrJr5x5jmJqx4QAf76l8sXr6Ln20HzmCZrpXjKV4 12g9TBQeRLBVsv9e5L3dQ6uKOWw+nbEQygpBxowHu7OxwD7SexsDnNaTHasmjgiIV76i6sRDVjNm 7WmvYZ5Qs30nXdNFvtT2Pq44m83N9LzRH+HqA16wlf8wHk86yIJUzZrmTgWa1xR6L1oRhreceI0z e4R4zwwI3xE0czHh3hJ7vAyzaSSQ9NeNHLsoUyGUOsxKk2o+kEIPs1zW8I6S/HGnvKR38hu9NdBg A/8x28g4bPcqmqKxGR4WoHssJBKUWe9L7NDo/vPHgoRvpr+vf+1EXsNTVblocfyXN1ScAhfWWrBK hCz0qFctp1ROWKE+FyHiZq/E+F92PdK//8RFAIz1YnJ5o/KKa1YyNOL9SOeial25RcBkKxeQP2Ad o8yaYGEDyeSG+rCOaOVWk6NBLil3HDX8j9P65BqpYAWwZD2bOYQaHLqDlA92QaGEO4w6bYz7IUdQ crNQy/qCCUdzscQqh1uI5Sww/sSqURusXfA3Aw6kW4lBa2E3bX4Dhc2WWCsswbeCnyXFhN2l4JHq kCDGf4ZurB5IWNZovyLaUM92W75y8IS6xTsQ7Dz1/lwzYB8CcWHYMAtHW1czQXgp0r4TtUi0K/wt 7Z80YhsA5AhvnOv/GMzLLMLIOZ/JAeuDyS2rGripqjo0stzkcoBOMi3AD/CaaOIyRsy96bopiJWF WXMxX4PBuDSje4Slsp7ZquTz/EFdbKi/9sFz7V0AjDl8EQ1A+dAutHFaUxWwWpzWRGcFsPaEvnDp wEhlsdYoQMXgCMUlMV/Czk+SjyN4ZzqRBIWNWnx+WUF7SM/tSa5OBw5RUg+EbOq6wKEkqtYx0DX3 2FRt3fup+S16mX6oZf8+R6cts7VvU3/3sguBStZ1eRtg74yrPl+2EOQMGeuuqsl9zL2dGpNrH6IH Yt3yf4NrTii9HJWHqSwhcIBzqG/z+fWfqz1MY+TjVvgen9lgmi1DHKEh8X54Fke9YmzyuPyhvf0Q MA3kc56hKVhdythtlg3+i4PcxDxxghFZTjYoRcqTixOfZl2hJdkUooPIBHHA20aWN9UgYLO1q5cK Z4tXQcTvusO5kohg9tntETBMQUWsz6cTSOU3SvwshS9kR2RYEir8YbBspVtmiDgC72RzSVSzK88w s2yuHTB6pqwhTmINaJL6qKh4pc3Rt7UiQA8uCEFNvHlhb1PLwD6BmzYtRTJYwTfU04jltNwBqQDR wNAZyesN1Hh5Pju4IX//KjwCUhfP3yJXRJ5QF278PkVtqgxPZYDDz13OTMYIFAPYAxhw3dGqbSZD Y0NNPL0wsO4tBbeilabci0sRcqX3YWBeMy/ZaEo9wUu9V8GHvTlitCxQZWFOI12lM89a+ga68SU6 12dDkEHHtDZsatS5jRbsJXqddU87gF64b03Etq/iW/xlqohlcYi517Rnjcrv3vS8KRMfiouY0Lq6 EyyJpjoM9b3Y5ZKCFjrgkZYQEmEO2w2Xs1mdgFbsxFcOLD7FeAQi9F8JyE3+qC0nmgJEQKeaKGoO bYcP016oN/6/gEhDFk07OERycw3qvOWPZd4wzdNy3wvsq+RnU/0utcf1W3VUB0mKnzLFBfK4vAy+ Zzs5+ymJ67C3vgHfPIAggsZwqUhpxU729AsQlguQodmdr0gKUusgiqa3S9aPOLITT41nYxdM5PFX EcEtBjtPlSo5RfB2725k2h1GSOgsjpjLfnGt438m+QIXo/qZpEoDZgWqg9lzuNjlF6yuIbgacyfS NwvKMPa5cHTrQY/7lb2odZicJnpMNYXEyz85nerh9B7ehbKznFRcSTochWTfty8pCBjYtBuJX+7H zTrgJzeA/5ZZ0ocY0qc19kisrDmT4yGvWWfQetA8FuXrXRHMgSdwOUfwYFlKArqS99D3Ed/YLo5g VKygmbX58YoqblQc6PqN+CX5IUmm24c4CRKbCWbP5eeswdSr03GGZxl8huRKUbxXwDcfmmJmxa9e csommo1sLWDn4bMRayoFRBsZei0vGgvPwDwKXXPs4hYc0pqIIXbJ7Pf5WG4yNbxMK3St8iaoPKT6 b2pGFiBey1fikN0b1vlEMipZmTROuAfZmt312GZ7a8rImCuk14/MQzaQQrSwXcPEqpUWTY2znwJP l/FTepvrFCU+zZjDbdsP2zsP0w4dtviQfhL5gvNGrfgWki8Z+KQJojnEB3oHlADDtMCzBrJpxdz4 ojom3cdrExMuuTAXEbHv4LbnVuhLCNTcVE+iDplqQtRGUxmsItj9sbLye/MlUIc6IixC3WhHsb4Y H3+Dxkl2C5S6S9xh9KiGdyDG15wH+wxb+rTw8WOktRXwyrKjvzUT0wqWTWyvAoFkgkId2/2+TwQc OtDqI34mW0HIePR1uAybEUKLcDW6/nhZtDSVZVHP9zi04ySlsJBR78z7anBZBu1Xs9VhDvZ+VQBy oAOK6hBxirgcG/bFKWH1h+omUArW2bjadJdpKiolDOhbCHeBIXb/hDPjoeAs+ahJIAdQWQUjxRoO FNfuVUUXbkYGbGPAfJ6UzHv5q5yFeeQleKgFrkDZu/TvU8KFIKBiXxvwofldYkhJ1I1AcSkJBlKR XM00Qz2crd1Y3q0Jf2D8O4qhuS/KG9bSo2uIhrWnAI6YUb+s7GtQ3mGzlZ+iXM0c4xukNHW5Kuu5 W5vIPXNamo5//5sOX2s+ilNLd2p9Yn5VLdZRtFajX2AhB8fVech461nRxVVwWAgs1oNZ7wRXyo9E /F4uoUG6cOfaXcFtp2H6PqSwV31Dkzoq3FjuZAo+BLX5T/cPPF9kA2Pm9R1ADSLawmUu/ypSkp+b dKOpc2SVyRgTSvIMcz5vwlqP2b+00HzDcX7FWFX3WrcWerpYdyCSdkOIlP9yUtQIivz9Gyvc5/AP qijq/Yju0+XRpOAnEleG+huUImnR0zaETdaFvKvtnkx6R8XnKcknm2R3WdO1Jn72dx/nusPCkGSe D/cFkrvEiDl9AEaIdQVL/VdUyayZp2U4TU/SdZ/ZSQXajIT7N/tne4/WJbMSuEMVm7S75MqG4SvU CqayVaS3loncOCyB85cS2u0KyYcRLS0qgN6VyzbDB8XrAqzJDXNSTEOzg4xfNig+Jfs0BioDKDEk e17BwNuiLF3m2q7/sX6zv046n45DgMbUXkRydzO1NHKUq2VyqCj5J5LCLL5Mvj76QqMnPVEJ4ibC pKn/YbXQU6enPrzz/aea9u1UI3q/wnfXvrJFsTI8hVitTVaroPcdg9FFPYbJxukns1EuRyrJ3+mB ErfdML+Brl9jn9ZBPO64aJKogVQ6oNpfXuALTYIftO8dxjMJDbUncgp+7HTLqhDpKttqg5Buhaox K7QmYEPpOH0+WL4UFjzwHewGdj+Q56y+5RCldrWINbwmM7uosk8vcX9sJq48+4FtcoXimuCEW1ha 7jQtbKAXDufTLFnq4mjf4EHnX/VSGlPD6KlhD7ONMNGhcJM9wvfBDes9As9RpRcMDjuMdi/sCmC9 GHH53QZ4ETLtiBSmDq3gskXAUiViCsugn6+3wSklBoaNjDqWmb3Phpqk0HGOErzDVQ5qqdY2hK6D tlloORWots+e42+MuBCTgo/vO+Bbu2Es07bHtPO+R2CPhxIN8GvtLmp8/gEzja9iATwMvlXGwNSC +pod2BXmkU5cIJufwIZOTgbkkXUFkyC7UBNsD24mM45dthEdp4lPuqCjkkLQOOD5nOdzKDhfw4Op 4Uow4UFDLAT1RgCq/no1GP/m3PtsWlMagJAH6PcDK7c0FK2FRneDHJq4/gARXYA6ViYlhqEC57Y7 bbROs1w0tatbU7PSf0hZq2cTMjRpm0Y+4F/ARWfGr85OC8OhYeGBuEO3UrqWnEvA084MPzSNoi9q PBLq8zg4XkyL3j7iv5yP4cFximaLnv4NVbnp/MHP5ayQUWJgW67InjkQo6pqq99u/tHCipXFdSa0 rTpY67LbYc/fzwQCVm5rWw/elcBpgQjsBsKhWbqMOGyDnlAcSnyRauDrC06LjYqnxRQDMCJTLQkp v0yK/bOs1/lnM4rU6mK/u5ouz6ErqZZq3d0dXwTcbe8XiZ1QUGb4WqMxq6gAeSfEWo3dMnosoS9k QdVXSqA7CAzdpixlrvYJlS+wVPlLN3VPtgsEwYrLOdimRGYsfSkQrbZO9dl8UbAgiDCFfDSCgVtw Wr8VFNXdzfWE2u/Xyaf+nNST2efGF3IO9M9ECk5LfPzOTuXbFR8tPGLOUQ57xOwlLvIIjAkOCU8G rGuwP4P/jO78ZmOe2h3S825hcSzx1jxfNSCDcQPSdgUMqjgVjQQzdRH1g8ii/3dMFXMhCEVqNUEZ 2NUtR8qcC7m1y5FIsN9OrCQB+dIijRKKQMEAa8YRsHxqFst5kGf39suoI9KAPa7w4aDY0fUzFWsT 6pOa32+mHMJzMscuKEqKCXrN/yPkUEluAZ7nTsoT7fx1dAl1lFBKVRadrsXzp7bYR2wZ4tlOxGgL Ke2EXMb1BBNXZ/ltX11pRCwHpkaaQFM7L6IPNbUKjcaNKVapSzYnHRo2TqBD8+upki21wGQOnjAs hXQtsIODhZfyRuf8tK4TJ/UlWKC0eZAu70XH5xtnyztFLwPAJNqkBBr9pVc0EZMRVmtGt/umZnSL KRZWVEPvCQuN6l7RvXe40eY6meU1ZG1tNtIOTN7kzbx4nBv53GAzBr1E398WyKkRdEW56H21Dt6w YLX6W4E31Iv7pYLnY0sCWAzvDCafF/FCiRO3z0zi82wdDun6VAAWufI1wlx+M3SvaCvA24J9OYIL lYGWDquV9uwboPnxadLT63lFSaOalqXDX5W3lxhAzmWGPNmsnNPu0AQJpsiLV35qAN+gYeVuzu7N IiF+5FJ2zpMG9GwlGBqe2sJ+b9ac4b8QgfnXSKSmkKYwS/4Xq+a32avie/R9ucsW/+FucnLF0Zz4 fToYlWsLhTWsEA5pAlbVmCiwqEKRBOk+3PZEqhoFYbXRiP5UmfEUcPqB2pQKzWZm3ahGhix3KC6S sFk2Gp1XAotBhe62J8pXIG8q6oVhUJserGvu4SisIUykxqzLETdAmaVxJCZGiuuRA1atmL/7E2Al IYi8blyNFK7mTGsKbd/5Bxc4vCAD+5/JNNHoVBSqvOi1D60ZsEqWMF69kOMIy02j5HgkrzABea5M n3sRMQQYAKu/GyWBCxw4y9OPXbN1MriqhB9ndXQNLECUJfjDM28RCpQ4LCGcgqLik0/YHy+EKcTu JnW++Nx9Eztj6jWQLd5OhPuK8UkNBPer34MftNIcE9wpF4EoCrz5CjY8DIuW0eCr4gXScX8aSTvy A4meafh11i86P0esXGVMRFSWq+lRjafzHzSYFiuQH07BgFl4QtFU0M39H0z2/gwDbTuvHsUi/wET pjkgwDEdo94zUA8J8oR1cu0s8OZWnl5I4vJYpySQ1Ehfa0wU4nyKHY6TuFoz+96VmpF7ETZr+36C 9p5TJpMGTDNClC+qQzBGGy8cjG68cM35FyiWT24Q0p6p2AdfH88Bt7/UCktX+DNtJkm2ydlRBKUe fLFW2iJEsUz7cVnP2pJKQo4fnS4Wfzg5g2VXbgsnh0gCwtOQDFe4/P3RLPv19LDPhYYsZ17zu+aq I8jYEPkD9X8YfXUnRFWlsgt6z1o8NzHQFQhKLb238V2sEsSuf9Ob+qKEOutoPvSz+qsEUAC2tEwu 8NnfD6fwBJMr9d6zfAO0E4yCkYEzKHXQBzk21JZ9lBYWkRday80t8/gj+k8P/IJaipzlfFN3eH3H Zopl9uKCXXUQgulvazR24w09JAOViQTp6UzVi0+SaFO3nPL8DHmyU1V49uiqSXJq+6fANCxKLZsk ybjGgDaOE9htUpTHRkcS/DUHwe9ovFic3uUuz1zhgSMPkVC4BZRXUd7cUImfzGA6cA79CRhrXrXT GLt9O9GuICG5bz+/Ab6rlHIGGt+s3vOx5tf971qKeuEuk8dJ0zfMvTPLoNT0Xv8rkYQSnk6Ik9Si D0e64RQBXlOaipyDfRSr8HQSogqGNF14bZ829DL8RIGpZ9YBHfcV0oI4w2zeTtXq5c7QZywwjy9p Dj4PsbqUvmLKCc16vldLMBS8hRijKuarAs/wX2o6hiu6/XluIWWuO/2JssHbX2XkPMV16Y4sVLjH u9RoF6e+YraFy7Pw9hic0rY1MiKU9ucq3cdwGrvNadGBVUF4XO0660HwobBQo/9FFG+mSXQKxnPe b/3PSnnt3u3sOZ6Lah5Nr4yuFBIc/XiKXXsXN2Z1BU+k/TAIAUkhP8el6BO9h2KgBM3Yx8PsLSKg 67fj1ZgD8Ew6Al+lmum8wqDb/KwrjSBh50SgmbP8EHjGV0r7ZPAI0gecyTUOn0dkpernlw17nhWO E/T/dbBbEBcenWb0YtkExZdIYs9lbUkCTdDw/1mzF92TYAywwF4z7jfxD5HOxGRZe9tiOIRvSILb St0bQiXB5FVPPw9U97AXov7arHSV9Njspbj/W4rqtm+w1MYGMijiZCRYFyqfdHQ7kq/WHAL0gSve CxkdVC0s1tDQrSff2cs2OFDU6+UhiQhvhf/0LiVCzDurUU4Ps0KvpUVQY241lEJH9k3l6jMKVwRe iYyODr5J+RP/kW63W8luJ5u+IVcDs00z2EIjHudSOhrT+9v3nFW9PtXnpz3aIWsKSKPrZatsqHnO U0tx0+n2E0aLS2rKWYglSN5cHS8Gc+Ou203Qp0j/Gut5xZJ4uOe9+lVwLV/QxUwXJS0BI0AJag6K xqX0VUhup2UqVRjGeR0T5yAyOddRTydpFb2bNXxqe43Cxi2R+Y3z2ByIv3l3tGLM8pCnPpYgfhr4 ptkr++Qzfgn7+HedbiYyaJjhErvYwIVBrTjufGb0EEJMpH4YC/WghV3OLkkZ/D1zSGOWNW7isq2h qvB/QCjFoPkHl+DiLMfTpy7dqqSF7KbYNW5W6Sl0WWK7GlKR/II6cVjE66cOk6VUK2l7WTt0w7ND n/zSZqbZe/2PI484MXiKROCtY3bmHRuVJWKeoS/MbvD3xrrRaeHGWIyrrr/RLr/lcX98R/wImYqY xkL9SHcp8TGj/CvXHFKMEUqzcZItPE7A1HYcnsje0cxkhTFGpVibW5Lj18p7GZekukNI46ij0cfs Fag8yAfkfxjAnjTYGzuyzj4fHSrkJkEe1NaAYJUs4ixI95ohAC5Z1PLGFyMwj7rdujxkp7GgPPTa lwYXkq0SiiPPT8jARi5v323y16c6JSQPsb66EAR6Fpc4YSF7hTjm/672rGF9gowNmLACXYTmGkbl 1mISXBgUV1cZzxfsyjlrvtwsMvObB17k+ZkWacR4F4BCPSfAohqtPOMddnLlcp93Ij9//PU+tL15 GB+lCg1XaCm4ZXxSDu8Ol4DtMeXygTODrcW1ozMcHA2cIFgzbweHTnJfX/AML2qF/znAQcCI9Fqi wCywhHilkgBgJjrU3Hk2ovhYZzk7pstCZwq5gGWUTsfFYOuTR/I4qa06kfCkdkaJxfUj0Ycu+byc ZNKtNz24dsbGWBV+CKwA0D5F7cKRey+ZIqWLOaAd2RlPEOj1kJP4tWA9JTEtSKTaJHSk0srrmMsg sK4fiXL3nyKF1h//YP60VbydLPLgOerBMc0hLGmL4ZHg4fH8+4iyLfeO5mZfuzp+CTAQxLrB3gZn pkKG6ZzbxezFI9EVCirfMinqO5HS9pkEYV7EtK5zlhWyAyQsXLti28FgFfopCWY2NyOwhZ3StwH+ b68ig8cU1Tl+3fkTXsK9GbqnN93INSZc9KevE9NQGXGczwo5VCzdmvLZ5VBFyizMj2hrRfXJUAhq TnBnDy1ya+2FFIPuRbitHTmSX9oGLl8iG8ljcYL6gDB0rs8omWjh1izpjcPgKZZIAEWpCzl/Acq7 EhXGEH5kh4/4vqJV3EnqyVsmbAflfs77hnxbNDJXuB1yt8fMMXSaqHWqJxFJG8BraB70S5KCmn3R 6awd/6CpX9IMxSudOlNkjrQVBNkbYRNZi4dO8khUBHBsodaPKhZ2n6ECZl54eaxNoy8gDD08dGWy C2yI3ic81Vhlz8tDe/uBRDtX1kuK7RdAG5Mat+djqePBpDB4uPQtw5fRk/Ss9xuj9UCq8ibkvKSw yBr5rQA7eDtBNmv8p7d9jCneJihM1CnYlHollM6wtLZRsA6Ccth9JfJBPgWsNfLaI7YCgoUUixU1 iDviMAdjjTw1D/aKpDJ+X32Q3O5fnYtgBFCDJr4LnOsidT8mF0b9VBI0qrkTQxYSa3mi+VpJCCtn LS33yrZZNAbrIotpdCIf4oihGwzy8s87DL1wQJV7z3svKW9yhDc9vfZHwpeRghUiGr2YiRV7b6fQ vXqfZlQvjwdcs2TS978h/mJPsuiV+KhiLvVb/xIIJETNMgJaJFfx/OuxwUWKSSb8ANkFLxyxJq+R AKeK5e5Wg14fLK9GJTrCOwOfr11qcIbm/O0EEH//ETHcn20e1aaspiNlp2MqoWtdQTRj6WNpvwl1 0C+X8iK5u06zJKtZWIzjmuLABuuEVaUPd6IVcQaAdS71lR0Tt9RsFG+us540n+RgS8iYpLtw1DTT n1nzxpXqFCVwqO8f8RJuljftjolgww9EFcErVFkz2Dko8fD3H8WkYpJ39M5yZ8AzeVWTi1bxssoB 3R3BxP9oqLwGqlro2obVch3wQBfJJyecHRY1nggDSM4t+ZmRcRQbvYeJdnhjt4r0h2YCuwXPinDd lmVBypV20ddSAI3DcL//xwTS6Q0+mNI2D4pIzuaDobvgPIK8HCC30he4WHIocoaYV+utyzOlHxg5 M/IJcHktU/U5QxBrY5uGpXxrRL1qrnuy18Xr34vOF8EHIeUfHzNH/+KjZ7UE3cSUYsfqLKKxmxLL tW0CGGGtlh7A8/3rtP4xEEgQ5IVm8PemLQc4bQfPg3KoNmdqipF27zz/4HhW+Xv/zEzclOidWkMe PPOE4IRWh37eNJOZZixHq2SukuD/UaDjBtG6LkLKV5KmCEvT9e5yDU6EcUAGrXfBtC6RlnN7k4fq ZlPLJJI2Xdme4DK0x9i+Iiga7WFDaWIpRin8gaoBP/inqQ1ZCSaR45eyRvZuAHxGofggBE9DPMep TtptXaB8zJpNpLQ5E2gzo9QHYYT/YXzDQRl7jewYxpGpDMPmpbYU8evggFlBOkboVCYKnHjOfhcO V9zYX3zjMSrAPtKEoxQ0HLwEu453977FPN1nPLlogp0q+dgIzQjdt4frGQulzAZhYYTllLE63TTB Q4CVfYLscXWgZnZqGMF7nYiZ3tzWyZcHQ0+UZQxyPSJ7Bsjzi2BemWmhQVKZTLxschxCkYKrjgW8 mAkKGqxcZLB8s89dSEHe3fA0A0+kQ7J+au6zFtAqoK4R1zz/fSGoG5Y1nHZESiuxSAdPl7fpGhIi Uy8U7RautzrmtUSIPsnoFDtERa/T8tf9X0moF5rF6tkhc2jIoTnu1eqzSQkFTMhe4aEFaNYmKfQ5 ORhBE417na56wcM3yAn56jZP8qTUlRFSUdLVwSQrWNABkVm16rs2TAUpMjvHVY8De4u/dnM5rlyQ uoNkYJIo0xyQL6oU+EosXZBjPB5JjPayWnXQx7ghwfJcL8O/FAUfiJ3O7utR0hJrOgwDZPejzgzu GeZqonANyCflxCOBYeJBkgpZB7QEdOHcug+GWlKsUab/KJWbQm85MLkOepioBS6+8KR+XdtbV2Pn 1Z/TaViYT3bAHtUzFnGoZDfF46s/FkqTGG0MtU4S1kpCMr9bfhlx03rEdzxqRO5nrZ89jYFjerOg Z7ZpLmEMUDKchzd2zNAzxiuoTY17Zc2ZqxGXLT64fGTZeJi6iCqKzVgoA/als8aQp2OQYOfXTppl mCrGKIgcldilh/afS8V7LQvheIMHWEH5E4ZjMnk0nbEJPNyvFvs4i1aj2AzeSFnG0IO4zZRvaTUG DgOzNHA728c9hBxyU4k2BLVDXr2FOYT8O+ILpKOx7zErS2SP6Gp7Y0S3vbvKtzwXitOPlae+W6dc dcwDECFfgs981qkExkFiR2pE3h9tgIq+POvUafwRJTnLbf+Rdtl5CyAltx/1NcwpxS9v/uc5At4A AJfiS1pkIZ4aVp8msQXnhglJzZbUgtAGgPLcJ7J+Khn0CdKrfxLkYt4r+rG0okJp/79zV6I9rlu6 7qUQzg+cYm7Vi99L6xlJ9p3P1V012q8riqg3XDXKsmVCs3AX9dI2RFB1rlopOCRKpKpT8HpyJKxu BOQqrSSaDvTdngs5+siVyPnFncGsH3G2yw7HKTzL4HmY+35+gBbDUTEA7xR91mn+MhlKdqPgEkg3 +/+a+LilqFeQGBUoBaNjVsmEEFiozY52wk2JPxYlMMiQwscuTxr/CkrKc3/S0jtV09dTs/EhZ29x K/8s6/1ZGnd6zMZZYopq0T/jhPDRe4VXht6hpBy3wUzY/mL+eIdImkMNF2Fy/s1wMUORklmYYLNZ 99UTdZa4qYnEiqlxQ/6G04HdP/mvcwdCylz7dGt/+MMniYvRQxH2+EjAePukOVU7q9lirsxOMQbE UFpqLh38ntyKC58F/y0X46HVf80oYm0Pk+hXus/9wHw/XuyxpPYw1kfOlA0o1ELA9vzzfSKzXjIs S3gT30OrS4RFPuEZpowESnHIZw/Xh1U5/Po2QAg30qK6heM+sWYGeT6uyxmk6W6F4WT8JPSlqG1I 4DK1HBdLrjaCNYuQl8S0qPqGVVKQ+H4CiWHa65byZxPS30sNurf9/8aImBIggZ3ftQDKPpLefkMb CZnk7bKy5DLREqN9ZDk7Bj+qz1wElkhKuTNxYdE/TsFHkzJNv6ZVqIG322rRp4ogZMCOnkoOXidq j/LhNTPh6anXzF9zDljOQeQPnBomtuw4AvybUFZ8U3EV2UODc1fcb5llkpySMCvK2OHrSYjs2jti Um5Iwqt5gxDddk1tXRo/7wZJamEiLNEkgXKhP7xhuueTjL7RiihlxnH7xAyqFyVNyQY2pQbfMerC KsgO2lDEH9pG+nsDOc1i+KPVgjYeR8JiQ5MrU8fdlRy0SKQDSn1OKDBFwy4E6VglyC3ex9S/DXeb aX17dlsmSRvSdnToZaWdGaMy2Zwt1vrS26N8gwfwYtOh3R8Vg58FdKhpH3iaVgMu/ZetzlyiSdH9 cDOI62utjMTVDZ+o1l+lOgJKVFyEOpqqcvBVze97pSoIebAwNCPVM3TX37uZeDSkSTKmETjPQHPF QwaYJxzS4hPF/1Ov1cphaliDjUCNWk79Gsq8+HrYqs0q5lT80k3Nnt9zReUI5jSMzWrF3mZs0g79 4R0eyXlWkunBMWasBTQBckeFMfbCZn2H8cFUlVPwQ0i+YG2w7l+cZMJ/Ba9r18i/QP8RPQt0v3NJ mGRdtqXGHC04ehyGd69AeaRHI5QsYLTkhgEdoQBvanxT31o4JCAPoHYlj06k4hNUjEKeamsHMKAI rnqISOIOkAfm/23ygvxBI5gVsQADO7ZFJ+XPpY+kaoFTxaFgz644ChXkcuz4tCF/DMqONo2fFrpb wGHVY6iXQBfPUkGeQ254jHyOPrQG5155JEqeX3BlEtk4QtHysLk002UuGrJcnkJt5s3U0VMp2so5 fBL4k4ljpe/kDMG1JV+ssxfQPtO5av+Q5HekGGicU4sQK8hidF12C4qO/1ObVpDmqpDMnqi1chYe 7vevkFHfG4MHCN9h4wmwMN4ktpei4bDAO+nezSKKLgtzL2MqcmM+L053ey7FJGnCxb0axd3DhPjb Vl0LqNEycasN5vyxhDUgiaH1xac88iTp+K5CvzNSjdrW5I+qemsdnm1hPbMVtGrn1TJs52B9zVEg WIf7/QnK6TQKZ125Fl2UT0ryKkv5YGeZmNtaeusfTUzB0cPClrv3T3xFP3x40xnuaC30mpaLmXm0 0dqller6v4rm+Z4fyWQKwPV0HdxKGoJhGt8+8lgYWxVK8ocyAZEJ2BdFfQxrH5ginT96uzL5Y/nN Mms96M9ckvzc4PsF+AfCSrBHtW+MgnZjiyAOjg4xdpzPUy/Ts2dhJ1TbcnECuDepEe2GSoqhEe+G WT6N9OwOn7pkVIFT88UextenVMYlXHfe9+Jm1Hzfz02I5x0wA2u4sX2zj23yTKAF+cyAARt46ZAY 0yieO+oLe30hVpuDeCzHDTE/RX6W+qSuep2XgrOGFx+BNBdm0MESw19ZLK3zXBK17vOUPhv621fN U0Urt7IpUy6zn+kWGN0o1VWWr0QE1oQHaF5yuaWaa8qV6PEwRs3czel9CW4eu6Xhvi7tctwwlo8Z j6sXd+6Nrb6hNYN0yZido+zTInc9BrM0890a87rjHeLFvXva3i0tKiKNg7QMEmO4dxWYXsuSImya QtZkcTIc1op8QaM7gZyALoH7K40YblcGTyEs3xoDicfre+s4JsI06ZKognpuVW8QHzueptpKb+7L EX/zZVvGr0EsydfQIwITU4d8jScZv/L3Ip/cC+XATFekCP3pp8Rdy9UHcjXbWBrO7cNGBihP4xUB T9BY2eF873N9GuwhU0FdqyJ7YvHSURXWGEDwTLxOexDdSaMJvn1jbiQnssolQn5VKIyzWZzh+Acg QLU0vH3eR+f9X5GfrkdHY5IJ/84TORb7mNi/8/4FY8rWS00ehYrVlpVh9TAdr0RwSTepd3a2bZM1 RVj7bnmnXADznXJYGuXrPCr5Ddk7cGH/J57+Mj1tbwH9buP1kfXPxaVczLP6YvSia9aaep7P99l9 StRefOZ3hrFKeZwJq+ir9LWoKD3ejwbD3+F9CxtVnuhpffLTQmUY0WfVqo8X7iZSYM87LkZ+u26r tDrOJdxTr75MxFWcola4l/88IovWJrQjVgP2X4XXhUtYdYvjJovv3Wb+XSKY2qEI7TlOaC/6EtAt D+aueje7ybhZq5uOic0j6BMb5tR7IWhhq6my/FU29+eis2ajxlxDp8n6gqtVlEboT4uZ6OMfzSuJ HwFCYFQ6JLkDfSG7U2fRLD3kkUW0ZduYxOULnL+XYQOfP9JCqbLOx6MtwchgkzWfVs2/8rqjZ4F5 fDp8eoD3HyuNNK68v/bYaAOEkDjA6Jlw5VDDETo4KZHpJcywrjeLExRmmekXGVO+mAMtQmchupMF Aj5+3JKolLAvGrtGQ7CrTMSCEtVf63J6wLRZbKeYjPAfXzBo7c4x/85QfOiQbz1tD0/3E8RPLWDI LJM+fZFNSOUZ3GtCcNsarsIKR9AxWBpWQbmROu0eF8u189sJ32bufIBE6Vju5PSQIJlwGOmS0DP0 LxathJKZmkWP/LS1dDfUF0iOalAtGzXtIyjS9Zd2NZbSSNy0kMEBNR29MKTu3oI831mvDFfu9R4u J36R/wbQ8WY5wb1iPjTXRlp5/AxDAv2RVdxsdE1BUpXEhlhFRWXiyO66QvhyFlWQ3v/pxfuxWS18 jZeUg/QLvTlyXKnZmhGkYWvJ6QQvi9++H7Irz20ZcMU4aRVjOAvkOrzE87Cb7kE3i0zzY75M64WE wsjbMeb2v1x1b1moOmd1S1QAIaYoS+q0SkLnj1q3iZmAJjN/iBN5N/2GBcemu5PNZQTzyQVglLQB VUZbdbtWfc2jJNCebddU9M08gCNTmHsjGeq/crYg5Ev9dIPSptlJGVFSXfS8Fw7lt8mYt9FxIZyC PrcvWQcCtSL41iTsd1s9OzIdhDJrjvb10XV148+NvHzTAp2hPRUpkvPJBf4GKDwIdjq1jTHsKUIH 59XIEQQk9rbH29LmXWtb1RbekFHrmbp+TWrsji+03H4R7alMQ+Qso+DUCgSPQgiSbi3f3QhewPvx SAD6SlhuLMkiaTGa9tNZ2rSfPnZSyeKmfzCrBSTDNmnNs2nRf+5ElyFScJPUewM4khxhXSbgwcvP clYUkh++y9N/C1TqxrNfJxRlaX8KQ+1+Q/+dTSbDZZAUdJDpdUVfdBGuhnT16FA48wroclZvoeig a3aiVTK5CJ0ZjFceqZVi4rGfqDFQ2Py3/KT5ZZM+g7uu7CjwjXkKS/Vqe0/9rOsFcn6k7GRO24Nk atkk2IDKKGTLlpTjphtjg2DF9mNsMVm0ZTcOYgA+aOkpT1Woen0QWe6ZQOFJIXgieLry3hmwlUdj 3Uf2k2EHYZHHP/ccSlJxcRfTCpw3sN7pfBfLevkyVKMkjVFZ2DrrstGphBId/NteGoY1fidR+0uN UR8+/FOMpKZgaZuPHelKRcaq2jpNGqR3ZuGm0ymRNFCE9O4uNvszjJ8DomszgMm7s1LsMsSlgqee t5lcQg8Zz94YxjGaz7LY4QkB7VFSe9a3fHJjZgIon6R+ISy47uC2090miWQOWNSUvduFG+JXTYE5 vkumZ7IxeiHmdtuu6e9UKRfir38nllU7iTQGjoWo1MBwFum09avZAWpQ686vYfvIowN3Q5qd6T3o d20SJcdDh5jBj1nKXMy5gKn0Pso/jlUrLqCxh074TxT/Lgak+3XL6z50SRhQJicbh1SiOSXowg+C fxQ1RAsYLPKZTpYYSat2yb2BFUqmZMJo9SiisZ+lopj6KYNN7zv/UOrhlCefz5EJJV2WL5aSSqBX opZzaOV8h1CWW+AzqEaGGxBjmihf9dVUIbkfQ4y1msADvZtFChHPCa6+7BHQ40V895a428OWjW65 WkafqP7BtCuFzm6/dNjOR+RGJIMBvp9Ft0L/bC5w//lkIl6EXOSpIj62l0uDojQ09apPEME5r9Ne SjoZHWF+dhqFFBpqneCUg/fdDvQAUg1AFnvS3DkqtJFIFXJbUAje5VGGM2Y5IQcKkD9TLE8vuQpK mAOOl6MjCYBlhka5D3roZRuYuVzTpCd6f0OFEATjF5JW69ppt2XjdB49RjEOrDOhGT2yuByBT/xN jzlKw4CzZKmQv+w7mby4MKg/saB2Y81IYBz6xoAp7X4h7wFKtLNR+tgLfJkGn+9zJqUZUniWAHom NMgCQ+323aWcCnPanUxe9xiLzBEbpWBlT9K69W1E/hzAC6v51vfxmFjUqewpr8tAc6D3RF4Ad3+S UETEWZkmrBkX/NESIP7wS3AMf+iXqPl5R5Cnwee0ugUgQ9OBVXQfdA/Sw1C7oMESR+r6714Vg0hl zMG2VAssw4q/FKOxivCIC6Qjbr8CFAwCdVUo6ZqLCR12B69ZzD2NLbToEO6fLKRbaizEQoKeNUkR TKYDaftb+EdrY+jn/sRS/dfvsP2hqdGyGqs40Y/3+y8G1MtUdfAIX9XGPDBiZcGUpbyOfPs6DHiW QsHPuYBtmqLtiW/GAGBtZcisAuPlBcRylSpO76dwEgl3SFSrGpU3x01IlWcuod7qa6Vaz46yfkJM RKk58jMvmLseREBdIjsA7zBmKUUSf8uXHMZZ3F283UMaXGNVjqiF1utzY2Kd6U1sOLn3UuTgMml+ AFiyPiHrSdo4j1zJ9PDRfAb0ItUGU7b1fmHNYQpnEkzP3xpC7/MuKj/mW9AolVOTLX3DTRHp+uP2 s9EJ08ZJMUtmik3kgp0iGkgtIh8bSFzNs0jhG67ufLoPXOpc4uufYpACpkirs0ZznJpbPlbaLoon fxBjsA/Bmtmh3m7BgQmjh27SOjaNgF8W65qoy/yFIZSqLzO8LQRGKbXNwB/KZj7HJJz78c6bfJJV AeJmw8vaGm/piarAVZMXsFqoJ/qJ6wJYwvScN9/yvELqr5ffKjrsEftnbL215DVMerCqmv399w08 AwORNWPcWlay0fQhv9Dv6l0XWFnanmd8Exqhz0/I3Q3kmqDWd3T+Vy94z0E0/XhQuCvWrV9pgdTC EDlDAYTatB33m28az1rExK4OFMIip/8Zr8C6kkd3KR8P7/8jibcPQ7s99n+KuQvMM1FLZYZSK463 8nq7JAPVT1vZnDVV8DTS9MZSabNOx9E9RYOH/DbBLDhm8Ko2LCbWZOyYPEsZOksBy7N2ultWZetF g8KIL8KIJeMWr3gHxNBM0QZh/YPHBj6HCGhr2Il1OMtgYDHzuTKnEqZ5Vt9IxAWAtbUhWpWLO/Qn L4yPVk/SaFKNFBDxCWI0SrnPRwz1cZnQQY3z3MG/GXTAsyGh6N+OjwUnMr97PEcSnOVo4h5IzIxb 3EKbFV08OpHwCRPMeQeedSj5LewOPhnY5l/53EI2+xXZUdYa9CDJTNUDZfZHioJZ5A7tHqM8HfYE MsMt14K1TtFyhaM+xf0FowXbO6S5pEQV/2pXlnfAzj/4xXM8t4PHIyxAnVI9p449wQhq3ZcjiH6M awLIsbh6guciELQ5gR0jppEt9beDqgGWf+vAewg6VLu3LFaSBFIVLSfWwbgheYPrFbPdn0+CkRQH 37QTpvbQ6WT+XTH4WtkSSzrSUfllIRV9SbbkoEnIm5BVJHP2GWvABu5qpF8uW/+5mIZQP9A38OLd dvqaarojGOcg72uTGJTt0xxie3FEcfHcoQWvMhWBeh+Am5FxX7GqzcGHtUyPoihZ55b1yNLn5Ehp ep69zydBFQJHC9uu9/zmUBlsn/lsU5mfWaIFzxaOzCyCC7X189TRhxIO5zMZMoMzTddZ/dJaNYqA Hwi0gB+29biJ1nKM1YjN+PDwZdtvRCiGuD2YJDEloKw7VhwljmsTtExSKELBBXNE0ysl7Fhp5TnE AK+m9R7E3M4yXcTVLCAS3ENW10NDyK/37kTlWjfw1TRL7Hdg30B5PETaMkKlKb9gAevyCNMxbNHc 9FOd0lH3MruSMI5TH+1UpVqtCM7GWAgDE23dnk8xh101mxEzSg0ePKroiLtPjP+gY/K1C+uxFyMu 0fPLwIBHwW26wayJyxQjGeuy25aG4CRWP17wOdKSF+flHgBxz3JaHiDwZx9FqFzBGKCeMbBTDVGA v6zfP8t3qWREgUeZQ7tLtYkmwWh+Lb13SQCmRqAYscpeiFRmDwQbvIimRsY7scwhHZbgCBtB9FLQ MZV/nU3pJSNL2zVGf5b6d4Xvqd67jEOuhLgrY4iy9HBIQy9dlBzRJqi3/3NB0wzOBD7e8N0aD2fE fWXV9hFCI31sDP1xq4Nf25sJFeb19q6XWO3AN+0J35aNqoy6Hc8CKsczYsTBirC8hhh6Fs13DcRP AJmcDTdM7xWlsG+JlTwVshFsBd9ReN4hZ3yMuu+80VHYeXashMN81t+cR7q9hNHL8CEKwParkbqN 74WdAG6jB9rdX6BHAexJRFaTi8OgWM/yAll1a+Dj16+KBSbkUZ5g7254T71Kbhq68DjCJ/QX1nDp uQuTg3FTbx/PUegQv3QHQ0ypbsA1BPUIABnCKy77gyJJCBG+VGCJMciJ8jhUZvWb0GBei8vpuajl RftpKCIadk/m99nPrBNXKpVDntBK/CoUgMBHI3zzN3RZwB3pTAuM2Xi/gxmLlSLKFe7TEOu2XVFU PgrUNhcfbJf35CJ3EO+KTk1DUoPNlH4DHkbv8/IH4wygV4KuXPhHvZS+OCpkPfVMbDiXRzMwFdZ1 rAVM1gE5LSA/+v48f8VhwErzcFfnts3K1zwdzxbxvhYFdG7QCNurO4Y166KKjZMTmWXz1l/s7StD HAbD4uMnNNhTQ36U5D8SmAyPljt2ah/HLhzvLJ4tVBV+hV7aBis3MKRhvmXo9p/qDU8ZmSHZHDqe 3e27PcXvrgXGIx3X1FB5DpiiVPGuNtdoKF3Tam/zMZZeJeyDj+eytIY6mtW6sIkwZcVEzofwQ9W4 jNM1ehF7UHDf5X+jMbaF1cPJ8p0O55zHF7c49KJAFPUpXS3JcMF89kjFkBxG0QGzp8Btnyc4SzQ9 4u5h9TOZZ/BrPjUc85zxufDyabIVC53XV3uzTy8fKtO/lF3I14XGpqUdrHdNkt4jO9RDNCZh0Gsc 1/Kqv6IVQ3nG0/SxLRBv8BR8OrFvuBjKjf087yJHfmt8YZm6BOZ76Svz1vQA6r8YR7BNKZZiVWcI URCMi1JrKschBrFI1KE1DshnMbkhrO/6QC/YeKNrXESSRB6McRp0ilHcqDa6Vqm6e7EHpqCJHtk+ FT5d5nphsjqwNKWcWHNAsg0K5D7+Y/e29yXFCwYAQPqO+ntlfBQxGYEaT5rWQ4mLZZKWx/yvHoA9 lz3IDFsY1uzIYOKjJGMZDC/QXiy0MJNFvds35N4/PUeheSetLofV5Cz92GLSPQvgfeCEPpbvNb9m 3Zgj+nAbzC3cFEj0EHY5jUI4CRAFjv7o9cw1FYgOmMLFavoRv9QOEC1i2E7liTDGrXFL1+A42PDH RQDh2Wp0DAsoM+u0czEKmv/FRaaIs0HndwXQEmbzWeOuXNpWaVHB7XLD3AxMDSjkNYN4IWU6VYRR 9U7kd2O+bkJm0gbdo/gUPP1esIJMdZFrDPkr4bBeanx9lk0y4RDgqmi3K0eDSBwZZl6BtjdliCf+ 5F8zpWW5HeejXvZAJ8UzesvcfwYUw9qIzD1aFDB5so4oi1PAmIJhHoVld4bHrpmkfberHM0dCKxf u/a7+mdxrsnhue0hDmNc8p5ql4d51j+bMVD6qQtbp7AT9B+7tWsbXCTAjEIo55BjszjW7Nr4DnNE 4WTP1nWH/DyaCSvP8nQrG6IJSk16upvg3JXZcpDfzYlk+S89fVLcINgLvbaD47Np2x2X/yv54XVo YUrK3FQIuYs3VzEssqzvyvTOZtO1x+JB7HB8/RWh76gfjNaVw9vW0zrxi//6TXdeB2W+oVz1SRA1 BNM/gxrEInXk7vabW7JvQYwQUsLbGiuSzUG9PEvuwzF87a0hQTIgzkYLNyEP7k3G+OAAt2m3CjHK qL+su5HYH30Zl6We1ZkL9KjBRxVyeBkE3iF97/peu+2IhMEXfyNutzNwwB2rq3TsF8EKHzAftQGb o9HR5QrHXu9C1NhTA/Q7uPK1NoQHWqES+Ia9v4xQfOzp6m6AqeJjqlROqAI7DfzB2fQViIa8TFSr hSE8u3Y9e66zW44JRZKF4IIcyGs52HN4HcH61uaQ5LBatC1s6mnzyY4UqffCKQSz1NeFN8Del0WS Sgh2bgSOOQmp5XlYIiTDGFHmn5WJryKMyyLe/U0hwOuF4yQHk00Bytr4+H5bXDy2B/LVO8oxrixu BiucQKF99XAM4q+Hx5yYRcJXikgAwFebs7LVEcchBXpqQano2YloPrtZSaDyGBtBDBBPKS+fBamT PDUp9nUnHtGsgI3Ivvo9BkALG4jVkN21j4nQ/3w00ehfpAsnP2vFrnPc3On81bnmpoZOhcS3B6f4 jQ6ExhxzKnWNL87PGYDSuryL+7g4wUPKf/l7FPQb9iLCVXn+LPwfP97S1TOYD55nOiUBgrUzKCMI 1HAdNrPCN2XzoYBr02btMVSLLsm0z+p/vF64+mbc8blbplkH94q6OMgFJHltaqTLHv9NzBfiUiFL j7ZDwdcu5JLiJg6z8dLQ7/iEpAHD/uw21533TCNHdEYyKXhK1dd+WQQITesXlzTAFlZpweOPDggM rSytO3xtSo1veLqpggeQyvvEvoHnYhddpQX3C/Y/fIXZNQGU218sDrDpiYKj4UAAw7kDXj23Bln6 bDsMksFRDzRSdvx/w+0iZzbq+vGdg68asv6SLGE6hQwYQOU35mcE5Jfl/RImzLWAcai6GOOqeln1 kJj45p/e8hDP3xlNDqJVgCStwiLyqNY0iZvlXBKyFyn+XI6lv9rihsDWbwOelBVjM20hI5XZzeiq V+G42hKry2eKKx5cMUfpUAPqQUkYG6c4GAyLPpke55VhjT+7L7P0unm6F8u2P1PoUluiqcznVak3 B+kGySvrcnX+bDLtjT+RmGewfkGtiLu+Z2n0my6oiTlrcbdBG5FGJVRIzNyQwW/en7H1zN6JiQD8 P8a02aFgKDfideHPp6K5vHyHCDesk3B1n5ejwvMrfkAgJY/mCTCA78z9A7MTz24/VTeufPxBc5b7 yTy3QXwH9i/rBjjcbt7Ddq7oyAa+cHt2TrB21FzsBaPjxnh+jgYnRfM/+FjVLM1lmZDB1GEOjvMW 3Wzgb8XNMtC1P30Y6XoGtIqBVOfgzDgpTWUKNvjE+igRCEq5dus7PD6TiJw25uEvyq683Mzkwi6e hqKmUiJki7fYNrcxBk4uyXpquwK17mtOOyjG3efxMOuKDRbN3j8l52DXx1qbQxSleErPVgYAIgFo qUl7l2t15aiwMrq3r0/FjGkxhCDY1n3Yz53JCZPwvdmRy0PC2UsPVBnXKitigtAFIHmLIHehGajy fA+XHWo/SDuNK2mCbKcCHCMHO9yZOCuRbJsknY4gdbdN3mtpo2MT/9/vOcrGVpCKM7o+frXXF3aI q6CO6hw4BeNSfupq+Zb4CMq1Hmg1dVf+BFn48y/KsgKlpYEwAe+FlHF/RH4kUEArlf7sQH0fPTkZ cP7rLVjFbJUL1BD8l8SjzqBy8uekonM9f4puyU6dO4AzQTc/ZZ/AW7cOfNwFDMKWNE7MbV3SQxZC nfwcnvy8b5QWKYv3EumpoTPu05qlHsc9zN9VOCB05sHKnGpowHdcCVdA81jEaPsEL08tfySmdLJc qf9tX/s+n1WHyRcymaDexArHcYxyoLcrTpmjSOjFA+Gq1EukpUZaF0jvaGpZ1T+hhL12k+zZBlL1 DSbVO8h3+SV0toeOge39p4c6roNIlQ0x9e09Acf7JLNDw+y17Y+M9zoBwrVKyrllIEAJOOUA2yRV tiyG9vq2LPecQldZl1PTe5FlZWfmLj9J2UO/dqDkPkn1BgJg/xZ50ZaW0eMesPFCY/P3Aa4DCtMd f6nmnGpAK7RoWsPS/CgKB5Hs7HTCJQi9QNoIDTTYDvw5YpQSdyjL+WvnIuFn3hS1qpmADxv8QfXT 6zgj+64T/V0/AuJGEb3PN676h90zrUsv+YR9t2UnM6/trk3IGxnkb4qOnGc1DfoKLdkAW7fZCg1B 8+eRelsLYmUgowR2aP0CDx3KRNb8+ftXqEO0yk8t3TQv/ChWNUtsdzRY941NQhfgsngj0a0URymC dsnjkyBuWsLgL5gy84m5fRCCBT3vU0Jv7WXue9hDi0HAIxGeKlWVY02KOyOShFT1/yjn6TIJ3sWV m0Vx9rl2grA64VosYdv+Pnlu1/ugiF9dGex8wQO+ALbE19GAFSGrjX//C+oia+b6h33cSOLCg/1W rqjHXnvgwm/LiA9F9TGu6Cwqgmt39F7Q1nNXtjF4MlUlS+ad9Ma24rftD1d60kLdTtWsEmWrf5N+ x+4nPLFzyok2ud3y9F53auNjRzzA/lmd4hFFNFJKm1sJU0/aL80fdmxruVSZBMse0UAJbylSooCK o6bJfwlcy+N74BThnXsF8aFqX3OIZEqi2gRMt6iyFkra1SmJ3BsVHa7ueVJy7hCu9bRMlDwnhwqk JMtXD2FJtHVs1awM32eldD6Wbrw0G269CcoJcjP5iLywyAfqKH6YLD3GjjiswsokQfQkA/Q+0F17 zeBzmakhblzEvDyvKBncmwECgsBYQqtQ1kERPqEEsTQfUrHHpOpt5pgayQ11bhXofpltA9KWi8K0 KV/DfY5R5dfkPXPfdSxfyMxb1zgJU72QPuT+hjhWcm90hbH8CO5H7IPArzY/t4J+oakwv4zVr6xa QKMqUOrcOthj3yEevSAoFHsN4bugXkWzzAFTYM8ODJDGXoP+5RaAzJQD2rxRlYhzcSSJMQtnla2N zhAyjHABcsVe3BSEm/pPXP6UgVDw/OCeoC4PO1aUJ7RxctLDM0r3AUXsS8uca+eNRHA2C8rhzFz5 18h6U2ows6DU1xZGNUVQHGs914hDAsi1EAE4ZVLwFLD1yRhnpUQXHeBElN62IzuaA/lGIasSvaLY lXsNKoHWSNOIy5OdmlQuBeTokmSI1fI2zSSExAtVAaesvd0teGNWI/utf4e19Tt/VH7LhRqBp0jN O4+N5Qmb5Ptr/mG4N4UBVrStqsHYF8TCa5Vc0byS4LON42EbCwG9VbEXqv9dCXeWHKPs87WeDiXG yOukRrEp3VQS+Ifbt6FbnXb9tlr0abjQyJwk21K5zgbUtgPHvJfclEf5xkeTNP/S8zTkIELHBxtm s6wrOLqV/8jQYtCTp0bQwjkHA0VReXLpaQwl2BuWoh5uR8o+v4Z1ELBdOQiKD36HpSX+U3/aNoor CQUVS/5PO2TjZ7SLirIi/mvM+Q+8SQGyaWzUjVhgWjus9ktdfxF3gVWuK6Xp8J151sFtuL1NNxcg xlPQ2n7ouKtxA36RjQWRVl1mtvNywk9BIs60LN2MaeExbgFrqU/ySIdql8AMAtjNsZB/1R64Vwa1 e/lJKMJR3Il7QfMDmfdKqRCLgJzE+Vj/2YLd8BnG54tTiRt/iAXhkiVSPuaZThO+tyDp4t5VQ4Ni xTpJrvIffqCAk13YZCBLBz4ip1y0+ctdmK6b3FbrGOr+aERmBStc1lm2Fu0mh5xof6XbDq8S4MGx 36CJg7VbMgGdl+72UhHc2xAvlew/c7ymDou5qAKY9LtmpUbNAWR4BeKQryUzEmBiPR4XpnuW7KOf jB8Ibn8ie26mEEZaGf24sFn3FwxVV6xZFVzgUpA8OUXCUassvyHlihJh1BmMzoguMk8c6W2dNRnT 1KmNZ18aCdl6DSyzKt0Y2V0EESFWwYBq6rCBIFcpAktRWts8jT/VnY95fWwq7SBw2AnZLRDwPhim mlLoEBYQNxsqHD9vsmRttfMjqsHwxhcVkWCENu2uBR47puhF+gk6Vox1/nUC8PeK/zGLCC8N4bPF rEdBGXl/LEHZxy01cWHG95yhUffxLdF/pItyhnuax8mchRnh1XlV6OOeSccc8UkeTjTXg2FW3h/o TDRZnZgp/IxcHblKy5DgYjQ5loe/ppoFQja1GJlzYjR6O1EpywpRf6ksapkLbm2WDKcCxPILZ9zS KEH9FLQq7WjbQYIBs9T0kae8yZBYE8KZyRq7RkKWUA7ZDu1c0Haxmboa4BGgqNm6fmahBa6E5Dgl 4LDQKWAiQMYJMvKNjBrzw7rYtUb9+6w0WzQ530D9UYEwhzX8KS//Mr09lQTsmrk+old7qk2AIbb6 tA8U5Mx31yilYSfVZa2RS1DKGrZVlp69X3+BxJntbExJN8kqU0Auy4qlbIMzzksKgulLAkUpFbDQ BLdbj2LJhgPSqYzGpGrDJKzMMlDoVkYHAve9Y1pPUxcaUxCs0osxSD0wObLJIrZCYrCKWRBFFofI 7c7LjSro8wGHMe2DmqYAv4mrsnpICamlyHAxyFVEN9jjcEqrcTL5Ym4Za2i9FRkIj7x3Ek03Bc13 QE1B8S4EhBt+XOkXdrCFFYGSNsxaOG93KYlw5OVCnB8e0CayMEcFvRwD82MrhTNusAdCaXhxxHMy /BfelvIruTnIA8uCV0KILqMmMLtRFcyy9LtlaPxQgM5ngdGgi5Wm/ZPZ21ZW7jASHFYIffCCzQmp YsXDaHRT0HNxmoAEmhqIjxKSc2LIojRQHCFTg3CAkP2GAJrISCMoAhIpbx6KTA+4fRFyMnSxx9Wi iOxhCMo5YuUOpk3QMxB8dCwfurCJ5OxMZelpF2Xw15t/oBbLuZwaDmwbyt7I+H7vJkrLk6paXWxD 4gQnhdhFsRkf8bJQFZJeZmVUANXlJmMDXfGjUS8X0XIkMT3kkcFxNBZao6EJGW3ONNjE1FE1Fc90 M/Ry+oB2rHp+JA2jklAcxudjwUCtvTkieBLN1WuS/4LWIkHZArXQqMw55cptjIvBQT7brmiFG4CD 1vwhU/K46fSYkRjZxeqf5Z4ub2jsDvUhrkLRwtczwpCxDrJoqjjmnbYQ4gSZQzYo8X5KeGorqAyk NPNeU/AgzjslRcnHfvyhuJ2mwsaWIQH6VILp7FKqv/KGuV/5v54P96TojzeTI+S/wG5t8lLxiboC gIeaebTrTTpgy+b/vPQUe0ny1C/LQ5G3GVxdUW45DfjoepzL0lb9AQVzsIHFi5ODed6pLNoaAgA0 y0nJMW1hwZ2wjEV2eTr9UNghQ/W0jCfsbQVWshQLnuYnn7q2lC3RvcDbFUFA5AmawnB3IBpgAmfH fVVTMJfMOS5MdmK9Zq2pvow5xiwvotP8KvW7mIuir8mkh5aAOfG5md3nhUInqCO3LK+tbb1St2Py t/gXzWnAer19/eR7ppolIDwRsKqxjumBf3uI+5pJK3U4qf36ASOVCgkCz9bHGV/0inUaCVGX1Jq8 87Lckpo30BLMOJ2m3g48F/CIZx/q3hNELxQXOoi56CikR7B2tvJwbZwrecHtNSi9Zt0n0H6jROlG 9XaaMiZ4bKnlIQWkSlxbDKKQHKb7+YBzdJ1K48ziMySGEMa3AANdDe+h9e3Ho7vZFR8Ah9H+3jbi vwjWzGN3vckFYg0cAhkzY6L+x0b83EbqXoQeaDNbOddW7GiW8LOQFjlXosKevexrhEJsZrsca6cj RHbZRbV131ptzigj3stjfV7HDMsczOg01WRChx/PWFRxQyULD4cmaMf89Ez1oH5dRCXcNwxLtbGA yCuGSojoudNZBjj46gs/UK0zVhama4fRH0IIJdAnQOnijIPcGezJrBGElqfyF1DJ4IPRCmJg90s9 6vvbrYIC+b3+rXP+YbOL7/ub62kqDy0cYn+8IevSD0YBQQtyl5skzXhMRboBtgoDqZdiwK8ksFkV rPcxyXgJRDMQvOgZ+eBdRLLz52Za/3lTAg7GeJDZWqtGxDS2H9eIMHiCf1asUGVDP/xqmWC2Yk+2 IT+pbfQtOJXtF4sC1esBr0L3gBiX4nJOLMXP31aT9yOXqyBdIii6N+6GPDEO7AzwOSxIr6sW+efi 6MIVO/lFMxZbDM4Rf0Rw4flxAXXBzG0RV7OMP/iGgRM5veS4jQL06fsaR7Xz4AiwE43KMY9hPt8C 2FneJpyuOoz1/lGQ3U52NB9ePZbkXk4W5HiEXetSJA/GXyk3ZidsDHAlHsC4DHN7wuquu5c/INrx X3zxiFpJrKiQvSoki62R9wwS0RI3pO6bx5F7gKV9Qy4t146EXaLExbt0zpofJPXshNdsT3YiX4sV aYJSycELGIxV4a+uvB27nq5YN+VYfbTvEv5d1IrqqyJtV2yaTt/AF+6tGo9H4vCvzCVZAIbDcez5 nY4WP3gC4+V0TTauWsFQf4OyOtUImf8Pp7vwJffCEfcu8PUN89uT9CtlFJVUQbzxfPBCzSn8OMg2 dQnQcdVT3bDath41rAwurztGICbZf537xGV9h5BXn7eao47A0Z91pEcQsLbzBd4QcsD5bexb0ceb rggQK10DTk5SSKWjGLHZtlsPuZnYWmEPUuSG8XrnpPOPzqXB1Q/bMXISosA72MGAn3SJAYnsMY1D SMNaZCq++y9GyhnZFKW7iowYgDwGALhYiWaDBNF8TBHil3NHITW+w+eX4hthKGGnM5p5LmBrEYvU xuHTZUmmdA96NXBgrMS+EBq/tOrrrYV56UuUVDdR5Y/1vQ6hgSzijhbId9fnfExkiP7RWWxAqbWc rCptkZZEQW1yIQxtP372NkMK55/Ddg6F9AN0rxykK9Gw//CBauVY41MEZW8M/BKJgNRS7HOp/qyQ BP9a9uVroiyUUqQ1muGwQa7snt+NSuQCZUPn4sHZo/gyNCYPwxzEqcL/TXdAZhOETI75UHCbkp5h mxmkDMBTHdHTi8dwM9ZHz5ynOU5gxGNPz2dU6RQTp++wM8rvfK4pr3lKvzokVa1x5QyH/2+0eKmo CAqIprM4FvoBL1gDmWtwM1SOGn8AJrKAks+8IAakRffNkSVFZ4J6J1L/rMl0wVBoBVEzjtv7jFKl H/X2AoNfioT7NooMPSr0EVVu2PiJ/G9XRBOd5M2/o6/aiOypJ/bnfeEvF96w7QHbSMWN9+fY2wer MFqo1Q+oRqLebBJ8uORKJhQBUkxSq+QcTlP7YpPKytPhB+YuOL6LO1ZITKZXQMHP2sLjC8DFMwUB UVvRvqx5F8+klkSVGyIzQGuqwqYnAq6AdE9WY1qtcS3GjfS0IZwQZ14ZX55oFlyBBRFiWehaBkuW cf8m6e2IWo32aqBPW+4YSpnor8/WRfW1YQE05+0dTjIYuiIbiR8NDgbhKrgHajQ6KfvsjLI6YziC 3gdkzjGlK8sBaL3Wp7KxOcRoZGUxtvdyEzF5iJVznEb7NKqpkaFbgAviob835+zEajilJimd/RLW hNWOBShRXLl7iW84anIlpO9LMick3MKWReGxhGSpDhKMp/EjV26ZXJVaFVy4CM4r88+fff5C9u2n 2uRIprzUQawm21PFD5dKnXzrp223mwZ9xzGcgbn8s64XiIqt9z02O19IQS+J5E3T4+ifWz4+qOHf 3xQ5FIjdhb3ZHVIBd9QNJ9uS+5G6/anS0Eg5puzSRPWpeUWhJsFXMYRtiDKRRJLX88BrcuinwmlT y8eCtd2cT8cDo/TZfRX9EgTpyD+i21avHecWNablD3RjRtYM2mPSIdGSfWUTGg5U/GkNZyjSCh0P u4Q9JsyaqtTRxKjRYWDJM9MmOygI13Bxp9tCMQ2Pcct1TIeoSq/yPEr03YUCtqJKZt4c3s7GJWy8 ckl85razF4Sq+6JcoTOb4UfKziv+HKnWKreovmWwHq9Am+T01VROKSwZ8g5Z8ajRHzsko5MzkDSW UFqOO5qn2dzFBWZkOZLh1mhdVy044mU5wDiTN7E1MgA5cZi/NQJPZ7+js4Y7IDH8/Hc8FehHSrND lDuSnVctedbf0VVsfyX/ozbV1xHe8D4AmWaEbIxrcU1J9OwV48cviAxm2ZcfZWOnVwHeQSOnXL8t Uh/cf0El8psf/+NPAa+4OOTm8Hol/cBLL18M3EnI1QVqgwtYmxI6M3VQUgnAa5K2QVaWA3SrAqTl XptoW2t6RQPjoZbIdaZT5dRj2FwrOuwd26avSZaWUyKsBrnI3iXNGk31I1Du8EcAZmUD9k1TaPR6 F2Ou6MNlVQGuhE71QwSSaJ31teSrRzAh0QVxyInBZhevnzLQXaaCXoJ0dJt11nS4+puWOfVrzjCz aKsEEv3fgLXs8cFLkKsh7uryoV9iEwFcP1+71bbs0F+SaUEZq+e5Sj5KyKygFSgwD40GN2NHcuGa mQrIkV80i3JanAhqyBudE2Pubhlo7la8OkeehRnNLTgte6VAxGFqAUL/SIXPVlAueFekM/duYWW+ 91bmcbt27L07qeI7yB3KqdvIpi6U8rtIJ0l8J+0Vp5kKoi1h+m9jFqzpkX3pCA3ibfcYprqbt9sD Oy67n4Cv1YugFgdl0GmNxG5a/kq9Gb9dUw/Vg+mxbNe/f+xkepEuvc2vbHGbjZIp7ioenmaj04K5 QpZaXbTx1Rvr7IQ9DpgLcuRGxfI8gwTnG+kFsmd89IRE9MlJqVq26jMsYdCi7TMITrpgG2UwJ6iA KCtDwG5iHF1a0qxG4eO4Cs9AZJIpExCKMNDVoAVKnxcQ0U6XnhRnQ6hZ/FyQrbG3OZWYdFHKLvP1 jipr2p7bOdLOQpn/5mMU4N6/O1nxSnqdQAei2NdUtpck+P+6crSFSbt/LGYREV4vBRFlgW9G6eiU 3rifg7UIMS+eWiwULkxpWz7DnVjT/veW5wGxqvWtN3KQK79MgV0jb7rpWAE5ApIxoE+WU3IOxYW/ O2IHWUr6vmgcgx9zxeV4Io9L07OQMz48x6r8pR2CDW/vCXJPxb0oPFyCJ/JRdO8CpKP8jJlD3XtT TNHHofVU4SXDrzKeh9TNaPWc+cIkL8YcpWkDIOVD9kGaCrgCVZzsHAixbsBoBeN34GcSIQMOmwRy Yv3C87sz0YmzGjSBSZjYIl7048doVpuA3cCVyWqbs7A0W7b5CByHE07+UNE5+umtiMg048D5KQJA L0mzxzbPrcULwuPgo0gq0+nzFgl3THDdd/0B9MuHQ8z5Mkq6xlvNXI/5JHfqvOHa7hF2paGy96YK EaztTWgH/TZSqZopdjdjitJCvYDcYOQGYetpNtH2yz1yqRKvpeYZwYB1wgBRYFFROpTizV+pgnUo EmrWthPF1nwWkdvlqZDFiIUnN+WwxRn27qmA4gM0hcbTzb/y5xa1XAn8A9fBQZEIMQ6JAHV0yl/d 9G8GbqEFUqCRhlyCQoZkgpyA/Z65GWN23buZmxUuv5wfRJq1IWdZ1IhjTty984YdINBwg/1Fy0dE hRHzW0myHRG9u0FGPIgaLGr9CFbhZloyC6uBivF0Pl3/NjUFqzS4sXbDggKEeTv42HQ7C8To+XH3 ZE1969o130zeqZVTM7LIIInrQ+4HwDyu5g/36O07ULZgjX+wOsCmTVeR28/N9rsoqzM5Yle8tyee PgKe+OYV8U6rvGoix1HsubyA9GZA5inwoas37A8oNgawlY6yD4D7Ii77gmMYaceNJj91dUW7aJ3r oL2GAFX0wgZUUEQQ7v84Aw+T5dXhwgVA3LQRiqUt05FTQ0IxkAzbHceXTe9vrLiYN2UHpBlV+s/z jJP2ZCDzYVvTO3RBl+qH6E20qUIExP5PKzJQKLwy/8T7r8rCE5IEvxJcYnKnPYCavvLHej1E4ihC hJT6HCmA+RM284pmFbf0d6491AND6/AWBnHcIt2uiJfgesTcUUO7JVfXkLrcnvjD+VgYHDAPOVTu ClYqp00XlZB8uqzg5Q94dVKhnkGZQXPr5MmpKP503iU2ZH1UwJn/UebgD/a3SY5mPY1m7j25Q/vo sbnK5hXJ87i7wmbbX8MLgXov1rUjJ7Nw938+/BQHapLBHyngMTiFgk1L6DW57qFlAMb5IuTFJRy8 6Q6m5DKa92T0qHeLFP+1edqWyh6p6LxwxTxJ9irw+fWoFTjAEnpIHaQxWJHmmk9NsohkRcjrm+0Z 2YdOGq7qBoCaDgAz+2TWukSoRyr0DqVpbnRk0gG7DG2C1RBF6f+Wq0+fz9/IBV4aA3W4aU1R1wzj vmCaFksNwyX7qC1RNNnr930m2xhU+ncEPSl8YIKMbtgdaXQTGSRisjCr/S1Xaj6ikuYg5poaFYzr PvCJKYz9gL73PRQ6lFlzkjxXlxVP8iPwXlHbbnPnm5mDPtez3u9+TRX8XXpcVre/J47LI/o1MyQC svDOn/RHRlQYgucrInVXZFz+SyMD/SWLYY42Q+Y8hRrLRDQ2rTi8hXxfe4Ei/kqcNWExD5lSlc26 CUhcVYbU/rBf80INP1lBgA6y8LJSZFY3YUX8Qe98O87ZJNxBcPTbDDggxSojx5zssrhLKnP+kWwK /ZPKjx+LPCMGYs9FBEboNVA/XXWVT1aaZnAea1D9gYPPfRzAVVfnQQ6rUUXX2dQuAi1WH67RAmAY 5b6t3MMHNEVsx3owYc5oMbdrh9503Q6TGxmwSlWqdNb8TGVvqLkcGvWEScmJoGckS7b6ltx13vI9 ZNtqs/CO/p54wFPgfvr/Upxhks1KdyFoVuukbEqPUImubKN+9delNraR3+EhWdFINyzKzLs4vPB0 4cxGljN+pXtF6VP0GAT9oV3z40kLVnEl0eh6EeUFa5aZfqDCU2/SmwkAPwhB7Pr2fukp3/e3scas aQovs+WGu5UISZyXHgo4+GkC0+tGaepQxfZ9h9W+EG/hkBImL+CRj8Bn/p5ymFnTLCkTDZkULurD ULMLR2mqOyeZxd7YS6onbEawDU5QNTAKuU+9XQkQOMBgCNSb2dpRD55z9v49+zEgCLJbxQzJgcqi P9WLBTUIt/FMnCSDyLYYGZl+EjABha5DlNK2mDrxaEJsz2iW7Q/LjbrZHgaMXGKwaXLt/YVmLtj9 s03IUzZo5q/FXtAdMAvt2SeHDuyQ4rJprTp1i8VpeUusdZh9kkPmilWmNSeo3roq1xlAiSg3Nb2z p41W7ibQeLDIzfizbKoao77fWU30cyOqlNNKWjO/zW8lu9ym7nemm9Dlxz7eNkECVJwNfLqsXpJT HJiaV0Eq3XIDaYWhVZrzvbAOUgBkrco7VV/VIgPvIDeqCXj5spSI3ii3zj2k/vIcVlQVCnyOsMl6 h4FZRpNRQT0L4TXLbCEHPjR+x8VkLEHOh2TAH7nFLkX21br+p5ax54kC2dU0n5R+3Oq274TbMCeM fcWQEeW8gdH4mZKd+BGsU4x5fVQNR4fiMcxe0UE6Z7J4mEiccqcqkI8WG+hc1xGKuex5llGfl2JX 0WN/ADYmqucZTdxrZI1HhzW02Hz4xOuZtcgC5XJ0idfDexfow+JHJbOBJENlcxQ3WdVXgR58V2T+ J4Kq0Q1bQSHNBk357r84nMY9pVKoC6TRKfS+eB5FXHQZNQjQvfJpSu0Wq4DAp9vNifPt2i21fTkS iDFzhy97sOO3zCHjlcB7WRpxoU1ciZyUoKLtuSlO6aW5s3cPSBPzSD2yNzOq5/zhdYhV/qB0u/cX ztlqLeDdZcmwyWtm3a9jliSzDJQiH837HM/zwblGEhWQcLWBszq/7HODPhleytcmtDzxRDtf7AVz LD/K+qG2BY88aVV/+d4qe6XpN1UotyKUDaD0pzJ38Wl2a/Sq0ld/KCU+qLmRD+za2Bj2UQKmhs7S g5fHgoAkz4zaXJjMN3KyU4S155zxSVovhCh2lzbsxbNcKranaDgNQg3GCb0GtQ9mCIQ+PTbt5nWZ 7/mSUKJ9/ncOvwxWq0xA6PzTdAnXcnAQPvO5vQnYgK2dp0xSD1/CvobuCU6IbvgjGrR9AgWn48A5 uZyS6eSA4RnMpOmv5v31gwnXrsx9lWG7LHO2uqEzLGRzrp0NQDV8pSDgN+Dk2YeNIcVcd6JuSbzq eq8jkB1WU6OnLnvJ0If0BmFAUj/+ckR0RZ8nkaAq2d4jmnn205E9yFTWcGiVedDmPnx1YlqwOTYL 2c+WDOmlc/pJgc6jY2P5U4scf+5CZ0vLgxcrBNllPPCJf9mkpNHjj6QM3b2aay0L0lPLTivYUtLT K2y3aNQ3brTJBs0sO24ns6BYS9drOItU/X3ijOGyGaX13PRzRhI4Uj4ndAnH04RGmSLnkQ+BuuCC GunT9ih/UxfohMMw4DRlcDOBByhxksiGWGvB7XcLOUUQodHLYul47NdaopbYflwH2bGeYkRJvpxS Oj+J3l7TSF/qzPocvSBNfmIpezPeo8JLxDxs8pRzl+SO/lVvvtenOZBIuU9PY3aiab8w/uL1+xkq qzHx/y0Ux3Fir4/OncqIoa14vCcbkfp2uSDzzjeNhftdP1cDkHyrzX5fPi1oEu9wiu3JUsNkDovg oa/xNaqxPG3VMwlmGUH8g04/nI2EApgBihwf1w9YPbFq8B+xbGSkBs/xnuds7/Yn0CoANdyZpa0c xrPhHk3+zj6jltiFli/vY56g5wND0U5r3Cs+Xa1UGgrKw3KrORXWWYveaDXuONlpSLhYFi53YFyt aisSAQ6PnQPx/lVcNnMdBpAzmrSplsI2OX3Zbxm2snflpox8xfk3qmuZiJZMuVqNKgoGjB/bv5Uq 3R8HZ8EEINp3zwPSP0qW8pjKGJ0A8RV/2fIZnioYozxw+D2wemkycMEwQkvH66g38DJ3Umn6zo7m CtuD2Bx5NI2WBuivcnNY/dS2JMMdhF3UZv/XF6V6mVDEKI+ePr/EQvamN1Y/p7nSPPQzIUvMfKxe 4k1Nux6zKFazHMMoYfuvx1bCDYEbhyo8ptrC9HccdXUd3p4qFt90jpyM8+DKcUPhIjUu4alcyZUn cTKm/ygc/KtcBYv7HiLQqcY2Z+BitF3mzaCevgpOC51RIUOqNVcmMVrHBze4snAsWu6VUQVaAK+Y g77BN50YvF2YGUKXuqZ7/1aHjYHCuThzSnhBMiMaLfCDHJc6Y6XVqFMnOpKNJ87tEtKkqsqyJhyA v90EQmnqoKn1fVSiD8Ez08NRXVOBl3LBV5IC29RF31SvQboH8NAGNPEYs97EiSOVfgZ2RGXcnJ4w rp0mNe/XzOrGM/gAOTNTx5BvzTCOqOumTvJCRLORhJ8xAAlnQxwKXvdU4Ec9SCiXAQCly3lYN7YK 4EdXTW/RJv59lAheXYrjIXKZDTfXkXdZIvT7Gm8QKKjnazVOGOZBZ8XnvEqxEERohm0JsGheV1nX J2haOi/fRfWcGQ3jUUt2qHnY61fp7sNaDMgTH8LoyL6gdtquNsQBytyV4r4yrlPN/NdUrult53/k gdfO7zgqqYhNsYUW0O5f84fBTtT2kPd9Enbe96m9nJB+n0yqYNVU/IUEf0xzbxgEcwHYGbB3wq1k kgOk8A/zuibarOGspKKQY5qLlNq3Emxz4lsXuvzNWQMSyeqJ1pG2bhx3aFl1Qj2feO76OfUrkgmc P7IqWTbQaHUmBViHsgKk9RPAv2S4lswTyEbZaMPXUGHoltMZ/8Eznq6pF+mfHbq+ZYZnpeZuLWXB TLoIlcdafksd+9ocDYlK82oqKQiRBtw/9VUPC1LlrJTN5Izr67AuNftXVQxd/MyefclOB0vJ0P0I QuR4hvUT8uV4RnRFKsQjAx6inOQY50eF8WXQNDWVBu2fCMixkqfEgyVIm+J78BlLq0Uxe1xA1eJG usZBapcbYAaT/ii3Dhibc49/rlnMMovT96a/d0VGjqrSDPS+BFfixUErzqlNgckjFbL5YEjKUjoa hM2xmYAnYpcDvxkmFotBtRWtUHGX8Y2JvvMYDWV4eUZkw15zMrjRtuMQ0hSCmH9LWTA98r5dtLUt UGfEJDeCEyXdpyWfbOIC789NOaWxtCWEhDsSY0xDQ02b9h617wYwnfV7Lg36OCHkmbCUDRtEaGBd BkO6wgfnzYrvy53J6yk0U1ZCbIYUliOCCLLRSl2djlhrq4yMo1fZ/mcSiaIXz/MIQS57Ecl2Qpoz waD70/vOmkL7q1QU8JC7voI95ayXAfa9rUoKrrS5f/CdlVmCf09AgEGblULE8oaQS87IiqufNPxk n0PamK/kM7jNfvObdq6b9zljIn8UzP4owmIYG4HF48dla+u3YMSiYYeMCn5VgwGfYvyvNDV8c5RQ Bcxbuy0cNlQS713Sw0Yz8EY/P/F4wiaQJKyLRdGOkbzia8yHZMqEH2CfoCi6ogV5fOmd5QiOtxuT GF4KMijcFm4E51LaFZZVWCrgVfeoG4VXas9Ei7NYy7OfYdHIETRFuio9TEizJkZR/huegShDweRQ ikU5UvVfs2nlrfNv4AL6VWFfxe4dNYC1Vd4ABrxojsjVMfYzlow55iz7s0X3BnEu4ZIIW/be3SSH gPCwLZHHjqxBNksoRh7u55ImfdK1bLakI0LeB48W0f50tRwzZeGw770AmThGBKeFrkmBzXQtTcER XaltEj89eGHX8AVIViOzKWdelsOWNWdHD/7lKnu7HJJVBCPmMEO1YOZFqPTLIQwmLj+LTTsaEPKS +jQPg919yW9vTN1IFIpsu2cvN+YJMApbDaeE1RKeNciZK22nR7z2QmfBbhXLSl0DGtpW4WHrLY6e hjhpbeDZOE4gxpQor9n+CclIZ2A8poqHUr1wbUScNidsC4lz3W0ME0GnIvh16ZcWQoAjZ3CZ+mcp hIXwK+aqBEG7Slvm4QE5aS+D2y+I44y6K6sHeMaA3E4klL6nMA7LYVUkg55N+oeUj4FPBKTIcDNM JOHW7tFRQTY8ozKKaMbHZ23327wJnL9QKHMNavhiY3vshn9M0rVvZd7b2H2IqD+lfPNKZVOymAGg PSxRVMbvIkzwsKqyHLxagUVm6jeMUDXr24rkQO0TyEPfxTaXL3RNKn5OeQ+FR/w4N8uUTvm2Os/5 cV/ImMzdOu9oZELuT3ovoKZ4f41+qEX+yCZs/fdaS5Ew+t5qKqa5yCMd3lUyCv2i7wlukRP2rFH2 QcovqB/LDCV9YzVqaRNwk9utSWw53pOh7eUJPKdKDwJva7gzBJjbBDKfZ0YYGMMoFdgm41i4aTfe 9qjHxuGZ8zwGddb8c9qjj68MwJkHwMSQxnFsrcxFmC/yeSapciCy9dK34Ci84Z4ofmb0vN65FNaz hCqjalWTFufQRNI/BNHVV4AMQT39QsLsLoHrhp7vWYxvogb+eamIg0JBDUV5shFzbuFK2VnkDioI vaXJImKrQgYKW2yr2Unzz2bTa5NptAaZmvJQVISY3sGJk/yjAK/u5Qc0gVxkkH/QkBafnT7xuclo d4b0TWmUNxP5vrFNItFdYjjp3IokPbTMDvHE/dIjdbWNFDoPd4ikq9OXuTDtLIRbR8Ny7QlE9+O7 SwDeXLctRHHymrjTxssn3TL6FnVarpLpAijBDRswXwaXkKaFQqBeNQGfnZD4UhB12z6BxIpQJYav UWuWD2K9C71G+I6WCqTlcWfy6rDBlSZ5Y1mCcty5I92XC773xLZ8WzlcxFJF+oWxfnFKco9X5pTm 3pbhGMYTGkbyw4epmnZLJIL8bzIuiGAsABgFv/io0VPD4IrxI87B7NgHUIFUvXYBBr3sfNB0htio c1ct7czK2EDOai6yARfheGcxAPqXGDrhWEtcq8hngGAUMkjet6SZ+QWZSLLobam3GFaU0maZQqYX kbWMne9SuFABqVMGGBpJl+omC0/Om9p5+zcLODNkThJNloRB7872UrU/0f45mz/HVUXgyMxWdgid 7w1konBiWSNpZh56WIzZMNGD26ePmDHAbA5OdEjabhuFdkpCL2vWH0twIfL2i6QzKKgAkEeAkuYA gQMsObtbAGOwpdgXEHQ/u4AnlcQdb1Ust15PcntdslEQBloGCtV6s6xURCLKmmBByZBdlE6yvL5a tJu7bc6ADial3Itobh4XgxarP1qRkNLMkXBbUpWvQZSwT7C1UR94s0EOPzRe7prgYpCPQzYDHiZx RtUn9w6qFr+p4d5vSLFYpiFn5w/yqGX+J46k4MrNKqIJunCoROLB5Kx+P8tbWkaBLGiBk9+iYp3o pUN0I9zL1VU8Kgvj7O11kem1qNwmB5iDm0vP/JDPVPplvOoH1EDlptzfN3MTLgSFsN4SRULixakW GLgoR1DAA7gsGuz+owL3u8uO48NTMg8IFlREUGoqV5Fh5BTuCBEnm6pFX/nLTjz7cQoCWkcimDd4 jvHW9GZmlsl5WhCE4JofjjiWkBlLkksG2LJ201vNGGF/Yu/LRxIYsQVOQ411/7l5xPFm4FYruXrT Gxd/MTYeAb8eMtQQwCECWnACTM0+497npZDkFktfbEVbhpjI5CkeNyqyeJcZ3EvJRBCwV26mmpPT BrQJGy7NztqS+AYr+zTdDBKisSYSeT3oopmCn/xb3uPosUEmpVLPsDzYUV3IJ8NeRtWz3cXRbs+l kLLuIBmVR82e0FerCxud+Qg7E4bjnhv1JJx872R8/itsvA0Ej33W12axhZ5cfLejXCYWQwNz0RJX UD87AlGjyyKA+a03Dowp7boZ3PFfM1GAN0kHGRCIGiO9lInbG4M0wy9vs+G7kRf8qh1eyw94bO3h KMuF0uQeg/YzyznqoMJZ9Er6q8n1n8kBUrZAfnUA81DioeWFwf1zLD3+0Yemb6xOcBX9/lQ2W/TP swlY+yodEMrqi8+uoq7EkWedoF1J2SORKxjVBReCCV9XWa4jE827dk3mKrHrYkok49g9ZKynQqUM I33T7uA7bql+g0Gua+o7G3f0fzUi0Sj/nQjp0zn9FmChlVp9w/pWfOFjhLlMJEtkTWKIi6unWDzr QE6dViYByJ5hkRf4c2W1p+0lI8cUeocGHqgZRVacSHhOkovIyaSL9xZ/CXd980hAEvr5NaZrMzal VKo3IXiiEMCuVXpFAAdYFFZmx9c1ZN5GG81iIm3r/XpYCiCX0yUFX2w/cwj7kaIa2aY2GaEl6L4M j3wOlOghBGCbgRO0/TVpaj3ph7dVD8n5KARKJcyQNE2NKKZSNQXQV9u8kJvegE8FPFkEABeGZiZm lA2LdLtfssZkpvXPjb9xRWxihY+zF2w2xvoDlUATC/1A/yxAtxylVJEs1HwnMc+cu+LH88FkcbGl x5UllvLkMIvXsU+ldsD4hZg+uDQjGAQa723uNDSeeoHwfTHmJkHJiqkrpXIi8bnuEjLpbzCiuYNe xOsTefkyKiqmdwG9rs/jooVIvdrhVyFPMeNzDvDHnA220j6NltWw4ijkRXBl/3GaI7QavBPP4p9n WLvbfldNAOLmT2lZiCeCF+cIuY0qlKkZA2l2UGPooXkjTa75+nA8adzoLBguxdmTqCgENxnqBkB7 JjJLVo9uY8OJ3Dem6+dMxHh2sZKGJpXY8ZdNrJy2K+XjkJKCNLoh8JFYYPfZuHwnLP8OmFXpxKyR rn+vXWrm2mcv1l/FYNYE069RKd3lhij1AbThws+EvkxG1m8RR0wdJeFMiaM2fXpSbQxHYyDUyLKC du8htmOIr01tRVNywNFVNryAPs1ilZjeb9/hrq6n2Rjs69h1dKSBOF54tyhpgzrI6CB9peA+iPdl eKLQWnkSN3hhvLdZaATzOBuFBHg7Bai0dKVRZPtYJ3N5uPwvapNuO3zyjvv6yIMVH0dUmx1VK2Zl I2PoAVXaIKVcIpbqR8/K/qEhmGZvpWxMB9w78VA6wiPRa4/jd9Avm94dWvKpkOAEk35zhAfjFQ6n DiSxG2kLsCSDb3oPSUR3B9Mz88tQ5oTUlVRAdOFrW8V87+fN5IRNf4SBTEYQULt47MTQLh+zmSpb jLDBG6euYI1Gn5bh0eZatP8u8uNtvloPSHJ0TqPg9N8taBUjD17nCoqholcsW/PYsLrh+qbUJJ6z l2GcJsKJ0TqkP43vvCyJWCXBZ1tJM0H1wrK7PYEUkL4IqM/psaEZaQhiwXoRRDKnZMyXoIFllmTz HkQX34Gp3yUSUA5xqCUfJtvsbbXJQD0M+FnFz+zObAzCqvUsLrvS9SrlkW1H4qwYfpl1Wer8eYMK 9UJ2jVitmQBV0l+EjgMGwnPuelJrcomegOpR8LM+bNSIkHhly3r4VayIVoI+SmbbsCb+xX6OqnfS Opz1T0yPZwZlLz2p8BdeTKi8jYHHKFXkacRnQDLBjNCwPcCweclBOr0oFMXkpTvfeBiWxgoBbzOF LF68JXJNRioD0HRBv5JMGh1NI9/dwyyHTAtggL/BYFDJnA/ZgKMUMG/u/+JyQY00dZ8BrAsNS7Bt ORrU5sVvqlyKwVsX9J57QNutBKPeXsj9aqYB8DTsQtv2Jikh29ff3Lx9xbzj7h57HSkTWIAuX7xX zOYTpQGUJ08dFdHH/GKN4wx0nDM27v04vU/X8pE33Op3QVhx7UcsGtU0juTTELxKmpJcozYvfjED 1FG3cvFTg+BEfw26Lst8E/YoqrfqcQPBSdpcxMhw496yvQ/oNr1GcaxEvLVr+ZwTLsr0Huaef7o1 UI0LKKemO80qt74F80q8OTatLjUOjarr9hP4AzUDfpKB4eXKq3wkKPH/cjSlCecYVj/lQMdHxqRv OPGBto1j+exTpuh1xpyjXeWHKBxI/jvBU4U789ZlSX3oVlNADS+TMc6UtP9gPMuMDwDQDHQby3me O6Vkti9DQv4HSIxmprswESOyR5GmT/2bO0+AUYm+UNUuAR7FvRgf5R4CeQj00e1Y71ftrbzo4XwP nUD2hyifSnCIUDIOGcHCCZIq2nQG3YOgA8sKAISIpGyYV5mTnzFdgWUo8V4PQAm6ljiVoWFEpita vVeRvXoqpFeo3mtxdNObdniVp6z+cDMqGTZm1Aau7Ad89k5JN+WGHwyqPr99/472ouPQwXRjKw4v eyBWflBXCDyyEfOEzFGYdynvyEAhTimB51j5Xu+NNzxzgGIbcaHKVHZTXqQS7xNTHGcpV19bnBbT LfmByRD4BB1JKW22K3QCf8ks3LfsAUk+Yf0KD2ObE+5FUdHMIXr4pUKw3Gy4zzT9rvsnIkkK9jIk 3G+ROBo4NU+GmWWZbvCy61jsG74P+1Swqbk7W6afxwvs3xAShL/z00dn26IYHki2Jpsxe4cvXG60 37YhBMPUde9/LVUXIoh2RfosDnDCk25iy3wQlKyPLu94meq5gnFGn7T97GCsVXNnLC2ZHIkJsbbl z9St/Z2OaTJ/8Ma3QUBtcWhkHf/kWwjynNL52L2XyrC8DYB3uiWHh+OrLIeb5iEHF38y7DKreMiY ex4X5Y0nL35V9xLhrdC2yN/KdXUNDMaqwP7GBecAdYL7UaQbOWrYkDWQFHTDFYTyA9uDsoQJHXgv UfjiCYW4BhvvBAMzO6W9o7oz9vmukFkLbLB6LzdHywx6Us+63CU5k7O9FNP6o2HSyUbMxrJ2qRIk IYTiixlVjtmRJqZ/UbysIUyR0eZDahpGxO2df54ANpyOH8y329Pc2srv45fKznNg4GeMVHMjr4Ph vP109/t0238dJtUL7fvMDz3TUcTug8kUIJDkMK/ehCQK7AnLvoULeCtLy4rLdZM4yNLyrUZfl9hm HQuYvqXp2oBpiymPPfB0H1JTLuk+iuSzGakDeBxcCSnKlHYeMOQTe1uRfBMi/xXdRWz7KEoGAS// KyVudzX0Oa2MBM9L8rODllWBstF+ASn7qvAtx2zJbY/f3vD5AIZQmZUvbP5iazuOMSqk/fXxa5Yf qR9Ku8lboXA+GEmxE2+ZO0BRhRfX1tckALbEjwKDogewTOR54iDR3JUyJYrzJUO6GYfj98dUNDNl 2WORJ6D7wJzeQSQ94xVvPhEnVeNd5YPnkIjRUTENJ9ValvcmgwHoBlBAAlYXDTFiBDDcfbMgfZiF vATHVbSXqehsRP+GXVoGa78sAQPk+Ly8yNn6gaURQLF8hR4pRtyyWBbct/Syhso9lvh69Y4WjGBa Xu3FtiQZ5XzK5hCssJ9zW1U6iCDpQJ8OILAuxPzZk7MrqJ91CIEgx3LVCShv5O7zIUaYZYFs6B4B qmswNxjCmV4YvesLNAdFGP9XMIevKCKuMLCh7+gTkSMqJugKe2skHXTDEYs7cohSpEpR4TUTOOr6 r4/r9EoHzOECHwZMwrPpzFeN7adhQ72x53IBAIYqqOMDa5b/79Cx76UTJcZ59paZcX63HAtBcP26 tvymQ7tC3AYaPcaseGOc3BczDVROYqUrAc98PLXCf/iA9lzXBWMO1qU249yP1dY6EyWRW7wiuXZc wjmaLbY8e7RZjEMNvpC8Zq/nkLIO6s6ArcVlvysMGAAawqbd5Vcsgp5TER63weMM76bY3ZjhouBS p7E4FCwxoEHiuQ4GpZ/ZQXjQ6H+hARxoW1PDNuz1YbSLEtkBGunXcZD0NWKA/ujhHMprjqw9SUKj IHHqfyHXrMFblPSl3i7JBHVasLJRH7C3ydpzFOf++iAa5CiL4B8c3Wr/eHWLFn2aKbJDd/SR+Ftz quBqm5P+y8tjfFAHQn3qBimRSLr/kDI+yKL+o5gfuu4Zk3vc0327YY7fzPk7gWFtm7vJF35gAN4k wjwWHqR2pC5CoTyYiestikMLwqj19g39pF/FO2ox5gLq184uAF4/10RI9DR7OQ6R3AlcVf6lWJWC VCTMBOTzo/3CVsPNCI5UtCYXwiX3nITE4DDGeSMhaTv2/57hZwkMZNIQnEdN5b9HGt0ZIxjrpiT6 QEwva83eabB2jd4P3xWkbWsNClv3ZKUa4hCM2UDjNcn6gfp7kEHRPZ4Y1B4F6K+GDDD6AeWDVrwZ kyX7rgkryzxRUmLzv0QzFuM6Vx0gNPqz8CEMDOwUcyt5AxcIN6Y2JsOv0rLa15cxtR7ryeTv5GOZ gwSX83DRXAkMcC7se+XKtmNOFx81Na+WyzWTub5KsEgKF/To8fFmYrnvOBZvzwSDeEu3zgAAMLzN 3OMeqsgisDWLxmxgc3+ze3Fd3/X7tbpJp3BzUuUp5QjZWcMupV0E+J8frjg/HlW8pVbF4a/lvQFi 2MrsVEXpPWlNY5MVytRVF8xRloEaRIDep4wMWYRW2/7XpZUV9NjySDxoLN4ZHjGpUX3r9k5VKmKn K7NHi7alrnXjPE8vW9o0Exo1mksgrTiBQR+MGfeiEVRk+emW4El2QxXDKJSypZircKRbmQiQAa4/ 1yWGqb+SvhRcTKit0QbIRxQCRLLmbXXfcdDip1hGiPpu/ifKzwcWfExBXXPxQLA/3bt5vsA1iXAf GV/9vyxhedU9ruN2PmRUIpqy58sOPNeTuLaSdWdJ1H0iigSeNQQtWZQRbjZ87+omu/az1WOyj618 59/Bu85wOu/qhJ8REm9ob6hm8BhslgYF7EnO1pzZ7WNPmaxfPpNxyNWnniku57krBb7xu+2KeuYQ YP+0i/xcm2M/sUqLhbaDk8RqirOzSJAHEG8PQSe+/CsXbdgrOoH24yBN3ekj2JrtgFDfuw9V7P1S wpzQWWg5RK274bku2aHSwKciylFoCr0Hs+qCfHJSvFPCL2dg5wsnjUiDgPkaF63yfE3dBpQ+F5u0 S4BFzo4G1lI9woXsoqH53HDpAN2Dk9F6qHElACRnioxhxnjn1wm0lbUxUV/8ru4MqNNsdfcNqhKU Yy4KNhgtZAnDF2SkVDlDVsCr2I9WkyVeL1El3dw4cO0VPCY5G4M/RhJx92aqPM0Ou7fpeJYIaftd ImBOOdseLQ4Dw5DoQi7JbAexmsKemRDUJboZVuZJhxKAKmOL/se7CWLcWhI2D1skLizQK1V/st3s DMI00QIn6Nbmfd3Cx5Fn/bbt48ABDHFJQ/Yu37FuauEcFpYPG0bp27E3eyTxjZvDMNJYFFlfzfVq iaskEQ/AWyRN0slrn8q6vDeOC3ZCsbDzuXJyxgqILrTgb1TTL/PzqOewy9PVjuVm6N8f8jE5RPEb VQ4PrKgnB7OD+KY8Bur6FpZdVxJYh4zzK+glN9XcotML+jwvHuKKK3hYkNfyn/9wz/cMAVB/hz8Y hjHtsGPpbnYXR9ZL/ZlASp2KrPUXsVrhKAuQkXXXiZNLKhqnV8KWNItXhU2VUtdcHuzht8bndTW0 bRur+jRhF9oDl4ARyra8h5kJryYPxEyaFEp/Ey1nTrZNJCxFAHXi9GsfBpBgeF7yjzlWQdufLoUU xXwpartRDT3L/hgNGM9XSIi9ALL08nvSkQCyFWIEh0Cq8klpmz8otVohwYp0WHJe6KoXWbY1qUts 2+gy0Lvw3BgIYhOr1q7XRy75PI1bwxFOaXLkdhC97Ir5Wjxenl5Uo01nFd7o8B1VCAqi+gyzrXwz FPXtc/u4O3DUgpbHv6K+oUj50Gs1XrSqsVrm5wWafuohtwtawXSt940vltJYzIsGOKdImobQitpq 2llV2zOrgHtKQFFmontYsLPoKeKmvsvShAgHFnNvj9oY0mLprAoMoDyLLDaYCcZpiRLahaLvgRa3 fbVfKVAHpEO5Ex44Z0rSwkfrzmr1W6S6So+0Z1q80C8RTjwmaEJAIi7mVv802yIsNq263RhVYOkt 5fFO0P6vTLhO8FI2VLAUSmCyT9UrJxl6fqGjv7kYIazN46OtP0SgFNvJpttx9EOYnio3Ilp/8ZXB 2eb2S3vukDdDFP3/bz/Nw4X3frjc/I/7SmqG4FncfblffT3dPcPGfA7V7GVOhuUeTbZZhr/Kxg2j idoV73wrL0EeH1fqJ6Jpa9i5nZsbGMfLco+T5zglqvl7oUiEEu556v90KwCrPjqh9A2iqCPxmoec BMu2hBRpBFw3Zkcml+7wCuGZM/YbOhZYsko5idsQ5m/LcUtJ9+DRNmUHChQNtN+I/SqipdfOpwRn hhV9zXO2j9t0IL3IFPmzKbgW+qnWzIzl0CzNap0Y/grjh3PybyQ0EsQXUSRqffdPJNiqkNL+uLni xxWQ6j9A1V4Ewy8JoIllbKdtcyKpbeM3c/BQeYz288CZNDwiWinXBr134TtOyP5l4AYzGb3AM3WR m/0G7BCCNYN3O4TYNsU4rIIYUS2VHksRaMffBa4xA0ObwNkR1wwBT19mLY+quPRiFdTJIK9732r4 ZHpeSEY8sQ+IdaTxH7Y/wnm1RRCpVlh5MiqVkJP/kUrZo2CMpBdT/USI1T0OEgSKUkkeJu5nIcVH UPWUlrK5zi8CQ8dxdgAwPs3YSC/1ekYbjD4GY6k8taagbg5qxwZBzjdSs5Z3HFgF3myfYcLjG6v/ NeqNTDzYZj2ms6r45VffAwpCqoByOtKeb8eCpBGxDDExkTn9+V757XTD+6DoDk0it5A1CgbkBjEN /RLdm/cQxTT3tbimTcVU8yeYSzG7lWvExCnq7vszMnoLT79XHLpKVj4q3pRY1N+XjMwwpYxqfwW8 V7xVzZq4BQwvvfIWviHIxbX2v28nE2DchyPv8J8woO3//yGIF8RyPA9Dmw+F4S4h8EDsCJPTCZQw lOJ0dnp8qFFvukg6NDuu0pxeEf+2xrGoaVFgIR41MOSWXkBQZ2iKG8WqZivebT8JwjZVNj0MNZ9C pejGI6Bk42AHQPE6EAyCnGSDW8X7wGIpWccRloDgrD07ZQL1k7JIFXerEFZKyghQigO4o4V95V9j ARj2iavVX5tUYyHereNZAj0Bxz7ckAmCPA61l9k5m6z/BQniW3r5bsKAO5E6BqmWBhwLOu3Iq+J1 F27gNS1T//PII6TiDNQ1D6rF6/XBWlcpZIl6wRLE9AE2gpSrHGi1AV7kiKNttXcoLRcsraUeOJtN g27eFHON2zUzgg3Wv03knB6R9al2MuT8n2A3b4KzsEqItoMuplBa2/gqiczonLdGwL6qEm1zKEAw qk0p5qLB+XShG3BqAHrGLOXWQ0qKQde33Lz5QHRm5M3l1c98Pm7IKRhjTEADAdtD+g8KmecEKnzM 9K2qif3Xa5E1z4c/ziaRWuNYvJdTnAoqWrDK+Ygc+rh8kyTt0ltZZZUWxSM7wiLp+U1uu86dBCbQ +HYbztxbECgFgRFFcs2oxiNx/8ovKoJa+Yhw6NIFVJguJgMQzBAGDLEV6bNWXJd1zxx6KKOMugUQ IPuvh4te9Tk+8eVk/SkWCoWmbJQXTvtOiskX0LCqGsjsOzdSsLq52GZ67UnpFQwblnlMyYPZMAvw 4SOHrL/LYlWG9kroBgdZ0wopWk8YKBs+jBTKeU0W8J071Tr/oIL7gyM8CwfeXZo4GObmDNp3FmxA iM5eu9/8ZD4lpbi2oXEedsHutVBC7JcczbJkWijI4ngBvQw9dR73EqjLDrIpAt2JjCaYqnqWWWjM 85bjM96UwoUhYTepvgbZT7SBgBDCxe63PU+5SFUXZ6rqKKsDHYDj3zyfIz3IlYO1gxmiuZkb2e6s cajgNea9rmU85cQQgrDgEiz4UOx648nhDyjYgNnSYvYEXhR5cPkRy5KlJWk/p6IUjaD37E8XLd0o gBUHnyQfkO8ItE90/cWrIMeIkk8v7EKrwtJS/NQfhEMG0adWNQFzyr+wbdRpa9g+8un23cecaMKC RlBoBL0M7ZnYua2XRVm6Ahb5DndQPO5zKk/iw/MxihyLC2FFwjDALWBjb3FOtrHzeWwO0Iel046M Zxuc9yi7ahcMj5VPW0h0dL0PZ1G0pM7qRFkRAr+b2fBXkTinFqSzh5J5Fxc315V2eu5e4G9S4kxM Te98LLRs9KsqIX2RBkjxZMKgNCvDkZ5fK5ELfpCvUAAU7476wX8MyJ7r+xkO0O/zf47+rN3XTtEY yQ7/KKH0h7UGYxwPgLOdQhjRestAwQw5rReu9nOTC4OAQX/WSS0dLpf1npZlpeZUZQuCQ89iNBvh YEnHbtsC31oSMTItXoCF04tIBF2SmVE/sZcuJM1Tb/TItH2CL/uWHx3vfPZdC4Da87T4j3cdi7b7 KBHOJIC+AxdjVIIRsZro5busdvOMXlt97/ixE1ASNLw77H9MwRTWgUYni+4MH4fkFOHi/R8bDs+O ByiZ1wB98K1BHme4WKd09GRcjonAdykftAOvAaR8m2RLO4aXSxytZpdVl1OKofqbbHs2qlUOyT5N pQ/8GzPKmAujfBWvaxto3KzTqfr1PCL+xQM7z8Mb51qwAyynCLVBJSP9brb1gqBIeyeGT5Vf5cGf TKSgXqztEHRXPf1vmw7gCAnwtd8ke5YCHP+hgMS5obds2utJu9phEVmthXMOiOJpnypNbZHB2Rnl 8T7qwGf/REfHfh5cy7nhMax8vj0VuFv4CsdvozIJLVnEo6VHT8L4taSAX0N6PYJmfFPfHRzwoqOy o7HUAgI+920Z20M6WrIQ0vFVxfXnzbHKhb28Hxb58c80IwQ5RIaT9quyMdrliFEyg8r7nNZXx+rY 8hBDAIs74FpctBkTP+tzuOD9/0tU/l56fS4PG2auOqauPbM+X0LACMfxrfVaBdmQ5KQrTuFeWOBK eeIV+xrGSI99e64n1Z5O1/3gv2017I+DB3ZE74vyDWeJwRBd7+XD47BATd7aaceSgeT1lNfNnbwD 1lPWBuITDQWr97LvfrSg0o+qhiCoBQ0Vban3DWY5/+Zuib0M8dt9DPyC8GmSiZG9N77xXwS3hVo5 1/c5MpRKzB5Oz/L1TY2OJ5SUr4klliEilGUhmtHV1IDUqmwUtVqCGoUNRuo0YQxtp3I8Ga0RmfmS /plrEVfxBZZIxzh5M83hNfsix63j76dJNEFuquR+0DH/7WI9Y6awKyMIOxtqhgl0AkpSCwE6tjJG U3RFq/2QLFDdaVr1OuL4bcRlkApOSQWF4728BLKdAs9KI8hceZka6QM2wUC1YeubPNhZfkuv2ghq mPEttGoN0G4MkbDQa6dId+2wSpotb4vQl6i6XSoKdPWBKt4sBurh9K2X/RRNmbJJntHlEHvHwbx/ 12V2FBxU2afSCZIP99m0d0xri5nCf96JJkZmz8nhg5ZgWpY3tpetaU3nh4iqc0ZqaUJsy39Q1k8N RrKbJr1e6IOYJ3G7qoFtWh0l91aGdPnhKeu8vbiJdubs/LLLj+hZUJaSKP1jJctrWInGH8F5VlYc Ukv9Eml08Gp2JKqZBOfU+YMAP9H4LYl2NaAbOsXLX3YzuBQKtXOzEE4OVQ6JD8taAQU96w2u6Vnv flY67w6zWGY2OW/dhtb3K24CAHDnpnqmqiErXN6DJidpE9VnN7X9psjBiLrxZmUPF1HXZg3P05LN WDoGqPNIvZ5Hl0cH2+jQcLP3Z0kk7kUrGdnX1uunh7fPXQxhYldsFXpZAcb77exuc2P5wIk3O7uE hY/oqNLGvK2+2NPuYnl73AHyinBYhDCOR8H0BneAckZWBJ1JcPRiL7QKBmylZkzIejvUr8RI/LeW hWInonJN4vY56hT+FAJF2g5PbnX8SH2S72ncp07bNLV0Uh1N4f0rqM1qESXei5Rufcc4rKY3/hvP wddkzDcSHPqTBNKxza11BwodKTjXLVPw9jOhRHDakPH4ujwHaptTQBHZfkYkVSsHN4/C0y5U+4AC aLXRX4NUy3H/eEmgzhft3x9y98o5IPUziLH8OiqTCIcyn+bIzP8AUfbc0HezqWl/onybHcD6am1o gae4D5jD7KTkQFF+TWI35szajrBAYYrZ/RRCikRVpH4vXUQx7PhqX5WAz6CsW5bsKbgqkqj++2/y le56VpPK9dzT9tSiHwQcV/EOem8C600RcDiVsTWD9v+TAtc7kF8z1NVz/u8qp/jZ2CMs+Pt/6nuJ DSobWf4Uu93A43APX7JmzFmXveWKy9oRT8UoqWRdndhRKGMe8Fiee6AID40SsZON8n9pvHSV9y0B E9JQqEXLsfVYhK/cZtW7BTnXnlvVVnmdthybEO1WyBH2Ir8PdBHT8LbsaCt6pp8ay/F7CgPSfnDQ +lvidFREHDMgXtinGvrUlOiKs9FVgEI5HiwZAye7OQXlpUEP+M320aIOQErVJb4BPbNRbjPnGI8r fMTm3+9LVyz/hVJ0ZIFOvnq9A4MQ6e2qOupaZHWYQj/ZwmDblzGAuBv0/VoWyD+wFf/H8eJ2ZiJZ zFQo/6wLW0rzaCzJ8Xf52xnQJEmvrQJoLE88r0VFfODBd0HU8Laz5n4BIdnmxAdUz3R8ixy68w0R IeQK9rSCrpQxp3iyti87ArDmgWrMwPRaRzdhUDahE3IoEznfr+ES9vXbhfL4NEMg2FMip+fkyx/T BuH5H8G31W7g65S937XllFaivxHGqltVJadFvVd0APHj9ipuDLyUjgTrXG4DRTFKBG7AdQ1aJtDt oIzmVvEs+PVFGsSBrzC/qFe/S6dPL1pLl5jWrEP4qvJTLdDzeaxfybWpU+vgWG+u60mr26mV1Pwh IlBaAHZ0FYWieP0B4DCV3uHnRu+2kgTEvTur6sE+ST/+0RXoHa9KsKBHx50YJ175jB7jXwEWUuPb Cj5dksrmzcY/9LaW/5IhGmENP7s6JjNi0KXzuMAR2XIaL/sFOa97Q0R3dYBLWNvHWdgWkXQBcF39 JehM+k2FvBhnPgLwH91+NnvC0dL+IST/bWxVsdFoAeeHyohl/SeR689EQhDou0/EfPpOv6pBk6Oz fagPXu3ulOqy2sOydih5NX1ytOTL05GCCdlJWUHjPH01DLTFStDjhd7kYSs/jMoMacWUGDhQQMOQ 6EGSbWsWpWh12wBrv5YagqzjUq0iykPkuCwZwA4atRv5BWUP4n0BHM9d2fXrO/wXsqJQc/AYI0x7 LOPSrNF0iIbcjSUETNJBS4O5Qi9gDCzSC9Y3gTKOYVUEPrcPkVjm8RBuMR/S0lcAvFdWxgPcrUiV PdENLSIMdg3vG7FgdjFezTkw2WKMm2NeL8k71ESedMvBzr0BEoeLAYO1KPSm7QBEQvAeRwVCDMRB OZ6Jc8Upre5I5jvks6YmgH0Az4u41J8+kv9dQBJJ8LahXBoVgDkz9cFt9YMKn2HKdbcwK1l3NqqI jIQgrqVmjAOP3oxTuYSbZ1taifn4dgOhNYFaIn9eOGn+vGctZ8LApltyWmh/agBFla9yHovL8UXN NSUt5WjTfR8SxpvfNB5vfAlJsWDewq/MGTc6xLmWp0gbx4z1qOzjI9hGZ2THljyd1vbtzi8JFLOT XCT7HC+XNJGUk5HJYIu5s/Zv9IhMVjYxBgwDbGi/P02DyDAs/uf3oJlmsdjT+YTyFh0WXXXFgShc p7SuzmTECPGTUDpS5q/1DbwZrMwcBOdtMdtOYWa9DPVGME1wsjSqzkYvwtBRnGXSgJnohU1oBmsu si90bKSArSgeJNP6Q/C0DJTblnXqzcpVGY8BVW2cgkN+r8Lx5A98oCMysuC3yxTEdjQbMvlBSKO1 SmfZ+AmXIHvABZ6fSgNpoB7gjiWB8h8kDK2rltexf+xEBert5vQ2kLDhiD6roap1aMx7G1qFkRmo n3uYPhshv1VBGDYM46YaYO10lb8lnWwsABq96OdSUXFjqwvnyLa6HXHzGGQIJD8k7XOeyed7JS0t H8ei4tboBTr6c+Ph51WvJNEJqDq2J3CHw4siMl/l0nybhO45zhSH8cpLRD40emhid0McM7rb6XAq 7HvLiZg2RSIIIiHFw3FX0WIbBJNFzqc/50oaf8Lpu8ruMnPhOZ2OdtwgXAlw6nt3SSOUdrCLLGQX 9HAceKm4TVUXOL9vjlowV6hqrjZ/V9eXm30RJ2I8T/H2uv7XkEII3khOxlQiHhrJsidyQSWoq64+ 0GZZ0lnaIZDQV7nN6fhcAQeEnzpFMMSbWVlYF9Vp8SxnNtBs44JOWZpV3qSB3K/q/ZNAyRy5SG4T 5Qcuwt9qh8aSTNLNePyydn1mHh3x5fGBeWsfR2E6ZP/DQ9jBatAOzE4jFDZjxaIN/MORkA34/K6k kC+1AHCCD2c3Q/HG0mKDVvlc0IMaS2TmhB+G6e03jictdAvJCYV1DdsHrSzqqUPKkahf6PPRepwQ Qe1DV7qrbvHYQnHfr0c8jnol76gOUXoPXprbnNrrpAzo9Eoyo54OnkBY2e8CH8ElMtUgGuEemxHv bd5UV/sgWj4jzaPYuGL+w4XoFI5uknRSWLU2oyosHSXVkuRK5WyPsQWiZdpbjZz8hMy1JcYhMPqx PYjCRt8fqxChyFFZnffiHY2LE9BKAy5eEc+uArwjFyZ61gwHRTZmB9le0JexvEsVEl8/eRy51ogg cFLCkYNqzQydspf8VkejsqfTROgg6E+LmkdLgWVS5mW+d26twMOqLitF+IqWjd7IpY3S54tHWxOP urUQjBO8MDjSgTs7Kbs/ZqM7B9NGIo8W4odU+/b0N93gjux2NbnlolUDVs872Kdum7ZfrcVlCBQx kynuLyYi25mDdNImFZSaIFsNxB7YEkeo1URueiICG/PRByl7ZTXcVyOSlR937XGQqfOgO+l6DEhK eA/voQlUoi/hsLhiI6S5aW8FR41B6CIxPrUapEWa/vHgM6mx1hnD6TIzCVSYCOwSBpgAId0BNAQH 1fBzk/ui2//G2VuF8t4it9N40M04H35oTvY3Wf/EqI0Z/rx39AtHO06hx5nCzWalJaMqlmw0cuKV BT4y+0rqACZz7AO84hA3d+RPmKKSCqKZUfQEaDhiAPrM+4+tgrAcdHlfO1FXPprwdEb59Gcpeqny CK20mq+oE2RRdrR425IS8ImnKeTv6XMqMzf/5hTTdqnQ1xYvQ3QrGOTXbYRWQ3je3t3wMlxHUReh JYiSbxPHzQiRN0KdMjdR98wYcIlL3lfLNSU1RPhX3AsM2e3HbE7J4MsXXVOeEArWp+hGz0uCbjdd BY0l7EqfGiiX227gypDTvb8F0bLR//My4XPxgs1xg5GvDiyh5n0WhAWAhQTQxbCLhzvo0S6LVBSx uBoaFLuJoGa3ZWEKzO1dEdZ3WK4z7kV8ol15ZdP+d499TBgALOIiVdI9uzBZ3knpGYxgpmVZ1WoO R/ZZqDY21dUE5s62DLpeLhQ4UcRdVXMCucBfeI4fyNN+yYyI3obXjbEObgdNm6AB2fhSUv9w3MN3 kpn0gJe743HMIjPPKit/WLp9xAtl+BOiTmqWI7o8/5z2lR1ploJOhBGRCY+ZqbE42e1kuCV2LMcK ShqqMFb3bN9krxLHkzNbXYS1OPrGhAWGaDPVwPjTdgjgpzHaWQFFewZCJr38OUG4QLfLDuib+s9E kWNwVmq6mnglQR0SFTvlaw3aNqd+FOp+ZgwYpqae3e1u6yFblc4c/E36ikguPomTCHn8U1sy0E0u Z6PMaQpwatcimpyPSQKkm5o2GOrz8EaezOIpE++HVDzSzQX09FckHeNYNdmR0QY+mPVj6w91hSSO DOetvzds4C3ZmpdTpJDPIQlWYX6U8BxM6viqUZKXFZqwRHV6pIG05mk4inpPMqzqRkFIJLlm6JhK UZClY3VwD3kloa3EptycC221DK54vQ6q7HUAFRySrkRDWqgGwdnfs0MeQ+F5cHxGhG6j+/v1KvjY OTaH+cYVIIDbtW6RdgIQrivDWdn6CRcqg2ZqsuDmVqPkz1PB6sMWQb4FoPafWSS7lv3Yt0hR1kgM dEAYR+HZFODBJL2Th1ZHVsPQExT8b91tg5nlltY2eZ9TAoHjfEXm4K0ukURq8PwK7P7YO2tz69cJ ckhmKIlotF+rcRjqRWpT/VKw7B929uEfUpf9E+rouLpMAjBwyjSrN7ySxMrna0WLqglFM/Jl83nc 6J+iJsHZ6rkvC1d3GpiYyUtO529oKbnrIAuJ4VwOPjwA/Vrhv1n3BAHzjciUEaOUpPFIaA9YVoCC cSpvzz0L7gf24jBCZ/CPreyUlPq0c5oA9WuSPSnw4aWG0r7DYuQD0XtuGd8WYM07Diz3g9sSZUdW 07UEhRCNlBV8qe5SEDLxhTdZ00HAi1m+6mX3zBAcVRX0QLybA7ekrcz7xj2EAka955Ihg1yA4Tc8 WMVTkIQ2Hkd/vHCWR5qMJVeIpJcTuttf/VXFZUvnqTfWtC2z+EAlL/QgfSk0/PttI6exWtdl/ttB BANWkpsKIap0bUWKV+H8bEte9cKiAU9QS89/OEXmgnrmjj133RCQnovZ6qGXUKWUymjkFkGLGWNH zTDMpkoYSaoBHZu4X94yiqrWUuYJjp9gI2q41V7YZni7GhyfX0DiV1KpmC4ZsqLOPx4MO58vTsZd peAPaOiZF6jTcdWVdT67ysmOdqu+iN4GleMSwsKr0r5geX7fXwC3p3iL/fcPlN2ffaQWtcCuu9qa eFe1nNQLEDhvdE57YFd3Sg5w8iXgMX8g8/1HwEzSyg0zF+b7zYubF9N6uT+jjfYhIGoRgCFZvS0y vBjCjl3YbYLQFZVH6ZMFs/XKQxuX4CX8saoAaPau0nYiK+sNEsyc0YLjgMz1xuVb/RrUAFMwKDT+ Q4aT7597/hkIkto07H1HYJFE/LXjtl/IBUkEtu8MWt+EvKmgk+EHLYP0G8wiZt08F6sGOlLi37GR TigLgQgE7dBNI8H7DsnvxOmo9MZW/qKkYEsb0RNQX2ik7WzY84qWlwjcdyxaEUe7Ndu4fU+i5/0+ H93rBEpTF8hc5C/QByr/bbmSdNAj9rjBgfRWk7TFXBqV7Khhu3JG8cpF+9k08OG4dS0EfqLKhuiN ydLA+CuOZtCczoqZIgVYGjv47lsvAQAOQwfsAsZP1hic7zDiawuV26FPdN+5Qom9HmOigCZOhr20 RmvGEP3a7slmEdSCRo6o8zhiPCd1wtlC128hzSLr96SuqRV/1VArKVyyHLT1QJH6PoFrGvz0vff1 yF+mpUYowfN3IVcAjzKBTA8PN8jPT6LHd3dJcvGcvYXVljFuBUccY94uz3dlX6/wA5kp+SoDUF+l iw0onl0rFucS86rzEwMf1ZhiRFoLMnTo0ou7UOVfamJNYkt1AkeAFldpRsl/sXy/fUpH1/xhqPow ThkP7IZ4qu3esUFADcUUVe4oOj8NE2nCgtV2QwttNUG2A4ueZIZCpbjByxzwyK1OuwcEOoz3AeyQ ifXrtivXb5QZ9zku9lIyMe0yuNnwQEPArg1plS8wUfKhLV7Ww7ka/Ci0hhyDAEcg7eNGhqkgxwCx CP1Cnc9MFa5E1c7B3v/KYngIBcH/GPBPAYLdfEPPLSldAOawHpxXUe1mXbHe6NutdhtjwpA6TNKP V91tJTZqdrM2RmNfw0MudskVN7S7aUNEsHxnYbcaO3+iOb8hEnRc7CLTkvcP6h8KvP5+hNlahE2O 5o+Q1gXk1LWo55ECVaqvIwlB6RsB4cOZ4CmpBCBnxsJZqOTC88VvKZxvaeC7cV8lVoMg06/xr2G/ 1o6qaxCc0f8tfAgtX85zKWcUrXSWctVHonKbXCQicfl5fiSYnu2gM9Do5glzdlJrUDnahNkMPDNA QWbHcVE1QMd/kDbsbntC4yKR4lKc3eKy6CMTWPdlj/RQEYHIVoyMOnSnXRXPHAUjhRmo5Bj7I9ua HYsWx39a4bWLY5Uiy2TZI8K5AcK5u0UfU7Z/Nuz+mY7/Sq92l44g/27+I50sYr2HX8OtVTsTEy8v Jsd0U0v4Hkmoa9EN+qWA/VqmQOrAaoxFESDxVuTk0wT3la2lUbllVUS5I3XsLiwz+P1eZj7BjiGG qr8cafLLrlzuqhtOCmxwEsbBlu85IWDKtQYzzuTn+x8oSYgTLpP9npH6mR2B7LSMfgPVPlzmek6D 7Duihghz0gfeT7NfIqJ/NbehooSdVPQ7QKSJiW3LSw1CLJ/NBZ0ozqaUhnwWUxaMol4BigizZ0PB xic5DhwOfWPc5lUtnprJEdPkj5/PqL46HkIJsMYbCleZNDx41ndmKVJ4MEprjDEgKfmmzKlgP7qz rbjtiNrTVmc484GxyUzZJRpEqaFVVhufCoROZB3i+3+Lbb4g3Wrdxu0FwZ/uC8QVwLWER0D0iTFT XRKP8b016qsSFrI7LZIdkfvo5wtypYUtgNBEQL98rTSYNV64PvFyihuw7qgECbdJEWuwdTwjCpOM XC6tP2uYnsgQNUljzy6HJm2PrfLXExPFGXwr8Gcl9a01mt0uewTb76UWaC5U5WQNh5CqqqH/l3uL d49r9SiPyvPBulz8zanLKubKC9Pr9B9qF0GxmxiK9mGj5CPHlHkyu1rCcNIc1IC9LcageiJlvsEC zOxcfh4qa+t5El6hY7XwmZ8hDnB6QgTtuSuO4UzfRe5muRfbiec/ili0v97p07WGhlXBn7LFpT1n 2SfqOkes+xLTaNC/zZ9Vu8Xw44o3Ac2z/LHboKdtqu7J0ZGZqVPUFB4o0YkWQBlmvhua3K+Nk5OM rA2dSs9XPCGYnLl2LwbyF1eQj5dTYrnPPv3IU5x8RFtUwcnBNnAUGKbHdHvfbUF0ZcN7AyeHfZLW scUOt5hK9XKMQ5SxT4BnzkqGdymm7C7k26IgVljhNQsEhk3Z5buALp5V+eNsMJr+khbCsZtd8MBJ ztRWSp7xRNkwzVTbfioNoFN9WcYEPbpu2AbDUXSgw0kIM5oMOwG8aSv90zhaB0+4wU7hkIU++bO1 y0iDySzuJUePp/3WuqEyD+pkt1X69O/LsjEp9VglUinQ18ssZYr1Nxft/kwDW/8GPqiSx45bHthA 21wiqQeIsekPj3LU+ovin99E+bLYe+UKRYPjoZAA9SkzXXlkkkLxpqWNVaKcHvmZ+DCIdRTdlz3C fVbVN5veUy+wo8gbG6V/8iz/xbZjPo/xZgS/A9YewWd1TB+gk7v+9nPtJPv0vSY4dlugemrgarEU ZF9Ewu/hLVaq0tIo8xaqSaPSGQD3MgSd7j7iMH+60QDujg36MwvjCxiL80EYe3wUwwb8zEkosLEj xB6Y7Xk8zQA6fVff+oAE0l2l9zIz+0/7z8SvfezBGZ0wAGasguT4L9QiEpnSFJd0dSR4R/VOztDy xQKMVdDx6QqRMfZsjMXKVFu6mUA8An6dLyk4+cCPrANrdvvoqMDMu2OjI4MmQHMVv/Y7q0NX6CvO 5zgvv5S5FiOmwpDwHQw4FoDkZUTo/6NxiPY9OBPWJkxWJ9QuzPCDxpS3b/MlSkjNQXQTiBfMla8x Y+VOz6EarW2RIfcClrJmg4xGqmFpAK76AtMVYCRC38tZNA5UpNVnVciGF2PcQFKdhjHD2pGaCjxf oT34uDhooZd/xAsvC1D8QvQyhTtMuKNN9GulaMQ4Op1vwoSJ7t4Ysk+EhROI+0VzRwl9TWY8WZw6 +eBSrlu9z4ToHSfjqyCqIxkdciiKTYSE2FyWrGepJUkYmDxtevs+bGvI31eW+4Fe2u0/zLtYVgv8 qV6FbB/27QP36f0UefamIL5U8lfhh7if1zx4lDNFoNL8UPNmpxHUwuR4KHnW5AL11+Sbmz+xKU4b wVg1nlHvvVm6CxBQqI899oXZW+NcXmlZgK5nisxW7DYe+vciCH1VxGba77WHMZSP5dtzLUAj5WBm 9FKAA7y0x50+YN/Wd2lpsbOqQCZXQ29zDfTjE/cRuRalfB/i68I5cLtiAVBOOYTGLY2thr07cr7N 0Zl6hwbR7jHogIugcOX+aDmO4bRMFIwAovb0G4yFJB9M/CLbI+cKQ9EX3qRNpexenbcfj5sF+wuE KUiW07FfFu6UqNRHx2ogPCrnj4xLTHR0t5yVsZ7bxNP49BKQiGenWyvzn3CJlSOak+J5qLsJlBXi UWDm7L0wBvSWjZtJTEd1ziuXo1q4QJAH6TyivwhR0fzewTvw36GwaMDEnMfSZAl8WEI+ffWjM32H shuiYD6QR6XBuQJ3WvtqOzeC6PISAJrB3SYmUsCA3c1yzXOyruJnKSTFOr2uI45wU7ZuZTXVKW/q gOxDxP3wXwvT8owz2ZgEERzeVBRhBIfHNiB1KGyFLqTc/7Ff5teogrES2/uiAgN7mwz3HiRw8hQk SbmZcHxSsbWfj6vg5wcnjCCHQaVyJR7Vz/rUXgtpPk5ELS8oTVYNK2/9+fEZj1x8fNUlEK4SONoj vlAdbakfGMHVns8DK8S7szaTv6aNCcGRPXM9WZomep8SjpGP2BkP4Hg/YFwdq/1KkWw3pEf65Ts5 UKOHFuIrLTirWO4lBfX6+u8Wnz5WSMVyj0pSNGqlb0FpaOxAIYu9ZnP5Z/vH9Xm7hq0iYpAb6wg/ qlKuxg2NBcratma/iTg1l5fX38SWjYgW0Kjoi6/JJNKV8xcLVCfQDTiR6JoZ2+MmHOYI2Mdh89UO Heq9s1N/6YiHhq60rRwBLarmTsEmdt+85bY7crD2w14F5SW1KqIn09VgbhI2f9WGjOZzUgdImxFW 4KfMtzjDqA0r5rVQc2U0BChp5Qx/1a5yLO/kA/IQIloCOV+XJ6+5U3GYt2sHrmoe2+voRBLss5Mn CxRA3sKS9WxBUTOuw1kfViH9BcDq7Aj/yukZ4V3oZaFAJVVCXNNmMZxt/vyIIXyXIpDroIy4nl+R 6Euag5o2/nfv/GR5VSNVmaDLEj9om6s5ekuYdUkxZjZfv6HaFpU6XM0YXg7kDQ28EMxK5H7P3p4g QjHWi2yQKnnEjPP4n04w3EsTcgNwPyG9dYD3T80SQgnNFDCuEKwjkwSxokSo8pJSeAYJ/A9VYvM7 nmSIyK+cgGMSpEdMcUy28jHluUFqt4f4wbuOZndKAiUWQTd3elC2S8n9m2Z31wg/UHIMKTt6Gu6F cYeYlxNNsNhayi3iXLsBZ8AvH6GuXcMA/tHxUGeiKRBaHG3bCgkIUKHNMdQUCDH9JCImE0ztfynt aU+Hj3IUmAf/6R5mKJHZwBeu7qtW8uVDVJEdnZk9W1dnPJv+ymMoswO24wUdaO8CI1I30p96SHIH FT1KG3c5rgwAyVTtCDRF5fVJo260IdURwo+REQIe6MlJWAovUG9sYeiotKUxhPpzHxWWlY9lVm1z OvER1jAwae/+VQ2tLvD7P4QspByZpnyBtROWJC4hOi/IXAna89pWk6cpqsK0WxCANt8ze0FQtlBi L8evIv/nrOpQtdugH9e3iweWDUeYPDd7IlZtb14kPQYQMyBSy0oB8DM05TgamDc6kQXjYULSEVQt yZZl0jD9MEbfqOSMMQzkzRsmvRsW0SaQ8Q1QNW8Z615s8M9+U4hsxIMxpbZKKcVgGxqEd/ayTnzp Cp+V1d4inOlTPgkR/uYpbvVJY6M/zUrf6RLErojm1t0EgF4rYvEDvtSAY4nHkqn84ZQtlfn8EkyW cJE21l79/cQNIUUR0JUhC7xLucK0ia93+1EgFAC/A6OyaSN5Y1JtvrFa1vtaBGjRiRhpSX2yM+SU Z64trAu2vsU/rlVhK9aRyNhSOjokXeTrd3RkZlPacKKBPDyB5aibPpAgZxRETp4CqBvEN5tT7jEl Yw0T6m0EqYzGtLG0H6wP3VNArHN2nkO+dxX3tocppp+FHHjkW9oV2sTGPZqwLIbdP1g5/axqV7CV PPZj/9IqPqyTBJlb78aQrciuc0Ga/ZmSNTPsxdS6HDjiQpy0p+0PVvI9rUZuc/5bJ2fHcci6D2FW Djjcb60ZtQLO6NzbgIAKCckpcnFzcwUxCv2mByHnjkSNfRvmQNB9U9sD4X5hfe4dJ8ilz06/+GMc l7nO65sjU/Qe3oBxznSmFtVkO3JPgcdmOw67TdrYCo7eSYghaw+FKeh0mLxvb39sAW8lmbw69lea C2nW3Lzc0u6UkL/7vBpuXbBHHUBQ92T7iVROqDbd/ZReVghbRm3/nQ9Pg7G6qOxJ/BiA61b9O+df SJGXKo1p8vV0R1P7wNc4omVoUU8jezSLKN6SOl5SmU6IDmd4xT7O6zlOs7hhbRj/1LuGErGm8o6G 5/+paK6cz4IO8zLYIX2Wo0+ldwcLNP93FU31p52drhKMCQopgvEujZAQy7jcHPOVC0gWl/ybsJZO Y+lruKo1TY5Uy1AwcPWFEpC4vYpZWBFe3wVWLAIWyxn6HXrZu4omz3p4kwlnFYETAGJWC9q3OAyz hsZoG4bQ3h/I3v2YWPrppGeW/wzYPBUJiarrVOj/9OO6g2cx56NVG6XfO2m38iXpLiV/88gFgSo5 SS6coUVvPJ1hJHCxu5m6QJdeNDhpDGqYbQFQWN3dnwFJnDesJqb8zT6/8y7TXsRbdu8ciVEDhznH PPBkFeAOHOQTw2HTxI5F1gPJtlhrHJMQcA0xo5COc2L/yGp+xDxISX+Jz7iN8LN6gOfIFTTmgCiy 1ObNPlj3PjmAz/tV+yUKPzGdAyfTeAbK+aUMhie3VoZlc3d0iGXZNteibyynYJNhnRIWMTjVhIRS uqG8wGGkR4EMdtMyZzALzuX+fAX+8VY6OsfLuv4SJ7R3x1RG+BT4nJ4qECXM1mXyEkf/7R7ReYlD WdNP6PXqaMbgdsNRltuLFBEBvk2cmWkcqMzj3hVLjEG+Zbm5LuwdUTDnL4grIS1wxmvxkK5SRTh9 2Ull6ocCMNTfqEKvxRBjo5yvww/9in5SVtigzjCOkMDHX9g+84smw7bS6ue6191MNiU1USONhOSd flY5zdTw5XNKjaTUEiUl7zx2YrizvHQ5LG91QdZI3+kSiEIBRLk6/BBviNZV7+qgrxkLZ3rhFSYS xm6Aom7RrelWTD+M9tMyitK8HEQtjnZiIGSKZcGl+fSic1yPFsHaTVGYZwiZ/b8pDPh2I9p2bOsw 1UE1lc18JPluE+WS3POmH/RlzRV9HPHFdN/Vc/ejq+GuU6L/D0r7DIX7wlT/VksxxbxaXRZ/I5HE WCDYA5MM5/qfPEJ0RRBpX86O8QQ5a7MdRBtNODb4dav/35H4Bmlk5PRWe4w2znecXnty4oWBZwyF wQ044gqC2DiI3IgQM4HLBA+qIme7/zGTUnEn0H0QtZNZSn7TW7RYXil9mUQvLqJNMP+/GVwKHgwS X91kFQZQzKesDS5DkonsdAiUYzdXhW63koPPPW7fKoSswDvZtTtO9G1hb5CPQJTmxln4LTJ3pEiY VQvS9bSFkHE9EN+AC4i2Ya8FeRBmhqIVXjLukH7I+WLGJNXRCfr1eF1sW91vt4QnJQzhSAT9l896 fo2jUQ5jiYYD06dahzgvyK5NncW76/AK5aGZJlgO5KuvCcXwAXndf6umHKWkch5FYzTrxwiSPMl9 AJx90Ab6K5+dKaO7wTfHOZSKEhCdxEH3sSqddGF2IDDui1BbSw9WgDukCNO9amOZ70WtVfZ6RJtJ h1VdjWSD/nptR2jf0sNvWgfNaWzGQIQBvSocwecvKHaMsBx+mdfN+YhOcE6YtAXXnxMjHbNDiWSI WLepmi6KIz4Nx7i7sNUV+Q94KPSnh/kqpisu5JrT6IRaFjyFIHngnzjI1vUO5YQYOWiepuyBcU/n KD0ISXQ+ej04F140RL+VomuztWzwLIf13uU/ZWtDYJPWbD4fwjCaRSqc5VdaLACRTbo0Po6pymUt Fgnlpi38FGqNsjou3jqVS0aAjKmiG9oAm6dTxA/dQj35114Bwrv4hzSlBKIbynXenTtrD6bYpgva eXJ3A0Jwq9QtGj5Q+gKvPJHtJIIQwtMTC8U/ZA4qMwt0keCQYE9qmhLolyXN06dF4To6ZvDiMOwP QE3QJxR9IYVWgSEeXf5KkvnuIUDJHo3B9zv5Z0BdfQEXTPNVmOrrtIpH1vketrd3u+XbLSRoDEkL DRZ7z02NLDIevgn4kUWsHyOOph6uX7vzaSDVJUjmWKZjWsl27HAcgc61zL8tGC+F1kNoxV3y5qXJ Vqh6jny8cUjOxRnAqxGSKO9MJM8EvARxUeiA2ch9nSX17b7ZQUS7QpRutwpMzt3R7nCa680i3Tp/ QJarxzaQfIwG4T7z8Bv1AVE/3IPV6erSevaWzFxQYjqSVVo9nWnOK/0/jVnZFaIdRFsYzsM7JKeJ QCDWdmQlkzRPBhb6tIX36SyXj31yFCPUNjT0Mq2dObEbjlBhdmPr4ZS44HT9R9LwPQU8DdFd6vG8 EPncy0HHemFXRidpdTZMEkF25ikHRjTkV5RlKFVTwjKGeCL1z/L66YoYr0icNLybf/ze8jg9aH3Y r8D1FPZJPzbXGrMH8KJThvhAn0ce99/OtTzzxemy/GprezU5KldbbPQvxHb5M+gZ0H9bJlm31Mkp pdNhp24jXk7cC8IpYXy6zJiCByjWCYAosnjNT16aGcaG+aWYtodPEFSX4WetxCqeu7Ya9LrGkRAP dC4MIe6DcWs66uECi8U0ktWvMSlOa/KMyhlLRyF3TWFyaJlNrLMaK2P9x/bsX4XRI41/xau+rakr v+z6r8MTm7nmKBxaXMSVzgmQG1wYHQO2UDHobOJEKOv+DPEG14s/TJTMcGPOr4MfGsSa+Grlx7UC d7lukjoMa9EAmqT0Gmm1am4Q2rXnxUXMz0vi6ZuxY0/VtZoCV1aooltEff/fXTrCcu8tMW3TL/Vy jrZsBxbf8iUpchH4dpRtCDWS0yuVX2k5i8A3mpUbU6nt7nMEy36Q3f7f98cvY3wxbHeXrHU+II42 5D3s2/q5Se57uZ/xORKtUnJ1HcXMD94e5eMeZwCuB15v/SXxGILS5Gredp7qkPRWhS367hkhGKLf jFfM/YDuqVqF8I6S777Qt6d42WOa2nwcKbX5VWlKAr4QKeONdRS/7CUYrd13EKG900j85qNgC6jK fq2zUxpjNYeT9e76XR65URQE2PUKsJCKK5OvL+ul716z9MPE5Z4761byoeaYsvQan6OS7Hh0sXER HALgQVqym+yuDVuh9sZsJPMihPav9fna8JBQYYVMTD7vwk7st2JuZnQ0o6PRMQWbtwnkB1veVXXd cSdM6rsFBLb0rEBZly/E4l08/yythjffYryFaXrW3c5u6+/gvQdJppRTb1fh3+XU1Tof4L13VI4P eqKW1mCx7Ek3qiCRjQiV+Y+2JuffuKsNW5F4E9q7n+nNMC1CVkDyF41RHSjs2wzTSQRpcYpc3giL lKPPVHYi1TDhfTFFTv4B9VLUbpVXab/kCCneS8EloTUnDsx4fOGLAPDKp561Ebgd0MhcsSc6I4ot boHggTUlK/BZMUmXPR6D54ReBPOzd73En9a2cj/dseM37JDBo8jcPIzgBvHlLEBq78pARGhoFEw2 XbrSNaFHvUhATbi0aD+opPKQGqEhPZw7ZJuGWX5aEDU052rnAb2Go+KcYhVwTtzamzhtH5c3Nms5 wffyofVcNl+qZT6dTZTluw6WpyzeScfOGXlP7laYfbquZRb+Pq2GOZko1KE+OVa8tq4T5ro2eLI3 k0RivuAHrG11TVbfP2gACaMsCiQ4N6BIyYRvfyVLpzw/rDzBES/WeOg7j7xsPSp5p/JpgmbjztRR gLbGMPjboy5Ya/0Wa2IEybOSp32dqnEsoNUKE10wMoeNEtLZP97uiPp5zzosFQeBkRC8V9qzNUyM q5JCeMm0vp+u81nDXkePVjKahguUiEJSA+L0pWdaz2J3ycDBrHDViQCGCQFXFgxDEaknnmGtPRyN 3NpdmeMDQJNV9CQdnMuMGvyctOln6OVXBDr4CcV/qQsPJ69qa59qUSL9eR31aiER4I1j+AzckGOJ jvevV8TsqTeH3agXrmdwuZJn5B1Wz7ftU6ZeZmYArYhVjseEyQar8TBlI3scnzzJmtI+OxqVLd+k 6KTK5CJLzPbf89Gn5l42JsGwWvRCqednR2Bw53jlpjA/Bal0d9xK3AW8XqJ3pxCx7N0b1mcdxT58 RzyO4zGE8/ONpN7UZx0zNZ/sHokCDMZo8OdNeLIh0Zw/WgJkc+qoGbSVSIVi+1gMRh4goqgZKARb g5Jhev34RR4sYYxdkc9OOwBCJXV2KVYrEjO1CCABWX0ycIrvlOkrTH2UZHYRhjIhf6p7Lj6FoxMK FEc1yTPmwofyIDwHsu37alxeKnqapmxLx6utNYtaH5ocWdK+eK198dv5ocaH58QYx90NSbrq/phc 1/bV7OfZ4Mp4Sb7ZXXjgj8NdYB5trjluVFvzuU7Qtkskgw6BO/RCZMse3piGBmg2nenEYIBuNd4/ 9HG+Mp2CzQ1lyoAruu0vJB8NjYYeNjKGoSwtsHWDO6hUEnN40+Y9K2ppha4MJBqL4mp9TQxi+88r Ls5PgtGbpERxpkYNAly6UHDcwDCk40SV7GsaYTHb5w/mPaXhcrLhBgZkg53vssBLxcvjvg6rPWwV 47GnbZFB2zDGNRSk2hG6cnLV/gKJrBQUKZLGltFrCbbucSLVgVB5gatQfpTCMW8NZ+OVx1dKnGjz 5cluR/NK5IIKpbJCYC67WMapWlRgfnJGE4Q4EJxEwSJE8G2+W10DQ99pJqpeotJ2PMM05bkXUP/a 4ocy/+IzghP76gAelZmKJvPG2u2oKL0oNh5oX2b4/sA18MdzGduv5gr7eMnjDauq16OmJIauUeHc T5Nu06hXAibPx/d5auxgogSNlkgKbBQqRWzjaRAWc99PczvSxp4pG9aj2Q3fEcCFRaXieTzvbQSg ZagJrOFk7xUYr4U/LhLM5xsMQzJlw8oE+cN2t3ccXHICFtyth6dyyY6kGZC8AHEzmwBmKRpJkAua Pz8UYCGRXiPb8pEGIRgzgDLBdsBSgo8x4faARwpL24wHyHr+lxzKc5BRdGqeMt8v9OcoPwLxPvEj CgPhsq1fXYcsLy0uJMKmi5/ZplTU+VzHEOuzZm37oWCd/jpUl2b8h6W9yHwGXz1M53XDVmduQxos LxqJ92A/Fr4ERWlSPAjs4ZEX4aJPgFAtf7zgwyVIQcJiFLgsWunrhANsgecj1XUQSbNhMefKmfbE B7VOSwzwcMPB6jxvPwi4PnVYWFNbrLIqjdPl1RN5Phn8vKoqg41oUTtBJHM/g4Jha9q8R0JlNwe7 Q2WogbwuyiNniad8Iq1PQSffiLq1yNnnuw== `protect end_protected
gpl-2.0
957e1a06932f9279a76b0b443e728b55
0.955576
1.828634
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/RAM_6.vhd
1
10,399
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: RAM_6.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 14.0.0 Build 200 06/17/2014 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2014 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus II License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY RAM_6 IS PORT ( aclr : IN STD_LOGIC := '0'; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END RAM_6; ARCHITECTURE SYN OF ram_6 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK0", init_file => "RAM_6.mif", intended_device_family => "Cyclone IV E", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "CLEAR0", outdata_aclr_b => "CLEAR0", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => 10, widthad_b => 10, width_a => 32, width_b => 32, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_wraddress_reg_b => "CLOCK0" ) PORT MAP ( aclr0 => aclr, address_a => address_a, address_b => address_b, clock0 => clock, data_a => data_a, data_b => data_b, wren_a => wren_a, wren_b => wren_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "1" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "RAM_6.mif" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_6.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" -- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]" -- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" -- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" -- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" -- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" -- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" -- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" -- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 -- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 -- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 -- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_6.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_6.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_6.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_6.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_6_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
86df0026e9204a905b9226dd1377f265
0.666314
3.290823
false
false
false
false
ricardo-jasinski/vhdl-bit-matrix-lib
packages/bit_matrix_pkg.vhd
1
14,471
use std.textio.all; -- Types and operations for working with boolean matrices. In a boolean matrix, -- elements are of type bit, and operations are defined over the finite field -- GF(2). package bit_matrix_pkg is type bit_matrix is array (natural range <>, natural range <>) of bit; procedure inspect(matrix: bit_matrix; tag: string := ""); function to_bit_matrix(input_matrix: bit_matrix) return bit_matrix; function bit_matrix_from_value(rows_count, cols_count: integer; value: bit) return bit_matrix; function zeroes(rows_count, cols_count: integer) return bit_matrix; function ones(rows_count, cols_count: integer) return bit_matrix; function null_bit_matrix(rows_count, cols_count: integer) return bit_matrix; function identity_bit_matrix(size: integer) return bit_matrix; function matrix_column(m: bit_matrix; c: integer) return bit_vector; function matrix_row(m: bit_matrix; r: integer) return bit_vector; function exchange_rows(m: bit_matrix; r1, r2: integer) return bit_matrix; function sub_matrix(m: bit_matrix; r1, c1, r2, c2: integer) return bit_matrix; function transpose(m: bit_matrix) return bit_matrix; function shift_left_matrix(matrix: bit_matrix; new_column: bit_vector) return bit_matrix; function shift_left(matrix: bit_matrix) return bit_matrix; function shift_left(matrix: bit_matrix; shift_amount: natural) return bit_matrix; function replace_matrix_column(input_matrix: bit_matrix; new_column: bit_vector; column_index: integer) return bit_matrix; function replace_element(row, col: integer; value: bit; matrix: bit_matrix) return bit_matrix; function height(matrix: bit_matrix) return integer; function width(matrix: bit_matrix) return integer; function columns_within_range(m: bit_matrix; c1, c2: integer) return bit_matrix; function leftmost_columns(matrix: bit_matrix; columns_count: integer) return bit_matrix; function rightmost_columns(matrix: bit_matrix; columns_count: integer) return bit_matrix; function "*"(vector: bit_vector; matrix: bit_matrix) return bit_vector; function "*"(m1: bit_matrix; m2: bit_matrix) return bit_matrix; -- maximum string lenght for a label/matrix name/identifier constant LABEL_SIZE_MAX: integer := 80; end package; package body bit_matrix_pkg is function exchange_rows(m: bit_matrix; r1, r2: integer) return bit_matrix is constant ROWS_COUNT: integer := m'length(1); constant COLS_COUNT: integer := m'length(2); variable retMat: bit_matrix(1 to ROWS_COUNT, 1 to COLS_COUNT); begin retMat := m; for i in 1 to ROWS_COUNT loop for j in 1 to COLS_COUNT loop if i = r1 then retMat(i, j) := m(r2, j); elsif i = r2 then retMat(i, j) := m(r1, j); end if; end loop; end loop; return retMat; end function exchange_rows; -- extrai uma coluna de uma matriz, retornando-a na forma de um vetor function matrix_column(m: bit_matrix; c: integer) return bit_vector is variable retVect: bit_vector(m'range); begin for i in retVect'range loop retVect(i) := m(i, c); end loop; return retVect; end function matrix_column; -- extrai uma linha de uma matriz, retornando-a na forma de um vetor function matrix_row(m: bit_matrix; r: integer) return bit_vector is variable retVect: bit_vector(m'range(2)); begin for j in retVect'range loop retVect(j) := m(r, j); end loop; return retVect; end function matrix_row; function bit_matrix_from_value(rows_count, cols_count: integer; value: bit) return bit_matrix is variable matrix: bit_matrix(1 to rows_count, 1 to cols_count); begin for i in 1 to rows_count loop for j in 1 to cols_count loop matrix(i, j) := value; end loop; end loop; return matrix; end function; function zeroes(rows_count, cols_count: integer) return bit_matrix is begin return bit_matrix_from_value(rows_count, cols_count, '0'); end function; function ones(rows_count, cols_count: integer) return bit_matrix is begin return bit_matrix_from_value(rows_count, cols_count, '1'); end function; -- retorna uma matriz com as dimensões especificadas e todos -- os elementos iguais a '0' function null_bit_matrix(rows_count, cols_count: integer) return bit_matrix is begin return zeroes(rows_count, cols_count); end function; -- Gera uma matrix identidade. function identity_bit_matrix(size: integer) return bit_matrix is variable matrix: bit_matrix(1 to size, 1 to size); begin for i in 1 to size loop for j in 1 to size loop if i = j then matrix(i, j) := '1'; else matrix(i, j) := '0'; end if; end loop; end loop; return matrix; end function; -- retorna uma sub-matriz da matriz especificada function sub_matrix(m: bit_matrix; r1, c1, r2, c2: integer) return bit_matrix is constant rowsCount: integer := r2 - r1 + 1; constant colsCount: integer := c2 - c1 + 1; variable retMat: bit_matrix(1 to rowsCount, 1 to colsCount); begin for i in 1 to rowsCount loop for j in 1 to colsCount loop retMat(i, j) := m(r1 + i - 1, c1 + j - 1); end loop; end loop; return retMat; end function sub_matrix; -- retorna uma sub-matriz da matriz especificada function columns_within_range(m: bit_matrix; c1, c2: integer) return bit_matrix is constant rowsCount: integer := m'length(1); constant colsCount: integer := c2 - c1 + 1; variable retMat: bit_matrix(1 to rowsCount, 1 to colsCount); begin for i in 1 to rowsCount loop for j in c1 to c2 loop retMat(i, j - c1 + 1) := m(i, j); end loop; end loop; return retMat; end function columns_within_range; function leftmost_columns(matrix: bit_matrix; columns_count: integer) return bit_matrix is begin return columns_within_range(matrix, 1, columns_count); end function; function rightmost_columns(matrix: bit_matrix; columns_count: integer) return bit_matrix is constant colsCount: integer := matrix'length(2); begin return columns_within_range(matrix, colsCount - columns_count + 1, colsCount); end function; function transpose(m: bit_matrix) return bit_matrix is variable retMat: bit_matrix(m'range(2), m'range(1)); begin for i in m'range(1) loop for j in m'range(2) loop retMat(j, i) := m(i, j); end loop; end loop; return retMat; end; function to_bit_matrix(input_matrix: bit_matrix) return bit_matrix is variable output_matrix: bit_matrix(1 to height(input_matrix), 1 to width(input_matrix)); variable x_offset: integer := 1 - input_matrix'left(1); variable y_offset: integer := 1 - input_matrix'left(2); begin for i in output_matrix'range(1) loop for j in output_matrix'range(2) loop output_matrix(i, j) := input_matrix(i - y_offset, j - x_offset); end loop; end loop; return output_matrix; end; function replace_element(row, col: integer; value: bit; matrix: bit_matrix) return bit_matrix is variable output: bit_matrix(matrix'range(1), matrix'range(2)); begin for i in matrix'range(1) loop for j in matrix'range(2) loop if i = row and j = col then output(i, j) := value; else output(i, j) := matrix(i, j); end if; end loop; end loop; return output; end; function replace_matrix_column(input_matrix: bit_matrix; new_column: bit_vector; column_index: integer) return bit_matrix is variable output: bit_matrix(input_matrix'range(1), input_matrix'range(2)); begin for i in input_matrix'range(1) loop for j in input_matrix'range(2) loop if j = column_index then output(i, j) := new_column(i); else output(i, j) := input_matrix(i, j); end if; end loop; end loop; return output; end; function "*"(vector: bit_vector; matrix: bit_matrix) return bit_vector is variable result: bit_vector(matrix'range(2)); begin for j in result'range loop --result(j) := elements_xor( result(j) := xor( -- AND bit-a-bit entre o vetor 'v' e a coluna 'j' da matriz vector and matrix_column(matrix, j) ); end loop; return result; end function; -------------------------------------------------------------------------------- ---[ http://www.zweigmedia.com/RealWorld/tutorialsf1/frames3_2.html ]----------- -------------------------------------------------------------------------------- -- The Product of Two Matrices: General Case -- In general, we can take the product AB only if the number of columns of A -- equals the number of rows of B (so that we can multiply the rows of A by the -- columns of B as above). -- -- Note: The product AB has as many rows as A and as many columns as B. -- -- The product AB is then obtained as follows: -- -- to obtain the 1,1 entry of AB, multiply Row 1 of A by Column 1 of B. -- to obtain the 1,2 entry of AB, multiply Row 1 of A by Column 2 of B. -- to obtain the 1,3 entry of AB, multiply Row 1 of A by Column 3 of B. -- . . . -- to obtain the 2,1 entry of AB, multiply Row 2 of A by Column 1 of B. -- to obtain the 2,2 entry of AB, multiply Row 2 of A by Column 1 of B. -- and so on. In general, -- to obtain the i,j entry of AB, multiply Row i of A by Column j of B. -------------------------------------------------------------------------------- -- multiplicação de duas matrizes binárias function "*"(m1: bit_matrix; m2: bit_matrix) return bit_matrix is variable result: bit_matrix(m1'range(1), m2'range(2)); variable m1_row: bit_vector(m1'range(2)); variable m2_col: bit_vector(m2'range(1)); begin -- we can take the product AB only if the number of -- columns of A equals the number of rows of B assert width(m1) = height(m2); for i in result'range(1) loop m1_row := matrix_row(m1, i); for j in result'range(2) loop m2_col := matrix_column(m2, j); --result(i, j) := elements_xor( result(i, j) := xor( -- AND bit-a-bit entre o vetor 'v' e a coluna 'j' da matriz m1_row and m2_col ); end loop; end loop; return result; end function; function shift_left_matrix(matrix: bit_matrix; new_column: bit_vector) return bit_matrix is variable final: bit_matrix(matrix'range(1), matrix'range(2)); begin for i in matrix'range(1) loop for j in matrix'range(2) loop if j < width(matrix) then final(i, j) := matrix(i, j + 1); else final(i, j) := new_column(i); end if; end loop; end loop; return final; end; function shift_left(matrix: bit_matrix) return bit_matrix is variable shifted_matrix: bit_matrix(matrix'range(1), matrix'range(2)); begin -- for each matrix row for i in matrix'range(1) loop -- for each matrix column for j in matrix'range(2) loop -- is this the last column? if j < width(matrix) then shifted_matrix(i, j) := matrix(i, j + 1); else shifted_matrix(i, j) := '0'; end if; -- is this the last column? end loop; -- for each matrix column end loop; -- for each matrix row return shifted_matrix; end; -- function shift_left function shift_left(matrix: bit_matrix; shift_amount: natural) return bit_matrix is variable shifted_matrix: matrix'subtype; constant NONZERO_COLUMNS_COUNT: natural := width(matrix) - shift_amount + 1; begin -- for each matrix row for i in matrix'range(1) loop -- for each matrix column for j in matrix'range(2) loop -- copy element or fill it with zero if j < NONZERO_COLUMNS_COUNT then shifted_matrix(i, j) := matrix(i, j + shift_amount); else -- j >= NONZERO_COLUMNS_COUNT shifted_matrix(i, j) := '0'; end if; -- copy element or fill it with zero end loop; -- for each matrix column end loop; -- for each matrix row return shifted_matrix; end; -- function shift_left function height(matrix: bit_matrix) return integer is begin return matrix'length(1); end function; function width(matrix: bit_matrix) return integer is begin return matrix'length(2); end function; procedure inspect(matrix: bit_matrix; tag: string := "") is variable row: line(matrix'range(2)); variable tag_text: line; begin if tag /= "" then write(tag_text, tag & " "); writeline(output, tag_text); end if; for i in matrix'range(1) loop write(row, matrix_row(matrix, i)); writeline(output, row); end loop; end procedure; end package body;
unlicense
858d80b64e96c1a7f33e5443a7a978de
0.566581
4.013034
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_cfa_v7_0/ab4ffad1/hdl/v_cfa_v7_0_vh_rfs.vhd
1
465,310
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AAZ91XxY/htpjBpmpUa2z9c7gtYW6xHbh8xUjWVT0BMvD40yc/ieBe8nVDtqifAqx6C+WNy/cHSn 9h7YxxVH8Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A8ZC4nXneS9pBDyrT2m/bBqZ/Ml3C1Az+D41Q7WDkPLPa4zJwcnan/Q2Ebq2vrxAaOBkfyfX/54g or+9jJENWlBMzVnoUDd4AxX05NvSH7QIoFzxfgY8GFfZUY8s0RGsI+jN3FIwy79IWyQdIEm7+BKs ML6oZybsbzHnVjtOLIU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block au18Lep36VC2lRI6ugRB7AXHBMHRA47FoJcgbccNmn/V7R+UG0005vxPU34izqH8XxRWU3phuQAm G83BAWhVayaXZq6UU0czO+OCsp62HqaQo8J30FUBPZS9N/j45SwGVNOfSUobfnlkNkTjjptPCMaZ K0zLYmxaQT6JyO/xSzeAlfpSzn5Id9cYQvx8KcE8LZnBCCOTSrYtoddKinMxZv0yXUZxvYC6C69D XfHOJ7qycaCzz/FkvIBMpjNS2uiCnjLFRf7KTuG29QI+YgS6G0qJroKhMqLuXtYVVNWdbp6xblcM IUyuo/pPNy07xp+qtQlQ4H1h14pcx0FU0Cob+g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hHAcBp9YboNrUoCwLtZ6z81bWgp4hs5An53p7heFb9uaBIIV9AdiaOPlvIMJ17s6Lv1GpIoAVdSJ AzAXxM+V4XAH+qo0PTow+bIQsu0S+zNHTuvldr+b2ke50KauVIOna+lU87fiDijG5330fnuZUJqW YIulcSf38Yaf6pDWQIA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kMIQ46165eQtTwR0kyMrm1cpPwZySge+ajsGVEd29pMeWS57e+cp5cPCL6Cy2EUfCsz240mQjZSG PqLeDzNO02LhWiLNQTHR8qJyXTmbIO41cEg/hwhidjvsGXT7YVsaSM5L/n8zW9fjibFTghryMtSS jQZQzaIQP7t5Mn5Wuf77LG3cJTthzJQ962lGmpM2eFOHh8OEs9y3LufzTsZuJ83ne3h95TZ4O5xM CU0E966wvGqwrS7xYXL+NfEH83zTdk7ZkyPruHxIwHt8rzxb5CZgb8BQ9yK468UmPIz47/R59ez5 XGS0VAiIgcqgRYUdHeWWq7vb56gIEwfzHoX8iw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 342704) `protect data_block hkC4X44C3L9fYrhgruJCsYYKr7KmvREOHGCW7qzsDirO+l9rxFyxlFoD0j0s/Pfrmrh3YI1O/Dlg O0HhZ6QeXRMbYbvSSWvoWO13HLIDc1WFDDUdftPU/HEia219/yAqEKqziEiYs2Qq/YZaH3N8mvR0 T+rlkmEp0CvVYuwdJxzwsJDio2ndwlmavO4NNcQuiPD7S12rXocZLl8Igrnr86YuUEowrLTv8+2w iQ47/PQIsYOR8CZGeqKxLFoRzh/s2ltARDT8QXmI0hTWgTTZ4kjzz+Amr/C7qoGZRZWIfB9U9+qx oWKwgzGobFc0clwRmOC+1iE8m7kg0ffssHeJezjQg5IRX7G2G+K3yIIkPc+US8FjBqXyfDjDstjD nAuIhl7Uu9/VznIaI3GPwposoNYhkU0GOhhLlUmxDcKw1MFT0mL7i9vrggciGJvdb5rz3UKMpgpn ddNrftPYNdTRnYMgsLEbToUPZjhy4LmDJMc+Y08m7aZdNGC9IM+9+FQs2iqMeCgxVoRRoa56Lovs hEyAAPx+KBit1MkQ8hyqVTGpBxNTVHjUkybNDYhWK4uppmUw0re4YqPcWZXrbwZnlOziuBfa+1Fb u1s7QV1Qh2OF9ea/Fg5pB5eyHpO0w6YmTgTaYFUTIdTY06wBYcFhkaYO3oRTp3fdZFUmgwu+kwZE ZpqReCXVu67XmUTdhQa+vfnYatyxvCqgLK7Y/dFPgh+yufhMmrHFeOXUtBSOOAyV/gWQVJF1YrAF OY4P6gpAtcsSKUzp9C7LEmfzCbsaXC+TTlvtLi2/NeHRxnEI/Poz2Nqg3ohJXF84+vQdeiKzQpnu /jtS7bPFzNUoOaz+pCOs2Iw07kQPNTGCNGKhBTUp+VUmtLFByJ8KX/e8OrTHGRtVEikYJs1loY46 xXv/XCdoSZ2YeUbYxBYTb9KKJag7fY2IVm7QhOeBTDT+0/g551fzTDtekkaqR5vsCJ5AyhFbJp54 rmIR21Pa9fY7B9kQKySQAlG3tiojpaS8+0f78Zu/w0Y1aU7xOd6FLsxeyuKo/IomPl4mau8yZpES 5PJlOzPL2sDzfLRSFYDbzIE1NwYkFaI2nSDb9XsNBC0/dH7c0dWsTv1NOEUQZ+QlzMIe7Tfhv39g ZiESHpNfxOi8O0fchH1Z1lgGMHA5rV+tlpqJvgph7DasKQtJGdxJDZ23zRUejmO44wZwlJXx4Niw a4rfkfU9H5Qmw0mBwNfbkuuXsXPr1rg6/AGlRjEqDQeilIOj0BN8BQD5wWlifoz3BJ0tA34n0qmm 5DtMaNYTZ77xAySjXytRbtt5A+52zRw5sAXSOphUsf3De81bDQqAzr9NE7tVZvEtVUXibU0gxzvI 1inx5hNN6kFF192MpWjoaqzet6QRbpdCpWHeOhWz/1w2RsGq+vne8tqa2mkf7hevqMomoLIxGsW2 1TFsw/CRxXWYtxu6de7C5nMPQeBALSpW64APE5wmzIqhfSrfnnLr5c2JySF2mOSOz8uYgTv8FG3S fTt+NJuJZahbWLRZAnD+rqcgUVstUwXXAFbd+8O6XWO8edf83NyUkN035QnwxdhV/akBK7QW7HwM sGuVVeING6x4Aojm2+ThReTk+bLTwICUy+qp6A0L++HcWCibfQ81JvSwLo+iebJUx0IkbnmEcedR Qw0mZKhO+DTM6IYVyHncXe5WO25l4G1G0yT376iXhSp/pJWgiKrTSeO89FKUNGF8USNuU9cQuPFm 0O5pnAzE0uSkafAklP9Mcvf7vhsX/arvmawYg32aaKL0Dj409X2vGXm0rbjpi0d2xU9pWmBi0dzI ekPDQiFba0LvHRx4lqKqZvPz9rlBOCHkoytVWXmkpOps0K2Hh5wNZ+9N15NBvKbvTfCtAGPIiKey dfsbOhe1ya+RCrnH1lDnY9G9O4UNAGe33oTocE3h87M1Mj8ZEIVSTxvIdniR5dXos8qnQ7hDEeeL 2atJGG83hJCSeHFy1v9ZwFFnE3lxtomqg6atPPWgypfrIxCWuspxZU3MzfvflUaWHqI7A+N3PvAZ tOE8O6Ba377yCsKHA1GJayd8wc25FhQulAhRg0mcdnlxth4kQnkU0GQeJSINuR5myDWkfLKG8XRO FALvNiJanHkz+CAP8LtQblu16CgmkTlEjAt+O/zLHx4vK3uuTosScsO26QSRSm4ohEGjwJjKGwS9 AzNBBi5WWdG+mX8rLEzeV2M8W8dYqDvIFRDzBeG87+VcJhbPelSROgSxSFR6tER4+DbldwCLbkHJ Zzr7Vg1S+f0j2EuK2as4C+pGt1Dsg8MgQ+sWCgk6+T0UaLXH1tW+FWWoNjapHeCGLHFTyvxQG6wy qHdfxXVwhgl1+q3rGME7wP+CpIkZdrPYHSKK6yE3407dfG1qIpG9K6gtk3mlY2wSIMkJz0vfQNuP 0jJotNB+J2kEseL349YC7ILs2p6ey/0uEN2tcB75zbGeoR86EDEyxUPJLM0LGtcoSq49XVRlfWvh b94A8x32/BolYnqW9WYhorGkKxn0UzRQxIO0gUoHjhjoFjsjLNgo5v2LRRjKw3CElbPivf3Dqc8Q 0kUqPQ23tUeIljhEAwP21/s+ceLgBEr6zRAz+w/dyOHqzhbFogzEvleAcHSvtvSpPpxerxGwIfWw WxN7z+R2NOzWsBjsVitLjrKvNKDPk6L1F1ESbl+UiuHw4odA6rz+e3T+WDLgKwQathgK4GqQ1n2W ohrWeIbZaxBqdVk78WBnw6bM5E2aehQEQIbgFZS9JH05Q1VAbR8mKVdaowdyVYvVfjWMT3eW0+nO fx92/uT3LtAYKqHyRjDlPychA2q/HWUUu4W9RaDqqKbBo6MQM2uD5mi5mALpxNVI6DxNmFXFeVlb 8rpOhKMjuF1GZL/Ajl4gZaQPTlNP5Yzs/FbG1AkvpXDiQbAVdNwU5JVVdGZCScXwLuAMVsb8EsBC EDEPgshm5doMTX74JwHFQOOJtROKv60O0lCIg8GNY/AvZ5fYIZFK3JnuP7wlVnv8pFglklRt0FTV 091+xdDEmJPcOYSNBtwCPp/UhV7GX2RTV7KFWGvqjO5zYn22y9cLX2dQzMhKrtl0UFOmKaiETUML P2n1JZwwv81+UFHRNEHxgG3Wct2ov2VII+VmWtZhQ1SbEe6AbCccPLwKxPGbNQTcHVzfgRL7SYHH rGn7MRBOj29y4l78K45kLTLLe+2TQrFetAolyGKemL7Q7SLmITFiaaa1JZntfkPrCH/SaQjn/mYm ufqdzO5mxfT45bTD45pS4fiBr5ke1IBoxkxZv16sLPSzBEhW/KQoiXWSYXio5LLubKOY8vfSpKvW 8hEsQ1IM4SxPMKqfkiXvSnmDyu+MWWKTsJAGi18E+FGpr13SBaghKgRNw7XbobXT6S/60F/gmVIA ytNgGBIBEPNkA+CaTqj3JG2QOPVgCNP8dX5VugUVII9CIuW4rolQ2jLulOIZVQcRQYBPVQ4IGsgz Aj1xRny85W1/VP3huBvBubToRI5wTAV/0/q0YXj+qpTFBuksngf2/xLeJuN246k9XDY+c56Okd6p CRwY060RdQQacGfY9JcF3/ghMcYRW7LhRINfuI+CcpRE26y1TRZlDjzqvYiQ7JAbFzEz/O+wCMVj c7VKj+5UwzBEnaC8Rb+tQ/k5xAVocLONGVoHNU3WQS42C5vG4cBDFNwVWfQX9SFsfugAjU/b4JNP MuaxBJaT3nwsSIwxX2WXlfirCKehYNFWUp4A6trSx/38l0zWgvgGkxoGgtSloc+qnjYyVDPB7Ox2 kGw15WC8yH+Nh0V99dquA9btoTEqQY+pB0/80qpovAmKX/PMvHrbFFmYhGV9E5AMXd4CJR5dM3lW 91Wv0bryL4bfjW9w09Lw0y1BlyrmMS9YbIumhUhZdxN8HDSYRp69MwpGFAqCoZVBWN6q5lRx9sSi rWAjPAMWXmY4OFz/OYxN4sZNbJA1ROg7fPTueSFqqtlPTP5cD8CMn4tvCPr80hd2ztTkDwTy+MtO CTXMDao1SkfyK+XEQp2WJVFxYakb6+kmMwkCKUkz+nTgxHS3kIoPCacWY9Y2XxxRpYt1EATG/ZVu 0fDCTsMqtJI6fBDLIQLlBR/RqR3EaGfl0Z8A6lC0qhyQg8xwt8P6r3eJhxuaw+P9ustrtaOfSysa apFFCQHyFWiwAZeAYt6Wq8ChrK/Hh0MqLLDJOujdu/Py2NgunPdllzMqrlsn0/4+H8ifhy+sth3r Gq7dW4pcF44XeDsnOfHAsSUdrFbXGutrzs8CxuiJjKBVmhOmooN5M6VeTaWgl7nPj/sObvLAaixi o8yKHP5r7c69gtfCp5SHbWEBXrDK0kMWiPTtyB3s4KqA6R4fqrBaBvuDCbDgJ4i4EoF4Ea8gGq+r NhOcA/Gh8px0CWK0Dlx2tbVzgBqzKSbQ+H+2Bnp9XlgJrDU+PdvRX3Un00cfNaaJPwZ3AThgOpEC NGWWFv4gJvu3mjbaGPOSH4AZ5XjOYRAM27NT5mX7TSAd3VQlBxScUJcwfLoWnl1uK4IP4L9xt82t G4OrH5VUsZVyPPJU6kPwa3N0SYWrOhv5XthKvCjLu8za0qfc1mJ3rODoRATRSgpPhKS8rgFhPYW+ yEtzGErS+1oVp2XJiai21bfgVtPL75mzArRW9vAV1eXJ0126IAds3a7YzGWU+0H/bHSm1jvNmRlh Ll7a356ouyi2kmwF32PEdi6fwsKlVbeDEpBrUA2lByt2S8Z4QWWgMtM+adcpa12peTOttqzy1Hgh Z5SJVS9100tpRdmEFmHMjTdtKfFIWf65Drjzo0UvhiBZNqnrYgUveWFmCezHlsbCKufjGPbkUhjT areAEgp08+VvlfIWXr/QdXRitcaQSZeNa/vLhkjWHiWdTOG7VinKcHqWmPCwuw4mXHaNfgxzXsgf K4tEKCbIuXHivtGC2VdZFZsUC/N4kIVPo6Ec/Z3tXxO+TMWL6di89RvIm4xgva3QaMkuUEWpvJ7Y v4f8sz8sbcVbXyrsQQxS6zhjh3ShMaJuZYeY45adOjIdn+wmtXfFZFFV7c0YTBE3X9BnY18Hqlv8 F8TRl+cGEifv8OcNwB/V6VXkgcrzaUg0iL1DbcERl/kwSzSzvfQrbGabU9otRRz0EYKGDdwFdoJx 7UuoC08brZYQzVDvffX4WwEsyHBGzklW6nbBCPTlMMPWfazOWyoDt4F5q9lhZuAkMaqoA2BVwwI/ ZTuCHNMHOI00C2rqUX1qGuidnOOL3m8vMa72ojet7g/JJvFwQ9adN5OuZXvTSfBgGTcHM7v5Fbnq YBlHZVGi2Rc6kHp2cxK27/DxlMRZwKhtlT027JuRmRZ4Pcxa7e5kRGF27bPFHQ2acmVeYS5RNYB5 GTt+bOifhFF/ISN18UbggPBtlDNKTBy3tfLm+6faB3XUs7u+5sI1EEkemHGZenMs/dYj77wfGd/R jRvfCECHIsM4AuC48sJJiiiEgoCTOFIvKC7USeJB6cxFQZ6RZ8ZcHX5+LJ/w8RstVZHd+3luoJWz FWHtmM290xhRbq2OGx/4tXiYjy/hq4RJOE50JyRPEYG96ZI3b1bTdAr/4FbCCMCWl//DC1YzkVY5 MejXdsMp8Y8EJc8DwPsTMiMz334JPwX42liMlU9hFW/5MZ8vm5BG1yZimo/tYfi3GYp88j1xjFim oTyTb6NRsVrYUYDRzK4B0qb3K+KKzYvEZu2dXt50TWH9E2JWIOgt5yQcZT18xCxAxM3Y4leEsf1J c5mCHV4b0xbTejN6zc4PAu2fjJ/8bKxNCsfRR4SaSMcWf2c9WoKoTLhrg9Mngsi3QbN2Yr+LTLgD p0GGFyUkg+1ZuxpDqV00pPkeyr+wmpCDFkk02Chqjn/QgTGU17QAedibhucganoABpK/wJ7JgiP5 eWNje347DFJVRUcOoWY6giNxZz5hjktXwxzKpw1uxUF1Li418XgjT4mnGsJuhb2WlwnjHXSn0O6Z Re+W89qMsDGt5Yqa9At4hgWakqxxN5Sz9wwT+SKDbJjfa5d5sob2/SWTbSWtDhBtZxGlY1dYiDCQ ZmTisbi80VPqVVUjrA2LnXt+fXRYDyi1sVO8Ys037TlQ7Hw2jWlucNwCvk8L0vbjrcry3Q0AgiGz FKaYDG01ANnv4VdUqZR8AqWkXh1MgizgVaqaMnZJfFNZ6QU8aWXpud8MzC+CMrK2n2OApf5UxULP LF2HDCn9ngU9PcYUAzFbt+4LJyM5HnFIXKRHBbxN3alkAncxPuifIFPo+hgn37IcEmu5+LpmbNSN qpkUeHccmNtxfiHEus8NoKdWd5a2C5lrq0Oqa6VRG9P4BMehUCBsEwrKWlubVdC3quwe9SjiKeqr WUTp2NmOaUycXEBp+srLFAGKxzcQZQ+FAFEJTWcmG6CUKY0OU9aI27T+aA49Xik/mCRu2fLzFZU1 RMztGEmZfanmuSZOQ132P2PRciWCxAHnMWNNUg6XzD5yKcTQxVwfZ5O+vg/sApf9CpOpNVztUaHL FEg2OGfub+EZ7RytaC8LgRf25wuP1ZkRLPV6DVX08KQRCsnP8/LfielZmE1mYKNQQuAGI25wmXeD oqJe/LcGUxLtkU59cmQF48BjW2nkt4lhQLm6n/+u14AiGn162Rh/BxJAqwpFJ6fXcyT0r2PBIaq7 L00leh/FrhWXQJTlDK87Mmjz2jfd4FPzAyAj6p7KvZjoglopCG7Q06qRmU1PkcDnxdVwxrxqicb/ wOUrerTS+PfBQC9MYFzuzlBTeSs8jJv3T/UWytzPxjweSQ4K77LpgrQ4u1dhvm8durwH9dre6qAG rcaX/nxmrXNKKCFR5md/Nlvi2pA3Pqyjye6+fC6k0nwwnvYkiPbuZ23sGHjYN0JgR9kMlfERucD9 Uu0u+kI1QP/lyyDh3yZvW9VrsWOZKyQeDIvIMWxNTJhnqTcyq5vkJPV0FTNlB+tUh7L729ivuWk5 2Roc+SPmzuF7nu2DUd3p3TXUWDNL5puEpZYkUuwVoV3bonwbXyyXWDbmGm9AhsHba13mDWFbhbE7 EPGtK9ZwYxDP3iWrwHT1nct3m05KuNLbL674KCah45zmnqXFfdYGkQ0QLuY717AhErOh631X7un0 Imdiuzfy65xqwMgo5ZeZO1zLsX6o+UjkhUBR+AyePzIvmzoKRl9q6mj+FXJhq2m/Y4jI0/2LURJ0 va0vkvXUzFbvm6bB1gayyvwkUR4BOnG4jO0IybT+B+9JsZIYKn/wsPi9l8k3ShtU99q1nPx+my5X 0UhZ5HqNu/YrYZMTDAY5y5rKnxb/Pu1NTJkSQ9oomoj4kDgLh0CNkdVT67idmAKEBFHyw8ZOAK+O s27d0IPI7MxCMBrD3NBItYADIIIcFFTMvf6A1b3hC+0r8S1fsQFtXKe4uFvUm5lH3RDL8LKA5TOV zDiIMW52kSv8CCpLXOWHbucC883Fplysi9xHw1rHMUhWgrrPm2n0ydtjP7Ub2MNYmyDs+I6Vtpb4 VRLarNe8hG0Ubx0ClSHXi5nhEi3TAiqaC1NfrB98Tal7xqU9f6iljqd/EDnzvIuwO6n8+3Tdal6J K9/yeW627XqPSGAGKpT10fYR4TlRhrqg1lMKRGq3V9JcaaO/8dxXi5lv50oHSXPQlJSBVv7jFybT CooX8s/Gdnax2LlwigFRuCrsghDZQ9m/GmZpP73AN+aKG4CimWZqV9DtmBTF0BnaV/UoycFgcoSM 2owsykSrG3D2TFLg9GzGItkQ8G/d1fCKLayK9qJLno6XFgUnGKCVEliFmGguKAjkOvRA8rrqR9xj bVnLPu+kEHvYpoJofMOd4y+6UPAtrKUVZBrWlnVZly72LWuQ+/lw5se1+/udv35uHUHo6zbrsA5f BDzsSyOuT4NvwhZX3k0r+WQLjc4uMqMmKTSA4267DmmmbuCaUek3w0Mo6oHppSJQoDmgtEw/5QzW 1XiBgkXHldn1cT/nmDkgWsqhQr3dbS7O5S/s5weSrRTsbR0NgzQMcPVuJPr3tZC2t+6EEOYcOkNJ GAc04EjDgQnfn93x/bM6oYnsr6hHhNGJRJqYRckB5TzeKt5fl/iOS1La8xGpRAanGNfP9QJrnTfM GGpgeeDb1lILnRxS71V84dQfUUsp6rpwurJuZNE0R2HkgYdnh0NAltWTkHNYgsAajdYnmCPdmhVB W5jY/OnKQLE8CHSIdZd3e350hCOHtzKtbDsi1pq35mlYzK3zZC6CP1raA1Nu92eeVr3L+FPA8vrL R02UcAF91dh03EfLuUw4P1MXgMxWA6fs8Aq6i3PKgpqyM8/ChpdK4JxxbeS1+tWJ2LwyeNpXoFvG fJwu/V7GEmwCI5ChC4SU/pu/8zp6sAgdFYiw+WLtjLtA0mEwZsOO6X5uUSgHebr76/h2A/Ideytl mxr6fQFS77Fwsx6tzaL0y2Bf2W030vyrjdKNx58He1U+d+e6B3CQ29buJA81NLxS4LgeqDMGZr3E ZwGgev+GjPNeTQbBeJB8lGCiwhf0Mdn691t8k5mvg6vbPnuLugMlkdXEgiwWD659OVrQMNXltJoW 2fYz0bjEBb7aU09urNwH+2KLaePm5g2z4i1m74TEfVTi6sgdwS010Q/5bHpvW5KaJVx1P6h/eBph t/hNcPC03NHwu0QOters0La/DBffd7G6aTOPGxdOCx/yHIYftKP+cVosNqHIn+2lfqoXvJ7rWvK8 YphmH05EXXWCOCDQvUl2pdWd+EtxkVB07fnt2q+Hz20bQg4nll/77cnVZ54z6RXdNeOH3GnLDEPH f3I93Fon3aRgUxEJ3Oq74GVuBRrTjldLD/HESflG/okoqsoL0iliPPEdx3SSkAr0dK2nza6xtwGJ YHd8AG4Tw+p883OyYz8A5bJ74zLkpyMNLVKTCZpmNZEWgVFcCXfqCxMq3M5IzuTJ895HP/kanrNC fmvPZ3rgxKSVFBH8qTv+fnJR7XYrMZZSXj8Y58DrkW2nhMlbUair0qQ0UeVqu0h5eeTrwrxTy695 aDrjnB/8E2Gg09xySWypVMmHGvk1vyZEUIHrwAffwsuV0gZJProSrreg+Ix1kumGw/DD7YkXjeuQ Cn8CVtYzcodD4P783fbrLEEZQmyDJz0M3yTMGdLiPzl3gdkM4B7uEWK/1Q+Acgu6i9Hre4L0Ch1z lJMk5UxAAYlvMI+2JWVGyED1q3J3evtLtzoEwTm372Yzs7byZWo05ySibTPedGtPXJXRFGnoOmsm eRMcPaY5AdTvRoC+qX75YiGAIeCalKC5t7VQs9i1H1iouAA1ZV0wqccasSuelnDfKQX35wGhNS8x 0E6kjHl99UhdzYnfa8mAD+yM9dM0bizsxU53aRzqeipXUu51cktBaGT7qFjRQFuK9bn3L3LWtvkJ /rxR+0Bi2httKAtLO7Ple6yTSBSKr5HJBVJSEvCWxaiqmHJLMZVkzQbzkKI+ubwAW9iLdtGxMWf8 9kMD7qCksnKzTvczWlxp2r9/DQBdx7hnKKEKRbeTO5jOFaJbHlhyFrCvPN87moYLYCXDrmW9ChBh whwFs0tR0tDpnwDhcba0uz/ns2PcLH/MYTKTWdcsyKIrDOBuwkFRJfyohrvXM/nvSvQsZ0Du6E9K DCsgCFX51uwDyCfpVG8hP4wgO3/7pwK7ABDAGBYZPSYVeJd+//bmQnMRV0Th1JfZjpDxM6D3B/V+ iB1i3IneerhMez1l6qU6G9ezQ8Fwc6EWRMqfqxyDDDaxzrcOtJC+rvZCDHDEKP5vE7I4hmlvNFnM DpRHSNAYVUl1bAKgKTEwkHnHSgb9nXJFJzBPqR3OD3WQXPBo4JHQlng9VwKsAXDgSN5WFxQi4ENC YkoBZNH+y7B0BkLgG/o1tLveat3CjeuHtPrDm0pwbFzlfUKijfRlQoqdj1zm1Sgxv+dv7H3Tqb+6 0+E8siZeWACoOoDHuspc1j6dIOsEGlcJ9w91+WkaueHAYScmvOK94uGw1lxcfuWfj7lIPlCsdRFj KgGWxgWMq+XFc3WDPvZO8Hz5ZPRtt7+Jh9xwwy4TYq8NiHAUoUqHC7x1uqntCgroDj8egQNAd1ZT HtXLPLsewPL9/W9vCOCI1pDCk35HXPYaYmDGi2VF+Ss1fp3sJccvvOERGVpXhzOp8rgn6OZC3YT2 93IYu3ywx4zUBUaaQT6S3F6NEnFqB8a4v799Jp5VK3KKx4jflZPHAexuOvWkDsO0pl9gXwuMqnYC uv1Zgkjk5fBRFLsg6D2IN8LPOza/jwS5CWzPUHDcxo3zStB7LHyZMegT5xocM+t5b63XxbY1SLEb MWAXkWbg7Kaj2Hd3fk7h8fZevCzN0UqfZ1vMyiXdKHtAOC4dBOnuD1vO/kHrHDOhqoaMmrStL65Q /KHd44ChOFQjZlO/a8sUlkJpQLiPUnJUuS01HuOU2QhVo5dsRaR21ivl5Z+5SeNLzAoPhCrLjM3J ZU44naFjMPYvA6mnt13YYZApNsIR+qcOItBZ6xxk0Li7tTN5mN5XHsHMFLXC+IPLjF6GbxomyevZ JPfmF8O1pmben3tQpt7W5KuRjiZHSopKrUS0rgmZkE9/3LVV3xAVgMR71JgqQQo2GeTnQNV1HPtr 6/CK07PPo8DBHhIpWk4vC8cZW16rqVbtnRdwhyzMK9HGQhT46QXrXQH6LBlNN1QU+Z/XmrAhgcVo 2vzLKrY+Lw9nmwXSctuJHxll2LYvejhdfalP7S37W3KmXW+IYNLPfcQVwor5rhK8b4QWWLIENLSA vqNa9zLeScSGZuwJTQ/02Pwdth1yVfoEh8WEKyNMzWJOI28gjpu7Pi9t3/cqprYWSVjIJ6Kfdh+G h59VDCNOCyZEMpW0B7n6j7YtEhDRZRpW44leG9A4ncbA7bFcjH+t3h68PRZ1OFGQYnxBedHR4yop wnBR6dgXIehtYYeQqeZ+NPfRzOzvq4POj3+3pAvHmLVDSzR1DaXhdNdYhLkuc1ERWF7Y6zJzfc3i 4NmjsYjVxaBIcxT7peTFsutTn+urtIW7/lomf7PCop1u0OzjUtGKTuK3W8RnbraXBEvySu4h9WW8 tNv39MEafXKrBqLaHyxwxpvPHwQo0WKfQfDtko5iHE9hjmj8WvGxIVmfuQ58cSgoXlyOR8VMl9CO NFgIg5NEOUyqXsgBsDzLmo+HXjnnqyW8fJt3I36PJS8RMg00iQZMOS8ovKIM/ROpsMzZ7Kpwd0By fuo7pQ/cx9CALFwcqGqvQygNmvmk3rJadsHepy4JJt93TGT5zB5WuonsDTswimsrDfMQPSJ86TzL hw7ytpnjxyYjGZHlD2aqqPClZnz2DAf82x1aN1/sMiOxbEK4I7eK00Fl2XHhKeUIwaIP/lBoIBgJ sTuiRlDIw4DPY/5ApjpfQKHLe2wJtR68QwnnX+M9V4VDCgYQFfGECyi9ShEodqsC4bKfg3aAabMg Y5TDgegD2sMIJ2QokIy0urh+zOMMp+bePOosPCtbrWDdy/XI6ALV+k74faia+GC1DKrXjCzWCx1E hS6EDVdAG83eyzHggxAyWeNcJUpMx/ReOeIZmRBN67RdQJlxXFRjSO8AJuvLcZw1LgW2enoqLmFC gwp6jPixj5UIWhHwbP3E+miAGNf95OsbcIObT/KWCq6Jwxs070jd/60ozYUlOIYlysk8gUCeqYti NloWBRDekK0xoQHMQng7s0ZJ+CkX61bX1m14Shcmf54MBVml9Vk5RZPPuFlfKn/iD9KiB4OTwIar fhX581JhcBCPB/A/0GbeGd4h7+lHRPQ4w/hCcXXuXWZvBHv9exJh83XVdMV1q7AzurodDHD4jsjs c0NeWCkyRHU1ZFlzGgPDd+xUStllDMdhK54c/ArMUskmDQbkr3I6Afp981Vssmt1l1IBnce+i5He jYpsyi/FtdSRZDLEzus48SH9tz4FKisBAMShKlEIFKXd+yFFpaJ3E4mIy0g/cecPgRI8r9vqtVzI gmMR16aSzWBBNoGCVE/BXk/kmScJMilDwNbslv+GHms2PP2SItvUgYXpgkJnEGfq6QttSfEzbgKF 3HTBCzOvEXW2jcXZvHtGCnQMT+b9XWbnAsM2RI67BzjRSFs/TSYxzraSxEvkFKGK4OT84VtgJQIr Yc/s95KSnscfHLSUALfs1GWOsgnbo8gejFQAMSL89K7sAPE6DF9BKm/WgD8Md1a5q3xe4PCgWS2N NRV8HMrPc+IbaIcIdRbyb3Pqsn0WvytZJ193ZnL6qDGDyauxrWbwdSkXsRzf5vyIWOMTNmjle0Hj hhS3qlicdvDThApSYO5UOyET6rGxmEQCqlgxXKeVYY6yFRs3G/owUtJTN/Aq8sDAHbG7k7f5xIlY E7UuM+ZAlxBUyjiDo1FqGg9INIv0fCDqzwE5JVvJVOPZiMeUajiP6o1pzmy6KoAb0hoJzDKH+MdJ K/5pNGlk/7Lz/GBsMFWYKB+xOhaB934G6+JSvDCUwxDPHEp8NKvOGPleBeeo5uNfxDhHuZ9nnI0A Dp5EzSm0EX3yjMLzOLl7frppjSTxE/tTktVoS/Vz5Gh6aA+kpbhd2TwXD/JlCY6+U/Exiw8KfZcM ygKmPcB8qbkLei48UKn9F+wQ4sBBEN3R3CbjJ01kEFBjeZd/wRE6RyACWNciJuKGMBWvjWlZAA62 f3GQVwbtE1GCSqt/FzlfJM0k5PfuaagNoZ7VBBYitpkJuxxkncL3Gfk2pR/cjOjrZzsCYY+IpNmo NeOveAWjMRrKV6q9jSUEeXFr/47i42LJ6SldNC1+SqQR7B4aEEeRz7uKhsuE3pB142NPGal2BroB WbC6Co/jmH+T2+i9AxXZlABGDKogCt9ZfxP+0kom3jiYAAqWSKCPn+3UStcN1N9bYVsftVrJ4e9U TQMXrkvklULfd4i/qbw31xovhDHLxZQriDFqIL6ATXdbc35vNyV+PpifZSyQ8+6iJn3YYD5+9vR9 gePXmhGyregdupROMlEUMt6JOCmyZeqywXL49Lx1qBuM5lGegV//VL23jGzUW7saHj+L/ZSGZXPF ePFxeONQNIfnHXzp6r06eCuLs8Q/ZDFegToq8coEsVP53/IEoVFL8M2esjWwcEnPMpiWCGzs5D7k P+ISwjes33T7wKYcYAHbTOMypch8lZTvIo2+Fxghgu5hERgiiJBaOSoXCiRhsn/5b3N1QtHKJ927 tse9sbPeI/LHugmp9q8PhRSn3+/XpJLu9a+9h715oaAMZ0S31FICJLyGwzazy2yC+WIiiS3TJEew 0xEsNd2XWWywIB330S6bgyo3lvUC9EAG/qNuQPBHxEoyXEn+84QaQ5GOGJG2kRrlUphiFJuM0Rzq XQ0qW+Dk9Jj47tuSZoFFIcldDWeHT9YWtpkWoZPtTHYbNz5Fu0BVvVkxqpOD0rCjX3bgVZEXc2Lk hpYufiX0rJQs94FAihYYbEmpbCvLHGrpVsMlRdXCAXT82qADp1eEXjSFj6X2h1B0vCbrNSPwu/8O 71ZZZ80nmBJVVYdSFqqhuiPsG6KqHU+wzOwBdz260dyG8e5kOz+V/45gIR8x5VSbA/+JaRKu1Imd /3gPOVSkUnXMyStK+EVQJVHdfmLZCBvSIp8FW1mkwBpTNTHmfNCgGNUZi5UAIsivgECBaotJyxTS F/2p/yG/OMmn27A/wZcNtEOKCObSudFbBCGlGI+Zs5MNEEh7Qpk/87VWBJW1RPjq4N2zNVGlf9qL MEct2WosdAjYb1G5qblKNB/KmDWIdFj86S2np8bbpGWIFgdELzKjAiRqj9VjlnaWKWkRjCQqNIpS T5uNwl8F6kxgwIIzOe35eWogg9RJGAKhWSfNDaqlNJ06xjq63d1jOeZ3hxiHd8wneMZuHZIVZK05 rJQTwQdfV2u7FMdgjduUI+DygGKGTF/ww7oRGTdY78+Pwu7mcNpzM7Ni2/HzUzUALGy9805RubV3 PcUCP/SS1lK7BQSWO4XfuubfAgYCREbJxkRBYUbJJIBo32F1rO3Wt2fUqv3dAKMsl+PxxLfB7cZ+ ooeZoEJEUWHEBfQ1o14rfJuA8dbw4gqvagAkLouRmWgOjJCM4cg+dW3QZRiJE0oRN/YSahnb7rFs qETx6zQORwqmcQ+CypuYbl8lI+RW2+RItoDg8Mx7eX7Hwo+6zdtPc9MbsGegJWzAZ4tOZZTmT5nu BaLrwuADvsF1HLzG/TWLKvGOlYqIXsnwBQ0Mi9/eti+ACb08xgDYCsJmIxnI1cUeU7FYG3AtBeOn Hp5zwJGpord/V4zrFghMLjlEzixeBh9YvFeSnvYOFdCTwrxG+akvX7jlo7KPdjkGVShellWCWrCE CH08E8Px45K0v+2uoUAmyED911pc8s14TqB3WhYwqNcmzrQKyOMgmgczkDkSQRE6b6fQo/rDhfBm Pvrw1goXYTylbb95/PuLa+IYg4JRFzhTYzKSQsQ1XJyaWIIcUevkMU52PC+Zoe7PlRtOb9z4Ht+y bC0/8B86y6PzPCoWZTFqFOZWRqyTwBvgewqdwXnBzzjVlknwxRCfyrXX/CCrVNJZ1xGH+0N7HBys J6oi/WEP0DJ9zoX61QBoCPCMtP/V1C4fI4CSRsf6RddZ8ncVGcuDOkrZddElr8iw7tFa43W5KVR0 5mwneiTZajGnhvEgoLj5EOBEpQ+3qEtAPvQdyU2A59VOzqkggTBc4jWtEAa/2PkrmI4uPRiK7++b 2BjkHC4b+aqHkIDWfF9gJkyDR+VbARxAMsuBvMQe1SX5GlNBQE0ezZxUsRZj06PXR5VutrRt89zf gUI4CpbnbPFqX+9zNCuyPGYI9Q4EfvCqKbNkTXE2Wbi1zSAJrddgczPyTrEm2xqxf2p6UbGI2Cgt hs/unKngs7Td0pKUJFUiBX26IZRAz6I3xmh7iMDHl8J3/9Z/elrW2nY7TTYqR1fn3BLQszIAUqrL UvOIw/dlesWtcJsGR4a7VHngRhQKtohfu8OQFAapPjMO7R3MH6bSe9d2Oy9gmuoCk07YouynaujR o5rTj6Ree/Vs8zYkKirHfd1pyvBKNiiBeoPfqnkZcsQutzOGN2Y44H1P+Uw8i3iIrt4q6P0G8fRM Ln5CxFO53dBrQo4SB/m6Yj8mIKTm+COuPJhH4tCECz5YSKPxVZZSGt4tOeq0J+qDK/OIowIiPso9 j5GzJqzoe26UOAdNgATSo8RjQ2ZrAVxmuFGLToPtKxmanBWJFdZl1+gaAee1S60jek1gihIQ48jh PmdKS9W/RzSIqHfbeRRN3IKxU9js1xJpoo2UHrCOt9rrmkyvzzjehRcfphMqsP7goK/bSWP8aoUc NFznJNLRomzR4k6K4Sjpa4rgBD77JaJGwLOeG1QYNFjYTIEMpJKyn56b7tJlKAMCn0LxYFRyBzzp Hb7arQMIdYLZ3LGQPQggEU0vKFS5t6Ygt1rSG4/tLySFedaC6DAyDlWJvUeKc4lslYRL/bYudRrS o+VXeD86BX0i3sMScGk8io0jTg2VI16F6d/dZnci4435g/O072Y82iZjdj8G138CNcnXWVWvxQaW RuOabgtHAfj/EMYkSz9IKpnH9aW4VH09r8BLm5JVKqT2GQLWygPqlAXhCEcGl+b2kWab6Pc/mWDa KwdXkUlkopTeGMEOo1AJXvsO+4CiclqweRxG94qn/3Yt28eDBllrtskhl31l9AxtMB416bqx54Gv CKGTf4vj0tzyx7RUxOaNUocHBjiKICtBsuUVglUD7ztxCeJUGfY5WkazWP4kS64o1Sq5SzZRzwma gVxVlkmsfX17HJ+62hMSR+XxQdvr+4RN88jOp3CQPuTJZMEbgs7sEuTRfinR0AYXlSuGXc0FzdH5 dUB1iGB/2OWijxE55nW7mmS/qY4zYDyrj70WybliXSOzaLVlNU6DMlD/I1vkH2pCj6Gxu8UOB8l0 YLRTt+oSXCWgaoKd7VaXp5onms8bGADEqyJE2yTmVLU0CYKjARa2KNLBx/hNzt58ACsewpDIiX9h PizKjAfR/3AhOT2id6d+jvO1sgSB6hsT1WcnlOBOrBCtEj9A2akBfOiwmO+ABmxg/OGaoPn7d0cp 46MHwGDKxb+i7h07QhvXCEjk0yjhjwIO16LBq3TBVcAY1CI8LRyGqkMhy2fmNxll2rxjakDnV9Xk de1nCaCn/nwPRfotSMxCy6fn4ySCh5q2BrnrCWyCjeyGoxLRg0f4YC5DROBPsl3358AvyUo4Dt8N CsJfcgZlDbca80Eb20+Tv8+pJdQXkUWs48ING18T74mzXDCv5PuR8ghKRT5eJaMx04BZvSjhhkCR E3L/4gPxCO0weJn/x0L6y6n3piSGxAQOh1q2w2lkHVSPALrx1KXGmjIufJH7wd3DQNNBAbTDVV8L eS1oac12NdcjcaoxUetGKefFLm5OyeWWY2R+FpEBKY5+CfnCDkd7jnpY4SJ2g5ib0OuHHtph3LeW 9ysVffVCUx6ai+Q2bvWYidt1xDg/BzTu+AnbH+VTQ3CTTbLpljNji4DDi6/GV1Mb2yly2IKh0dsQ SkKlO+FdndAa9KQlNjhez8BDNkl3XxeecjlNcgO+Klby+lRhCszFjmBxRifioJ+fH9yFNwjIyNeF egpBmU7L28L0BcWgoGUp8/QRi9L7nZr8O5BAlUN0uE8PJLYGHdjuPQHj5mxTuBMCDKHExG5poPHe p+9ctMtFFiLV3sY+jiQU+dpHbybxQ8SG7YkCp7gns5AZ1/pA3FOJPDOKcnoFutVF07uRBZH+QXpl NqIv+EZ30CNl5CRHvwGe++m4JakmcPqK7XU6jCMhtRV6znlQUAOVRMT5q9rPWHc2YDJZZr5yxMop NcqeNdo/sxSTmnEWqOlS5wiBoiNEwkFbLp5DygrW52OHGYRueJsnVB9XvVvbTcrkrL1a2itho0s8 Bbuifecf1rOWHWquF2mc6nMGkEaf7t5mx/way47/4su3lfqsVL/JSq/Fpj2hb5EyloSOjQrjEjrj TBDIAhGncjPnGbAlt/ZoPDkHHwTf5FN+BYQlXmLggYy2goF4lERZdf58dz9aajafYy+1Us060oLi ZoIYKMJp7e6air56ellkNHteL4OBamCIm6ouBpd//6fozFojfDSbJFMXf4RCTlw8DqdBIa/Q+qSR hw9KEr89rYxXYTv5MCr4r+OKCCkO8eWxmSk4S5xuL0JqXsYUm31HyqjNopRT1ekdtSkojQ1hXZR0 /LQSHvkD/9vREa540Hx2VaL6nh/5c+BdSHsxBdtC6wewWMYWyhtbTcm8Z8uwInEplSLVurkNliQ4 cOsWMVIh6m3Bf7xCG+tlgj/RWSKfg20b5L77Y3X2PEjggDYHXc8g7Dz0c8hrFfvwOs5c6t50gSPG Q1y7bY9B6LLFoDCY/KGF0rZ4JPqVqXi8rJ6ONteOXvdx2pkGKfNAopRp8wcywUPS8bc0MtHHVhnI o6+pemBxr9JqFkWaCS6kskkP7xvWSDjmi4miJv97VciErFrXlRlywWhFVe4FpUz0HWwarMgXWlNA 269CrH/N/6OSoI27lWVoeRynARO8qcGIHLLglGj3AY/QLKKFLfQtkNVZW66RiQMrHkIyuMBdUc5v V6g5fR8ZttsN+lxI+w/OVnYoeJAD/lrYZsES1XI7FoiyeNP4avJxu+3D2Zao7cX2+My7wloZvGeL eiAOd9TT1TARpg1epiENpMKRHtd26u4uyRKKbk40QNMbAQdqeqt3lM1NumJLvZVmcgLevDwAFmW4 RstAG3CGUNw13uYMSef3iHg7k7kJwkGAYSaEgzzLkfPIOY/J2Xh+ZPxKSOBfn1B2dzdbXsk3rOYa Q3AD8quEgG8xvLb6BAl3g1xCckS8Yq6hkGgFjFoamcX0akQ53bg/4jL8o6ujfsAVuVBPgqjdp0f8 kpnN9s4VmXz48e2H4yF8eQOkSqSmllxEcx0tHFBNEWodNBpuGlxfgTwS2+WSavefnjMkd9BxoX7P qA26VER5nCoZYq1ZmS2KPMIz0RmUvKPtVnicfoM5SfbaSrbMq6iqAIJWrrgCpVLX1emfVWxoyxg4 z0Wyv9HEyDxDqmdqqMpwLD4kyv/TqivD+Tj3SyZHbBuYrcEClahvCZIN8eHRCZ+c7GiiykORfH9v 7h/qkltjecs4aT9UGjjOkbyXLSyvK94xCcuTG441OQAh577bCbO5wLsX8PCxxtr4DO/1TY3T1gPR bbISYM0g2Y/axsvvbk1yCaLDGjOz0SY+OU22uoeijHtDhBweA54EBgfuClCy30EGJG4X7/2vjzl0 54hXQ3oEi9eb+VzEx5c+LVgpao4RaKPz6IFRi5rSP584GJEoOeN+rApLBBISqH3f9cuKQV8DRBVp rfMjBwXhLMO8oLlIWMQWzlffLiCerbSDKXoF7sU53CuP5HFeR0C3NID9hK1tLYnz2jfbejIJIXBN 3HoZjT7TYW8KHfKzNodwUVoTiASaFyJ7qt8WF443WsDQGYEydxsqYYmDfNflem1upvQr/Sk5LlKH TQLOYPHnQcRiihN3jWt1CWF1rjYjIpHh39epv4+PwlaqX00RFskuaNEIfpaNmD9wHyAeOZUeSmd1 h9+b9OIBxYBV9WPhZ+16CuZbwAu9+LV8HL2dwMtrz9HjFA9yqeIE2K7KYGf77sFPIeq/unty2Laq rvpmzgU4UUlkBsN/sJjhtVLKo8ZU5ZXNBwuWp7ZiueaBLlZEiELt/BqHP+6tbL823OAE80b/UfeO Ay757GEnjrnlz0fLRNRWSKuTUmY6gAfSZKAE+tEmZ2ViJIwcs38KiiUvxy9GvmwkMSfcLEJx3f/x 0f8Y2lqWvvYquxXymfNxzHB08D74k0Ex3BT7DfRbgL+Gy7Tmy/jBUkMMDlzgob9Di8TaA8niTfBg kp7b4VjEogUdDY2jb4EjgvFrrzNMGCA/lCoXS/qsWUgLacZGLPCeGS1uuv2dNgjgF10nQ498YwWg rGEFbAlyiiR9uSNZw/MjOpF0QUENkSE6NcVijsWv8JKp/0brkHD72aJsfp7fZbrFq3tIEJmiFn8i koI6i5Gb5u6Te6sIAKAM4I3Xpo03x8+CBZaP/cxUojgSp3RCCiUE0bp34b9Xi1QsX0YOkHqJj4ew Co1+WkuunAf9xu3vdCSxElCShVTuQL1Qx7x3gGSXEGgKwPUdS2lsIVPflIcb8CgrFA18MtaRzKQE ugEF1ONmTocb6CxAI6LWtJZY0lsGhtvlbdIrsKFUtQdhOoO5+teIAp3hq4vHxUmK7b9GVSxzD32F Rqt/xq7BmaDcNgB69wGMSbrXIMoQqvdqA1Da10GE6b09NbDYLu98dhALl9WDwLfDHjMK4Oy8CwUg qLI3cXNFQXC6moY3K8SRsJVp0wouxNR/YnqAdsB9Qd+VfXwdtmQ+QgmRqdluEW7buXuJuQqjAqjY 8hwLBLhIljohNiAj7scpTFvEutjTEuuOcFt4v+YMaPYtMDTSbPHXnUOds0T3AmBkA/4Ap9Nw9M9f VsV3UzmrTbLoDs3IrcFz5sYMrNvrIXwbzGQdZj5765taIfOrDdm4zXMkmwfvBqp+1d9pIVBuBmXM 8D6PsNHu9ksp962LeYs7Y4VxtLH4dJfuakqia7EmybPddD+qkvHG9VDTY3tl2znQ+QYOoIWEFXqw D9FkuwNop8HnBJyozB/HkB9pooMhFu87h3dP07Hwh02PYjv+I5v5+fa51uUiCHZvjrlaw/TGt+Pq hI5d0Q+QdgN9f54jEfww146aS5HXgfOrmqTmJ9YoJCdTcTCo5AbhRHCSSHA85XBnS39ayMbbHQqC 3LO45J0x157Vd0K04EO4lPD4qvpmytR1B3TWapFftCwZxYh3W71pIixs0n4nKL8wLd5oK2TH9d+o KDhbH3UdEMnTJYPIez3eXy65b85qlBlKRbNR8m5QPy6FXTgIJZOK6LhsnKjPTdVCqKG5fz94QXhh CBL0R4LD8UfxnwC5Fccrgu9AMBbCBlLpx74s/+YQoZmUkgiyH0Xu4cOsMvGVB0VMCIfE4g5EdqIz Yb1GCHNYX8Qn1gX3vriFq8xQnj3XD39XVEkLXUEh+oocdkJwDZx2L4moHP1Iy6vrW8q39NtYLPnD KTiQWaMv2pz0cDxuIyXKinM6D981JLZzflnfhAInKXpgqEnO/TT7PNIBZEWINXeNDS0cxGczalda o3S1Kwh1cJMn29hADAg7cFEbvhxEHUbulreCZlDwdqn+SIedJRCQz1khH6uEuwcPb+CzgglUwVhl RKA7sXD0qwPv9uuEdQ40Vg5K+m0epcX7Y9sr2gR8f6JSl8g6mID3sGigKyh19g5vAyQFvcJzhZfX 2WvSkwKIXhYAU8QaCpdZJwBrFNTMK17O2TtpUfX0rh23WvevapNqZkuqGIouR4sgneHjMiBan346 siTmGz9V+C10t6nLZJRpA8hQMpxwrnJHuWohEc/z6ECsENXlUpcCLuMHME7DPZ372V7t4ycEtbqS MgJjJvr9AjWaVYqtQ2ZiLyMdMVfAxgjQhd/fFIqZqf2ySuAjfuAQ4XnvIe0J2L7ZfKI9IuMaDoWI oCmC+QaRRIXQcSRRLM8TXGRiA06F9AKoWCmxgWcMwc8lFwHc1zz9BecV+FDTzWCDj75KNkgmzJf2 OwGfnyyK90GtHbQfdUa8mYjUvCSfjva6DXVdrmILDYDuXpvsKP5eqb05lotbIcME5HEcQ2emNXpD bKA2FsTbJ8+LC/ZRSs9b9FN4WZTlGUn8pBlPvzlUy/skxN7vF3oehCejyC3gi/g8j4Xd93zPNU4D HX2hDjx506TorRt5EVQlj6gHf5RCqHm+ocFrcK2eHRzVqpBaIdBl5A+7ZOQ4/4l5dYi8y9Gqy7hU DCNIu43+wMR9oKvMnuN37PFnlfXiyBI+Hfp7QvFR4NqaetNPP32RMjJdXSzWEzmmMSs9XS7luDja MdX2589JlLrVUYfUXdtDWzdn2fMrYw2009QBNPHS7UuVfHO+PZiEduMMUrmaoRyVNSWCXXpjIuJt h5t1RgGZpdiFjDJTFmA4NEfgz8k97ZQl3Euf1i6gZSNMrLGPeHIpUVuNHI2LJ8adbqoF+Ju9yXrU SQGtEwq+ghNF+6wEof8ohCWOBoQ30V9TZM+kRfeNHGvGWdP3hJCUJ3wfcmxqkj7DI0V35yruMOf8 8sg6vpTYVFOtrfCSxDtnHgnD+mZgoIme/CsV/eZrSKm3m32jQSvxScG4HEG3fqBQXgmzncXXAjdz OXFh7uaZbWAEuwpveQr3kU3WlsQ7TqYEwlSMxdE08WXA1USmUjY+q7IhEQXQmNuQSCjDYsHS/XtB binBunNV33CmhjJVDa1myfDQfoanKo906mSwG4TdEWZV1WuF0vxyAE5jkZbrg0HxFliLQwu0iUjk IOBJbQBuR6ee+AJJaRF5PGtPXQJJtmhGxIz4CYxLw70sjWrT09kQvb/6+2dkxKdKErReLFpsVvOd h1qwprg+7oBEuZInO6Xbq25k1N9hCoGolm2T/Cy+hX0gmSY0GNPZ6DQQSCPdsANmTDlo1h+yycy3 KQQ0m3K1UVOnkJDiWtJWKedE6bQQI5pOCwF2Y5mIn4pjN2UNAZxK0eooz1v4X0zcf263nvpuTGm7 dX2Dq1o57eGBOPttN/A1nW6TQKEKinJSbe/jp5H0mXcec5iLZfAzWi4BeUzdFo8gdipvlU6ZeDy3 kcio3H+1RMe02iSx2wU4JTsN476go/h4qi9q8/le3nylW6rZaJlk25Z5A9hftpwvOAvUfXAYgQfY 2i18n/jvElgxIw+/fpZppM0mZf7jZixJgzkrK7WzcR/uFJ3BIg/CXYoTaqT28/bTut5HbT7Bg4Ma 2hOSkX8ijwT2LOLd9eO8FQIDZic52qq9bbelXJWtq3AE/S49LLy2R/0UDeaDdTtupRt1W0NgAjAv ge88OrwfoKm+cP/LxqPmcvbTssPPGlxo9hVpnOSQHq++NfVto+Pt7Pbu3//8xplLmmSgmvFSf9nW FKx3eaPf3D3MTVFM7amUjg+VMoL6wRPMFeLL0eF4KMDwnC3/6u1lNLTbGC+//OSw5II+demlu8CH JH6vwKjCnE+vLz4eXYhtCFqqV4guN0E1Spj8pvNPPH4eRz8Sn77EmzgwmxdLmz4+WvdyMWrSmFbL bE91SZjG0U87k0Vds+f21PwdyjIpyKaI3fsh8Hk2pFdBZCgaqirwToPu2VMhgV9bne/1kn5batOC 67J+cj2HR4wlKL1YKq0H47ohanbwnEsmi2/Xp90XOyfbrXfVg1OgxOPWR1z4h7x3ldLFLx8C2nLn b4M8YO5c3PZ9/VhaPvTlQFmqnEWE/Ea06AZwRRTgLj0eQr6NMAQmkscLX4ZsYANWVb91Vf2L7EM6 2d3ACaLogQVG/9yondIcUuL2tiaCEh0dMZ0gHh1mDGUG0gc4VioApYJ0jGlxvAzlsH0oB03oRL4/ Ltmgk5VJj9RqIscGWKx5qaKs7pJuAKWuPMIJerDB8DTqhRABj7jy8uaeWwveYVX1yhsNq8It2kU3 Tie6gm/o8+A8JA4b/XkdxOlqYiBpAhXOHZpxlK7u/fWLPi++4ZAmRw+ay2PJ/XUyX5383he0+kYb Ll6qqRZNkp8qY98XEHIJTsXYSvl63l5ivsyqYhHCGSJb2/7m9CAhK1pph5dvfwEdy1hpPUywuT3I b13GF0H+OhPS2q/N7RAIaNCyRcxbGYTyWKljVNOfX8zYi0DB1RxSe96eJArkjhRj90R4QwYHuKkj t8ttdZ7QYi1e8dXwrWHgpkshi3HIb7mefdhurgOM5KgA23SEVrfltKCQIcyR+WsZ32BefD4NiNv5 mGCwVe94517mA5Sx9/f+GFMyiC+jXF+V9t7g3IfiN5ls1OAiRzzY0OQacHF3mJj0Y+jixZIy8S7A nsjZXY1/5+qA/buTD0ZV+DHQI67eLSaubk1l5QVjLH2yInwNgS05pn5gRiLv4lOWOpXsOA0SkZSW Opi+JjJwNTJUtjgMi4snJst9OPIu566p5/KDNuc5+Rc99LpkSCDC+C0g5cNJB1bqma+44+SfHfKc glOKX4YygmBP+hk2D1hwfl8wzzK4nbWI+Js9gLnO8l1RckjZykbJi46hri4HurE70xn3DD46Nzfz /icGZmnXngm99GQOoTXYYG7Vi9mLen7VfrePmPFvqu91WASfgB0QKF9wCJsd07PBtJtOtqYa9W9p CZF/elVXJ67SAlTFN1GT5yeh8NdZtWfnQDyQp9HSXjIlZfM/r7/CMsyu8SZ1xZ2P8qCDPtufWyKd REgNrHiKNS28HrtvKugXkJoyfgGXTGCCrEISkDXeTjctFczTXvUTdasA6wkKJyC5BpgkQW2ci1JV GYftbbpBfon7Y32iD42CAh8kBhR2TRUhDURDCZ+7FUBTNEBsxxMlIQ1+XopCLQNalDV0i7BmvotN LlMJR+H3YpdumumPj6SzABUyL2JmbPAxlZRYkkHvBntxgXoiU/TbkJezIJDLL+2Ts3BlYmZjpXRJ Dxg0lZqZ/1MlieSIQr+jzBC+su7EE7i74IAIWeTsji7n6jqk5IbEHWwapNJIkt2rjF9w6ogPdpny cVhY/fLcPe5Gz3sHL6BFaswuyBrKH2BpZ6iODZVk55RyzMOuvfT6RISnlfe3OPw8ikVbBGPoCmSO qQUL7RutozN0kGhNzD8o4zEpi6hkdh5J1XGnksT+tmIKRGMRVLY91tMn5J81uGOOvrN5O1H4H+IX MyOO5Fl0+eZPZwtW9AEylYNhqJQv17rVz2WZqY7V81VfZ6dWFSjST2I1oaqdm8Llo9DbtS9vHda2 WrhMILHotZGF3jpbd2BmnfaZaaZnsfPzT6mirskzDPcAoTdayvtJd2yq5iZgHj3x2lp2DRH48mtI r/70BWa/+ab2z60JakB1+qDHCGE1DvA0bPcss4v1xq7hNGDQXqxAAdxrOfw7HIfWpa0aMY2N18fd +BvodGQQxbXfL6VUNxlGLFmlyRapDHAcdAepBSyXhWi2flxcCu+A1uddwA0OlR3roGR2AgI73w25 RUN6eyqwu/NveDOgGTj+R3F042wVxabZib9syMTXLpstPQ3runLqqBx72bQGdmgpS5XdPadOe7qz Lk1LVS73kM1PJ5wdlpAG7vlMDE33mWGntxGgkn+prM8H4GcybLb8rEzV3ktBqYihYwYoBXbLuNBy vQZ3xWMAniFAsOa5n6YMP/GXKJ9y6BomvQv78Ysmbql9gt5d3n2lN1FVWTK67Dj+ZLY//pzkn3dF Y0LcsCZ0jQ0MdkCKTo8daYkVP+rl2ygtOnIan6tnvceq2zUaSoGHFjM7k+OOqH7KtEBzmhlYc0K3 KdXQq8lT0OtD09VdCWk5CwUF6DIBRungX4UDimviXsX7zuz89TlGiuQHkrwqodGpvTf+LLKDANCs s9T69pGu9bJN0A01mMbbjx9012L4fzkgBJrxs1joULaSeuq7l5G9DY39wgz2zpvfSIf/dPMVBoxm WakJTjrPFeBx/LdY3bAHwlhm/JaJFk7BH8y2YtdJXChzXUxSW5NhfFm5SXe9F9M8Ww4NXsFVBCTS FxP8wjvREAyHmDdnDke5Q1vTz8QAMcOAOyNOTZijwSgVUHkxG2SO1/4wgzXxiGPoBwOBAq56w0lT c3oy2AFmQ9zY6N+B7KdX53dkmlPIG/O8s2Bf97cxQm6QmJf59Q2MhDfyDtCPTAXjZ/E4g6z+8TEe wKjX2R/oAX2oKFVW/2PAPDyE/Ex+jgNuEKPdeH8lNjlA1cOvo4TSPsYpJfSy/h5O13fHQggmmDc9 Dw4sj1t2M/vGFG8icztAxDJNcglaGRKJ7NfA3KV9VDzRT3gPR/uo/uq0C3QZpnYmoEHoBgLlSBoS Nx3hFeCai/eLPH119Yux4bYl77kerpHyjymd4yyljMpx4BSeD3LDnTPO6XGruKPQfNeXzfNFZzHs 8sfSkNQm6K3C89I/itrQ6pUy6n2cJdv5pA7MTY8i68BEWPrGUJQR7t7w7H3YiNLg5UxUPc9m5C+O 3JtjGURVzRCU/Tid/OXXx7NlI4Ix8RJ70K5aUu+U0gLkkmtypuy5a5EHjSxeNmLJcVQk5YN8/7uH y8qPC7rP2MXfU59s+gv237P4qAzssgRq67roVFCjCzGC+J7ElsWgZrezbgIgRY6HJeEob5v9qOVF NPeYP3qtms7WtNcv7nn+n8T8Nb7WMANtRVkZcpHNcG0nLWbnEjj7EAmXhr6Fe1rFz5ik10n11y4G WSMFcxOkt6u6UTBsSt6NvJ+7LfuMOz7Cc7tvL0hTC+ltC5xez1tNI6CE4fWDTLj1I0jLPtE5U52t jxxz4fw2CvyQns1Ux7mXUPuE/I6isUlVy4o67d9/qOwS7kpiPjaQk4FB13QEyQ77aH9DGYD5l86o OyvvNYhEaYlVdqFiA7qBQCiOi06FU1KnOMTIsl1/ZIH9wdrd2BX6McqWfofRit7/xerZ/6tpscmB vnZxzw9Qy7huMuGy0R/jlQJ6o88QBMN8UHC4Nkg7TFBYK8i1sZUttFiy6AQOvIC6rNMjrx2ByYuW QNgWCgRqedVuYe/GGGATO8fDFGFaxiRkqPpG/24O0ihqMtRrGmN7JpuNIffzwqpp7ZSTuzVmftCO X/wIQgjEapLirxIDVMhvuQ61kfH7Q8iKRvfNEBQPZFFb3uG+FO83/QWhMsvlUtG9ao+9OPvR3yWr E6pP5QB4JbuLBzMymsiTH3EQlYRz/QAIildChBtHSjc2PaGh7Q3IycSQZWV7E6iU6WTHXikKxvr2 EcKHFU9uZcWO2/10xbrqrLO/3biHtPj9oTCwuR629+QDQtK7QNRC1mr7IWMyPTp1o3sCJeAyFoDT JOQYFpfCPwKwG/EV+pg7Ge+VV5rfiR8wzC7Bbnkv6QGThObTBQidqb4btymDuf398pEc8xknX2dF NgtE/sPh3bQ5KwMjnWXEYy3T72lyKOXPY440omv2iKrew9/MQe7KUoKra3yZ3XvQH/jUi6AFta/0 BuATtxUscvy3U9BTyqZ0WvjRRLiFGMKAgXKlKSh6lB03xFKw38iUL3XQeQXtSy5LON1Y/xpTzriR scOMaYmNh/JqMkuMkbZl+f8Y4Nsg4o+zMGSuzIiQ3xWyr83IgNiRGv1juOOC5JZN/8wr7+mpRTuF WXFL2iStYJKYXNr9pgiiF6UZj2PNkzxynSGY8O8ngEsBP8SHycX16lPwp6XUz9oktURXVKW6jGyh Bra4V2281jGeBNESUC82cVTJut8qub4Mt2CYtELMRYiSa6xKRSfTb/UNTjxLnv+j3/lJwgt9yQoq 1TRhahFRfn/EI/7OgdEEkzttMTp/CC+BvAdb+1veERzzVNIGXSdXrMBdEsv26repIH75WA5oNTX7 rg1KL7pgsPu0nQkidYPwN8SFL1SN49oLSDfYUANf+UKoWxEaTZgfSCtO8Z9/BUvpwW7JYGFfQZe+ aU9UHMnfNaJcb9UMY8F80KB72j5oPI4fsRXIH8HKSYf0RbjdYozFsdWiCgpcV7oDXqoU0JOCrOEl HykmrNsmuH/tYr51S272E8YdlF/i3EuPxuHMS+Z0L//T1EikKdynS+rh7Y9QqsCeqLVvsh3cDvvp /87Zs2unGiZW3N2CiZw2LoDwajjajsPs6/a4awTttj7fThvOQ4JYhM3t46SvKsnyo8bdGift1pNS BJl3/rtUukgqiBmSu3N2Px2Wy4taGvhH4kGGOY42o73LJF9QAeapBvyowMlzsfEiIwBC8TbW14c5 Fi7CwB5rkVk+MQGh7tIlIPimD0cAPuE4heD1Wgv2jYe3NUYIqXhY943NHdqgPPmUIo5OGJkowTWr p1t9JWBoEaTH3inP+V2aOeu3YVfLAgyPSy3zNuVqW0DOhNwbGxnOndV5dCQC3lNG2QqImriJZo90 uFNbUH9vCy3z6Lc4AhT35FkIEVs2S1ZsPkAWSGNr0vK5cU1p/FOq7qETDiU7evaCSj2bhfYMjv/B zSMYqVt5ihPeav+ik9/tbxoULtrbsPZBF1B1wkVYXE8C9c5x0IKqU1HCNg5KIdw4jIUKEwxMK4+n t7Mec0+Nys67PW2EUguteR8ze9hjpqAT5027wPOGl2RNrhw2pk7Ee4D/d/3SEU2Sbs7+eB6hQ+QA y6Oo3UmnnVQ+t34xIEfkH3gwJFZngfGZ3Nd1MvdLu4j6zLxQf11neDCFiKrCpuVXH2ZowiSpVs8/ 8IUcHQwoFHIzhr5z3wlh+qhfNnZ/EqnUTKD5cxEMNiQYtc3s6ms/dByGGelPX6oAzDtbr+w0Kflu deb2MgEft7sl+mf2Uz7KKf/qs3siwtn/rFYt9VfB1CAe+7zqKLyY6gsgC/kgoZkScm5SiF5Yofa5 wxJIsCjUJV7QUrlGb9154E+/mwsft0eeVg/LWUgOr/5F7VFrm3oQaczvu4/9gQZVWKJ7eRu6zzhe 0dkH1DrW+9eDahR+bwJb4sPglOs23CORw/DEPQkqDmAVXslK5wUQcbrVp8YEjgeMI2HjC2Weg9eM TiXaXX2tt3RK0hIYWTnQ1KmKeebliluIoC6YO0soMoi39Y7B3kwGr481hes7kswIr+ylGV/+qmob 0MbzNiqHUr5OUprXqcaNWcoTUInpdkwS1AyGwzR28mVST4mmQxQwtTvU1jZwbNclXFe6QN3GVVBG 0zwxciIEyDEcWGR9BLxLszvs3gsj+RIPyooiEIX9Ff1eXLz15ix8nbBR13Wey/vbN8F2MTZxAIlA 9wd1QSSD38PcRCXA7GXEBgYGq4cpEWIvW+nTBX0R4ZhSaS8//W/D/kl/wHBXbr1CiFeP31ljI97o EVeMty+ojgZh1RuKFR45vc68+3KS/XypNR4tr8qgqCJ3/S7wHxbLVpGSBKB5qsLhQTWNimKIKojP unlJKLVk8RFqbFLf+mjy3EZb5crwbTGWuc7BOm33PL13qq5AKkfoDvLC4eUX6OGlZCVPmZ50taCF RFYIrWxB7zXUhTY+TfqFUI7Fo4F35zaA5xslzetxMYs0cBcrdowK5ucY1TYSa9dXsFXXZooL1LTK PYXRtwCg9xop5brkTwAYb+NIQvXmx6ZfzMop4fmZmkho71W+tPC5LaH1rYZPH3ROQl2jEx5HETAo rwpoTAXTaWdF1VIDGzhO6AuxnyUu9W788iaMQ+anpX7eyaMIAJ947wNt3rs97iF53MpcpU/uqVc4 4jzyQllEz2GPSa0HTdx+Y/94LG5cft0WbVUEPXD4E1YLEU5wVoqtYslyciDweV0YVQ70DsoEhpQs UvU7anwmq46SK97J6ezRvM7Wgo8waHuskJaeV3KFvo7K/OCmXtTIM9maW7ivcmllY0seQGrt7WN/ WZULeN+0uYbFFh22zPct8/P5s8zyRz2Ixev3iAu3Qu8FIXLnuofA7H5oLDXGdDRRFJoBRIc92aZs JPNeHxX6ZFc2/8qUP41faMUITOfb1y9So4e3EmL6b9drrKYo/jwETFrWRYSRxHShKlyxMmX+Trr7 iyz7e8Gq//QOflueIGsXEeoys5tb+ezsptjSo/2qD2aWgIrbNvY3MQXDVL/cjZeQPBZZ+1tCkdlR sKNnC478Kwr/EzSjg1pP9E/NjtMwwpDkApHBI+83dP72rc6Dv0hcSabHzC5PuxEoF6HeRWS1ZDur Q9mWl95ADxfzSsYZiybXpvALY2CfCEePjnfc9JKx2omC090SkDj6cJOaLaVjYRdX5rNZkN/SOrOs alkvV7aC2h7Blw3J6smwLSAV4MY0HfX1mLPxqfO3h43HvhGtB34GoULien4VIPLLZ9HDoMVYL01t uOMKqW7QEPjtaC3wUqvfycYaCiVDu082jfEFCNXTVBq83CSmzNHvzV+Tdv07ow2zNwdwrtO9u+xT V0nhQbSW/lM8nuBhZQqoFDmkedurkRflwvNF85jXv+KmH5ZyBR4P4/79zWZjKd2IZvmYQ5lFwyVL Fwh11Bj8HdGDAC26XCgDvxHZdlcDQXckn/jWWooJYrx1dJnb3wvRlZF1m3U4b167UJ+4Zjs4zb23 VpMrMvDJUaZnOxNPjs2QYaRtklMuVkpzwDo9ezA8EyJYYWGLt7yMSySBSiuwYoTSsdy3jMBJQUIA q/jRobvxXN6aQA9R6b8CKAKlbSZ8iPud7WYb1RGSK/4K4J456dLZpgjZQNNOmrVJhTx08nLhySDh gZXijR2jQH9Bq3EQFhdJjjwIySR01bOzjMem+nPOxOXPNypfhHCR6i7u6Np4qCRGuu7nsPzl1/uG ZNS1/zegq9BCnAp/mq1p4aPMZGglX1XFW1iM6UeeziP2WING8c+QCtf01UtQqmUaaoMMM26/nWB6 EZjuVlAMl8iYkpoylIFSkoLoD0r5SYBGOQ3Nx2jO1Sj5d9z7o/UPbStYFOF7Xj54Ng/pihHSR1yl 7LUJEcWX/yTJUzKUhGEirvTyqD1d9h61t1ve8Cj+mOONamZZmur4T4hrqlymnakdr84Lt8T6ZL4v w822IsdqXDhO05oiiF7CBrPIiq1MOuGxI/u7yvE96/8YEA+lv2GzdqFNaQuO4RFQ9pS0ezx5cxYu c69QgZLZ8hb5/B1ljHJ07qatgj8Nsj5/Exrgz/XEcuB2n4CQ0MsrrfNVej0LicISH4cUpUZj/ZpI 74rAzCvdydfKLWz2Qmr3KfI0dWRvf6U6GQqgCn612JBRVNpqLrMa6eQh4DZHtuBWaXzvdoRHitfd 4QqapASgNq/kRKGu5f7p4OnEtuWH5o3Lw6KBFcSVNIxtZXQvgrH57LlHr3f1SsmTGLMCVHa+mPCj mBObED9+O+vNLHBojBS2Svxi2pidO35orrEFwg/FuCNw3yGuNB1FGUrCKQQG7x2ZlLcHOEhBeH64 kPSWKNrTCLocRbZywdcppYZLiGwQH9hSgXl6aT51rVgy8imFrTaAYW+8YL2qMW+bthJhZC3nR189 vYq4wjT39Gusr0g/nGiNp5pyTL4AFz0e1D9sxZ8LjT4n4WxGj5HEL17hDAgMrog207wr4V8bKjJ3 CP/bJbmT5duNeUaYWH3IQ0lkB3K2kDZ/sAEtPVqUJtFL/QclOOc1lcZSXyqT3pePezx6QPj02BuE 1QCmOoL20TF5ZAwZYrPpkEqyjKRHAMvC8tiE0q5tX85HkFIC1EXctlpftGyV2ANbX5YLSezZ4fvE 3f9GRR6B/6WCaoh4H+LwjHbbaViETQz9bl37TTO5sn7NadPuWpLLhiXX/d2sfopuaYQOGvrGNsU+ Th45zB1Z6A7c87Xq1htQn/7ox/6ikDed8JfihT+s5T4FOqDiNQUfNXY/ys5jQVO4LdAOaK1ByV3L Q+7PzgDkH8m9KKh/FQd+f8rXjW6CH7X54sX82Xnom02jgTZFHn+BWLjP0LYGAC6iVGAaK+vo3jz+ G5JPjKFZHxRhZRJPfE8nSVWVhtA+O7zZdirpsdDkGcilaEWN/1CDuFCRodJ5mme9Nvt9ot1eQh62 +DUwCyiPsUu/1eacW4/EnveWEpI9dVJM168m7wpPOGAmAPGQTJ4q8DzOKDCWUuqeoBIY6Q/jCiVA /Fgtx8gNuM3EVxzZwmmnKr7yxI215IOsjDb4KJhNGY7DvJz/mrvS856u/iQoKqWlBQtE3E2yASnO r4QkKpLqRHZFvwquYUGtZjZ/ZyWMjIP8dyGpNIiGymmWFVCRQeEGtSXCLR7qvDslXeaBx8cWFSZY tYNxcq1gbORGslIgAQ9EsqTLUwF5W3LKcH2eiUxrDyICOaFD8jpOfBiNWLBSANX7hiSHgoCWF7NL toPl36w00PVmw4KzOk7lDUEbtYdKOfw3VjnAi08V/RVXmbc4zZxfdI2RB1piYyUVmsSpKBFqUn7y EI3qAAlWSIHGcLdzS1eknwmWYH6zc5Ao6aWPdhzzmhYOYiyJ+VpOn7b9s7kx85zQUSauDJRQMbZ1 bxQ4N4iRhqUsOwPF6a1dDhMGiZWcABjne52BwRP8sVc45/5pNdQ0YCeOBfnI5V/XVC03+SYKA99i XifKXON2LdOuo0CTFhGqzPB6woIpbvy2sLciW6mirPBvvXhR2cHEw0tFXv7K+OhPVzrx9nMOdVUF LHIuLWR0HYFoZEViJP7peVDDScTaddApOzcZSJDuek+GHgaWSspOO3VpZuTPRoNJzsxoDu1UAqMy gINxNDwVjc3gSvXHQl21ADbxIVHZ/owIBkRcnBxjOWSbMHyAAFIVvoHEUaDL+aXfdlVATE9Ofn4u 5TrdXFqf9zb+23SBQBNBctweDCpXmyj+L2139els9ddXr3j7K0+7m7/jyroM1u/2mBd3pcOj0B8G xUMaOEkRxkRvQLeS2MgQOckcGlUC33MWQNIbhAEZtUkwuk2qFEzncnH7agw0dYnZVpTiDnuCPx5T rmzqZeU11Y5P6sA3u03AM/WacgGgx8Gji2cxdhUMA8ocFUnU3f6WTSwgW4/98tkLUDiT87glzrTM DqCaPU1F02uNWlI0EZlM6meBfT4/6pG6iNwYBK1FpMgHtDu4LsFS+dkIPQ1N8hx1+bzl9DszwlJZ nxU1j4iLz7j4Bu10xjuvwQsirqYEvFYKlfemaV/viiax3rFu4lmyQ2KRBMNcVbUGNY762VcQsyfR CKHIsFrq/xpw7vWNDZENNyLGte6DbmqZbePE0DcV8pkSCZMndBidxDZ3+lP9PYyhkSGW5G4qcHay DKIlYIbtl/hW7L+JJ6sQza+l4XLOmrbWrDE4cmm5W6+E1FQXslrL+/Fp/qr3WccN0z6CY6lkHyuQ 4RWbJUtogRb/n2CFRygmTQdV4jJKIaYwceTuau1muxoiLdGLsDb4OUK1IAaqFyVSGBx7nqhxUyJJ hP7wGFgujZ8WnMWzuK/G9K623WUEmCLCTai4L3i4jPwOf1nVGpq3TRJcRxdlf3qrIrjWcK5U/Mz+ dIQuIQAWGd53TXUh4TaD0//fMLc2dxZPTDu9p697xMJYUf8oEaiAURs7HbGFrhvFVYXxK2XUbqCS Fp9KS4W/Al3KYv043gQ3XmBepx9dX+esozh/zcKCIgurUjz0E/C5ZLh+rRQ1H2ZFvXtZSNhGw6/j rljIApiCXhC1EoC1dy17nbXsKK4Jmwhmzr1K4SB337kKfASEMXHDRFIrl/7kkatNvICCsTMK18uw 3UywHTDt1dwxdXu+vB2g6VxjLIlyxysLAhyu0GIqd1iEcNwCesmuuRUEKsGoNZw7VLJpO+Iobuuz 967c1/+RPy4wwMb1YxF4I9l/JetPxdgDuWL7RyrA0uRAJ5WTKDrXdA1DJPkfSBoSi65Ssd/rkIqh VYefxxqvRNvB9E5DXb2EMEqTxFA/lSWDAOxHWB5mUGR7bPsPq1hDzE/6KKQ3Xk8+9mNpYSXpWsoZ m2/BsHTnZonkg7tzfjsVuLtmgprWIWHUv2f+vxKIkooqFgl2zY1OR56lzjzC4rTsal1ufukaX/3A bF7zvwkqpVWC74OysTnQLg+7pYU3P4BCBEtWxZHRtpwu7g76YAP+xN/D6uSiUl41DiPavgSiuHAW DCBLBrbmX6ZkgY4RCB5ux1kpPHQtuiv9r1PZmWJHHVezSRLD6yvJ06yRxSNa7TPHpCpeJnYwQiTA 2wncJnoojYYhTJY/NVpuEPOvBLCO7fe0nxd1xGM6oMdidynscs3cbmMBECvLe2pPXSON+yPEBvjk u4Zwceq83IHB2oK/paqhsERr8OFe8Bsw1ydWVBoh/pOfoG7a5zWJMunUKIeGDXTUWYnbTgJU3UQW t3FzIoUyavMucPYPFWiLR6AgHsHweUJXT4pdhmMazjDLKtuf1m5FwE+2qJQJcScrGakoui1BPs5e PLT5PdzP2tn5gTcQJ2cIyQkIiQ9MfEap7hLw8EviLJrvqhKiTBwQ70oNhilsG0G2mYEuMvo0LLHQ X3rLqZGGG/jQPies6+pDY2VwxYC+6YiDsqjUvRFQrmmm+fMJqE76yi3Z/BSu9AEw7nN/W7e5ac2m n+tkVsjGe5D1Ne0molK+AWkJnR48RzljpiIs6+DL8tBAeKRqvzQR5ntFU3yzgR6uVQUQOnrm9z5t 9ix9Dk1ogukpbzC4YZL4lEe+UMFZfgYTtjn3omdR8B0xq9olkPvfJ5ANE3W17+0aaVWRUBF32/mc or7W5sfH5yvvLYLeiNPS5oepiyDuCw2FsavdlvasOEqRSlltrNEGXbSALjicBf1MwaZpl/GSB1sj XxDk0pmV5N95Drfjmz7laltDncxDdG9Zu3Z+AKDBTv1FYsdV03DRpTuv6TvNv6s0DpghG1BNB64Q GEun4tSCPW+cjFhGpxLIljAGOQfJReWiHTSnKHMN121j8eB+heRqJ/L8SPwTS/mFutem7Q9BohOW 4ugO5u9SxALhKm9/ZwLXCJffHh/hWOkAp3l4jChGSPIU7Al8LGtKSPcySQzEGICtqSOA1Lap3Bk6 i9u4nB/U88Uqh26f/SCmCjKhzYvMYGkqOMupogO953J4O25vbDFbjO0w+lxyh8heWUjDFIUxgDY4 lmpx2FDM+H9TkSS+UVJQyM/HmPg4ApxrCQpoXylYuptNykRhCfr4p5oda5FWP4hzUtx463ksScKQ PvIDAMWNW1EJQ8PjjLX2imMDgIOOz+HPyj8x8mPosWCAcgoFj1Y8Eeh1ki4zkMzM9U7/LqZFvC+1 ozZKT583CqlMo0wDwCELCgSnPqNLE6Ivb/1OX8jdwSLUCCgw3j5+FuKWdBO15kMLdISoDTraILr0 YjVrk1N1k9IwtlYX5sylNBD8zUibPKxPApok2Wb0Z+OzORBWGdxSHQ3RO9Gd+3llhmTDJ2Trbi+K pEt9V17ipy3Qnq6dT6EhMOewEWXl5Qfw6C6QF/7NrG9itK0dl/BnZnPnuod4n4s/YIxwkWaNsxqc DvIH1rSgwUosC/FOn/At4w8neXRNS6ZNWMGCaOZ+ig6WHVEoaDkB5fEkMd2EkkkUH61d6twbuNS1 g3jxeT2V4g/Iy4MyZ5DqaYpveeby0Oz04irkbWydt/rXOZnbJ8LIbLy7MLF2VTXEpq9Pp++W+BRf MBGtOnAN+VhtyG/5vw9svraOzbtwJa+reer2rvNbgWrqNYTnUwZeqET7iug4jbX3WDkv/q3+cBtv g0QmyrEHI6d+7zy//YSzLnVd2f9rqm0uny8X7pTppviHO6mPVsECp0tBuiJXolMxxG3WYrp5sBZ8 3cqTedOe70ZSH43Swqb0b1uSKDjCtuQLhmn4RGLXk16ms3rPc1UgK4oRo+zKNbaL6nnjZcD1UX7i ncxl7VDWR0LAP6Btnufh4p+w3H1yEkTOtTGqiVpcNmPMEb+onO93A2IgjwCrYtXGDw9pywOHe+/B isu+00wYk9UgSH7KGox7RocDd26EUC2IukF/0GJuYDhKqkkiS8/eRv8h1xtA6MtH1ZYOE9Aa/AY/ NMnr9sGQA9dTG3pz4alGs91jmQ8zzXtConzxjVy36wcQiwLL4Gdz9QkUMwEKSXyYuXX328Lu+ofL PsgdiG7yPLj4Rq+3Jp+Sb6t7tRCccV0N0JA0vmlNhZkBz4JwcNmJW3ffh3y7/AGm7O7dzfzdEi+M XeDMEbT3h5a5vEGwCqn3isuhS9UDIJ97bPHfu6vtARZiqdOHy66tRmeO29YTmZTgKeK4h2IB0GWp XixgkbsYdWpuNYDf60r8hKs0fZta0OanqHdVuclfzB+vafSIJY3i4gbzCQrqkKQu9zOxOCD63Re4 r6yxK5pd7706DH+Xvi/PlUw+p0SkjpImnTDtK64pfP407SqFxXZTYiKt5ms4+wHmK/abez7GctIM PR7uBN4C8vc4dqf1Thyo96w2Zxq5wz9BRWtxcjCcoO7FkiAk+c+YdIIZGsVDy5YznhsbquJ6Px+l 6dmBq8z9Pyzqdjlb7iIy7S5jDjaJs/2X3DxLP+LDodcgPk82KkQMM/cbEyYkdrYcoNJyKR6DivBG 5pfMJ5fzIP3KRyMqnp0+10ljJzI7w5zueUmKIFrVb8T9/kLXSN1uK9YYsq1NKh6l6jB7hLzWrBey cIFFOLQC2F7Td0JNlyDhrASf3zu/4sRTy3itWn+DAJ+5rFrkN1oISynWOpAy3/92ZOXPzkdOlRhV WSpnf+N/NsZDS7VnJqUTeN42C54Db8dwHt4qNgjXVZN4KDgTWw/ssOU9QBJfVYcGenA3OfVDFux/ KY15jyYw8JotZhKrJjKSa/1s/35i5ROnu7/c+Q3YjVJIuP2Qbw03wmPCgXJLAJ0kqy2tndKl16PC eMvBcB0HXayb72BRt5ihBE5i6cBmwte4VORCotIp+0skgyomRWRsHjknLHLA7QSeEXehTtJxWIXn 03OePP81zTYBUdwJDyBoOzmUbzGVwk1mYY0V2SxVrPstUF0jsu5IoPZ3AOnEeLkT2CU7tH0zCqAj oZGqlyK6AVKHA+C6qzZKko+R9HsEzZnMWMvTRAWrZWHNd8bsfvPHtZxv/1A7vT7iz9AW0I5wWRW1 VjcOx0ol87QmHQaNp7AsDOggxD8MzkJEA43RDFZUCZiixlpK9RXZNMEBVZAUHJsRwPEL2GzmHLqy nVv31m+yLGcVdHjgYRMvLdItYhDtcZAZuxqU5QpW3jug9SChaS362UVnnZd9eqe/eZa50cWOcMW1 //ZutInxrHfdxmafisdyTZos4vVkJX2Ri8HuDGfCTqtg9N2Hzn6Zs0GI90trijUd9SZdWQosJfQS ZB/1++ylfc6aOBxRdK69aQj6tdlKZncIgCD384IhE6Bu6Hp1kyfEL76pCCCkK2MEx4C5G3BJxTR8 r24OztOC32oyUL1Qe2FTSPM5VegPr3Xb6FymAamt5DRKv/d6F4ZK+mkFDo6jzqO51xZUkYMsiKti o0P3HEzuAkT8mkQ096yntG3nnqL2KTCGVpBXPECAQsfj/vcirQZoy2A4pSDkcc0Abudg9cJ9CilP NVWa1b3TIhPkGWyTIZw8hkMVZvTn28+eDsWTXKUI0mmLdBPJ70y5qpBI0wOKkQCdN+CagdLv+l+g uWb+udkdZ2U30SksjJd/MLBalzy1YIiUqDKnxrU1FHkDsEmTVd6iebFNB5gGb7cJoTvtR9z8bLf3 7y6I8IsRYxEcoVWDNzgobNYh0ko2i21sFDgA/J1T8BDIizXnL4rbDi61z/UbCf+Gsz0dZ3M6G8CQ 4WmpBsGNv8LTt7Y9IwfQeYb9SsajqsEc+rBVPvXs+rncECb8igCQB05SOVzSN3TPi2Sj0yDw/1uT r6BoPU/tA0lAzRvV5YmkozhXNSWgk3Lxlv4fV1JJIuF9+DiGfduSOKM5jFGoW9tmKdnjarwnyM2+ m7KDo5XMCjyI6Hg0XL8E+Nd3aM6tZ2cM5I0w9OgDlXujO2bu3e8EAra9SrXyoj2sya+Y7GjIEdVK f/HrfhVe+pSqUFBl2vSAyxAnqMyO/rwT0cU3TckGfWt0sSwvSnDQqoSX/nwN1Xq8twzRSmFJmltr jr+0feYAgvFXw3jNo/GF1u8hU6pYRfnpI1z7I3z4YA3xD0D0OFwXbuWIu1DensMNN0DHljFMCCAO zN7LN2RVVQ+KRF0kdSnH25ms+aq72g38HGv8oaIS9YepVHhp6cu3JUjqwT20Vmn/dLMOBBpyLirx IJQwfe2gDf6Eq3ml8NuE0NyUTe8ALC0Or86DETrAIn4qPaAHflUyUWfhHl+XzG4mPxwiLbDNqPTn lZ2XDHC0fyWpZQ1MFx3MvmVnuzxUi29jPqQbDrfiWNTudn5rHKJHGazhtC2+45nk9CcwyYMoV2wp dn/8x63Xg+QwqxpIDtW0fJbqmSQy+3H460XkqMwCa4rpJa0l/MJxddVk1Zbb94ecOft73Rn0v6Cw 9JCSa3fkw5OUKvZ+qnVA4d51Qi0QA8oFgj7dW7f/J6YC/+oyJcwb0XyP96k7sDAcs+73xuGTyr7R 9VEsmphTK1osUqrIGsd6tLlOfdbNZK8AZ7d3uaZssJh2RF9bWuDbHJ/FtCfy69Q5sdI/Ck2W6j/N UTlP3upkqs8JIvdLi8j2SVC48G1gydBURLG7aSMrQZXCbq139N+/xy8a1XpENMFtU9G75tgV7lQF rIEr3NaSYuH+7SfPsZYndJp9bPDK+sLYPY49RriCXl8xE6XgXn9lQWceCRY9yoPWF74I70n6lFs1 mvYgDbXpr32eAqeaKxMt4s6ky9Y/c0ahDW0kmsAvir9tuoaCN0RG2vR5MIuMdfp1P2i49dmvvj/1 HtGEvVxPAG3kp3wvOS0vWa36UijxG8ZJHSbrL52Yjj+tFfEG4haBtYGu5DjInzSlyo4n4P2nFusq g4iunMfYGpG+dtLzulhZn/PGq7W/JORLHbBNQjG6wSaIWnD72ZCA1/wNioCJTZGZpvU52TZgXnC0 hv1Uj1egb1aagNCqzOBknRySMXbJXZrFeuVqlFxEnXGSrnmnJM/jOCNAjNKAxx5L9uD5IpobfvgP T1XMPKSdMlQQaYeDE7H6196RM3xZsUpV6va5AycTzZodamt9Xt8PRZu9ehE8mrtK7xiixFru+3uw knCnuqig27eyJpKybxfhjgYKF5zjEkSpp815TR576iHzWfEvgPfuDJlabeRarhxEEVxPRlJchxHk rACF1SePZ6RemyJ8kxKOF6N7q9qhmOZN5UJJVJ2wEnuiX5B1z288YlCra/hvFuZm167pyT6A08gP JYAt9XTKJVfRKFndPvlRa+HQ0fmlB9BfTvw9lLzsNlOxAYizGfWpqYV65alWfajdvDJjCoz3PiPH uhI1LsS4c/VC1n32XJe7zn3wh42rKf0UQQWdcZcuiGb2i8yrT+tFWhb257Q5CGiTliiLWMGtbZn9 p9XS5RulxGEELUf6pfRUmMIs6IYgybPI5EW/BkP9+iUJOTxP+qB5TUAFzFEgqz3gpi11DpmjMc68 4mkLUpLdioG71gbVZfFbUsQJB3lCjN95YnnMVMwxX+IWfuHDCl0t9NjLFkmWFBHrsD05QygkQG7d Cml41mDE5u2qITliiGLO5IlitUmzkzkpr33bLjno0o8XQ7iZbEZ5OxMSLF7A7+N+iIkbFbLZR1hw 3+YXJ9Eycr8m8Ai65ln5YMEP0MacFn5q61bC7+XVC81Y93OX7OEVfv8PtBkzKChYoZB427q2JRoz 5NlInQuQPHCwuJLLBHAqyoLVxgc6K/Ys2xtkb9FjzuB6Z7Y3+i4iQ7BUqz+LrLT8sMvRViO+x/nx qtaEODcNSHSzAI093niX/jrC77b4joekXyKRvxjdzaW4mOryjQBkDtlDYymQmkMRBgY4JfHXoQRp K+CItYGXfmWkSGgSB3N5NFHeCSGJdHq41mMkJtERSX4L+uSFHvDfCpwZiVc0nKrXQEMI/+/w/JBt VyEtjOv9Phb7h5rAP6NSIrk0/QARvxd+EVFs0qS+FDKxzUFELO83uNhvBmUQToJErFxuYGATTeBt Ndw9mTJOilOuOpWC8rhRriT6cP8udCReiTBUDpQxqRsdaInDjaQsWUVB0DDcD6kCq3bP7atFzcib IyomfwLiyOZmTOphyBXP2diK25qFYncKby41t1ZMlwNBSyx9+mFp5XYM6tEx4Lr1Pq2agBzmjATO 1qI1YtLeDgMBL+OPR0eliYWkQ5VoXdAvei9jCIoon8NWF81lplJ4XJJKXEikxdNvkQr5QmAaDr5f 5b7QA0uaNlyfrzqXgw3Dgx+PIbSp7ro0HVOa82nFs9d897TwUrfrZd9uVPITePmn2wZmHZ+SNu4C Ts87p9DhFWafDmmsstq6eOnCOjzOhfUbp/PCANzomGtXi8clc2RmSptBLiZM0pQbewODRGM7wytv I4mJ9veQWnXnqyTc3QKLg2FncaRnG56jAnxeMQC1zzPMNNU2PGjhdSBTmbZx76QyWoUVQ5tiv0Jj 3iJizTtC97oypRpQmh3N32ujtugWOcT3trk7YX2UtLEJIQJduChasyJI6OWHArnW/dWMU2ZRyJb9 BX64fpUZFyPbVGd5Qn/Tp13hfVJ3gWrgzjXigIBwEFAG5ZtH3/Z+hF7j3RUomt3V7JKnqLUdBZS1 tvHXO7lBAPMeFJuZdJXV05/GMmPRKA4Fa6Mi3Bwk6SPnDi0ejZzBi0Fe4rJaj9+eWeQfNC3gA3/N i0HTpI6/dQStXas57njZQ8n2VGfc++pIND0FiDS2ZcGJ/y1mnGMaQx9eCXIgG0N1Th4NvbhzMDF3 Hnl+sZxaMiCTXcngkq926MwtAfFnmAM1o9nzfkdCfKjYlt316EzAn2Az+wO7y0doVdqPdA3h1eSr fwBZx/3h79sm7C+NxKdXKIBbgsH8KNigVjT4jtX7OfQ02yaXUOL2N9GhAn1n66JwlzI8B8w4mM6p nCVZikicEcXIcdoo3qZnO+CzUyrOmoeGQfvF2aAY17hdTySVOrYPkBqtxwalJWesKcwDELtIQyhS NQZHDoGxaYYguKhmxt/ed84IA9WRyyq6IEB8V+UiIyxrwPdMKIcXYCweW/Wy1/H9aQ7JjcTfn2Y3 gLUbgAZrm6M1nAwb3bRuNtSpuuHrp7E4GTUYNU0JJAoPGTJg6KvarVId+n/rt0DMvJdHeoXt/45l ugeZutd93e0XCMyKAeROIxzZnG1PmMXkoRJgxXNSEvvsqKhBdcBlSbjJOEVGXDNbgiQKCVI2tdBQ YoR4Iq4K6hdtOipdNb12xqqjhRhbLT4WP+dV3fspRHj0Qjn/OCke83he4L91AWK2MH/pjsRddYlw f9MLK/4cX4VKHDPW7yQIZxb6NPdrdA8kqNJQBTzqZCbJdawkDzfuX4WWRtawcKfvu8euaNUV33LF p/Pr6Z3+HvIfyQf74Zo5WojiOacgT8Eo6xk4XP9WU/2Mhzr5wT+JahYT8FlPg0LgHjV9fID8yBWa kNnRtTCxm7w66hLIwAPRu/BhjXvAdRedi+B1/7AJ5Jj74fc+6Sgt5daKjx9Jm6J6V3B1Gj3FyieZ tyyxHh/6+nAJWFZ1XFrJ6FYPwzmPTI+5EXci7NkQf3jkiQ15V+3HyplCKPnO3P1bjc7QkRI9DGQO 4F9pZ/NF/E7w8j7SbqRwzf9nS28o+yxS2n66owvWhXeLn/DsdrDnMiH9u/MwO2PvkVF1YgEUFb9C yEaPWYe1URXtWD++61Je1E4cmQyo7VLjJL7p0n//zl3XzoQe9uh/MtOhKn7W/6wjFJMGThjx+dbI 47KaxRWfsZVcsj79Cn8GxoF4plSZK+GHL4qCWHy2+ClqMuDhiMoTEba6FjFwNPLFBROTtBMfI5WA YzBx52InYV5N37FQ06+A4Ie7OwgZqpGJrFO8NVKxQz8s8O6OFixwSzVVwJnVINVZM5s4vkFKrGhg OpkpyZJ06FFuxoxVAvrohQPHDSePN35LM5qe8+6cHDNKj74ww75hlclkdrq8bkQkrTbvL1zIEqoa H14v5fEoCvelp0i19QfneA01RQEP3hrWGGcb8pq6L2R9QrTM0ZVAG2hHhlihzCsDEtmUODBykJQo nNIIMW+qywFMmWmjOeq145EoPWmW7guBzELoJAOXVlt7MV2Q+0AwvsqkpjtRZCSL7cxngYltTRVJ /chmEVIviLkn95BAI6l533I0tjqjQB6x/WwmNv03uFko0PLktkLCfRhHti96Y26TOi4hYBUwkvul 4HCUo3sczVZOCGP5UtoVXEsWtKEvJrLQZWoaX0czgArrX4n1oGcVYsD6zmnhZr7KRK9TbdduhG/J X2RP7UFtf7OPXGtjqE4oBlBlqiyRG2/gsJkrVE9ifrUYaSPcyjxZbp1RHlbwaIL2+ev1hzRckJpV LZkJ6NibDcEEqb+CaVJdbXRCDGo6ra6hZySsopwal6G6sekfGDR9ZICpubKFFiadYLcjlfDNnYGN WRDW5Fa6KB75LnnqHAcDPYstiWKUfJa/T5niqqK4VHwxCnlht6VLaCT4RxwuGjDjbCU+wgL1vyOG XZyy86WV5n0uCeMAV/5+CwrUmJUzbUInjYjhjyANGltq/sJoWW8+4FbOB3j36dB8AFd6OxufHvwL jRgZ9VquBQmW0Hwkq42Qra1F9hzP3jgh723wO2trPe0A3ADp1Nur1U4qee1CJld4bGy0nMe6YSYF aSNtlXFn7Iia6mGVLNwsIYKmOu4EQ1zx3vCxkJsKYNoX3kh1FA738jgUxHDoV7IFPZShm51e2B+P uKVQoIRQh8bfmInTgxl79hoZM/gpWA5pkGAuPVfceq0J8f109yRvlk0Ayi0Ut0M5a9kv9iAAVExb ppfqENil/M9cQ4pEuci9Ln1T+f8V0qcQyrHyswjibwkQS3Uvbt5s6A1ABZ9Nst9pMAL0B6A1jCSY 6hFg5+SvGlzxZwoRmQOgBf4htZpfEoHy7qSFXkEbDdh7Z3rf2UpGO5Rt1dlT3c3v+nH5SaHtWDDY eLXp8OIw6Elvk0ryD/kHE0HquVVMgrvzKxt8ktWluOiuuk33PeoUA9lY20PFOZD+JSuH0YRqQQi8 SJBA6rHzLr6ubK9Q3v5QSyTDJ0kIQ0bv5ZuTAqXj/ETBXodWyF/tpZEcbltpdeqo1iCNN6lYjH1m C1TkR5zBO6x7OKCGfcUuiZIjHvyktASs8Pc74krSJAZD4s3Qw4WFpEzBhWNh1wN2SN36T9V47I+N bkuZgMeuRQaFG+i9gvqlNLec2fp97s0mOI5FNe9I6y2xnm5aVgKmXSx0Gffys7jswa4SK3IuO2/A FfTwuaVPhnEi8XiWyruAH/K5gBupdUipgQ/Iztq1zwUvmDt62IaEgCLKRNzNdLW7KOmPu9s5kjdN ok1oiVSO88pZL2qAm68ffEC8CuiSrq1QqFLSqdNz9wFt3xUvvy3m59uXJftxhiQMjeqO1qyQDSRx sn+F/OLtSC2T/QoENVor4WZDRMgIyBH/JhqYLspoNaBpKi8vGJYJqzpAkUu/kdUhLpXwZdYmL50z u+7wU4A3Ub1BzuqGAPKXGy/MDhx95iwgeNpTqKU7Wr5/VjTh8cvJ3H7wcbDlUJ02nWvVJ8TEq2te GNMeU1GSSqfro0rNQfMES2o1Dhzg541D1Pbr1oCCn8WXTXndSZWKnXv+jJkpO/mKEJKvBgrF5sMO fuMlfQaV0JEZdtGsS3IKy025hX4aeuKVfHpDyHmJnB+EvDk5eQGAaqEZcP1m7wL9wXn80zoahdVn kzCKWz6Hc5WdzWhJUSQ6TImOnJSryyrO3b3d2VQYAvu3PLCz6uCvSTuLK4uZkHo1D3Af18hMkUO1 uLezN2V15p+7dm+3k5gR1lYvuLDjl1K40EpEqjyuSiTRYclGDppZmTp23qnk1hDXziKRMiPwRFmH cIuN0qrHHBNUNQwX5WSJ2UBtV4ZP0e2lEKvRA7is4lbbD4qmZN8CROFb0GwAlKYQoqvrcpPfyP5j wKP4kykxbza96HRSG1SCozMt9d3iox+z8vCWrIYpqlenPHKat+vCph4uMdq7VMvtdmvMdKMfMoxh kVnItGaQsKJS8kQ8J7n1t3+jx3/ky54ttkPsNOIabyF0G8ag7C/+1Ttg9A+s4If/P3E1XNQS1K4G HapF6ltg6q+aGgoS/MxRuUNJWnrSXUtB19qymRI9mRWO46AwzF7ntRCF737/B0KyuFLxgXoWiJRf ZqzxY7tn3yK1mvVsdPubqmV2mF2E2jBPxp1U01+bNzmGm3p3gMZt1wHFG6miicwhGPZeNSVVBOkv 4pnWhmNrFEcFRjzfjk/4ePnRy1kj+Yf7rSt1FjZxKb1Q7ecZOdQqzRHzsHPniqobqlbEVrlf/Qm3 kSYeTWgl0L2RKeJQ4Dvl93NGDFJNnp4yuOLpedabX6L6lnXtsF7I0QojjK1dUDgWBX/MpzWuqt12 mv/wgc3nkElkroCVqh6qAigdpcQbUYgmqhoLXjk4JnnYztiea7znt+PYbazsq/V8zUgQ8GXjg75T 7O2+a9oHd9rkRtcZZnvY+l/spkMIJseookjoIdHbQbmF6LuxTp8J7y2Ae1/NAhY5Ze5Bcbgsnl5T rW7OoHbsbjLsDOUE5Z8IHf8RfukHM44xCTVGY88mPXcwecr/VdwySAiFf6f+B4K9AT8hy3A8ztqf VFKvorh6ubQFX3WklBDd+tDhyxtnojvw2LhMSmzchKbgeBc9MNNEoiQi6fNMwkwd9+RUFxEXUqfn G9XgtW2r3z4WNxTVtACY4A2xElEaB4whI1gaOF6Xt0ZPMr77Axm0nle71sVfoPSdg8+APiTdEbUK V1jiHe75yvkuxAV1DCI4AACqfbfLaFw7ZJMCv/B6JJKC6gYCB/9UjwDdM8VUoUOaGSmHW8OulLOZ Q0uuI+UjMr0X1CJjWG/crh1k9svQFGKRR4tQQcCeMIMEAWbzcJy+pqyEGpLQqV3pWudBoZjmJl/e 87C7rqt1gMmogMfqRR5GARSAP7BoTK2gkpM1b9IHD9+BNQc84wik1D1tooGxQkgrje5tjDqXhalf PGu02X6nUCW0qSkk+DTu9R5QNbNxzv6qS69uA20GLGzIN23JNbCxzEHjJy7Dyin2WoORYe8kcsho RC3yMV9HIx/4/42wOtPuySZHpAhX6kAwbny0FfHWIXJ4eQ+7f7irt2ilcdpe1far06db556L3ti4 5YCaOg+QyxUstPy0b6tkKUlWSt8P/+lFUoazTMBlAB+PC9rwfWdiO6vnx3QAm1dkwjAJSa+TntdI +51XrRYrr2oplyMG4DcjAhlEnYV5l0tzL8NyqOUGn7A1RSBatVaOw9TnStqcusfx7A2gf4e9hp3H DJ1Fs5epzm9OpG8ejz0HBHyhxVF9kJyGfVa8CD7V09V94CXTk1X8zXhCkC2xWpdcmyr4MYCMd48h FudchoPA23sq/gH3d7SfeZI7/VjQSbAow+sTKoSBA/G3yEAMhnMwtDVOpC7tMB+VC2anLT87OfMD OJroYu5j6L1OLCqgSUZgM6XXWBe5p7sdgC++1eT8w5nt58Jb7vF2yhJLtSphs/VAuSlrJAV6CXq3 CZ31Yq40DjOOOULvcaEaWhlEYkzWpOz4J+8ubmBU+SWO6S5zC0i5vNOfRrYU/Yz7DqbjA1J1NId4 +GnMEQK8n2IMS6gSH5zbAKwvLbCMM15vRLoheFibsoutrpSFdGIghXlvJ6sG5i+HYbqZdbHxL1qj JiPoOkrmDsEHy27SR0ql3ld9BC2XrREy2O+iePDj++OLpvYxb66IWV/yfn61vUiFqKa/wOyblWO2 qlDbflS1eRsS5PAMaPIYonZO4CALY4QnGUGmLTTw2t+MAY1eMWS+zfFVUSmiQUdQ7lPJvyT5DiMF VodRPlLS7tVA7b8pA9r+TvfjFcuGcNNQVZURaznFycXd8jNK2AWgD5lZFpZ75RDvnx+KXRlxMY5W lBfaB2xC/DdpLoN1eLeTBknrYYNtNU6/7Oa5GghZblLjoutVvtwmXZt4tYMD5TO0KLreADPNDj43 v0Dw0/ewSrnRn+Cpz/jcdntTwNNB0jXX6lLyWcAjUEIaYrSISl6LjBVHZjr0oAtZUYS7q4c1ebzH W7xv83xwpPH07ceTPof1GjvTCawmfce0RoauoulWobv2YyaWFH9TtgRyqktUn7W53SO7lhmuIEDf A9iJR3EOc2ESTFB6Jtk2z/+/dN0/ueSJVmjVwHWJ462ZwWoOlm4vKIzkk2WI4j9x+ulXAqiQYMl+ y+QoPo4tRhKzPnMDAutF1qcurvvKGnEqQ0JfPNz6ed74vnCkE9FKgPnzVy/9zEkZr8m3Y9woUzor xRv5FlgIS2MYYpXrtpXTHP+9KzUX5kbzKxmuOLstJQwqE3VWQ/qcIdeS5Xb1zmwuiAIkov+1oCPw GZ1XQIy97vsaaldmTmz/Kq+Y+6y5xdEKbAr0u2JNkls71ISNqd3mnq8KfUCD91+qGsQUgE0b7gHa t1pxOa0SBGiDKw1ciMbbjieZidPkMQUzm/+LFCS3Vd/QyCrBIPfBM/CsDcIUk0F4MfqRoff5vlaU TX5RjH7cMR9xt6eNon1t3uiNm0z7scZtIf4F4tcx3Tnu+h7keovuspcdeCRJt14Lw3HIT/ChCjqh ZOpP2OAabIzhLi/B+hB8VFr150ueWwFhuD50hl9qrkZoHnhhjF826J1xUSDtOmLm+jdPbOV8M5CY x0YNIHLZsJ5dcytdVBlLfDbY4cFV2cbqzBcV1oRLC3BJ3KljIg2oyUYklh4hu4saqG/XQP+3eEUw hebFcfmR3AbaSNgN9Ml5fzypZKCzXlv7MsJOBCUcU4P63HxEQ39smFZI8LXqZNS3ypzrTr9x1s9D p42gvd+B5XhcWq2MuWMEIXG5jITf9c1VLm7v6Qfi3ndIu+/9m/Z3KebUT/ar/IsXgOBPW8DYPEXp ccrqSijA2ldiDI7sbRi9mXc0gWyGH2J1WOlzVFo8oA+Yv1zMjOFMppPnjt/YXBepBWbzdxB+59Ju zEXXUU8CPwx1EHQ4ynPKyZpNOA8cTEalct/7+2ih2MKGBk9ebMhSPP6boyodOXSKJjjzgWfibq4O vPPOmf2KXCDM6vetbFKex92bM24OkSFJ2ULEIIp91XJPbNMdaA3FdgWNx35yzsrHr6rWGCr385jt 2z9gPCGQcdjBYBjFknoF32HzhdECanWwK3E+r79KM39FUYNbJMnKNLSKvhavxzfxdNv4xn3l/u9c Uuz2bkHGIR4+KDF4QTewgQ0XVAjtU4txv0pxw7X20u978TkNuNV/opbEcnSzAdSHyCjAQC8y0Bxg tS5iV0/WqSl+aBIjy0lIeONLsjiReBs4C4Pob09mYiOdVyoZeZAtrVgKIX2AOZYUnDMWlmMas4DL P2o8olB5MIc848RVJkR0mf2GNq9z+i/DBrwscSEoZUl5zHEywMqG6udWWJsESxr8s3jBeJFDcjy5 XgXs4550tDyLx3azoTULCNFuwgoZy+VWtn0FA9z/QC+ON6XOLfVPurziXH4LRgYtmSrl/m2NhiZn 1XtZGLek6tqfguRqP3CS8pcVyLUG4+HLCwPmaGNZuzM/QLqJPqpvG/bsg60YvS3Grofidv6VspIu jN/SVcEpxitEB3LTWwh7Z+Mo9ZpqRyiQDxblrqDujlxeYQPlA6OdvhQ3Ml6mMVJXav+6ZjC3DT3o XGaakrbOrWgIo6QdCU1a2QJ+yYf8vsJ8uO7Y9BfhE9opfMlUiKdvDrkCDZvvZg338WbqZMHUbJ1v Q1LXj+O4o5AO3y5hvk1u92/vWjG3s2ghyddQmEZ/pHzBNdYtk5ssEog9rKwAYFE+I9Wgs0lBw0Mh JwtJQ7ESZLbuXmDCPs30DfLCaEc135ULDnLtepWlD9vWvfl1iVztdbn3qPNm5vKasBAzYKO6kq/o 2GjMlbznC9XTaQwSlVqudeCk2t9HNecw4A47GGEq7sP2BaKJBpVAwf876qAapGB8UsVCQTQhjPRu u9lER1hrJ5nHkd5W/rcOEaoufZx5ms0i5V4hfZ9MhD10RxX4zykcp4NUdEEz9kg36+oszpdVd7k2 7DK4TMcP4/RNIHKZ5fJU03DjqJ1cvdQFOEUx0xE4ZLsaDpCtYdFxt+tScQJb4wXMfZk0gimiDS1x nexZmrIk39HMz+4HkybIaKX3j7Za+TgKiLaIF7iuL/WZRJPLpJJ6WgkvDwndvTZuehagum0W00pI 2y+4+00c0WukLC3Om71y7eSmO8F6TH23XqvctSLa7nLiyVWzy9M8/hiQxCk6bI+F2TCSkDbXVGgk Jxkn8+rM331ET67g8gaUuXfvZ+lfO5IN/cxHNf8ZXKUrxCSP1IDJYoG3gDE3dyuyzhXHwvdF8OeT YFKrug1ZXJZAIC3/4vs9wZ7gsTfcpzyNCIjf8Sv5wcEisO39W8SOG+sC6OJLOeHrXWjEKn38292d VVrY15NsUNX6k7XJkaCsRiPszcmNJEb4DFO4rpLkS3QSbeyAGRjS3A044qcd5WKry/pctkvpQ1s4 4CQpEp2wpWMzaThx1eYVmA00xkCqNDFjUPCncqY6PFlQ3Z9rewtUEY0SHmlA5IB9I2834g4aaedx vwWV3KaBEGt1lQw71p8kGDQGVOW8ZvMrHB0U/Ed79IKKUajpYnj/Ta++e/ZswE8W70VMR/alW32O PzElRgL7mhLnE6p0xIPyX7tuvcmqOIJqsnwv7uOOV3yRwmjejUONT4zRWkczMvzU8A0j0rXle/Pu kVdYbhEt05lG3T/Icr3mtPxxOF1ui/wV2Db4c9hbaVxbwLbaZ8Zett/b0yMiTiEIc6s54P/CHTkv ROrO9cdwjNgncXFMpV2wB+NIkUELdQhyZ48gWKlkgy2oTwrDw3115tXBS5v8snUTpCqMS1l/Bul/ Fpr7RDx+V6bvjq9NUq0o3hWaG5W5hnBbYvDH+I3PpnptcHf30IiuLkRtttyYDRHhrqu4bAihgfJY RCf5HOLab97/L/KRDlNE5KstzKvqrjo/PrG7USvdpxV/USgqmHizifDvqzRdNrlLGb6wE7S48f8i 6IOlsii+bGPGvrvKljYD1r3l+DcRqjrrjBr1lDbud8N933SySXDiYCLccM0izC0uUj2x4sFerT82 V8LVJpItysz8JQs1DTZthrNziixfDrul8sOCoGC7H733VBIhhNS/OxK3Vvsjf0S3loZ7Rn2iNkbP aFlk1oloAuCGR91voGGSha3OTo8nqg06CbBsBYJ3o04cXCo9/N2y02U2aNrGHe3c9eEZK7zJYVZx 9xl3pAKI4SxO7ACLA1T9/olB06JaqakOMiZI/Yoc4b+FDGNjptR4Bf4AWtkoeitvzjblMgaAweUc DjgfB7RwfVs4EwPszoycgYb6G23kqPmJpa3MnNjdyE46FSE+oOGqjQd+EmFk4L5Wd3m1/KfzeK5l ccIh6hqxiFx2osxcNLkjlp6jQDNkheDizaVNVdIiMUEYym7q0ir7j50Eb3Kj1XNPoFaRfHExjwUB WNiMS4aGJYMW5OaP+e15E62mXC9t7CnBH9XPXyboGhSiAgL1/Qwk8JETkYbBVyGacAP3OxMSuxPl IO/mNMqt3DMy3aa+Ozn7CvmaQrfng3gqaNeo7xkw+nRtsdERlsQzI9oanEp+WUa13S27WPf2AOk9 OgH5IhZsbEmzEg3OQImMNfDKUnpPA5AqmnVhzHu3qzpc4SteKzW+5/c9cACaxQEXP1r7fI/yeP4Q N/wtvaIPOKTtd+tIkaX9ZnWXE/GurndP+tATsvgbQ6M9gYtewLSHWAOcNUk70LhwawtkOthSD3z/ TEmtb7Oy4VVD3dIurKnfysqxNfu3WL81UeUd8hR/5FLvkFpwTEoCOdLQNfBO3fv1dffV1nITc6Hc J8x/ggEq2M9TfAuqCLYA1C0fmm73+MioQrByc84mA5MvJP/LZj0d/SvXmP5H1Jibw6PeYvO2bo32 68r/PlAficjuE2dqj0CIEjYd39YVuSDMqJFyAY8j+lRGmeCJ+WuoXd6gQgRUZAG3t1iuN9mqDssr 86yScGlvobYJq4z50uQ98PC9YHcWSVAKAPYIBCbSMVSTNSo5Se3Ag3yLPHo1IiBb/cIJGSHB5iEa 9oguBmo2IpfZ3JV1V8XCSyyhxWJ2dRpSEp1IYdSezOtXRsdbJO9FAMqGtA2UtzSwC8jRnlsQYa0/ xIi9BVA1FY1sxIxgXRL1oxqT6FfYOsPKcbfYhkGOPGz292eiZ6pu/PeCjlbXcjX4DwvrvtxD6zoh 81QMzDDRy3QrWpfueZmZHTlz3soNTC0RgfVg/A5ST+urb5YV89x+wQu90xzZur7W0egOtnqw9rvc qUEzsahEgR5BHcrXs0CLsM4kZmlr7q6No9Qu51BH4JWuViB6PhIWMyzcuhusf8/ft/TnTP/HtVe6 3VlnPsKXwGO+ThlqAl4F5M40aLDqWfHehqxUDR36CkpG0P3L27CEpc8YtnA7iL7LzEqqe81duTfn r9v4IBS4FR+6UkPY9QE9c6a5+50cbM8loP3jIZOPoOwVe4AhvlaeDiVtCdN3k2Ns/lttgt+PEI0A yChi2VQM1rhIPPpzm+siRDvVJses60CZfawJuEU1hCTw0Xw8Hz9ZCj9nRnF6VHcrF68wh8BbpmdG p57FdPILjwtPGgaLzmcsA4YB7ZL9HFR8E5kR5VigrbmLx7sKYk30hamTHuhsGvjqyLiCDaZZVXV8 MmS2uxrS11QbbykZ1OVMTbd+kkqa6xVG8xPZeDJyRsX08NPHyvVG+Ez8vaY70kmdiafvDtaW4Fll +RES+V75TQqrDUM7yOGa99XajTFyRg2OmvOvXYwPDcHCfxvarynOnJtJuAmkTxV7BF4C0ANriFQl /8N8nXWay9i/XGEC2d1h1RXL90fxOhYuE35PZ97Y9Dk45V1gPeIPlVvzh7E7pb3ZU1byheHrD8Cl 0TM5dUbSZgGLwsfZEj1eGLiFmvRD0hX0XwckNy+imkpaLzi56fIvOMhlUEyOq1yFjp020sDJFUSg jci0R+0BjuwzilJpaM0s8pazihnidirBw6H8xDc4V0+XP5ZeLXepyFzTIXvNqdg6ISjIZz0JPyml lKMd1pH6DPimT4EKvRbE+3DekdgtZJCTa/5XEeahrEhaQY8gpblgA88B4Yx/sMQErEbRR5rht52g Ic1G/JJxU2skY11/xX87qtHeaBYrFRFgGHyIOUPw8GS/mq6q++FivkGwrc7CmK7jLsqER2dcOxwH t2a4geVhGlXZNZe+CNOs5d0LGTdrCE6tv2TxnZtthmHH8NjRrC1A/A2PoL9yzdja8ubrBfLkVe/H IqIGqOjYA87hF/u/iSorF6uuwh7FUcA7WOY432j+at7x8UEKctapIhx+Unfx+gB7+Se3ESZYIujv aierJEsIHZh+N1cmLWvQr/Sv46Y+deIgz8JOdn1DQNE4AMyguMxEg4oXIPgJmnbCv7vcn1Tv/N3i dXNtOyjVBZ4G8wUUJDs//ZjM8BaEdkfmc3fXOdOwaf2lb5oW/azw5VNmbo68G9R4k1ybNYrW+AOE AQ1Z6SOWh+V0vikCvyp3aCrDNZq9pubKbkNLJJsJnGmfd+ysHtgcWTtNxqyzNg1W0h5TfGEBA+VD 59AIuE8R9NzvUXkNOWTb/rXOTebw4WY6b+tBFSGm7CglhCHVg25aDS8gYkqHQtu7lAoC0rQR2QNy ZGwJA9l/B0RKtl4VyD9aYSDtlYGad/plUXz5A3dWcZ4pOShAdOJ5LOIw2LPCek91u+qvygpblQRX U6H/ilhpmZgQdoyq/0n1GMP9fc7O/Lud5YV2l+vKdpZFG/mubN5gw72MmHAubHnIcsK+RKycpsLe w04yacaWx5c/QtFUZ+ECaRuoQxnVwa583bhSWkTi413V4uUQchkAeccIuB1uPdq4JmpH2UJ8mrwE F1ybUAOzXlR2DipXfI7k/x68+uRYUiB8zX8xj0yyeTDGqRHjJXrU3JeIWuK2t8+SMNNmRPPRLOv0 DAK0PNLc5fJTSA4V8CZLe+8eLmROZW4YWXjeWG2m2QdYY0kQppg+yvChKPN/V+1UQqNc4iwJTy2k JBhqf6FwNNl/LynKA0BONgDHQ5qFO8alWilElBkhlAyoIaUE1qaQO7KbXVH6Y9CYioFv06gSOTfi F8ly3FGzExa+pMke1BgOgTU3rQR32k/qqeBdViH6m+mAL6uAu4aBMtrO+avjPBy5M+AK+9WMXVcK zCy64kspXw4asQsDNAJNvT/j9lewAApLTXJvMv7VPuexCT9Tlz5FuXF+M3uwG5Eagy34wl1AHTV4 aGt9UnHdOU5FVX/TQQ7YsWQFtrFTXPkiF0VL5AImVXODJrwLkExKVU83nSXlqV7H6JYTG4/boddw v8asDzaXFncGZRUgXv+TUkSiTodx9mNh704Vwtdb5DeGu5k9RCi0Gs7InjFQXcyXeuxlFniWEOru ycG2eEof6nb2aG/KPenDfgD54/hQG0c/04b5FG4qRUrgStqPkc5/meJ3+Wr/KfYPYJ6w/p/LEF7H 57CvqvPvxKTIVelABS9HwMK6c2iGgCTl1Odhy0KwWLy760TXw/671oR6aZwjt8lGxxsdkCp4A5DD tlSfeMly42YHtks3mLfxb7+RVl2z4EXtuOxayHIjYI4iPzQG2H10k1jex1xTlVXupYrI/i4hVVnd yXOUQL/j7pStDma1JYUOjrtXVHuCCrzwmQfGH/AbzqjuSZ0iurZU+xoWv6PI8GXzlCTd1D6TYK6v OqyO752ZvytLVsZqT1NB0RMDRVCS/mFlvjQosOHzOiBLT8ZQ/sXMKLgIBfaR4WNci2yd1NgrN82B qBO7PTHesi1/JBDI3zQIEnAfsXfZcbbo/dwTZIQMSvSdlh/r6aLZU141gk+ArTYHg/tgugUBhXq6 qbRq4U/C2Wki3LmZgSY9JdDQqDYiNWzXZS0x++fAPJ55s2Fl5QPOwhxp7JvTIoaDjOCkbigitxyb 73pVgmRMbUeY0LndNP3s7VgMElvDeS8JKWcdLjrUk+Hxo1OooZl65s1iFpUOOXmfYpBuY7z/57Sz 4OZaBiVaXp7Yl7XE87tsw0oWcCQ1HD87YLBTIcSXN7lovl6uSWT4CwDxJr4k3ekp/9ttbe7muq9/ oLOtpvUFXXdJo2RbsroEOf1bN5X5fBU8fSAxzuW530KmJdJMdC8YAXiRMytKKgf/ej/PklMIrgSh U2PPh/A7NwgiFQ/PDbGqgvV3StdiHdIi9hmxFQG3HMVoGNFiywCz+fMz5x4f7PmAURQyNxMZi5VZ CsvxUuH62x2O5PYcA6Nd+TDHNQC8ivMmd/d4S8QeKUfMz/DBAvrdlEHsgzaKrHoQOLyyLnNZC9hJ YO9fWJRCT0u88KijR9+IWmR06c4tDq9dlKh528ce2zxveRnfahwjDy7UbJO40k4eZletWWfuRtUS C/VrnQsoBJUCTBs40rfFRMVyatoKP139i/bkMXVzVBUiL7meVdgvqRRO6KL0EYLZ0kq0zGxJvcmV Bm5s7oSkIux3YLxu6CoZi7ThTk6vO72X+yHeAF8BRxajs1azYw/Kqzt4pHN9SfaYNDFizB8vPxwA TdH07SR7WOZG3FbHeP18yFhiHjkiYsxg+NT07ATh5b2qK6fW3P8bAxpb0DzPSbuGTBsn9jWTMfff fLqunQnHWQv3vexG/7oauaiNdHfWiifN2/+J/79kCj4zlRgwvYZgDmzZxmK8QbgMWQazWABz5MMf tlOOwT2GZYL/PNeAnGSQZLbKRT35BrzCHzli2ATNLyyovSgiAvtMUp2osWbyQgk7WZkO/5rdBs3s +hch18tu5JgH0/O4SFNECtHI+FL6EDuze6huODfTln8hvRwXcZwCeUaOuY/XXVm/4D/GeFo0ws01 0/crXv3UVvI+aa+s7w/x4zJ4mf+Ke6JEwWB3q09+kmLT6XBttkK9BfNlvEdC2npOGAcvv1rSGHde ajNexlAsinesNBRowKcavy2YZehYei/mcSqcPG/0pLHYv2mXBcnLpRKZd1HBLkY5wxZCDt+Me4ya rt3Ol70W0MCciPb77B+lRqbqOji4ek/kd1vDxTrVsK56Gs6kPs0z8d/cLzfeEeNQuA8RBAsm9R3Y AhfMUWCrOJPI1uJCHWcixdUkvPhoo5Z3hOgSsqkUIN1mGvn9qPq15YWTAA9G4k+qGOZf+Dl7Q4Qt IDPE52vT8XTpd/Y8dELG9ydeQrk0EtmDKHsRkLqZWwaE1rvqH52hSzo/ub0lxoUdviDkLpNIJAZe wl3q1ijsCIbjpOnXCB9GZBsFkRJz7rLF540m6wtSTY4rVdnWBgy3nrelp5rgAjPbGFKO9F7NE2dR 19StWVXs6MeUR7sJ5lqiwlgU879TSRTnOetKO1Z1e/y5WGMeWJpsoO+Wb3h/rnUwLyVCnpLmB6ii O95RKEbvFRQys6zGTRXN6szXUPe7RfP0zD2ILSzKj3ChC7OAIK+IB+d9FQgaAf0RdLCvS8WkF34M 42BLDBMb/AwzTUX0EUa8TdTBQme2TXYDNQV7f6BzvuPFS/Q+gtkAPFufHEMASjD75PvMLOD9iLaz XG8UmPRcU6d/9C49YnJzpCXtST6TQiVQuKFAwBYl0XatoBoZw3zcjzTFjdzGtNTmHDtAJwFX4XKr WNqLLCxMfndfB5mAxCTaNcX80b+pn8RUYmuc4xH6fjznGQOo++xvuMTfOQvIygqWhKwwOFencBCg OohebzW3lFluo6CE2yC4pwCsQa3L42SY+O6GFWQuJbQGFupds3IzAVJ8IpUvUPOaKZrbbQKDpccC qIzUVlAMr1d1xoqugHxH4PSwCAhFpj4e8TOfoIuJxeoS9WPQ55E6abRs3qcBM78lGiY5PkdipO9F QLloA8xbHqR5ck3wDkVwIcM5FkDb3paribw1cHxNcks3uKRKP/V3ItIA3rigo0/TuMZ8XOHHBo5e y5TR9GAtVZZ7KMnJJZgTK/zLaVzk7k4QyenaueXw3q4fRXEHdIjl25d4fzUCHlXr/4SqJ+gVmD8Y YrDb+KdTKtigTuJTH46Xrf03ON64yvFqV40lBxFYidWHn8gJ3FmVHjlxZVXKa2+sfwWceLdK0hvu Y4w5Zez/vps5AGE8xDOGmDKScIXWWvO0QUunUSfKaHcI7G046fQfvgcPOEJCpHCifVMqroN3G2Mx CiCjIlt3uPboXuXosBIIg3SFVS4hqkOjCCN6SpqTGcBNRutkLHjVJ8YuGrZ31ahDPrmMt3bIthOs +g/Nm8WsjGnDH4grgTppHjTXZRWBSqaI6PzJPRU516deGZePhKa75dN1x2YMcbHBro3Xu3NjPK6H 1ZB+t363hNouHClccFSJQSt/axM6/mTRsqfHJo4YYjyg3v/vWYimRZ1U/k6JCZ964WFoU5PPQFVj aGmyEgdFRGZvnYvUWEfbSMS0EOc0b2Z8qpsUVHa8f/e/p0XqBgM6j+ydmYLOXo/R4d8f1sUDjKOK vFWCYxo9udwbhLJdWQzFoRiUEY2DbBmpExlat3sRfR9jArzjPvoWpzuTUra/+9kDfWrmH4fWtFs0 MYDsSthbGkLBIIOVMOaHydmtKPGg5UMXJhX0gdRzol5RMSWJiv7c2JtvZwGTxZjxfDPXTA95+2g+ DFIIi9GPS8w/Z2tjtM1ATHUTIu7WCww7kLSaBrUIhH0fJVC8Yyf8Jf9PL6IJ+4CkJ6RHnPaFFlkn qE5OzpyBl0bMOcct9SK7lOVvBQbnCHxQNgXLHlbZHWBZ0pDnkn+4+fmMl/Y7dZGpqtl59shKyXmk Ip7rRBvvEMtVxr9HOZqLQknnZKB15khiABJWD3cI87t+6WXD9ckRUZvVGyEINwLU+YfrwZ46nky2 o2wAlgICuxNFrAYsHPI3suWgP3uLPyx7jVttXcFGWW8yNQL9tV4EzTrBALbVlMJY3QlYRftpBF8F 4YPQfW4ABskd/qQIEemv6Kj1w0Uf/uX3dYc1jjZmv+FVYGYHW5kyyd3igdJNWp5KfpvbKCYsv8yN ObSxWOhSFuAb613GyY1GQKpAS8vvPrq0vVoMl1x0K2wCs9ow0s5KuFvqRriRzOKnezlf2agtD3I0 xMuo2OpYGtG5DuBFsIh6FSsAH0+KH3KqR0zb6tBfB1uYBji/pvNxrwfguFLmNKcglkfZMDCEe8HC 2oTV6G8683H/i26vSoQMpIGuhK7LrkU/6KsVBla3FFJGncq5J9YIbPH2vX4XmDb17qWY8sGdue0q U8/ETtxlb6FvAhnR0UTUSKAx7dW4ZLFI/RexTdV/U8R0CYdwF7ZiJIAE5q8LJsWZANSZYUxWjz/K e1keg+fkg0Y03vEGbvGW7igEuxPBj3exjcHxJU6KtZJMr68aWrobJnRpWUzh2c95WbetseRAVn3b tvEtzZUBQCS9SAQ6Fl3TIxRtelTTCCouww+KdvV/7/nErcVCwGRiXO2ZQkb8w4PfTtGkfhOi/AJT 8fUGezRUMISfx+HhOwnJT7y1mwluq2KuG5MUAcq9a1dTPiw3zxH14Jwv2k7IjvsQPS2+h587dEyK GBYV4oT4Lk8CRY7UyrR/pyNsNIdgeCgcZu3CjXI1hffrlzYZiHZvu256pbzHdg/992G9PuOhwh7b 6fMIdNAdyqqY6C/qgk48tKhN/ebiupIQ4yezRwhDiJT00NFUeRjajogmQabenflM6R+vjFBlDRj7 TqhOn/LuZ3qHnmPfc2lwvB3eqLSSXLBpruseu8UnBHTQlTY3iCfFklCeBg44cR0Z1QrFYdpIoa0A CPI/j6GKP8AQw8dmXfjmilWtOBUMvwQi2N7erQt3g/rgFjNMJcQICYZ3x0FL53vJiEnd6SRFHxK6 ldr78aX2H+uru6DtTgfp0silPlc1a80uwTv1dHl/rkNuU2ZiW6xFCcSPntNSlqzzxbFaofrGRqVA qXZJVku26JG0Xf3ZyJpeCoAAF0ImyyGUXMuKjy1MXPK7dxO2XdGjaGoIRtjAvYQlIQjAyAd9ExfC GvktQm9AH/pwlPKsI/4pcdUB9b6aObESURQBrDoYbJvl6dzvAls31g/3L4M/BcD3E8msL7/Pf1i/ GPfpfJmTwaTngw3NsOwr8Q3WBc3aNtntjiVa6EEQnaVGh8Dlfn3JKhMxVH1Zo6uMaT6HlwgzHByU BKSZXKNU3/qlmNqSx3geO3FSd4/ULbJC/fdwrqzB1w1mAnE5VLvojpR0H65pdzo3blBPVHQiDukX /0abTl0GleB3ep9glF4GZtys/OfV3jMiFrc0C4EHyuJ/xBCo3dmqy+FJ8opKH+cZAF8oe6jrKxK6 27LhQMc6W3MknRUkpW3NdQJk9Zpg/uVhY9j5sV0hZ3YqvmAZYo2dhlVsMP3S24nSoHlLSktF3pJS WzE2VcvvX5DL6teblA2Mv8B+lFbtdOeYMveoa2+L7XDkgFY1m4Dvgs4l25V773y1HCCBJxvs/T7R N0SHXMGGYVenGf5ZBmCBTiFdPqy/X7Lq0AvCK5p/ofjkcgKAEzQRJDZ9sActSci0c7os/6Ci7ICU pHtztjn5nRQMSv0I6Jisl/bk9Dte9WhF8RKHJiqy+nPZ6kwhvo/OnCtAou3B6/8GkmFKvXglBmi5 1Rjg8GlRSViWK7gefX5P1Xu0nhZffJiiKo5Uy9rSPdqjFllQs70FYNi15kAsx9sudZKEv4HoZZ3f KLZ/hWdBj2OMp3gM4VkWJTBmjK7H9PLKipd5hAczTXAniduuTKDR+cjVwRo3InVYKLNVYTdfHkUg GpCj0t0JMxmKEjsI1pB8PELUF6K2sjtmZK9GAiV+EfxXLT+9HjCa1y0gaWP/tqDPuRV219NUrLvw wMgm21THAwWjYgsKk3P3ewuhH1eInCEOuwIXZnpow+Uix+H9Swvh/U54scQu1awbWo7IRfjBmi7t k2NAeD30ysPyP2wO9I1OTvxpH79oKNQIo2XUtYJ9vkWPKdanSQ7Sb0pOZ11Zd+gMIVcycHRbl4qa jax+Ii6E7LLcz7Bhan/hMBak6umErerakc6P6TRsiKyCcewQKCRLEpca5SkdVM99qgg/AFpNDBDA UhemSfG2fEmLlxWgAs61Bg4t/szQ8OcwiE0McGeVDYfWisqZlOj/XVL84TYNQ0dANLUG+IKDM+N4 3gHRE38lTBQoBFr3S7Bq2DOuqzcD1kn+kiWDk0Jknk2aNesywQNtfvhgd8BVfUP9jvhkVgxJW+Re /WEsm27Z80iJGonAEs4IFKkI1IroblyRf54wYDw8m0M7hKZIOT+R0pVQlNWPePFNV815UEdZX18+ RRjal0STkKfscHbQrVqqEt95LWn/8WfX7zQ5XCeKHj2wj2uRvT6WmGXF3gYwmaLTIf6YJPJlf/kG oTulFLr/9pln6QLa8jtUPKS6HsYGrxDviBm0nlGPoKAxlRwNlzTTfmzSHU0WCD4nhAilcvPL7Ws7 +HFDMkm0uxvg6KUWgSUCo2Lr3+Hx4X8wXSY+KnSUJGGoWdThsA9oZm01Sts/d6uL8M4JXtzV4aP9 b6YfBw7Fw5JprC1t7uCoAQ2TGfTcaOYOTcbH6eqZEnRMjHzeqt3EAIA7rrqai9Wu2XvmvRWq21Ox LeM5FGs9NKZ1BrwFTo9HhvJGZqSjX+jiGMXcP1I5lLdMPcaUVBWayNVPxBzSfydpj5aFWHI9viSn 7w9ZfP02e7jPHh7h23WIJ6mOGmipWCVX+7anGHZOxkkMj9/LztYg2sTrB393QA6K2edhQzgTMsRV qD4R0CS0f8X0yMm0Ucfq6wcHwwMBUrFNR/wNwXzUM9dIbwWq4Nr+kTreIccC2ut7QIJPtHGYutNO CZddw7LZluGctJT/ZSRw/RTL7I2Tg/5kxeBOtupNpnja7DUwZLiS6A5FXwU9CF0xyvN5cQRNpOfv NAl4RVNDlA4B5eAU5vL6LXuvADO1VpebQMQk4d3njG7RNpPBHI+P3eb1QKiEpcY4mX1rOGZs6l+X JMKEMIVwEBKXgJgQdiOGgSdNZB6k0MtJOQP4DPcYE3RbNDY+NRXEE75swl7TCpk/5LmROPD8od3Y YHvij7fW4dMqq07+cnuwq3qu0ferJ+4F8rcvsAFA66WItKaIfhMJAjRZRfFoP9jZM94XzzoLeFvP zmSoBbSqyWwcBeTOAoZOv5B/AxN4J5UdbUTamxZDH4zx7rEPrHgqp0gwpt4tav4xVWRe4A3v2ei7 DJwGKxyXMXPcN+qjdy1eGa8UfUn6LDkwl9DU722pDGj0ockH2HUNUbiA9hsVOOcAu0avepFpAUfE H6xEWEs7BPeN5ufdG5Cjzq6REaHhYu+bh9/fYgIX8hOPQZ+nOFGFW5dEGwrTeBYawjGLAinrlL4o ru9uIKfXM71GMCjjFwXdUQjKKI98yQg5sX2lHx91HBEKWD39M6ol6S+PE6j3NKB5uklB+EXeMpRy TvgqI8GB0RlTrgNhh5v4Q7NkgOCiR1PbENoAOXrTZk1duuwGQHnmb6W/G2/ilP894KyH7qpc4UEH Q4nCgLz4O8+Ms24ihvoFk5fyQZQJytIFS2jge7O9ibxIkso8gomhkza977Bv3tIJa2GsWgaUm556 pRPRCW4Tc5jC88fhiAuMP+1CJsWUkXElnKoQN0HlMPIo+oWw6Y3g+4QG6uNz7O41EnLL54A4/zEh vdQggNEhAZKpO3U1MmCKqauOi3msp5TeUVJreXVg+nDQD25IsbxvkwiPanZH8RuE6YdXrjKufcmd Bjr/lHJZ99A1FDhmJH8xLjqUdGcD1cQEHaDCaEuTrnP6PVqLDSJF8NGXBGum5Liuwx+94+ubGIkT mc6WjTB0DXPogvroDciURzjaLo0oaIHCEVaQqzp/TnMyZaf1+uV24OT3knkBzsi9FP5uSchUbPLo XBp0GgKrrucD22s7hbC2xfgbnAabrA3ih47GC92XPexc9V9jiT+HJRAN6aEUX1GK8DzOb3ZUs6ox jsYy7Y+HPNhD9/sbSyXQ58t/7yyc4wRUDJ/3NklAp0LWXXxZ1fpWb5IzoDFQ2eungL1JEbAQKdKz gXRcGWXx7c8UpBfvYj+jQOFlxOKZbavqZ4BPPd5Bc4Tjagdc2ih6jGc5iyHej0M578j9NftDqPfs oLNvFKLZMqWzFwis+NGNqngl62uu51K84oUqyOYO5zGEwekGfTefqW2rCSCz4kYEYrRP0nq6p5qE oKmRBWMpzfAMBj/vTVASt6Jtiq9ngLckX8a+ufIaqoNac1+t+KJ8Ik/Y4OQc1eHYOPD8tx4pHj+0 n/IEnkfEIXvkR5bUg+Au0rBTSukIMrf2LGS/2JMd5opGvFH4bvYyUInlE9bJRZmlZlCVtNQk/MoJ Q+K9+1UoV47oQxh7kMpaoZIHNNQXdQNB5PnrJX+M5rfBJ/tRUGMYKd2vytvR1P5QNOwC2bKp+1D4 +VTRLaoN7APrIIVu1lZoF+8oy25ZDSkldFTnKyds+f05blcFNWI4Tc3XCx+IjYnShcOU7+F/F0bt UNExY4/pV/5gouKH2z/cZ5xsRw7XDWRnn37CLOk4/A+K0kKilF7ng7Z+BE1x3VgeqGuVo7BMahmK sHaKkqVpU8yuIdDApoHSJ9/trTqYbfVHHi5UJddWkdzghguRKNO33u7UbULO02YPhbnVNCE+J/Vd 64o8CVat1jPfIw8enTy4mxP+BqAq04Ic58ZJ+4KRSaVS3nfLvuVzoIrsvloL07hUwC3pYcIDhdxR f4C3WjcuwQOT+1K2mVitpbemQT7iMcZkH2IoDtZEaQJSqrSQOdPCoZ72tipSLqIoy7VO4NfmyiGR pyEvI6Ep166Fl9myM/WwAMYZ0VWy4T7vSwhMYyOQKXOL2pfj8hNJTRsdm8HZ2jax9ocgGUi06yoW SFSrwibpkKEa3G6YlhSaZ8fwRR5wpYJrIrUx+o//uBGKRTHymp+67CQgTXTj92g/yeRRpiBjjn5w dFhIA+jAv5VMRPzG7XbuYKYT9Xq9HeXbOm4IT9hClhOk06RiN4wERuSNdPTcYz+RUeg794fQTNrT v73ueBNTuJqL/R/fwu9epDxLgxvJho5pVCR0IABCtxdlMqyS0I4JKSAArFDTViB+6hPmB7btupVG xM2g5B2jzAfedL240hBGM0TRFxQTrhV/QdBAvbPpZ+fNEWzxy13h0LQyfwqwQI2rAZk6SbrsfrkH MI19BGu9CyY+RNDRnZ3zHwL/Dq0XzjOpE+qorRN8eHJsqVRErPgd4pyNy2pQaYP4BePszCVDHITX ShPX53phUSeVVnijJ47OUmemOfvhV4gZ2sts9mzmY6GWarS8iUcg94raE79qEKB/Be4P9w2MGaCj 65pG6jk01SXGKUm/4N1el+Kj33FZjm06JjFOvVa5jkaJyV0uqbaJtaOyDKCkqgJHMwBVV8htqHTy lOfBEzBGKqpNyfk5Zx2a4HO/kSbh/ng9efXF+k0hAx+54kyAS+lmMok+L5/0lWsFX5UOnCdr/XE+ /GYWiQ34qXqGQSMw1SaAcFpGIVk0t79U2pRjZuEu+ENgikZUjmo7uf2T4TpGdR9muja9DKSQmWEC 0SJ++cbsBwcQym25hY+tcg/L7cv7icgh2hkR0oTnvib1VfvVfyb/+Olf5MADEmB6LpRpab0mXtsc WGGr2B5X6Fldh3GfaACDbE8u9m3L3/jHhP2h0uXw50v7VGltvAq02sfxZiXLdvn9Mw1qwBHNOLGE 5rWZgqq8GsfCG6XZMPi2ANa4TGxReNkf/DQMMmUqoP9Lu0ZrawUQxlkDPbNPrwJxmzgKhZNDqbJg 2j5aGGsFlOtyrtt9bLux9YL+ZELZ+iHL9jqv3/E0vABhhyd+KCG4YIpterQL5v+C8mcEo6PPuwfR sTQn2oxOgTpeeaSgOHQjmyCSVaoV0E6wyoZNEbZm1ewnSk3wfI4hoLpKujhTfxZFEk5Ad+xNBT7P 6zsT+QbKTwJaLYBag1IsigOBujXeud6ihbRrrQ/X0nyWM2+l/nIp/CvmQ9+Gs5C1V9VJyrlltd8G fYSvmDQdCCxFh0HpXtL7AkGpf9Ow81/ou5vOzfjCa2n3tkNCQhpZjdRmp4PS0OHP0vTnuU6t9jI5 8vA0HdKH8y2LbF5Krsrp29ZB0boRDhQfZKiAcGATnhNAbe+XMQehIFY8h7d2xFZ1W4/z0mKz/G8n z5PCjNERP8o3YnmEcwnFiyLB5nSX+XAa9v57Z1pSOIoXtEYONuEKf/6NR38UZTxaC4TourJDCSGq tubxPtl7ETXBvA7CP/PyELlLQb4gpUdla0dQVLuwOjITZJBxV7GFyUP/2BbnnghqWRH4BuHllPEa FMcZMosqKSmmFdkkESsckrIb+MviEQkRsj+NHmbUGbU/Gs+DTruFNznhyRZAJsunamFBQEoOmtOh GtNl0gm6QuqZHkuZ+9acItuMbz1o8vUFBDOKFfn9tAL0Sp2B6qEeiZRK5q7neq76iGOTmd2taIHT x2h0JKJg7p8tIvnEt+McUeiEHd8MTe+T3u3XJRvId+wplNgPaHJAXyV+Ppeb+emGsUKfnSs78g7k zNc4oZ2Ht71ROC/iA5+wlDjOzbgq2RrzR5MFhWEzdfszjUaTL2LVg2OEU2XziDnpgr3mshk6RURq rI9Rf+EJmoUdM3pYxyKrmgqvWi8k72ZbVo+QQku2mPd+tkuAdCPdzeunZz1z/PHrjcwMJM3SSEmJ saiW73LfkuLxHwsRl7QQvdDgW5EWXmTtxnkoSrwxHJpXeAFsdKkqkKxS22jdoTUIxwnF2jjCyKPu Oroy9f5Kn7rlLI0xHSA52m8VyjIQF4CUXnnGYGj646DI262lIwq2pqZS31givFbOOetSiqdbmtRe arNG+GHj2ww0PV4Ez+TwFr5BBgkX8daa73gYLNq2hAfsHBGiD5d9fUCFWaK+AAhtQM6hHJO7ch2p CHMrbBXfQLwIUdq6alGhnHkw3vryLZt5MdFeGbKZ+68EyLk+XCqpLTOd62FPB2DAVnmc/28PTIE6 BEDASfxNdXvy1X1BoT9AWI2qRdElKgtEvb/k5BKkiI3V8X+FFi4D6MfyfvdhK1SvsfGpaxvWkE2r Y5CJh7kQvghp3hQFoI7DTxgIFuEMPzrM9XnMf37LWh0AfOPsM+Qtw2sLf2qxaTwooGon4i9nuig9 O713aV0APCdZmu0WnkWJ1GYZCVVMsjspm40zMW3roOFqw5VEMBRgJapoh0vCblzN3ggoTg0JSVC3 8hywQ/hzXRhRs1iCLUoIk7aoiYM6Fi9nNRmqHDgj43G0AQFz61+svjiUEk6Q29m58rVuKXWi7+E4 aGi7Pq6luq25QLzaqKJ0/INYO5Bd49LTttT4pzQkk7vO2/2zKCJMSIJkmIuL23hsbvHprjKxXlRO v9hi+uMQ1AWw9s07FETnoreBI7g74VPC3P1DN5mj9GU87EkgX9Z3oLRc83RKnjUHd9i8Y0g8/o3z qwoTROLrafQGuXy8ObBr13faQOooHjGVwwUs+kh9cREnzoCE1cJOpkSkXFXUm5O93W6yB4OTscb1 DBtIAFDGXHTQ3H0RMzzWpvTtVNePr02Z7vfs1uZs4sJUpOAn97ZS1xDQ43eDM98HhqYis3BKn+Hk k4IZYKEhIHdgrj+UaY4uPZ97lcSOWSxxsIJfcoOlDSOKHkNVQVHFViPPH2/cQ3M7e/8T5WVSoyKF 7usja/ItYq0SUq0yG73/QxQ5mDlvtKVD/sbJGSche6kHBvKGlw5+ya1bAKfIntxP7XvM3hLiAEs3 WHch1ckU96akqeg9s5zqN+n7GbEYIGyqlwgg9siPmzx/XZswNlIMKW7I8hSfIYLALfeF68aZGauA W2OtiGgYt6RVZ0k4mAFXMoUEDx2QgQcNw9BksT98GYpVFhAtVgGL1DAE8FxT0KSjhmS/rucdbdrg 639JLetxoATNgIQbIukoL1yGUjJQHkhtHF1r7zEpTYXGXooFOoly0L1Cv+iQuUVNcMLkUh+H0Pmf cF6niywhy+gZs8tP4yM7c18uPair087mV4DA3WqFC1qyXK7FH6JoX8zsUf4qAUoYqPd76qKnR94+ 2cC2o/PmDrgDKEcF+EN1hE0ZK0F7NUWworY4gRCtGzX1vx2+/I4zQlVdWEFG3qspmxIELNNLa5Lm Ky88WRlq54PhXAwQxIGuqp7KhIhvRJBUqta5/O8s/cXB0dLsh1S7gssyNF7yNTiSckq/DDDC6nLB sbWTj8h6UHHLbf3Ms54JjzyL4YeBX2v02NB7yhHA5hJm0BvjHgBNm1bnZuLqZOvf/8Ksw2njoxog Kemd4PuJseYtwzWD0es1IlOFeeE2ntNuenWbkFummW9m5fXolMwTtKx6ZM2anR607qVWau9IdWNf WW6UfciMKSueQVYIZaMjuO1FGiWVsKzsjSTGKx6FJI99bLuDeB5vG/MBpDfUAl9LcIJdoT6QGBfI wKuTuwAbX+e1vlR7pdeYpA8GrkwX9a3Hcn7f9J2YsWptXhwGY1jZ6OkomSPmBQWfWpH3ymG0NM3I /kh+XfPv+HQ5UEvuHfsA2gx/B4bCbaOCeqa3ZwO0OzHk4SS/Sed5qOcWcgo0GjB4WjNXKfUksBXS V9868FoJ+GxOKhKja0eahxhYlbMAcZ49ECC7g8sk9sITQ1xeUCbbfzqlCGNdxW6kq+tC0hkpvBuR Dzxju2Ef7QfpgcW7h8CWGlIZtGE3+kWzZrbiTUYxv4MlK5E37nD9NL1kxmbZj/g6T6TT2aadIMsM NeZkD1gTYSxPWZFdmodQhrJQ9YnImAmIpMjsIxCbMyeiaL507E07Kykpd+jjz7lPOZvHCuMnoRHy rYrPgtZL0QLL7hWUxM2GGBTlqlNnKoo52pi5NMcGbbAXanagn3E11kdCXF5zBZlwstXktBjdwe8e F95JoXI3iKg8xHyMWE0+wfpp5RX/znecpVKI6SnRSS5qOa6ljPvTsnHFExbU9JNTzGmzoQwpZZKA lFhBvRKGFgbykEwqhpQpzhOTVaiZNKHuvu24SP8nEyG8SuvhUcNHT9jYP1aCC3Zn+3ti1XXJEuWg GoeZ1nXEODOJmPWt6cq9bItor2buqtVbP7LyiqPjzPAxaB0QkfYAYKm3lKmlMKkgSRoxjDIBz6cH NZrj4beRsJD/ZCTFhdxDI7TX7p/vtqYxVCnOrmbTtyZsv5rLn7me7pspyaPCv/7i+rZqPeBkp7OX N3Ibns1cPNpDpCIFAHm8dGWK1JFiuK7fIfom1mw42NuMG9JKi3NW6hwgRYvtqd7CQyk8vEFlAKbY BHGzwHi6o+BCQ3XyVIVyLlArtsgIIsA7IlgCwFA+I1co0n6aUmeYNcFdfbfBU1PjzevtXr8k+VNu R6kJBZqbLR0mtVITNoVDwoXvbZWHqXy3kuqm043WJT4wnin+gSku9pI5hIfkWst9VTr4dbvxuRt1 w/mk7vKcFsZ7xJN3b1sfgGLxPxfS0lkJaO16lldyLrvns9SxHtRLkZOeRprrryMxzIT7YL7+m/8g eAAc3uLhnoIrzTcnCzBUH8FcNuqZThQ6sznFlX4OenY/+i3EpaejatcSkHEcE7LvVobs/8UHI4/8 pi4hydZH9zPNJtJSpDNTftcQTlWgNj6E0/FewanC9a5GovH9/PVXqwgG84vCexmetdWBJf9tACbf WC2Y022HMnnOa7uSIObSAA3tHMugOPZz1hbj3yFr4PKO8ZYt99kxKP6DtUh4WW8Mi9yNP8pOK44J TwiE/FniPRhv08AfPquUwkcRJrexs66Mqzzg65tqtpqtqMTkccUIqPgaqjW8ZrvayI+dGZk8war8 ytFDvIbvetuO+3Yiv8fHJ690n3sWCeF8g2IfGTUO2oNOD07OeS/i+tqIfwm8UNHIUq5+Ipo9rRHa tqwg04aAc6S/l3y6vLECsplJ3+Z1pdjh+kin6VvUNvqdg6NHE70w3AHLCnjy5cCx/xSFphUqeHUN pMb0S102olrRcESCb4w961knnVdulMSXxCltAkwr0N6/bFZLr9o6aS66hqrgQIQxDtdBhh40+yVN /kgh02W2Pd/7hKEg1xBC3q+0QHLueXKeKSvv3v0wQfRnBdgWvVJBCbonbx4PJ4u4lVL2+xAbDxJJ VC4WiqvHq8v2+Lc1xQ8x80Ag0M6gxDCbzs8iIHMroOhuBCK4+5I6axcHxt4FjPGNH/BP+nBoRUDh ke9guDJ7JdAI65fXlPO5ODm8IOLdWUUzcjc/VOgT2fJCdN78okcEdZu5CMyJENbODMxhZr1R3rFM Yq0XlI6M6kDTkiiTW8wQnt81aRHt2WZS0DNdY4q3l/pBuBRyK3mUnZ7BxjJq7xK/4/UcsNMo/p64 O8LBdYhDbO8y3kCTpa9FihnMIxeYmoPN6wdbMjHxU+VOB2kU7SdTiJBeXxrb6W8k10SYzm778NqG WdHEBbU3zbDBty2NMbCJgimhJcLQa8wmx2MUXSfOXOaRvpGapeUwWrqqqDNOfpvfq3BcatZG3wEk CwFuoHWALjoSm8azsJ50ENZKD+BbYtJA10hDR+2f3yyUHf+0q+we7HvZbfIHhKKKZ2NXvcnC8xsh D4y0U0fKiaBdYFmDZDjhUCkM1M7IuMjU+ryVuSIT+sexKODdS2Wz29NxgupKLBFCYvEVYEHJveYT tVQ05VuQOnwUxt4x7tSTYY55qQtDVFTGP0vkasEyKZRPfUT8kElrPI06DroRLKckR1Jyw3B51QYE 64/maUcLwisq8TjfLxf/ERf8tVC8OU9mIHsRzZwZmenrt6LRPKcz+zej04Dr+cepnxsqpThU342g JVjmgsqHo4Z5qpAivplwllO/LKMkpAXq+PXXKC0vdkZuAIoZNbXBhVDiRwjeb0yOsIHgemJ+72nL KIVPs2xWO1buwyhcF9Npp+elY+0PG9Cxu2rWD/xszSRqMFmOE5gBf7vTSPUWWvVZrO1st335hYkp lftEEmIfA4gCVl6nOWjzpemuAUVXW6sITTTCmQnt6cp6DeuyQRgmWUSQ6/Vrd2Jno1Kz1HwFPBYh mLm0tW3W4BZkIxezQwfJUXG3DeQbIa8JT+K9Es5ATD2en1KNUUBelV/nAanTQKu3V7pzUr+Fxsi4 sy7V5SiTiRGnaGBCAn1E6lCZJJl/CdMZEAP1geNhDPZE5P1c9Dsyf5YYRjcDj8XMKFpPEmuNIojv gCtyg2W28s8whbDmAGatyI0t7I9lyLftS7H2iEbSJjNpC2F7YQZeCIPULnf94cM0pCWuYxwz+dgk Pnhocpf5Xt2uIRwBc2EJl0K+hAgxs8gD3a0hHnR2doI5yKnTRq5agC5u9MDTIpvvMouKsX+XRrqF gqDIOn74T9Y7/JY1iKULj6y7nonm9cvPdgNW11UegvEIv0Ji6YURwZeoJtaCGDuhh32rE2UI7h0Z 4YE8LeC/4eCDBymhTHbDd7fWzCkO4gpA1bvpXTBL0orFt7ClJW4N/EjRtSh1OSUonQuWgDBZ8zrg 4CkUG+j5V0Tztq1gpapj/sLDboLFeXBfa6ZyMLOeF/AKmageQyVuS9k0FrOgw0IWeqPFIpz1xfNq TCPWEypBcvl/cFayasCybVffWQNLYLRb365GIDJ3j/pSX+FqFnqtBzWpqauIwIgYUXEK8aXXcxle W0Kp8PKz8lJQJCFSYCrE8FIN4KZ+XNNbe/sIxE0LvNM+ToiLrMQbyZeYFM327D+suwcKE+o/vc00 Ho//qbz/83ugWJbHHIXbsAI+ZxLGgTXxd3kUs76fAvwghpYiEirh+6m/X3p8KhI94ZPlR3W7x9/j 0bA2PLw9iS8ezPzEj5owCiZ76VZqtC4JBKk3Kj6YcOmA2usVriy/H/nvWtB6lvDhgGg30KqIe/7X jRDCxK04ghlkv7BlBqDysLMI217plPHvTj5atvC6Kyi8RIqtYk7mpP1uVJwRlAZro10ADJGt5mKW 52/gHZmPUIGD7jwNnRaxyrdL/U1i3L/V2DaSg6UDKSvDczHv9+Cywvr/y5vwyJNlJaiwnI77kY7b JfiSOtB3RlaWoU+YqNmGJetTik4oJAdSE4m+2bgu9Feujug6KGODAKLiFUQXfrYFyb3EREes1Jet R1lwiBgmOV81bRBUCEFaoZ5tLi0qa7Lh35fQQqJMFTfLdf3eNz4/4Z/ah9D8vbyYllv2I9+1NH5Y ktz6l6yfc6H97H8FngyU19nV8g6jVIxaz6ZRi2P+BQIXdgRaSAujBtXY39gLqftRJNfoQR1FA8jy wLwSUkhpZNq75EIozF7h8nIgZIc+SPw0bLhis5ElxC4aL+Ls3MEXiARUN6G3rUDjcCoCmCdIdfWC RS9eM58nTSXokTR2AY8YryogYco63P0Op7dU4eAjFYBA/2nxnQHc0Y+7eI6Gpa48Lu5EUKLRprGt /GqZXhA226LJCpb38BVXsKPgEL58g9oldBzrHvWDrmYnqr6LWBaECHn/Z91DVI6JN0aRBUbpYhoV SDBvJj6frcdKnwLztJcdCv6nlN8LYg90I+gIzz52rD7jYNjMAXBqDEWKSUgnz4TT/RG8EPSfbCeo bo8TpPyJa3rdcX9iKlvIQ9OdMiAPbPwJncoZP4E/2B6HPszAVKQW1Uotqwa7K3EgYKyV8rOkTIBd dNDAJnileIYwHQw5/C1ObzrDJA6aMqenoNx52NiAbPl7Y8ke6rC/E2TQ4jA7E0vi2ty+zmTKzhij X6ORqTS10xu4OovuKPqqMske93CFWOy9Lg9q0A/u8sQD1JBCEhByfjO/PLcva3PdqViw1FfYzj1d 4f7shTD1QmXRN5Umb0QXCgom464FN5HUKNDxDxFpbWtjvGuut85snZkiyes2qoqxODuhFSOOSQRL rkpd9dJ+pa7m/6xtm2cCDmeuzeKAvm53J5ebGvvWbazoNqwuLVIfE+aU92X0bYLDUuwUgJercVoJ 1QWm0Qleg9dWMUpGyglDOz9i1GGgPPVGNlToRyimEC+oVUppXrFSkCEa1KklPYYJNQgHR0fLVY3+ qlvHhmqxgfGHDrG/4waHSiRwNsFXkwqKrovGyjVPKuDULbS72gxv9BWBOR8EHGgvJlqHuOGgeeZs nG+Kf2Gj869Y/hz1iklcc/1e0AAN6CSROhaeiV1Pr4UiFxzze8VGSAgmPaqRXamdmamP9Zt4DNm3 oiFxqqctI+Yy62qOXNYTV0B96Gr92MrARexKeqxEZjgMVMmATIdR8BhuCrML7WdN3UIt+HIDPhf2 lUwLkN+wRd8sCwKwjA2kACy2mv47hrhnv9FIUIsFwE9ibvOzrfpDjt7SqUmNFfYXphdOpaWKuxjU mSTtXYNseVWiXeM42VmIdwaM6QmdAFEU/3m1JegiNJK5xOuu4Z+a3kfVJTNo+gLb9ck83qvO7Rme poR/D8PjT/bupZl6ib3DjkV3tlm0OWH8RIVdDREcSD1Rn6Kh69xEqM2hDGinMAMO6stPxKpf/e10 S/ahSUrvH/oiM6Rb040Hwg6MtblThpv0V57czy7bwMz7ociQWcyU7k1zFNt0TFyqr5FZe40UOCB0 5KhVMduIkAk1T0WdggV9cMJ4rj8Pm0Vxp4zdHnZMIerVBsrlJKw2gc05Z7DE4n6KnUFloTpWPA/j vCsoD7xKg+jPu2LctXs5wh+NfDc4GNj0d1gNit59VkRbAU7pb5Y886ZP717mf9UQw8VW/0QGBO37 VNQ+OizOtef5p+CmHZ5/cezxVNoCaM5NIwukNupBKOu18iy311lcOo+iRPrbZAUCTGgfE7sEZt0v ULd0p3crx0zfkCRwwITnDTQdIdtbcSqgvNEc05hsbbkTTr5AaCK+tWAxkM+1gBjNlGtK/XbxY7dS I6V98MhXQE3CzUp79xS+42kst7VgvQe6LvXhC26PZyflBGKC4xXPY+MLMqDFBFDJkM88BxuSeIL+ YT2HqQYKQG7PpcF3OZ3ULN9fwqW7vcrshU7g+lKFjHTyiJICWyE37eiDD25l+zzY8pwfbBbVlHxJ 6WNDsGCg/B4/BFF0lvNCjHLttLSNF6uknG97ny/WepuGIQmKaOpLo7q48fO1mTRnWkrBr0bLp19l f74r4rGszsQoBGCRTl/E5kBenrZBZH1PEjs29Z5xEJoKNWb7U1l3JF8aheXJ45kX5Qc2bIDoMsek mz3wLB8Kx9ynzO8gLmMPf278hFz4sCgWFoIPoAc6OdAj+FeK/HZvYACn8P6OPplrUd1DKzHoIsxf 8P/TNYTXuo1cTCm2bHaLeiYp13aI4kBnHvRSc33DB+psuVbHDiWX8cKIBEBSRt2oWqfstDBlIWSE bw9PTF9KKEkr6YjiJJfPlFjl4fa/tHkHN2sTwtgYy40z88QlwGHf9XJU9zRB+6hMG1Kex43vkhmO Z4NleGS3SkMO445aiz9MheD2K0x8Bfk+P+gB10/zwC/vwpZv7HEU8XjNkiD4OZ5B2b0No00id6GR 3nfP0wcGPm2WJNpCvMj8orzJrgUGX4nOVy2oQmxhbMhVKy5H8TcUfkSbF9ZdJY7NVxN4QmXpzvcu w0y5gD1+B+LBGEGJzg4AleUdHF2PU+Y7Al9lPUDTz9bR311ne5w+eOVwPNGMrCLZRqXnzskgAGYU sLN90cFWcJ4Jcw0whMWQkHX1XMeZwDDjeIgoO9GFhw/ISn9IdujXH+aislFzDJtwUtNxg520ghmF n7NNUp9bQAktpQXflSyvJj2w/0SaxM8afz+0JBGcdq8bX1FkPQDARdcXZvwIVqoJU+AbwF9FoJoK nQfEIGUKZNV6kRso2YEalz/UvKno5YLwUSRvynrX+uCY6Cf8XGWuGzyQu0ahdX4F9NosVF5FdiQe rLKPA0WwEam7CQ1X2063vS06gRxntq4LbyNjPP6K5O6mx4wpJLsYP9VLQzFtLNYSNJs1K2lYUZXo +esY8Y9Zx7tfwmoCdzpIisab/xr7sANNGIsQ94bo7EP/mLZyVzGKOGd4m23n2Mj/sDQCxOfZqj/I NK2kwaNS54apa/NMFD/Hy6x54sZV4C41CwEZnNEM4TW6uFAAUcIEgEpGUGqYdQo30Db4KoaTr9K2 XbXhod9X6xSOg0XAm9wj4BM1sKcCsZlbtU23y6Wz7vql5SpR/rtJy8xDImfIukvd3kD7xff3kJKc SkAlzxX3UEipqqE/bhy22uucRmEQ9F2Lq/9djVX91cBCIPxnqlJkCNFZnV6VjfNXP/3mdyMSdHeX +UNxcSKEo26n6l+2QgTdNCCQS/ukYxNpkg7Y/NuuCBPQVZpnARyjV9PpcF22PCiBn6VNYdWXZBtF EDjKcGrUqmKJaepxcLrXCIDFuHENYh9wKoREYRVrdt6LI/cCBX+Hn/zILtlMO276uE24KkwH+GfG G8qhB0gzbAp8p2Vp9Qc+5eowp5WHJwMKBTBXcWiBhWTxc/Srbjd5P6HKUQ5yZ16rvzXsZWA9h2Yv yDxQJ4ybJKJH2LbHXisy8Qg1KGhTTSF+dAWpHlFTL/KzV/4c7aFSz5bNtwYLA1jxsFDrrc/WvwNS kg1/XcNkZmGtC1loRYm+ZQ40zliJTEDxQCIzKxa4WXuIhxoHweANkXBIk01gwu+cGVAHditkgLoa a6DRbN55TfJJdhjS+DGYk/C1X4rb9zeox9WJ5thqj6HtcS81Er98UargGYtCgVpzxPZf/YA1uTMx 0J6NHNlDfGL4wyP3KLPo5HXyN+Y97OqxjxHpYVxWrJIdQC50SO7XNn+QZKle1L7ecLD1XrZIKDS7 hS2ztgfmdwKv1b/YRiWvlXEUUJUDFFpbhkQz2BGgbA/WogPHoAulP14ceZJ0QhxUxuPUkSCrdwuS NiIc8SGtlKAsEac9/Tgzg/Bw/qrNaWOHXTYxCUP8lXYWSDgkU+sSPrI/VVgNl4GftwWTXORS4Zcu PJgi2rCnb2kpZn1hDTorBwsG/bfO/gduAxu3G3zlLBvxk2KDHTeatOsM6isUCyRjCs2AgP+W7flb wfrCa4ZZJ3RtOhYnAhNPa7VQK/ktGBq3Vf/RJjNz5GhC/Sl+YLJRJp315ER8QePhkNg2jTTevPJX FuCYOQfOPTNxe04aycvsHeBNY0yW5+nx2uxWoVdxCHyu8CkdpP8ngwvtDoRlIJpyzrVIqyN+lJ6z DxY5vHS0xn80aXRU8b5T1vHZRIktPn5VjzD5woN2EqzPiJ82Pfluzyg6DEFMVOt1KrU0JUXFsCd5 bEcmOWcmtpqetyDteUX/zyDxGD4Y+pjuUIT5s5sg1ssn7MEv9boQhOSTuGxNN3vI3zaJvL7tXRal XrR+pt6S6EoZeR1+ebGppPN/OzK4Ow41arRU6p2V/DxL46RIhxfV4mIJo9LJ2KLe4lorNmJQRXIx HhKxIjfKKmTVoDuRr/+bJd62xi2F99m+SeSpeEadfQ2UgxBsWGxvVRWLN47pGNbDAy7MP9PYHf20 mPi9OKqTT1w7ADmI196Ot4GNwx0b5JxY5EcA/h0jjTYMytQVV8rf0Qi3mKfQ5/E2rfUDcRVzy2VF wI3w3Ax0Mxzjrj3eEB2SAxIu1wW0o0uEuGH2M3DWVCtbLXe7A2VJQ4DAfcD6NyL4qzgOMnPftidB 7A23VtcN3SP2r7XNQ9qjNWJ7hn56p8zYGYmjuVMiF36xf8ze4gOSgx88HkYkD3tfsfzzrrvnGEas ZL8FFBb8h3ydsbt9OnsPjKWYOOe3fwOzSdGxNiO1L3i/Z44I+kc0wbdtT4gieZIPI7tnft+EG4lC 0LcLhWR1Lw1FA4bgbiiWLqcFAVnBzQNdlUIHALl9d5FGvsuJNgr/kgWMvzVCAUiBZYJEvbVI40pG 3giLBmdQo1HBSF6K/XfnAxYJ7uA2c7P/ew+BRj56vT+7xnp44/0kF8OXJQHoPCqRbAWzn7V0uooO FHmjAYisiOwQB9+51j3OuGcrUwsr2wkueVuV0od4v190TtygLxzWiKVpIU/Gx44Qt3JKJUYF50rx yn5aRSRfM6ZJe7QgAS4b3wTlw6/MsgbEQW3c4WgmyO37gVG7wTVjeUIwO48yCrl4Ofhsa91zwbCL HGlYgypspv6ce0vLgFVXdHCbGbxETOTbqoansgVUV5tqMnUTP8xqbiC4zh4ybExZD7QUvCfE2na6 Kx9TEQLLPdwMviUnB/a5H6pZfpL4Hb6c4WY167OFf0rM5LVHidu05gxk9jmRo/zeBAzuuDzg9Z0P TL6zm4QevRpyQLjfVgjWacv705u6Zt2BM78vCN3rB+CQ5FqT4zmZOfeyxM6nrvnP2BJIamj9GE7o GIbqIFiPuqEaXSmZutJbz2IP58Shn5hfbvdK6Hl27ubPhw+X2Usp/qMRG7g9mw58RFIE5cSKx140 5vXvcFenfOFWZg66zpb8pB44LGW+irTbRtrNfhtmLkeI3EkmDU3HM3pXwBiN5fLljyyhRDN9hVxQ lsxQ90cC/0Rhna5hMCZ3OBK1UiMbyRSk8OygHQtNkPkHYjPZrnwaYQ9vWjJFgTzzKG2+EioX4+sS WYE/ZBw/aAm0wI/ilExfDPBUNe0z8BoNmwdnX+VX3dDTVZgJIQAlTT/tu99sgb5ntWfnJKWLw6bN 5xVXsBzyCeeAS3Cu95W15R6DVLVy/b96gNRVX+IgUrzv7eDgDO1LMrgczn1148DbmS4zlcXL3YWA 8DJHrsONQ1mGM6PPGMrf9q6bigRM71pNwTN5zIYUGeuWA5YDRAHBE1Sb36u0VOk0zzKI1JmDX6Y7 AN9uCeVA0+jiyuRXLQZ+laHEJ8o0AbKCJQJh7OxKmLHPnbNGOtaTp4wnT2eNT9KNu5ht26VnkK+E /im4tZmA3+OtWvkqkKnURxXql2LAL6nN6t0ydFOmBLd+cGDsTWec4R2AOhTa4udYKbe5YvqIciJE /7d49/1wjO5oLYWq36JcB1lAWClhNPSky47Qh+VobQUBSUW2UxrtMkflpz3Az8M4XJTf8m+tXJKJ RT/dY99Cx8twgsbQ0oOJONmq9lo494LYx4yeBczsM8+LyRvaC8yxQMJ3qXvAXhmexX9cSWhsHsTh dJHV//X05DkWHpfo1GmLYiFct/bicBN7QvTwzwjMD+/F8ivwI6UpmW/BTouYsyN9tLIoMWUQ8uY3 IdAZmmzVXHCbTEWfbLYs1JghRTIeUwzB+U+0RUXFa4UDWyk472cpJETHZc12UvupNWzICdKA3KKB +uh4J+3W1lIM78B2v5gn3OqlnLYKfLuj+w2Mopi5j5IUnkHAeVQH5iI1hxeobwCTouyMVhlBYy1E MiGYaJGHoogWHUjacF9zV/jYG0zF9ETabJ6dNdDlplqFUowurjZIgHvfTrXbh09GG0fOXLLoQT0Z Yk9U9XvRaFpEqZS/gcGo+a9D/2b3z02c6EFa691arnxS+rmnnYGMwxy2akRpeC36KjpjkydJV6Du fcSgmYDb9jYmMYAnDu7abNgDvil66ZMFmFc6UK3EF1Auv3gq8kijVi4VNZS50n4lLQfZFbXGrLt9 RYSU95vtj7Myjvh2Lv3IpRug4zuFmYlQdVFik+Cfggb2Xcso+H3t6kOVjCDlKLxH8j9YK/VoP2xn kNtVBj+8qiAveDdYDHhcX34SAnuRc+dlB+VzDffKtQJKtqTe93hnVRU72efGHf7FhowceJqRAG4t p7lhFbgEpUKj1S4LP8r/Wuu+9ksXLX8pz9qBEGwLzcOzj+q1XRd98TC4GpoA3ChQTeP2IR1F9y8V d2X1htrlPibZmam016TJxlrPFJGpLvKnBuP5Qcq/EGLUQkiJfpPpxhehRad7nxrcdtC64YjPz6Ow MRhvoZn+8NMPiAvzmmAOPF3aqjXIeliFuw6aAWHuH1pPl73ZJJCi7Pu+Z9EN911pq7viZeaQBrBz 2VaoVBlatq+oVeJok9c8cpqzyQ9tyZZCXI8PZBK/axnPf/gW5tSd729F40EW0/YrwUMhmjwD6Rox RXh/VJQgkT8ZAtXxibCbQzVaIegCGvxnkBHjPU76e6690aQSc07/7AaNpOl4N+3yYP9Koiw0eWjP WjqXQNQDuivR3j1J82mM6DCmcygGo8DyJRqHhdjeyG+q8avqPSphdBsCsZba4Y+1s+FsKmX/2DHH AvUvx855zwJfElaInqzhNlx+FXUZQpUKtWw5gTtGRlpyZ3Pa/VV6mKrLqv7vKcHuXUoP2SPAOA4K ml6BUTEc6TGuHDilFZrQ0D9AJyCvaUUHu/46+QY6O66HiSqPJbRss0q+oA8IW1gBcuDS69zIq/yC AICo15QSsQeE5Hbdw9FxDbNvTknwI+rUMp06XNfuUzH4JBKW5AOwBX5mmm2X+9WknUo4bhI5qX9i nysNujFNIK43E4UfYtsqkQ0G0cKKsvzez8y0gl7TpybD07kfKI6OGXZlwKSyO8JzvTUFQIbZWfsK tmFTeUF8GOnK9BBJA/afzi9J1qnwCIW4nudjli47KbxGqIKnaPJwcWYNcYbf52LBReIEuMKnj6tb 015whzVNZGn2lYld4yzht0qGBOwo728oNa0vonBF788CzxuqUbQ6CX0JmxIWxp56mjRLqinhmtrK VikyW+ReNF6rwo4IM44vdSxAYB6EoZDgtcfmCSOLM67aip9zmG0Sk1K/GnTBKRGtpZV01B0mCmd4 qSK8rO7U5Zd5qgor6+GxXdy6tMQsnciaJ9sZ3ctO/zcE5Sr2vBggXHdJ2ELFS4QJYpzzVtb7uQex OJMbCboEgB1WTOcfRFrO3RfKkjen9oecsQ1N7HAjU6Mwtb4gfb79xfwwLejWznaCJXWku4tsjdGL wVO6zXgFgUABavfTl2SFrE4w8nntLZgf7oU8WdY+Gp5JxsO2OGhXr6UNaaUa7thxtdXx9QYF2B34 2YExstqenpuz7n69c+CAKIcZnVsG+BpVjAOWm+aQ4pumZldL5IeJznWfEGcGiUKsLPTd3X6GUdTZ kjw6uw+2JpbXoKdV04eNTLJZukkQP3J43+AphMa4rlL8XGTFN7M8faUJbEq1jIv822oZTxuuUyJG yQy7gsuveuhOgs9XKfwYIBVvxZxEkEGkV8Jps5peMei/qASq4NSrISdCviLnKqqhWuQfZVSCxDYL LdAKkZ2km1IWp5y2/mqBt0EJktc7No6iX7yCQmbssVMjIOTaFet83mYoOF5bx8VTDPxjJMNrPgIu TTkC3R6A4pl5FN0NmElUuS4NqeuoW1H+gYpNfEpVDBGpezP0mInm41RS5Lj7MY6IZgYc3VSxsRqx mh4wrbfVRIiJsN5J0ddYdvGi6CmKmXa6248I3Lb6FT2xRh+ZJamPLh22snW/RSG8EqZwpzZUQUBS Oa+jWUM8MknMPt8MOk2Gk22JNfe0fN7RI6hJoTJYMRD0QDuqK8i9KEqbvQSC7Qm3SYai3vTOIpQR 6Bjptyk0HA1Df6GmKwfNKo/ZE6txeL4E6zungGZRvN3IeAUiSuNcMS19dDTpN/O4pTg/+pCbmSAX 3SNdEljuhPz9d925/5M7qbI17OwQk373z/mCULWN26cWqwRHi0chtapXERfsY7e2AIFy9nZSxlQ8 QXpTQMtoD3iiYB2zG/b1YE1DQCMyw98fEirT04rZBuMzddXo9U7R1lSS+8xjMUT+Cn4rM5FDcNoD 6p/fxaPIrOCKPVx1Jj8XSrKUJ3Vzm5QlSBueRK26DO2YkbrRxLDqHwe1cjROhXlMXTOcgWFcEZgo qMlkxm9tbIGN63L2yWuhQo8gf7WdwFydiVS4RTSUMrbhAcD0jJpl6oeXMXQTUMepcF5NE01e58ML 1NedHjNvI8TDluAqa0vrrI6xnCVt2p9waDXxin0W+wU1HdwSbvRh0Lk6diagpqspkqwihjhuLQxy 4bhOmz+QrJJTijG00gHkU+TOjZSNygB61OFWxwHoDCwL4R9ZwentBk7s7ERoukb++9CWT0Nuw8gc qIGLMDxHKypuy/odgp3IjDTksCZXqxJwGwtOR/MmYu+HBh/wsKsoloz4cuyDLwFw0ms7XaswRmvQ pSZdwEGUVW84uBCx9NGrPztncCrrYqVk6H0k4lciQZv32P+W+gjzaCq76vfth9dqhyR4P+b/8fFj iSxzXyRbBDLesEd11FYsabAeimCEg6AJ/b+hcEja9iOyfNNak8qoIdoh8jsK3WEyONl/r45sSksu Wd04jWtxMNJWeoBoPxZbMhvnIZKIb7NU5rdvvn806F3gD6lO74NhrKHbRwwa6U94tILmnS+Jefqi /gIEAttNBACmqxrLKvONGV0Up2166aMKtWrFatPdo8CBKy2nQOBLcPhBoh3cP75rkiYdXknv3TjR jxjtqAACwV2+gQkr7Ee0J1DpLkI3tNg0/OG9HZW2m5y1aiCvn5C3LcyIVc/MAEaMNpadxCwg04lP D2x16X0wtXU5CQ+fXn2o1ccfDQ6tXrGwiZSFD0sxkaxa/3loGg+fdiP99rrDYppxXgU0fE/q7up4 wQEgm08H53BhkB13VgiQtAi0c9jFxx+acKz0X/maGpNZXtKDfjZkVJqwq4y4nUXaDmjQdcYJgHj1 g7QSojX19IiRK34alPVRJK7nG60ACneKhwdNUmMOIqiBmVC/anSR4nV3eaVNK+47MgcxEgSQLhGZ wvlqFwLcHNtkS4ib6Uii8568K9Eb2nFmOmae7ICOZteCWRo7o1oCfRD4J7wM0sl8mYYiCxUew1+t xH9yEoyLLNiCtOhsK6ViIPLmEW9ANeh2reEX49dBvQciCWQX5dC3Vsk6jpJwlydn3MWlc+DHetWG B68K3O3ACKSUmV3YG2XSVFu8WiS2z8SAsFxwRq2JJWXzyrVhwkOCj3izsPpdFXdwlbg2m4legWtx xImBP2LVkHIQZ9FkxwYj5d0Yokbh5SA3W847MHvZgH6DWejYwaqKfNdAccERpQ6zaydj78kYHzP0 Tvp0vEFL/zQ1rzdn2dO73T/0i8tqrRBG4226DVwCMrsypWtIZF63Y2dV9MhbTsY69OJqy6pzaySg 1eM/AOvNVjmGG+OqEjWm/IlxApnqGQl7v6zEkvZF0RTfam2bTHUq1d7jUv8Q5yBVjln6OT5sm6+K zPVJjGMwtd3fbpsv8inertk0xOQTkEaif5ccOkK10W6rWsd0S9LE8OPSv8+fcmkNsuIVQDerPlyA N2FXUBFA8F5VRviSrD3I7FF9eTWtDwhyRIV7bn0eP3spcJXJ9CP1FnXznqKF4wSEavYFcub6osrv YJnSFiqDi3ms94aO4qIoPgoBTBRvKTdyoSq0C7Ib9sbFnKa2pAVKi/wp42iwnUdDQexgzo/aoSu2 eQNBIIunURUgoCrzhijr5zJd0SRUjeJ01Ao60cMPRM6MrbBJXZneBsWVy6BxWpG1GLP7EtfRmuew gPMyQtV6iqc1V4T7ZWbJXIEQTkfz7qmsdaUXJLV1ZO1fxMO8SRZSAEsR75hnG7N2cYDUhABH0nxX W9JfsneMxWkvwk7RLhcW85Wr4LaXhWJmWhbOeCzHgH7NIg4l47Due4oaMcg1Cjolzpy9bLYZ4dct iKcp7CUL/js2jSF7b013eV4wRqUGXTtwT37RaF+M9CKIG/5VuApSWJMyba/pqN4DbZQQdRbspVMQ 70eORfgYynK9zZLbkqn5ndVkKcTs+maitc0eDCKJFsLR2pUQyHa5LeaLbPDKyTuSyLvfu18SjvWe g4oyNNsHyPOkIA4NZb/ZT2WX6dbSSw3Z2oU13NZ/3yjkCKJo8BBMsyFq8kFmau1VlMRlf9db8L4g 97nFUNTXMzf0btzce0hpMCXqr1eY2N/ZFmRYu4IGyZFf1FIMYQPjscmtWQBtSFaETFAkoCQQ6Jts q3GSltEZJOzFeYIPKeeqF4EmUlcvSMfhjc2xiHxX+lpkB6S0u5tz6AIekY58yR74+fCoH8HMJD4L ttxQ04vD8KVjA9w8iCSmUPrJsZi6LTXKsvuAqHLnjLZx4vjIzwh94J6O06gAmrNSOlTiYI2TmpVB v7J9O5bef92WNI9rfH7eSiBsgLKZhZSn+iw+RTRHrOno+zPrbo1+6cmpXZ3czFK8mxW1hRhVThDA cJZmbYXJF7bMtt1OsrqpvDaM9GLX2uALm2/TSkTxIkp2KMv5FzoPi8set8kQKwOeVGh4gkViBvwt HhBwWwr+mTvmxSKufv9IyNn/PC+gFvtd8gfx1/b39ZLLhpLwQ6QOAgYkf3u+vVsNy7OeHaKy/0Il 6PA3uj8E022jYwBi+enCoZYuWIgRyBd0PVX60N7ozoK+J9lYXHKi1W+BHXTt36FvXOU4ysf4k6aC +EbRMMo3Ml3szYDk0QWtO03azzZq/44oYE8BbkEyoMbmttyPa+3tTa6H838PD+zZ5dv+jO7gjaSY 4nE6ondhyDO0r1+mLiknxJkZ7EBbyq8rLpjkvdXur5TNgrnPi38Mkoy0fXvSiuloymUYaE6AQJSj /fw8bD9D1phxgG9ZB53ByGhS4jh0PZzzVrqveCs+rNSuOhNbr31X6M2Bvb4omgJibKxDyuWrbCnD dq5napZZNBYDvvbn58Np0/+NX8pv0I0BGqbg6YpJ5dDST+1GQVwtqTxtE4yow0fi6CgzQStJ2H9g FMlwmfqv4WCxY6YN8cOi+0SXz3f8zXvXg+NOWxCDAawvOl/b0S3HWO11RJrCPO/8jhHQAqIoDP5i l3PxUnXpL/YVZY60gAp+td16HxtzvJhKW3Zezzc9nC9FBBz76DY8PK3+QOwklI3u426SBnGbyzuy Wref0VloZjWV+QVnCJeRvo2he5bsgzUJnkAK6zy4OoVyu9xtO3DAA7cfW9dolMRbKwAjk9dfXZQ+ 6FqoeI3Z5Zy4Lpn38gUpOEacYQXovozwTm5ptLEVpGftCm36Jsg4cDNV/+I8m14oeVISppXaWH+6 uY6urA8vKjW4lGlD7V3EsEGkL0aOrgzWGWX2D04r9Orc+MEpjkUpziEYys+nbX7PrPTj0TevaxVW 8ahH6rPO+8iord58NK7uMrX3kpe4urCMNVkUEvbKQ2HegUw9SqcZzXTy5PyIJZrw1WmPgVEuJToS 4aEnjwQt6ZIaGYlFqsL6ImYj3NC5DKoC92Z+fnHBax5M8Z9arl+fWFM5lSXeq6aOOHVhDSl4Nc7i jiCGvBFk8P8nIQtbWePaYAj5tTXv70W+n5PAGD1chtj65axNy9v0ema84bPXyUNkkHpI3DuIl7uy MWqvs6k+8wdEqWobwb980+arzuh4IOHxzZWL0sgSGX3Wdl5ydEVlIkY7N7PIArzIFvXNBFEJOdY6 3aEIy7DGOWZBqrPqvb0tLsbCV7cQivVRZMvRiy5AK/gA6xRyeTytCDX9AcFZDJDH/i0XmhlzOo8s JSPbnunF4s2RIVLmsxCzwMNdex2WQVriGa/jmQvnrEO/2YWnB/SXUo2NvJvK3ePkIQYun03Rme95 0Dt0uYxX2aeAMhY6lobjsnRUmOqSwF0adcePagp9AqgdAZz3lmTv+vWsOc55DotLLBvnY0kLTz4G bSKsrcahnTqOyUDEAXTeuzZmumGb+UqwPE45DxIrdNxu57+Ajn1w6VPvggqn5VeT3VwV0nPDvVWD Za3aAbarLPMYru8MCcRm4DKPdE22Xe5BYrEMZ/xuxdNl3GoobfOkx28RIt9+hj/UoMjlqxUoyFCe PB3uWhpbnXHz6ky5hrJ5aECrL+fDECUO+WafzCUuX/bhsw2VrDHfX6bTh/zZmevjwc9gcWvm8B2Y GtCUumlzUKev8tzMUj3eYXqZVmHT2KFWr07WHQSMcIdHa5mljiI444e3oU/X0xlTVCtE/RuTfX2Y sAf6tJTSfV6/4oVyihfIK0nH+uOol6H5awmHYbwlxc6Ru1mDy2JjYzuePW00KXSE/Mqgx5Nl/hIG Hl+7iwLr/3zrtfGszEQspLWc5XF3eEINl2iQa8qMO2ThwInwwwCUsx3rC0CnU/+lI0oyZmiH3QX6 igkh5ge02Boua1Mw+41HV3+GOssTdSayfS2yQkFb0h0cePFKhJLPZy5A5sflMEfpQQk/czlUsfQE 0yJ2b8GOI0WjGLnZa/mWhSGERoaDfNoRxA+UFpni6vY1iup3D7z2tfvA2RRqZ404P7F4rG1ERwJR yzpF1ht+WgmyzzcDVT08je8LVXf8BxGw/ghiVXX5lcuS17UwszWuodMe8tSLZLJbbLdG6Olsip+1 M8dNHB6kp18g3gvsqP8UCtrnwHfNvzVYex7M76C4Lm3bMMSvS78hpr4ujv66cbcsHZ0SZONLVkrk Ezv25ZDLiFq50ya9RbHPICujqCw7WovOtzA1E3xC9NujPscmFo9Q4bQTsjyf4nVbjRbC3eGQ9IBh jQ+KSkO35q6RD6fFe3HeDBTSlneojEX9nAZ/SydjlFSScRQUi82b+K9cU0YxGhkvdBgKnXdWNg3b R69psXcDUuHFs53rG+YYLktfyoVeO0GBmRvXTFU+0rzrXNneQv9N5SvWyQ6B0aqxK2Gslojup2WK A4AkjbvpR/mEBnVvXVm10P/d6UIzCm6XeKYf0XDrh4eNC+TOl7EvHgxRMEDRUmEftSjHDgD1WS0C d/fJDw8kXCrmyx7HjDQlvExpV/Se9gbeywO1Rii5Eqk5yG5Ev7Q+xh/h+mtq2MHYm0W0PJAJicQl fo8crZhxEy51tXsNiH0S1Vd9I9zKZDML2rzjbwyPtmEOWJJVtEeTJ+V9IxE7AQnx1WO5QGR67hx1 JyFtgHGKtFBkwtt95SOJup1mZi7m/BLd8qRtUxYQAd0nWTkpgoG+8pWZW2i5WsiWDm1SZIu+t6M1 WcaVU1yO/y0nZog8g/AO+8oBbajP/2sna49oMQ8gZifyt+FLta9EOxiEPpHS7tJ0n1UIfF3gM4Op 0y6wPU+M71TdlpZFdLOMDNQ/Huar4VF2Ja4RnLdpYS4Q3ZNamTw2E60IFAdbvMECfFPJW0Tmr3CM bJRyFQNhxjWWrY7v27owW5SQzR1f/BM/ICT0wdpgmr5IgIPJrzC/ZfvHF4zfh3EqUt8WaCqAM9zT 22CvDxdg8GWTRqsY5WGneALnxkg2qQzNJPZmx4gvgJ3Dj9sP920zvS8ncjd8MQL6hFgwvQLP85oJ grpsCa93GverNe8E42Y39dNDcOdKVRn5I/RFtZ7NeWTlWvWOGiMBhAGBj09hmiupk8/6/5NyndUH fxUbafRag4zhofKEIQW6ddfLtYXK1ORohpRG4UZByYScTwpkezEnPO6OU0kI/AHnzCVXLfs9EFIi iGAwwghl7/Jv/rNfzg4T/Zk5HDPqpXsRBqqa1P4I2oV4oag+HDmhxlDUeH7rPP+jRB+6MiVrNDXQ aHZE8wZ45j/GzsRjH/MZGvo1zwyMA/tPXYEn2sfeHYo3vUMlNA0Q5WohfFK+9T3RLRljWI2nl2WC E7mFYujxKVK6hqYdY7GxMCBDaN37b5jAdH92+8eK31omm/uDqR9S8nsWuIi0oNwUS2D429BDuvuB 0x3r70yEDc9GDHHMc0uEhywGgf43yk+tAyUP4pBF7STML9nFatlwVnOdPc6l1B7ytqtZ2/6Hw2Os vXBFAE7zvJQ/zYpVV7H6b0oAUpk7E1mD+LTxGcuy15tAYor5LXui01SKaVa4R7LsXKRViy2p9vWc 9EJ6apus1fbDf18BRnNLNfWh13nmMquFLGcybKVCfzsUmrBwKcGP2u3wg4SbHpfzd7wQA/Z4THuS 2ePK+0nd5FqxIfWND+uYT4tfNRI8eZ4vt/9Cd7Bwo9FRW3hcL42yF9nLjgySWzkep4slDDmeBK7U j2VHAqFsxdWSxYjlo5YmG57ZeXr7sImV68G0I98N4oHUa4q/uAYAuPHA2YuYCKFK7LCn4dHrO+QF 5qEk2tLb2LntA7V0oNuZONL7O1jSOkm/zNuMdPZS/UVme3fux8XdkarmUlZfo2IjBJRjj/vNqJB0 7GpsomNk+tbGxFwuX9vLi3wK3dpA7oMyyRM7NyHCZrG842Fe8YK8cCRER4bFM0/p284aZbUWY5m4 P/3cylABomtSHY8UrE+UI1shHyx6H+mZbSG+6n5CJw88MiL6So01e5CZbmYIpQ4aQqejhvtObweU d2RhDd2nXN588TTrFw9FBBJjO6RaC/CA5yCFkGs/QMpBhSh1aplwH6NddD/RRqfiAQjjG2q3yQuj W8uzY39J8sNJLglbYakvz67YHrlEVMZaExGB7RpS3yscGGcPGRCbCehy4YcFIB2sNStr61bmYIlB o6YG4nHldxQAxMtQbnvQtj4trgvmGjfVh41OU8OQ3Ch7/RclCoK6Kcav2E4NA5eXLrWPf54rjqyB LmgvZnIBUw6Pu+nEuyh1kZ0YZORlHY5CPgpa2Rm2ZdXIGerTykh1xe08XXjgZJYrPDL1Nou2wNt5 xfzbEdK6B4M2xC0XiUo2z2e1XQhXgpkb148WSvc7n/UXg2wd204pWuElKrDHMnUXB4/OB9EVpdaW RYCEjnXfrhbjnBWYTvqxIUG5Ub/c1iX3e6srdyp036VLyPftSgPR890Cr4a/y9IYYPkmCmLWZm3M MF9AOtgm8jHCtB0RnfJY0OjBByHUaBxq53JxhkbJSfx+Q5Q3r22FQtd8DZqevQ6LHUEIJQLuyPoc 6YmHaWskqgl+L0l/1958mhJHTwIVCZdnyfzdsO2hVMNT5TyJW2Z9w7FmKzPMDNEdd2PUCRVaByfg aVxnZp60HI6jz9n9PcMTAraldvc4IqGqYdT8xKlVvbT5g8tC5+HVOA9D2dnJ9gjynsrLhg/1M1pw 1UpKvesg4ycm8BNCdByA2RiZmkyyKUFH3GAl4H/neheqU0wex2fytXInZcZZOGg3OUKQaLp63USS 2gXmUoAw5fZZ6zDnOQ9CjXeZ0FRsF/umm1ZBo9VnHfec41nmRKBRG/FbhqrTjm/AsH45Np5i17B0 YsZrNTc17cTUxi1KU6RK1EDVDMimSMMMQY0UFnOBJjBQ1sniK6S1frCatfkdggelfO+GX22Xom+U 3PaTCN2p+SsSlCvqaeqBabbnUU5EfPJeaiqt0k27stZ256KtjMkA4Qt1oSkKHoUfANfkdb/hd2qE M/TLlOdN5LLD9I6ulGdzD2qGtartlwTF36KE4b1COkuvDn5KWLNr3cP41y+5nCaXHQEFbwoyvGwU SmMJoyvvvSBU/epSusk97rMdZBCw3PYMidaTUWJfdJGkw4BGa8oe1P/fUa71rBlRJhKzko4YMG1/ GevpoUB7TAdZ9Dn9AmfWtNIaEi/oLD7UNbHyajQF+46y51xGIQIXASY9IC5+VIXWW9RWpO+m5pGD NWdoK0WTnNvhzsUxdlla1q0VzHd5Gui92y4WZIRXbdJUUMH/+hGfmkR0ftehdYoQ6fepzeDjTyBI OkHeA9HeydZ0jAIWvwapTZMpSbvcX7+jMRMj2K3dC2eq+/Jx/VeM9vQEDUPo8CkVT9QRHQW7mGqy fXa/o7oW2mWsNsPZYYkcRk14p66FTzzpMKUfSqKK/KB6kx1FM4zOTlfG6iHgiKCWEngEm0N0WATa rJ6bcc42aZTD/y3aqhrVKuIkszftZCf8lvkmrKoNHf/CaM7AlFxkrG6/PuOiaIJAmh/eW8htLEY7 CBiUS415qAAszIcmLo8GgaszB/zy4OmDlgErL/k0CusQzLIg0dR8GJO9583Mz39tFF5MIechjYgn UANuqS3JaciCtBEvlt9U+9u7L5dNZpLjVoRh4+5mqQUnOUZA/obZJ7WeV/o9Z6kxE3CV87ia54Nm G8vWOUv7xnLfFGdYVYPBhhcfuQtemsHdwHK1RQbsv4f15oqnZZj3dAhgWBRfAFjUh+GGJO/04laX rQohcav3AZ08monqLXmMtjiby+zDSCLou+n5mcnbCsJCqtQJXV4Je8t09tT9Tw2bq2Ajtupi6Lir wI9ydoaEPFaIhXtP6NlLJGJt0AY6da+wXbUh7tk+U445jBI8UtOakbXTt3alKHVHgzsjyYW/tcEO FxFTq2qzZSGuDnOqnCAvkDsArsNHNpreBGfLuA8XYa3KBvXNQ9ZRqv3rz5NchAMlh79Ao+4qgmAc MnajIYdRl/vYwzoeePHHRusZ/ACUREnMOkPs6qV9mIRfAFTxusCKDNEUAd8rlznIK2PmGS2MCjch n/U8mQzVAwZztV4G5NvbJeuyCLVXZknNyTMzyN7x/+TdGoZRVgBRIERvrvkfiWqMZn7H0hbIBHVN gRaVJhYrDpCYHOmqXgRd2Nviyp3UzU3gD0hwzE5xaXnpCdrebAMjvqgVn8k2TGcKUIvveTPT7Auk CZdiUP03bICKZOHxrekf04c+4IJhV+qo2mkdoiLrMAsLOAL5CKrcT1ilf5Q1CCsJSKjyiBU7HEQr v2/pvPkujWbhhqLoNxnatIrBMqS1NlGJAJbv1v534GyCuRQt/rZHIm4fkIXoZAG1L2cw87e4g6K8 IPm+BC0l2itxF0p/E8FT+ZPWo/zMVcdu7GyggM/D30hXUWYKgIAB5yb1cldgZ6Oywdqgtb1WYJiQ hdoQaTBQslNaNvW/yWLcj4fDzv1r0D89HitpXA4o/nxV09cLLH/rLs6wffDcXya6cHWZmWHOJSPF IlS51yg64PhaqmXqjzWningPRX/tEw7u6XZp4sCIVn+KjyloKJzwrgz28b5X+pvVO7He34tmvuop w0gOhrIvSIuX2SY7IV9rp+L5F6umMHZ705LdyRw7AQdP2/Zbu6QhZVrcpHIZke4ay73rJ4rmj0yl WtN6RymTJ7dQylYLhXoWimkRr97SGjbLlxfQxL2QLLwqP92LG2+dmSQUhz+ruVqE3JKs1qdAkka0 guef3bNmoifRZnB0P2f4HXiLPc0agbeWG5802JmqUmhsjVMJLl+bVn6lrc25xFK8y3Zb0VI3CL8f pOJ9d3ENE+zOh++MrgsqXSYwwl4UwJvCWmS5j1pWWcyKWxn6+t/prXYnBvl7/TUfTFjIDNUx/qs9 i5h7F6gqMs46SlJY05GbaHojAkclHSwQTBtoAoKymCEkMG4TT6uCaGuJSWoJwywzASzCFR1goN5T Oztyb56zaCJBQplwcnzg1UF0jrmsptnqBGEYj2+A1WPvr38nS4mHufDY7sbsErSbT652MlTV5MzV kysIrznKyPTtTObieVPZyvZlUEPsamLH8I5OxBTh4a6y9uiJBvKcJ3/0AJuZxMRGpohwBAisCJu8 nwf3MOeIn/EpdST0QEUxdX3BxqQ/oxz4pH8llQyx5D8/Ua6O1VKuapRiHc0Ehs1mHHpuEZfNwiwP b+rJez9Wi7yP+3yuXf8bPsHlM+7GdgrU9N8sC7UeMS5rzvnMi1nvcxyz7qzYipqt8Z/uJAFAbVHn czNIx8rxigPQV+0/4qwH1ALgj97qvRG+y2lHvKc3jgaexiYD9ALLlS8lr3+zIH/fSjECKeSLAzPx oMu0EKVTsGJz2c4kudKKiXMc5chwvvDl/cKPgmw6TeASf58ZXl82ldg1ZIxs1PJ+MAiLipIHPAVG NukQwbx3ubXhPYolDFUmEmrmDdLaTgnivjp9HOJ1ZAl8uIPuXiEkIXbDAXtGDnKMDosyy/mUAGrm 0H5X53fTpVQwGRDXG9CvIUN0UayWG9DaG4BvvdOPGJu0hJgCTzM+FkVjTKS7gLj9DJWm95xsHh2N zUzmIoz9pJtbps83HH8y3yZ9F5sBPyRNs7SNUjjx76X1lDyGcHBQKScIB2n2eByM8MSzHRclHCtK p3QiTd7O1W6WuBsWfFb9QcB3yHxgtPFfhxYJ9Mv0umcnx7JZI/x/9vq8q3a8vZ7NgtmsrEZ1JGm6 WEzST8DRkd6TfqQxHp7dhxfJSlSEv/WMf9izzcnqt8T4eg91/1l9PyAfB9KRoO+fMdz2brdl3ihO tRmuifoInNWf8BmnHxtHtqvWYtjQ3/UZy03D/pml7co5jmUrNUERNOQ6XF0MFqY7VjyoTrx3feo8 vPil07kORkH0QhVncz4e2Wx5iuNQAcEIICm1ymvKm0Th1bJ04Re32CFC6UIZTAJRzmcIbjW0Q6/e L7PZRoMTnqUxBNUmFiXy93vLvhDd5ebxdC99fdnndXj5jZeXA6fULVXByjTFV4plrd3oDhosNt5k xJMrA+TGMJoGsuhFORc9dQ/s/E57znGLlQiLHoHHlplOOdEzKND0fn0pFX4EZKk0I5HbYwCQMqGX 8u3ng3ryu9vJ1HZBW4W0VHhPtFgnIbUd4uRjO+ag/vVwF6CaRAsL8LO91qUa9/pscTPlWpwdOy9L CYXgv8ziT3Wr0ixwwvfGhSa69Dy1gfKSsL8ntpfQw8lra5CyQBWOVM2/3WUupfn2WODklGUfyz5F 8T2YuJmbZoHTyiBSy1+SpuT17AaZ2HrgYVh9r692rb4K/C2mouxfsSAEVK277yBzD4ciXApkjK/W R/Qr8PL8MePnfVhejRhl/7yl6uXhBifDEFnuGJQPVIlrd0yMfLzIn7qo5cwHF0L9m++poSEUEZMr 6Sxi6IyzsgwYjHlSusXQeiDx/HvBxmoZc1+4urdC8e5/gKYoDEUW8gF61d/0n93cA+h0WIifehpC 6eL9H298FRmeyLI5KMETacvJ6rzk3NDWC9KUBlorZhyLIgFyX++aRqyGDJCVIJG/EtZKgtMfNFdm LYHwF0+LUJ+5GQAam0z7lCDonavDhqUUPRth/5eJKYEbK6afaNpnN0IvuiLFUP1tsrxZwtsx6pxW Wiw1RMTaps9VfKaHhDdKpyHvMNXvTOI97kBgO17lPlRmlkO2TF3kc9NfztduqcI8fvB+15ZFl13V g7ZDwk/tJJs7GAt+VP8/Nya3XFRcGe9aKejSHZH5BI4Wlw+CR+SeLbwtf7wKnSsxddRdE+Sct1Or /5B8+J2lYUjKx8odj9luNS19iZ6QiZ2LxLnWe/EVk4mKzLAWZfb6FqYTerK5gF4ldNkUUlowCnC6 5SfPonj4CyStPHmAoH0cRGIHJQH1y0fDBQCJoDMGOn0KRrcThy+sr7AR4ocDAYXdXVMMV9cYiql8 I2ZLQJHlIZGRn5io/1DjrBa8umqxbST6WK4m5ma7yRU88thymr5QU1xXK3pfEgZwSInk7vHV/fxS k5yTzrwlYevN+KV7PacZgyYt1IpsHmJPFKynrnMnTpggQGEc0uDSPzeordqiu47vXiCTaWQU+cog AWkkKgcOyr4O+UzgWvmQ6EsWQ702uH2V5EL4HqpNzPvqM5v2vz6uQunGnKO481DxRYMiFc/pReFv /rvHmIV6SW/h2PaBOQNCvr8OB+K1Z7SdOpw39CQMxYfpc1D9ixI6GdOvHHR1ccQo+4Qxrf32xfPx Zze9KfH3YH5h72ddeuKyLZjTqkvs656DPjcv8vE9qWpVFk3brRQr60vHcs4TBzljwUGrmtQ5Un7O BtpusmklVfhq9BlNCAtWQ+nwcOPNlbHjtxa/0YrNxwp64AZ1EgoeCUUVs1eE9dPfAyqEw21DyL2v EBkDIdxjVnmbeD93YSVoc1YjprgSiyGnCgmXfn2ZuN7RtrcrAquCtZ/sZCDb5/U3T7ZHHV1aQeWN 8lwMqGC6qxm+gSYeB7Ro2k1MA2k8mKIbYlHJpREcxLTA2soT2Y5QHo/z54+U7Z4TXxsKP/eUibUU +gizR0fT5pMQDC44sOrr+YRxKwB87e9qOOj5F8nLU5Ie+neukgxoMofBI5E/dhEA3NSUmKYHGYOD 58hHRcR2PNUUklT7gJf+eBwxx7i9OSz90fmI2EYTLO5HHjiZyPTuQaUwACbYz/jUYaGcjinCD43Z /WL2MoWxZSHvDtGPEihwfFe1MgyTcRELdchg06+zGYg396BPkQ49dYjJ1toAEOKVZOCchYRSbtXG UGoovsFyaoxzSYDBlfr6e+EdnncYGOfYmZ14pwMO7/LmzfJWclqz/QzcdPnENfEJaI6DxKV/+m04 WclL4QTeZXNjYjBFcHtfInrthF2ZGcnsbCMruwm5hDYfQ9VXklMmVQIJwCTMqM0sHJ0/C29fdc2E QJBL/9O92gc7IkvGPE6FoURjVxXnh5OGb781JtZPowHIVrlqHPZX0DX3gL8m+oBy1/Q+xob18FmI 3KLlsqk9elzqfXcDZwDFuW3YBFMkWRhYOifeKkybRd48Pwd+LZ4Dbb1hYayGs+iv6SHFu8ICIRiQ ru8mKyizZ0ht5iHjpx5tGpDY0Lq3bSptZmE3UHPx7hF86FiE49F/GSywqWtNh9214jmNNs6mqcEK TaBKP1y6SOrX9ZVXzqx5HMepn4WTuL1Z3iOtzpKUHPV1Cc7PS3jPmw1xx+2tyaNdkZEEn8r1zoae ZnRG1eolxr6rzBE6Q0UO8ZdCdPc3f1awqJG3kGLGI6QwKdTOt6mhXontKpWIBGda6l7nkCTrbg7J GoM+ak0oGT5nwLAHttNGglURpErbEWwanzulpryYBnRjosww9RdGeOhuVPHkrlnwfdy2vWvJeeI8 MhSRQwPAolobuAWUNUdNZ2qwC/vNV9neWOO1WighZFb93IPpwWWezMIwcY0jQ8rjpAO1eeEBFgmv JBkboqiM5b5EOw8lI8MSK4lSHC2BnisHpO6wXaSsInha7k+yMGdOrdLnT6UWjx4y7TtYFOGnnex8 37BoVjQQftFSZAzYX2GOulcM5MxQ2EqT58FxBnGqn2mwikP9AfCtaTn2lbmkOHYA+8Pe7ep6P06/ KfAsHkaKzobvMFlN8ICZgYrvETk7dAqhZdYfRRlamg1wxpU25b3FwtZC5pBrb9P7I4LzOQzHgGC3 A+S67R3NlLvL0a6qMhg7zlFrsZc+XtSeJqvprB6qwJGjP7nvTkjQ0Yx/t2cyWGtW0X8hoYKDBjYq B/84WEHSJF8TzPlEFCAsdD2aSL6JmewvpE0mKbIq80di0WeyhtHg3jQngU+3MnpDVvTYzJPat62O 4hGm4h9wGLk4sYLWkyCe7a++uw8fd7cjSgzQeQyGxs1Ha6nBImrCTHhgjiW0S+yb0E0nqq0ECgk7 UbwSZqB11mYSAz1F0cHpRAVZSsHONSVGmIovsD/Xpmvjd00a1ueP6mTs/cFR8tGs1dmgZO23lJKs dZ0r7X3ALpwT2TuuVmgIWj1MKElJaIaXq4haFbwR6/uXRFyPi4r1vFkNYplGYTASmsHbt9YImVQS 3nBN3/pVKnY1hsMC5Y77X+aEiDYmW3bCU2vkR3K30rApC6hkaNbMUEMz6dr3NfXYK7iAgOPkKo4O 6DxYMyfqN+Tknv6h10EIj3ybGA/6UFSOBV3LzuTWrPwxfnmQ8mTKCjQ4eDntFLbwix/166t6TTKz wRIhxMnT9bLOLVFbcrwVtcwP58GG2TFDEw7XCgb5xwpwH7SygpVED7C66nGqrIDYX7a7c6usnj9T vIRAbrLw1/E118+ObCVOYI9a8zVO913SduN/j9MYH/JIoQwuNpiRKvwgqW5528Dfxz4nMh7HA3FX Zi1mBOB/8vt+6MQ76ZhVujN986Rh2g54XUqJQtH3k7DepzsIFlYs60uE4Lqc5fEDTFAZCO/nNbLQ TBdgSPnhIEtO7yV2eLK7/JbJjC4aGvLRaxXkLcx95K/0745tmVUWxgAgxWjfoFPqmUmuhEmVKr2W A/r/0wR+syGRIi9miY2hwXIAIq7X722++iHdwDyWalq5FcyZJFEuOhxhG7+HSSHr0IMgL3rOsztW tWkee0NpAbBTfGgkPLPUQMlBErR7+qNRDgMs91twuETUKZRgZ4hGuhsbTDk8AjGK5jn3/8rnc32d IrIeWMB+Lsk2y/sxIj6kHY4Ip+kugBhVwOxQhs0UNIVTI0DkZF4sDbVmL47g+xrV1h0V+xHlDQ2P 8PIHLnIB5ee1jIsfhOTy9BvWrsBdzZ3zI+mp0yct/UF1J4QtGf4JYfl2GwxPu6Lv8dpEQ4pBadJR cM+P6qFmniV6arwhJUdLHgUMtUVSs3FXsYN3UOwgl/oWyZcCjoZENb4Bkc0gCt6la5u5uhYsjpWj tsAkB9orvC1uQY+TaLuV82hwwJ/qzzJuBJe7gf/wovXdNJ4Sxh6e+BQ2FbD7/eR3lUkFAqGnYy5c rvN73vb6pW/C96PMbUcM+Q6lRYMmkm1ApPjnybwN06FZ//96Dv1T2M7Gr+hkTeW/tX4co1+xkb+n abKhNcCMX3iM1YAvDAphy1wXFZY4IDxVYiA2LH43Yv0ZHotZnWxxF308Jv8RaQgIzBKPwUZko0um hP3xvvTXynEIe5gMd/FRIYiOJ0fjTwQ9foGF3iS87T3G05n5dTZGq4593Dy3mtHo2AUiAz6u3eaI o3PsW+PLu8HRf8Z3WET/Vci7vhzPX9GbEGLMmsiyeYVXNp18afXizSxv3qYaOZNP0NIc2q+j37Ez bMZrU7WnWGwU7Wf47Y4psZ/9HuFhkNK1yuxMjTZq19irhKxnhqM0ViR1jJ+ySRBpzGWUXQjMqOvY mhVZAgsGmgLc/2MqtS2ZnAYahTP0F89f/9buplh17Qg249+hTo+Nq6j11Uj/iaRN4xFQ4JyvezfN V8ZAZ7J6xIvRasXvXDeYeZW7xhc9GOObFgPau3CMLLICvGnXDCrIdWPun5m+cLAdlFoYiWewzCqN KuCGBeuiKvZzsPCuY5bF7H30JDwftVngx4jAEfCU71HluuV2kwYL7PC/MTLX8oAQ5UqsVIb8Edgp 01rofgpVcvvgpFqjy2tMAkxMw7FSQ25XrtsJF0x16iE72Uf+Ul/CHiFl8UDAeT4HWuPXFzv6oPKK EdL21B/zi/ToHu5TUdcQmQZ/xMxF1+QZp2C8wgWoi05Ajt1WxHgkWLbt0E/vMpg+8NDlk5GeYfS5 2VoGddKdVYfoC0ZvEfy+BVtijYUGhEHL9v3RmJZ+uXU3ISZTYcxpA3uuQCxerTKEajqrFjiPxOum YcmhZD9YAA3AkgM0YnwblVEQXxqUsD4u/yfecYSzzr+8zw9EfzatM5IYpxStvS6zP9laJaIObVVF CFJ2lETDY8uuy/qVLg/lQgRh7RM++FIk1dzGHOi2ywBzWlhY0oT10q2ipqs1UaS2bNuIPCiFkiqh umNYNvuvwEpOvPP+r9qkb8ym/7/CPMeNRRtDQCRgkR3D76tsy3wTx63H/4hFMWWCLBJxSQlWtzfO riJrm3beQJiUfPFzN179QynhWixg4FX/cMl+DK8zPtzxHB72P2M9rZafuHNdl1ZuF2rEvzfpCLvm rJOTV3nZAiohFm4tqhyHZd8x+zayE06G3YVbzWeUwuOum354yc324f/5JXhew0NFMvrWJgAOQP8B uPpHoyDytf22V1Uaqy8t1BXmhVsmcsfelnuP+M0xwqBzFETqrJ+tMLSxn3Zn84glVOs6n1uyBjXz KxjoNFN3IhwG2btWTqTKmTXm+t7Tvr+ABlH2Dz4soRVvZXNE3ppDrhDbA3klfcFJMsJJrH43W44V IgHRO5A4AhJXa9NNpnKK3mon8KFnJVXkNDdlEoz2+dpZDe8gOGBo5w6hMu2nJzxBCoiejCZnjLLD h9SCke45w3S/nqPtc5a2GGwdNA1RXRx/ZSghkpUe0KFd45fe4KP7cw5m1yNiiLclfWWoRrZpGC2V SpRRNvEQliPKxt7WCi+UN4fUltvHCguZk5YuBRJdVFW8Tc6FiMVDG/zD0Dkd3t/lk1tD1FUVyhLb 0pKqnUgf88gBMPHDYd3ZNXRNxHy4PQoPw1qatT0HfooHFF5AbLKqz1t7cCtSYfyQpeRxukXNV/FP aioM8I8UXPtStG+K5fFzkkD0m0yW/gKPQwKftxMJbW4i3OuEv3TGRHsHikyW07jLnjjspKRx2kJ8 7WXo13JfiooXrixeSxFtCgkRwNyaLfKDPwEtEwaAaO1C7Z862M24r9rwwXd88ABxo8riuep+A37v BWBCu0vWTd+KlU9HaF23yWV+y4j5LDTqU71V10fi45R9M1MTDgC99i7RCM8osn1sZvFV+dCtnbWe Orfigt3WtlcUWDa+69i7Ws6XY4IPvBGLvBrAZEnglLAASXEiOLdMZwvVTdWtgi+jeuFytwwP11KO g9lj+eytlghKv0YIMngYpHamBzufHHySLLC1959JY6mULe/BY14+mS8Ju/Zu4PmycEdPezDqwkso npFyEbOPF8Xy6h8ziklQLEHSMZa5SnNkcb+PcoU1U3N9Vhd05xWAfjAM45yNbxje60SfOUL5NZ1V MdIFP5/f+0TGwj1F+rlHm1kT1kluHPNMAms1FFr12chjSmtFn+wN9ei5RMiCSnev/ojJFT/UUPVo TAjcMtw0zZkDuH1/6E/BccWdifAQm7GyNh3j4Fpb//EKJt+SrZ6qgai1QTVoRHeDZMNBmnatqY8K 7JdqrPHPLaucSAHfbY6jiXkBGAMoE9520kh4FQRXE43HgE4bkQQZeC6Y31XAs7yYvvU+eql7Bvjr myn1zqqRNyXrFUaBFztUbmiDfR4gD/m8QOqy0EZL1amTRCXzijL3EFkNzORG+Ygs2qNHJkQ524CH jVkPpJj+WtpEAeU3IAHllCkEq8NxhEnbDQGYWQGeORtThcbEBj/PAtk2Vjkr/lbvGgkM7TA2YkVU Tnhe4d9Fpa6iye0UDfeXGOXMZZwEeJ3m75CR0gO3M9H0Xdtq4TbZpynk5TBd/eu67GYj+fJp9wha QKU0Cu7kUk5osJlQW9RGNHvBfksVHz3qT3etKT+LsZo6GOekGgHKS3ihU8RhUUfcNUU+PsLVOwto 79Sij/8bQtTnyUjzxHHZxJgFTO9UiNa5IU/v1FHpHukIeeWkmwyZ6VN7HsbAqojSmUg1mAhtiqhZ Mk+vGrPgwUdyhfOiAkSGqzK6G35WOGxEK/1UcrBaojJme4tbgiHtaYwp6GlenV/u3g7Ge4AlhYQl 0++nMYQucA5rHSFWVsMUaIN5AryO2H4P1ty2wVz6cOwSkFUZchQgiAscjh867UUoqDJswxRe/PMh OuUtsSLf5gmi1fslRdUB733t3VIuckYkTEn3vtLBySB9mt463A/IhMyVW81T+x+B4KWiuee7c9Vm jcaqyuiGG/0MitxSiv+FqfOubMYCGbW+subJPO/ybJwg6nLMJyOGMD2r66OKODTO4Nv+nyYjGHuT Xfno3zGvSe1Hsm4OGdYTi0qItsoFcrn0iMjYk5xlfhocMZjPxDg/O/c4m+DO2sstKcDC+oD0mMJJ 6zH0SABFI343K4IrQdiyoH5zbqVvuEqng+Ec8LrM5kCMnucK2fEZBN10uXYHnThXzmTzBjnjXCz3 xeXxL3qoo56iNkNfrqTT4BuSRrsHMf66Apw0tdNFJ/gw3PXUoN9U4OrNXJE4YccOr+f8LzhK0izJ KXb9g9O/rexeQ4lUmJHcFTRtjEdN02wffPooF99V7zg+iQwtJKWxNS3VGSL0zBAxEryXiz5biFEj Uh418jAnZW9fUSJmbF4nEa5nj1BANJeWrtrk1Q0Yss+cCjpN1oU8JBpAaG4C9aDgFm1Y636JFEDY fdrEFzKApAACTgkxkUxZhqEITztiYyHaWmpPOw92POb9Jb1+FlabTdxY+nqHqqdXtj5cXXEYzMCD nbmhrLdA36V7rngSgEaNYs6zjN1YQgDxXjMdnD1hPGn2CAd4aS3PMir58QRGEkvHpHid9/Wb+9cV pbqxIdbeq9Y9OZ4QXcJc9p0ADZAlJpkkWj3co6MtY1XqD1XtFysE6IaA0aeICB1McPQV+gV/4ttb u7Hzdm6tliGZployJSBxOGWdSpzb7WlwPkHECm/idQ5feDN7OL1VzGjmMXOkVOfJcVwjLiqOXG2O KsxU4gBG40siCgiLK5HuA5vI+s5TArJcnqRHn65beBnbjfQhEE1F07hQiqOTSSxIn6o6FbuPE3mK /TFZXC7Jhp42p7dGZNtrZo9SnIcPPRtTSKCleOxLcSLEEui8mT2O2ZYgPGmTlrzSKBW/Y62qfJFB pnGX/WrHeOZzLMq7eOPnhjUHeOyuj+eT4gYw+Mn0TqFiFRFoZzd+RKm3TRKyVTEeBx+hNELoNq/v hJ7vRawBxa2fnvIdYycuwkAhn6gtujMph0IJeeF/1TNtvSZGLs43YmBhy4R08ply+Q9r5SfSvvE8 mxq3iQ54NPvps/lkDR1ddVCpkOB0wkhFg6t1yfrpH3H0urfkXi5/YFbLME/MYlz+7x6W+5cIp10R juAnXAvWJhCJp0b+qnwb2NviVXaG7TWNNQALRbBIhs184KQmvu8OT225iL5A7BiL81jAlIGaW3El zTrDtWp4VcunEYmZe1y+yB5Wv55wu8wNhKcbFoG5VOLSiZq2mBEWKWNSGaiI6AbrNRSiHfvsA/3p +ugNqFNvBtnAsO7/FrnQrHcZJYzS2JUg4S9x4ALuPM3fHHJd5bBvv1RfTV0amF2okuQmNM66s9zQ qC7qf3qsFia8InIdCCpU3HMkDhU8NPZGNNssk3s6NIv6WvEsZ3LoXIkWo2x6Gwt0XS97I8TYtMLX yqfeL36RxO74dvuu78jV1BAI5Q46j6EBtqBDlx1DHe4qvbqjemzoFXyms42P6fa0bCN5Uh6gibza SwPsbKc+d9WZpM8q422qvWDmLbKyCkB8JVfk2cwq/SmzsDBsHXqUPtON0NXdpWZdPzObSFGL267F 2VoRBN1cjvMLh/oqe1ibePBLv4nSPCkOWGhXZhdQ0Wqj8P5WOfdHDVJIQsVRGCHdMgre+G4CYIya zixFdFNvC3KREDmVG+4DII6PnNIXYHm/JynPhTL2zfvZBYn607zoHplIuxKX1Uy12gYk9bkwgAUm yoj2QC1L9/o8iikClybokKkeRMAQQEUnk6qEOXqAh5xLUu6QycJpB2LY2GEyKNv2d9f88BzWinFT 3UTMLNFipgdmWRW8+UPrB3wtztClnIYOYF10EfP51XtNonozw2MB4Vf/QYDeGsiHb/u9VubF44k7 Lnize1YspdepAklAhXPw+nabw0cYwcqzJ5qhOgZYvacueZwhYE79pCy6theyvyDVOuBfhRzZ/gBi 72NrSRv564F2GeHmwIa1p3MtXc5APQiuo/JJYnL1w/zYZvAiCcvCbHknFSkgipZJOVmOQVsNnUNg y2JVn4JB/pJ5dquzJMZBEn3IqtadRNbaN8iaZWR3TEj9w/VlLtcU0AMhqlb6ZwHfKND4iSXmMM0G GmW5BQMWXWCCO3FKbjXW7TbGtFY/24FXXd03cBbr7vyTSAJhz+bX1wEzhRuvGDSFFafuI1Z7FWUK zXdzvqMxdiCZg1P2vmJsQIwtYRb6gAHkVla89Q3cxxXysRIV4bu1Jegsh97NCnVKYX5ZO9lNJDPn DDHenmCfTL+IWRoyh53HxGjq6MxKHbdOmRxzL3BQV9xxHjKmW2bXcOQZ5aAN/9ULjsx0+sph5PhT BcM57ZGoIHf76rB1R06EsuMu7iw6cUfCyB83jjcD4XBO2duzs0i6c7EIm/e9/OWmJR9y/+/+cnbT L6VyFebneDmh2Ee2TRwk0v6g/YFDjWeUrDl7/eD9xBEftr46UL+WLaBxzHwPShJ7YS/bPGWSXeD9 lMM1qs0b3PPPAdrSYaDdxguH35aqtiqfdDnPHw2rvutUcbmA39pBLk0UAZiAGzWApJWdCJ4lm4it edY0DOaeDrOVEU6IJwEbufrP7W1pPyLjOq/c2LILECMFnNlYPzIv8BpVp4PV6q9ZATi3HeJoEyMR hpm7R8S3Lvab4GdXnW1fWcka4aqBN0wpikLiFR+YLHySfLwgtFfuPfHrba/+y4Oq6ZgqPXcV/3NH UMrv9yhZlajE2m2BF9OfJtt2XtUIOrXC4Y7+FPAbLgcCqm1FWyELx1paGAixyKzTw/h0naaQFxUD ymhmWpk4EBgU8W5KH6LR8j1bkKZJ3Ve/7yIEZFsfKSd2hiI87Zb7YdTF6x1SmlRVYqopqDTk4xI3 msWcqhv9O5XXNBXgenli/nQZm6+ONa/H0RBlllEtDoi6OC/p5Pm5QA1q48kDAdhjYMmJMahep09F XxLLqC5AQvVeiA97LcZ1dJRuiD42LpK66NZhS+kJLWGy6THr9+S5BqLVfFQdpNf1463ejUCRn0v1 4FmodVnG5NQFPW4uKcQqAouRegQuUldgQmowoLF/dvoBwD0hVtnK9nUdth11jiO4LEL/ZlFmbpWQ 5RPBRLytRPHTgYDLQrmzj/qPyizOXDbmFuP1exouDwY3cKamguz1DkfjELpohGz85EjjG6iCi+rO QeLM4VneGflKejVbC1Ut4s0CE765vjyxYCyLIi0diwDKkAjS/rvuYtX1uoaJdYylsJ6o/P3mdDnP 4ADKDcV3WKSp9Unq0gxvqchZ/tUTlUC6WGjvuGFeqKa6HFjvCkQEVssoLMs6/DW0Cy0Ix3LyNlId K6sDWzJYVvMufRqWiKMRmVudQBQbaD5sYamVDQkARJqtOWlnIID16vQIKTWTR7xbCOIfvDnYMOuH mdztXvn1UaFOJagtk+Or9ODAyiy0VLVm9yovCmeNrpT+HHyRNmpBGavrUNcht5Cjv1BOEelmOMSV tjCEZnk/TmryAHtn7RF0OqRjZA40aGzZIyA2Wv2osxj3vW1rduPtWHR5CESmRAvN4uNmaBFII8j8 mEY1l2Ym6/XdVgyFzdGxkQVWvXy8VRsvyJxyuNpkyyPpNgG5NgoRTQq0/vt0/+Gm4ZgX86JjlSi/ bstL6+NsJzcoj43qMpnF+8GLv4fg9v4k3tVT23mCoXFDk9oVrlwiceedl1nnidpE9ZXB0BzbcsPT /hu1SOXEDeh5o5xU60JSMIpDMQOs4Ugt2Rz+tHpwXvwJ6lrf4mZwSK7T6/AdN8drHBj+DRC3pEth /ZGIcdnAY/vA1vIbu6QrtBvQ4cQJTe6i+WovH4PARFaejBI8gQ6A/7nz8qb9FwelKlW/rPAELafk 8sP4P1RXYP3qDLMCks9rpnWpEDrJMvc4AiZi3TGyaO8ftBQCviEjcrxL44gWDSTsqDEgBXUCyWv1 R0M6SiwVGT7It8kJmA9M7SugW57RKgK+9CKsCk/JVCrKvt28oM8Mrp6QQ6Rl1V3hsGVV3iADKw48 qTePnZxfVkQRVqer4mGt/oOx7+flk9WFjhv1LtOk5pVqsM6hdC4lyz98/iEevK1OcAY/xxV2ta62 YNpvB1VOfyKrbCOh17YlrkCPbBVr5fSTbGHXTqdZsJox3c0vfZCnBdthg2Fq6PHnOVFj1zuqxGF8 gy4+GgX3UVcqaJW6n9nuxtBFN8PtoI1heGeYqmjLpxP2voelXQLeQaZpS+UKucpfox8ZxVLMd/la +xxNXoWeaxCAOklBW7vZ5yJCUyWLI/XOnmCx0wLTZ1BLCdGaCMbvfL6vN965mDZjXUpJkQ1VX0bw CCqyM7IQqXXcC0Ze99RsvxDuz2jf2V7wOVDGX+hZH5KulC9xgJ5QPRwozHgbplSnqv7l+ICI+thW BoMJiwMam5rTXRy2FE/GVnl4mCg417GSQf0aV5+I3frJAEBCgeFlzz6OENojJlxuIW/7CM3ndMPL O7ncsfDoF0GeHU897b1PR7n7WWV7JBPHrNFtj4s/WCHBmZDNRfCICQkTyYUIjqZ/YdA9Nzn+vUM7 16VwZPdR3N3VIsbaJifgLr3bUDfr+aa5nmxbUcwmioOiZcjBGBNQoLIFbM2D/q+2pXahxZbo96tc udPYtB7aSX21+nqNnIV7eibAq7B9mZ4P+Z3HGOx+BSaREosRY0u0+5uSDQ/QKCkY+UsgACtnpcku ybHb1XMY4MKtCWA8uIkqaptPLE4od9LTnd5RZLiLgRzVjhtbLP31up6nn+15EMASP95JXu93HBMX ERlAt2mqD+kbR8iepph4vjxzGJgEmsPajEqnDmSk0p8+Y7JLRSKY8tN0WwmCfk+THfiCkwS2WRm7 DFOQ2jFqd5A7VlnsNiANQVFMh+1AQ1Spd1z+S4ek5jlGZBRZnnGS6oXHUfblZF7PwM4BPthDdaEi h53ggF5eMUbjvdFdZ/sA2bCEJJ/B1RT5gDjx5WoDcg8OK+DPShl8HeqVXbhnAa+RFsb4SnzhyyL4 STgUSsbW29O6xgBYX3lw6pFz7yjTXIlY51+GpeYWA0YnWl4OzJ++es8ACIrXP8tjJsm9f59CrAZo Ytm6xPpoCkDf/DqroqNPUX3tVXjdLhXk1hOLXao8B5IkX082IZs+Kp+bWZO+uVYmSGNUco+TQ8u+ FPag41bj824kWu3aX+CWLlA0aIKXiTQCqCvX3jY7xNAUHH8DjIS11Tj8t5pI+gJBB+bjmITSNuUr PRuL5gZ8rlGD3BKD8OJWc8dO7Dk1mCYbyIpnD7fzokMsb5OffllzZyQrCnISmEqSHWN2/wrPyBT1 7nLVbxF6HyEWXY+J46aDm7Virg19WeW0qoDsJAUAcUsPVadW9sBuenUR2xKz6h4Hn9NFywGeqw7A 32Bls2adu4cVRItzuGlRXN2o2f9hnD9swBZV/veJSDjWh0+UanmMYNGZMxDGBgam9Mdn7gOIXPD7 HVO3J6wPdalq7KV81q577fv+bx5fssMTvZY79G2YsjRbJbwwJIgwxpPspY4Z4wj/Q0YUvPBzl6Xt gAfYL/WiNSDOylBLtW42+eLEiJ9OFIaw953+E982MeVpTajyoAf6umngqa5i0wiMrf6PxM1Cq6X/ qEWh/TbItpC6OkHJIU+XafvtZeWVeuQexfSlxfVAt/76JpHwiLGfOfP6umh2TKNXLDVP31Q7WI3F V61lgqaG56l50eUVaPz1XxycBFiSNJ0oOF7K9Re261NCyOhoECvmFEeu7M2JusmcH9WzgUbjQS5I d60+2OVQn5ZaTQBaTaR0kpB8n1H46lSA87MWKwokjy9j3HkfHiSzjhJAikO/VNVXK67ArI6/5pJB UbB+hm2OcvnUqTkx3EB5soU5FBHlo+PmqQVHBiQEp0cHy2LLBdLUvEP/6A9fNdEBg+uVdgpIu8Ad wt/xjboqIoJN8JFm6h9IDZMmWu4mcU1ZiAgVydWICM1QqhKTYDMuo4MQtqhvlF7tyWySXPeATj6g DW/oNjcnZuIQmp3PF9bwNHjh6GFhjzUTmXAcani6XPfwuwCJLmD9VX+nOXcOqn5PGeJ9sFysPbTC fV4grZx63at2WdHDFmvlWZsyGDuRL8UMohPC2pEl+s/UpKVCDET2T2/IgZaIFBBr08D4+qE1BRtB aF5WK/PyyWGeLjPtXe2fmhk0YMzZQK3+RoHVoJU90d62I6ZFUDzjWgERP1MLVgXnAIXEiB46X29Y eXXQ6rAyUSLG8xEMKS33BcwW/rwa8nE2yxkCLJFEGL8T9zTyYt8rqEP4AqHRBRzL0nbrLKA4kxHR v0O3YRqNhhcSq4rv/hDYN1adyTLM093ly973eoOpuQpIUN0CgL8fax3HgfWpTCSBMH4QOHzND/G5 DCv+iUZ1lKn8+nZznh9mo8YAREKRgXWBarEGIKw/OYhY3OzZb9xhITcM2/1CdHnA74bL0hrvEKjQ 20y/rjtiIC0MJG0Czk9DMBxxI2gMewqyVkgmBZGbggdhiTqMF0+Im6GY/tdXviIWQqL5MTNH5rdU bYyBK9nPC/H4e5GLmTe0HkFEgc+SSg54VwF4MbZ9fRwY5mYkKFwjPrraEcu7SDrOzNqv87tuge3A pi/+8yTduRKfEKbMG6CZVsSuGjD9M4aN7J92ej3XmOFnnfmChh9j33S8YP/4QCHgbSmSIgyJ/MS4 gpj78wi7gd87uCQI4au+hUFQYlKoFMKJEoJOLOlLQO3u3A1kg4Ih56yHqvOwj1xJ8RPaF4vn2Z/Q X3o7i8roAzZ7lxY3epTdHEC8XhgO+TRWmrwRaJO6Iy562PACEY8hc91oxvg4qv9dY5s76aqHNPT+ Rm7zogMZQ50A7Qj4dv4cHrQX83EGUcVxQQe4sYoDNwgtNju2Sgog4sTrsMarML+TqttIo9Y9TDET iGTJnVcdffF09liRpLMzCnr5vilgl0rfaVP/k8wzNf1hxBwna8A5Ar9A033Y9TOImBQK/0Y3sbAz ZJ0fzgMJiqKReHBLrK7GrHmvgcYpX5IyKHzCr4IZ1Zcqvhz3QUQyanmtGWhvGIfM4s0tjeR3S+vl YHQfhQQRwgUMsHr3cuJR328FYPzsw7rIGXJ3aTQzWyC4vFl8eonEvFQ0OSEyFhWwZmOWTdyRXnyq gmMkRb4S3CEWMxdKC2DPNyxYkBKficRmmE/WiQgdFPBbR8eqdEPBA8CR13GXiabmhk6IcQWs1lKI TtCqDjMjE1YNqaZj3pTh3Fj/Wu13rG43SLzGXuG/Q6+QJKjhM9v7Em/o4sh6AreejjMAoDLD1C1n PY3fgyCqCOg9xX7op0+PdbW5Nil6wGw/QJxaMi4xOhoGmgl8CS1zxcO6Z/aHV1H689aBmQ7NXXX0 YOkZOC7NvHglOssdJaYb4XGhLtyRhe25FiEUGG3mC0LOr+4AuiMVq4coJc5GWLj4QP1qPziAG+bx /GUOIsCYpV1+XU3Qf+5OxhzioOMR/7xOaUS64kUkyNx1Mid77Zqp1fycuOiodQPDYroljfw/SdrF 5livb/Nk3nId3CT0jOnzzxDtusoa0WdeIF6G6ChhayW9K2xtNVBzj/iQHfzdMwIpSb6wXsAuQUcF 1VxZFjbnSrDndaexG3qQnwNkIKn3qR4L2rUNOg7HI+NpvA9niazlHF+cOz4PErrWXHLWo0w5uEnF aVKNm57HdEdQc8jo2az/pd0CL0s8nB32lwzn5iLfOg3YbL3Fp9nUTsCqTkQKYTRtcVJzSf6/RAWx teOUfayuzsZCkNur/x3lcQmP2LwUrV0s8G6rFn9w5jmYPbGTIXI0FH6MXwNjmoJAJ4J4Qr4wf26x SNZ6DpOwrshyZ+f3EJtpjrpvX+qimsSvU7svx+rlRSMP2GKJ2O6DCzs50Y75VtxurJK80yEKJqZP 33ao8Zb7QhIHZzUTFl8P4Mt2W/Bi7vK5WXVwAyVALbYaUaIGfRNsFQcS5r0NPzg/n9/aAtFDhD2t BWFwIrQ878ULWOfJtl4kGHou187aNUH5YxFo1NmmrAPauJhjjUxxr637sJPH0YcpkqGDY4V8apbj zHCjUturHsZtk1yAVqIOD3O5At5pzB0vgCvOmNMj6OB40SyW0UPXuJaDqRQ3z7cg1HRlUYW7fufi A0wkBf5S3E628MGmPexzODwQBciyJL/aFbFcgXWvyJjuLKEfVXF4Mwlf7spHIVuTnIvAeHgF8gMe fopildUudi16wp/R5SlRZqPNsLgisNH9NUuorVLd5uelPgP99+GbkBnrRONQ65FjQvWzjHRQCKvY EEsMzLCv82901hHAWbxLZ2MLkKo2VupxJPpXlz5Hbycl6HSyU866dW2MLytu3TpduM700LKQhXeW rsNuhPKnlgNcuOdE4hQoKz8idRCJAlQJ+tX5uVf1x7YnThqv8HTXWrsWQh0E8qz0Xaz1ht3dbyCt nOa0QiMIgrR395Lb/3lXql1T6LX1p6/vtxpoi5rIpz+x9EIWsbHCtZ4oGr/9kgR7qTg8/52OMiV0 PbwJegEkiM3dUJXuAdtiXAUX+164zjiTnJQVKsBUagFAE8X4SavVcdyHDgh7UmG37NTHGgtuomMi vGe2t+fDiS1vCwBPny2N8dOU9J1mG7mahXHd+HhXVzfPwSCmfvZwMParD1q6Aib3TbtThbfzgAao UimV8BWPC/eiqPEOiyMbAbQvKmreHaJe1WSUuhIojfZNIM2/OEiGkKVqHpiTqOPkyimZy4RZv0RB eYsDwZZ1yDT/Z1I4LwYnnjLw/k3/925ItMUWacpA77ST/1hpVheSvM9/9re59hcQKOvfxrlI8wyu gFXa+DBO0IPoPOsflopZRzY4pYXjd/ZyCqugGmpq8pXVjecnDMgzhqyhZINX9ZQ3f34uX5nmrCNv KmkyxMq7im9vQKiXeL5iXeFYj++D2vW9UGQOLL/6EA2sGLleqsP6LdkghOdQBXhyrDlhB5W2D8Mo NwV4vZKiin5svZNiod02Q9xlNTorknIUTdLNP+NTrotPEFzFlZ+JiOlJWc+PnsHlMTIyZOQhONvW JB4RKWITwGAiiEnt8O8VHvrLKlbkZ8uvWHhCdI8xCtukAcrQGb8GHHdFUIw+060dY5t8D2iTqVjS eNFCtUJLZ5RxeAXMavKecvA+NncheNtkCKAG4mSTz1swd+6k80THYOWb6EfwY2vhgyfEBtzmuy/7 5puoGf7P/TmfePEos2537t/cgkRuuH3KFmRyb9B2m49EWStUg9B/2wmvIegDHWpepX5meMBMhNT8 +zA7lYf91/itnvmGb4O/141+iHwvxW135p2tG1T4mr1LEE3lG9A5VtDmh/pklHn579kDpWWBvWBT qFuADEqBNIn9o2zhWox+4Nrii5l/qTOb5HmaO7uI09HGJTWcSjZUTLOJ4Kvpe5SwrRoXBybNtZ/L zHotxzJYl6nndA/DCgmgPeCZE4JZ0AlqbKyQluyfbt3mTIrKJR1RkdI4bsHcchuYe/T7KyI8I7/D mc8HTejUzqn4PR39r5Vfu48zMrc1lcZMAPyoyZhwPf5mMRvYA3rG37sV43HNgoQUcGnY8S68JDr/ J0/ota6AGfsCZ2WoUnhy0Pv/zwc/h4S/aEeSTumIf6t1wu0RoTi6oKnWgZCbR6EYP17frGcfR5tV wdxCQjI35Tu3miGrzepGZr9kZFGQFlm0tjMvVo91nniSCmWMh/5jLIwsKz9FeylLWxPZopnQrkTc YVBFILjP/2l7ZnfudUuPAFCEHnIqOxFQHXfR6m1XipsWwoOS8c7MJAc9AzQ4lI7LbUy/JFcEp3MA QXOuHgpuagdajZLCHL5T5XIwse16hL9OjV6hD4heYD9/oHiU4oCk9fEBQClFIXJBJ3eNRX4NG5CQ TyVrZYwHY8aERWtCynDnvV7gQqAKV8ozMgu762hyI87jt19QuswPNBsB+A+qtcIgcvMt3RXdq0v8 JqaUekf6tt7Bwo6A7kxtTqq0rBk4A5CpnxWk6sw/mjDZgeMftUNiDosri6xyJOHZRJf8cbbXVSBu vD92Hv8ELs6+/yEQ0mGFC4oON+SKOtI+GAdMRpJevKwJ4HdjKWRolqNn8cVykWamJ++H05MqrOKb wyv+iaaS+j0dDnkkt8LupJq3g9Hx694vE8AdCEE6sirHrkbLLlqkDl/ptRKBmP0dRI+ktqoVsqD/ FtbPxL1BAfZWr7P6WBOgdMjbBuANELdhSeH4Miyu2hrqV6/igJnXUOeyJEa+hq1h9QH0tV9XjeWf Wqi+P2YfClQlOBchuE/mSkYhA5+JIHBaU+TVbUNGsjgao8lfAk1Qgt1vfpwQofswvrSdg+TBLaKX 9547t6cvUPaSmfPkw0z4ojWOVSFSwCdxFCNW0fg/cBRNZ/6nX/RYvvmYDQ38QzYhKECcmnoFARkq e0tQOl9m7fi6xB8/261jeU6CHFeRDbnB+JO/1dEEyQ9eWkYt8WW/tF59wQCq/RaSWXGZjy3e/56u AIgFi0OzEAuWkWrRPUEP/A4K7MolxN7ek+b40+rcPNVNbGbskvL3E9KWy72vSQxp5QCCdVDXU7V4 NYt1/rRaTLKkff7k5kROap9JlhQS/qXrcHGCg8+iNw6laIw9vHjoY1OmQssFZjNVSZf/zH1jAkz4 IyVraSyGA1cU/8wTljB1A+ovSp73zs4JS7KOkl982jLCrLBfWFE1nnMqbs7nkNuDY5uvTLmD3c5P OP3O+FhIB7Gccxfdg3pQ9V5UsXiREnw7NAqC6ueljEpcr87254XWxW8FT4tM1E9grZZsKJrAqo8c GPJu1I9D3QavrVca+6xnDfPnpXsuXWU6/u8mCio9FbJs9tMJffsFskg+17Fkbn1vODPLTRG29BW3 RlKTKDTb1E8o5FpF9DxO3Ppy562L9iAIik48kbNVqiNZuDqO58/U5E6mIg53Or6V/Wi2aDXrV2hL qBfgHm4dfjxep8zmEE85NdwEvXjFWqrTEV999owojsjV/KNxilIqSEBc8FZY0cevltREx/koRCW0 m1s/ryvRxppg6nQxtlJteClr0sXusf51cJiOjsqZKqdH9F2OEMK9vGN92TcYeqlOCum6kosugsAA h5FhGQklF1pZWNccPW1pHthnEM36xqmvu5xs6FsgH2UTXlJ3J8qW+spGmHhhSnmrah7GX2SH5B7L 0hvMudr6fjF551J1bO/gReyUH6BaEl+dAqJwojl1XkulSwU3eVxtNhvF/wWzPVsRIk3YrIGex7jb 7c9j9JWJSSpyNUUPyx17C5SREzKchUpSdkBDk1IP5FyFe5OuvBp/IeWRUmRqNmzpEbzw66HBIl3D grHLXUeqN+U9Wl8bzHJhisRplBABc5JUauqf2olQT21aGFGhDRtC2ezzNnBi4HjQGh1TkU7U6q43 6ZHYiEc6fZCsLTnyGvqviIXb5H2iUS/m4+IsZKP7pkGeikLdCBLIcToPDWaJyFFJbQ8QFU6MeAEz rxNGQAf4F7sESWUyDoeF3FvhORTgRyWSnZ1H/+qjI8J/iKhs9IfPz13ycBe117D0hhUzKzNXWZ46 tjlSeZBO+I9I6V24YWSU4kynCRw+8tmhve9ia/bR9Pey2JsnHf4kxd8pamdkg2ms0PovcFZ4j8Z2 I2aQIajANLwjfYh8Z8PSi2otyt9n3EZUk2OA8tMlyVHpgL2UXM8CdkL1P3Gxspy9A0PT4pt78UWX pXp39IvPqXWVSq0qsEMjwkFMOy1RhO1y7MlPKv0EHGCIcfw3QDlofCG28ZAT9naxt6J0eQvBzDAw 7qdAp3VCYKlTJSeMSncn+cbJopXZ5t5RCEvYTGsTNjbL5qOfHin0jOrnE2LGcZJf17WzA7hHfYSb LZMwchV5nMbAKILPTDTFKKQK9nqu2y24D/mOjqwsIiyElZLmpJ/dcEnmZoGrCsfC0DZDltEqXtZ2 l0SIHYLwvy/XvDev71Atv2RCuqc107QSb1INqEwF9yN9Z1gqfVYiDl07OIbLLk8pqSqxEhXB/HrI taqHEZME+AyP31n8fgbYrRRUYxlJ0wpC5f0ax9RGFmDSajTT7CgM0PHUYwP1+XBR4HsJ8otLNjCl oWQUwDP+prXWIIgFwy73QYwQrJscfwyQSgka8Z5HFU1QxIGCGXnB7Bo5EaQPyN6jQ+LeIOXP0de4 Zx3ZR/sSqnjNhgAhgNnaP1FzK04ZKQQDEg7Cq//TW7CSukrsKybDq3cvRcK+tqwWmFloGkF9mebg hmM5QCK+hOh4Qilpp90V8s62UW9JEAt3if59PMLXmZLZXdZupERVP+xnSSH3MNp5/KkRZMa1qU+D 5ANTm9qnAcXCx9I5hFrES13REewTyoUN+HKm2ebHzKYB3cVf2JXoGZmJY7YMBs060y/9PS1tsORh UpEk69dEcAoVNLMRNKL6XqdcIiM+pbcsmqtK5eyH3Mp/X9C1E/jKuiO+34+ifOPdZgCL2BEhYB78 uLxD/iY6kv+lDYMfCX/fq3X43Dy/0r8FJbcGnJ3goC06iHty1c8ygcp5Xxo0K/TelXv4v3HKwvbS 4KeihNOP1DTaSetFgcNdrEZKsu8Ifad8siSQj3NtGogEsNLXNPbM8f82XpjOjnq30vgOPRkOUNqQ 1mrWgk0SkdTBgLEaVPhqAIVtpGZfCUyNLCd42+eaBF7a4MKR3c7Z40Yn8AFO0skNqwdGl8yfucXw +Iv0veTMx5lwGAg/KontpbWrxIySj+rjc9CxBBz0d2uUTWRCtIz4+vVqco5ZLmwG3PgUAhAZip0V 5TbaXbJ1RNdXpmlXWwG50iSKMK3rbMS/ZvBdWXbTv4kqLZNxIcGrF4JlaE7WNtn4PS2CqlNdPduc C1Uj4wxEjtGfVr7upGSQJHmMZSKp+KZRIb8EVH1tsDXWe5KeT7VHpD6RVBvkSSorV6cKKURv3k0L Sp+VuAcC8s8WqeCAJJ0B1UhUFikHjaaNw+8QPQwS4WwaesruZ7YSat6Cx2Zjm5QrNdZvxdCrZNEA lSjo8e3VYJjtIbLpimEpf2qG6DwBEK59CyAqdMTOk3yCsodFifQ6uYRRrAMlIuN3k19CgbnUuLh7 Veq0hLbqRT7dkdvHWE2q0vnyHkweT01WVzDfxbm46f7HY+3NOxUYE5TzQ9jOWNHysh8F8Mo7Nwiw DXmavBr1KDiD19+AlR46P9BpZU6oRpNa7JY5Mq1ikMgmfxo7R3wYst0+JyEaM8uJ/lI7OuSk1d3S /9KoNumV6NsBQ1+oQcAihu1y+EThoojnPQs3e/qxvML668BiuckhJZvw3nIOvMMubfzCtsbQvOIM gTq4FQssD0JyhV6qp2iQHxQWvgUWX6DlgOCwtADw6qecwC/1AhuJEB0rSjd0sJEDfVtrCYerkmRO 6Skh8T7E5yg2RdbcjfES9Zy/kX1d59ml2mH3DMWGr0Y9lX0X/qHBgynqkOV+dpye2OWws2uQ2zru H8hZimfdlsvTbnj8wMKf6zm6LAwYFzqaB7/Grv4LWq12gfRMm1E6iIzZwdXCaKA9TZKMircG9CRw 1gYe3D4p01KbTOV3bhyu5pdaa0cMTRY6ZxEKiH3DqxLEjTaIr4Diq6QyFMrNwBfvAvDCrYz+23NC ag74lafvaLngF/GfVLkt/4547uGy7JCBOEY2+ouTwc81Q9NKdsZOLY85Lsl004lEqPjp9LXWQI/3 p0SWgoeWCp3ghN7sraZLR7xkOoDi9kxPYQhvS+sjlXGjq6S2ZOhB2NdR7ZPYDFlSkgyDxR0WMGL9 IINZQxVo93pGs/xtfLrOStmIMpvWQOu069iUYGRlmPQ/h68dr/OlKA8yO7iT2n26sqNEiycypAJX PinVTQh59cZLX1YE9DbAOvk8CuF4aZqZzC0kh3DOVe6k58M7y/zProuPrBu06kiU32q/fWYw9Nk2 AA8CjqvFKlCMlFxxYgXdLO+yVKBJqIaW68+yEqCXR+PKZj/C4b6/PDbQBToZmMbIGlFR2whr82MW PXa1COy97Q/dGUYhISxmXcDUbcHHNXHmfHJE66Ruyey8iK2kC2vBlTv02XiW61qdte7WlQ67nvCX wMX4wN+RrNB53kBVlTHN+FlzMMS2i7LVoG3MD7kGlMtNLNFfSx6jmNqJsQtLe1Sh85w6eiobNgXY wKJnrpGt7NvJIc4QRlvAhCu6G5OJbbJwffQUtGdW4ZxJD6ymLiBFjCZcck81LXui95h1hKhy91O5 4ieaKpQ1Fjt0SePH5xHYA1yq4wevx4OfvdY6byGyv0w2paE5m1gArhtRoqV1EcD6DdlyaQAtdbBY GvXzlGwvtgw8tmf0rXCjyr8hAj8gfQNVJjtRpCBkPjJWpa2oSCnTCR68mJBJoccqLthPNe60l6E9 sAPdi4ISvhVb+O0fSL4bspNhQEN7iwV/bdWFjtbsf4IVT+7eTg04xPSscl7dHph0IwiAKlH0bPr2 iVR66PdErtkt0v20rTc5XrjrndcboVMad0wIbacg4piXI4cMgJmtHl4jNheXFCk5oKy2tFP821gD DNv/KrZAkLh9riohVetgFq/fcIuLrXmBBWumRjdBH5ghUZHu9WrjV+N43ND6LcZEVuZ4o0H8CUva Z1409ggP/isq7VpwrkqDQLJn/DsXS0JUEvsw/+EO/BjbQx2a/aTMN1z17+y2vPS0ly7HzmCCnAh/ ESFWxYAcTBeI3+lMfTNTP19G2hwDqZ79Qn/S0GoACff7p7w4/Mrwk5HEhPosFnbOba3Nh1vQuJxX 8d5bCGTEwZMzaK6IM9t1Krvy3c9a4cqHOVDT7kTm1x04DqugyC34xx+v5fhs+H1XQDOvfSHPUIEp mq5NQw6hNEUPFilKBOPG11uQ7qjS363VpFkAg/36GEkM2rbJ+oN/lG6FXXz1TRZBm0YNYTHfw/1p EDaHkZqOdx4yzaX3KNKVVszsiTEspVgGl2uxK2K4/aYq9ItCCuGGWwmUhEAG3MxAfkgb/z7pWfp6 CZ9kb0KpASioLiYkLw8MDX+ch3v/eVveDwxGXF4sEy8+IQoFuivybf6VAtIwHHRqrFDWWTdcI4Y0 PB86d6mo33MN8szOgW+Rl7CH/nJNsT4LawYWAC/QgmflLdug9TjysGTMOGHQMhiifolQbP+e+o+o cXpKFCPBjay8Xj1HrOH8tIUqUKZU4GbSKcdwwc8JXrrd6mPtmWSGZCEaPw07dzFMsafnNqaDMepZ P3816ru6fQPxzSreQHgal/YHJd6la/3QgLI2Mhn+2Y65QAiA/q/x2Drb+y9MG4dBV50RUSW8Hihf jNF4GxxqciaGd2+Bz0pBBfWgfbdHz8YKJma4KMOLgrgPZuYCqGT/AoJGHQXxwC0lWMar+DVKgpmf kOTf9Pj74VKhxbkMFawYfGXlHe7bVIFCQD5Tfgh7TPkAJlPvNGh5I6sqiHFUVd/qAcxrHryE/H6c 6CJyZySL1396RfOuqTYyP3wibmY7Cwz7VkB7LxKVVs4TzB4iua0I9BHfeDpY6STgtrbq0hzAYkv9 roFqHixvRd+fc7spq2dBQMZ4hEc0/MgUUf71hlgvJUq1Jw9ttbt/XLl1hn926USlcYg4vw+pqA+3 ivU9SPZsal/bhn6TKW6zoXjwV1FjDDErfuMxMPE8VPhSvYzONIoIGvlmMn/HxZyBRuSM372wWc6n VMM0TY5tlToc9S3di5Uqk2uBQGpkbZ/WnPdMp0nQV8Hl4GuPdspemNWUUTERWfbnaar4+6FHngah bM+6nDmj7Yuu9NUcgnu66r+TYKUyDhXxy7yG9zXRiPFtP7PqEOdxg/U1fQKtMZZfCrsmDqT7QXLx VGDv7iDvVq+Pn/T+zFpZkSO8K6Cg3fcd9yOJ1DsPiWZVG7cnknKSllbW5U3lXrfQDhxpw1uFVE55 3tyoXLzy5UBMDublzoQWR5P+vW5P3gAH55G5/7Ujk+wD94D+2uA2kbY/9hYhB26rGUStDmWiBfC8 I1YAaB4LJdRlzgZl58gmDiUJvCyxN+4OZnSzQI3iMW8nuojJcAZu8KIkGzz6EwBCTfe4R5vQLoh6 NdrkqSU8z1zxoiN2uP2tppfqzTUbNArgdyCsTEdsyfH6lF+l+Ji/MrdLBkJ2xft7SZOw4AZPR6Le ywkgiDnoGbmlIupds88VZW+UOn0OlObU7qkV5n7X3Cs4m8pXUbrUE8UeyAD6Hd7EKWbZ29upyIJK mqXMpGtkyD2TiW4OE7f0FupuLvUo2wK4UnVeSJHnCRjp8o2JdIqyazH5+UdmLlGmGVY3DPghkyXa Zorq3M05wVA9Od5I2jIwgE0AZ2uIdCVsbssEZs3yN8+6DF+VCUDXKN7PYROWKG7b8lalS2j9bCSl ZvwDfgTf5RkfIilXs7geh8RDP4C7VDTFOfJdK83VGdJLqzXoY+cfopNUY6FS/LaQM4AxsbuAXOcP gAZCQOqi82/21nfVsRJQTLfLr6EyX4AC79GuayMbcCMqgP0C8pDoBHkb2+/k9Evs2XaC/ncJwtrX yRVEtJJbeja54PYWrPTkyL2UiDBksJcLKjZowwG1mzTx5gMuVJ1AG2osJTQFypAUPE0TbQA5Ka3q MDkbThVdx/gHSoLB/LXws3riwi0A16gFYqEKtwhSP+zDK9bAyt1IFrjxU9bqKLQU0jBZXE76D/4c rxeioKYPBRGv17rWMucxnHrq9yoa5uoFrAXRGLtkLuti3Mmix7gJ8+Xq3edClk4klcreiPJ+HiCK d4HsIijBoKAXs7uTbWH+a67RtDh0iBu/mzQrbSg7MQGOrvQ1IHmfn1fXgWA6g0LI2QHZ3uNLu8ia d62zcJ3Tj+efDxg+gExAhBrwWGB0rc6Bl0VIDWOQT57C/Dp+rmO0coAy2Lw1Y9NCuRHAVm9h2KlF VYE0usFpTLpZgSXlGLNOle8wy1eRgXvvZLNOeFJRECNUblnlgSVoaXg/03f2zYJzP3wrUkJLNp8A OfOXRwzBIBC/XHaKGsVaQxKKLlv85LR76Di5DvDw8ObEYOUUn6GkeG4DMNrXuOmATFJwwVWcyYYF KUkKUkOilEdmvItSYgMdL+tqWQhsWDL15cKqrjf6VGT1QIyUnziCs8XSVG6QsHJGBJxd771El+ix szTcEjwgoV9LuPvUCCAIdO8p2Y/8QHlMafXPtLMvOqAf2/9jwJHa5rkI0xXHHpYRVi7hmKD6ZGdD tpwcXw7XCVpJC92LCxykLsWH1w38FBazEM6LOT8HADEY2Tfb/9UDuJ6pGY+EJ9adkYDbV5vh0cWZ CtLpJCcgVRl/uCgWVR4K/yvMpInKwFvus/kH+FBFHrNN/J/tYpWGw3GaeI9LZPipKlukkgqsdGAL ArhzbuehDhN5+2KvNMakg19VGBHpIAj22YK6N08L80o9scKq4qnGLdUo2ZImv9P3fBK49gEFndu5 JYQlZx3AUOD2dIICwO/v1zwCG98jldfymL5W2UhDF2XPxAdwZE+xb7PxVYxisE+zTocy4F/OTs8t hMIX0aMIUmRQ6Pb5U77pketMpfB+kEkQEvXarq+hjhI9+U3a21Y7gz4IUwf4C71tJB8umd4MYUhC QozGZkjRt00V7Q3bEW7CrrKjEV5lD9JiqLbS+ieGFuDeaGJIJQ/c1ijxJ0K2LRSJPG2h0tr76Ft1 Nxrp80M42I0zkVeei+0rJb64sTINg+CxbhvSMRSJrn/1WT88HTR2oGnnvjYucpdEjrf5C+8H/sZY FhUuqRM+ml2ryav/t0cX1ZsL67iS9iy3XbLbxS2wgispY0mKe2AZRpIN2LVU3TNg647Z8CQwEL1J IQecdEdwrWgQ7F6iDSOnf/rKdgtvp8/1R9HMBXcV48gqTU5T1Ke6YHjLyh0ESazdw+NmwzbkLPN8 6ZnWPQsw2Kj4LCG+0KzLKK0/GVMDN2aKL7rRZ7E0jOcCAe2EtX9hLsBqfHWvkyyQsgNx0LxwK2HT mj14+/85C6tr/CT18YBvSOMKBMt6Ap7aLMScaamvgp7dOlSd4pWbkaytEDO15oTA6kFRbzJSsNKj luXD7jqPAIYVYvWk5vBrjMUD9NRWLKYrxuYRhe7yc/tCcOf32H9HiHDtAcxzOXccXjlb2b+kXNDt 1Al41c7FK4elJmG9W/LZpe9g9AbnQ4Y8JWFp59QVyWR5zJscP6PUqoX4laPoFYn2CEl/IEnHcYXU hSyu81IP2lMVnY7dSnFov1ysjHPZcJ+RTFE66rCy+X+2At6ma4VNHyV8sp0qpE1lbTqzaDR4qYp9 cTlm3WD13hQOPwa9rG+Ibz+4pfVAe0K7u7TFFLsSs5tNfgbscRn9fu4ZE/qr8YrrlBbTtr6fKwzH aVWvNuwHl5mq24swH3+tGYf8323kbFZSKzWNQ4L/WNzFng6TOUozoyZQFnpaEs8g5+N7EGxLTCs/ ndZf4gTgNtUGAZ4gGbH1R7HQ8Y+JwkHMq5+ppOSZVb4Ybt1leGgdZfFM93zCIt7yD6Fr9KFB6RE0 RudkKKAQt9lZsCZpAO5NT4nVm87lLGYsYnT0rPLS4f9ETY+OaIXOnIhrLaO3c0CBgm1mUgjanOuY cLMAw6t7PPBeKAVMZC4s11a0qwqHx/3sTRCi1IS5IiKjO0Bw20tUfIU5Xu52no090K3HsePmhtQx IoR916kYYeaNVZQzjcgLMBHx8cZGqN+1E8T6dWNRPa/YpeQSJVHVqIUOIOUk2Yg4A1YFMnTm6jqO 99gCZ032fJakkrqX9QBZdoG7qhLS5/pNH4aDJT7JkvPMqj2g3xQ9YL3aJMWEQFK8NbAEdH+nClvE IvpCbNLbt0w4j2bC3wJNuEdLnlhRUb3lcOWCEtbhJ7L9jJezNlr5GKWlb5HYmyBfhlTxtbUxalPi EAUjZl5U0wO5ZQMqu1QPlliQcRd5aN3fCp7BghND/EzNG3exAeTFsA/1zot0sXPHEak8/i21osB8 j4n73h1Dry8b2lr4QyxJd4u15E7iteAY2nUVOflszoSZnLdx9rFGCBLb9GOSOkh4Kjyf8j7fR5i9 zda7NShDxvfbeiZ727J4uaCJBTpDcwdWKNMA8bf7yfh8y4Z5gP+kXIz+AV/wgmZddvHQ5AJm/rhn beFkcwOIUHgSq51ZLEeyKRt/bTbG4S5KlefNWlf1YRKup2og/XRtkUXgOuqkCHX58GUsX+LsaS0q dyHsBfKf/wwf42x3D7YyDmq46QjDtqP4ALjuJtaAIVLnkbZWLv1HRXzl6SE4SLLW0YMX5I/+dmgZ HMSPNjKzdt0eNXHGYkLMOHScgcmjY5EQqlesHUOjG/OTBI27RYVULrEp9bEBhgbwyO1TPnyJE85s vBEwhdI7DMal5BSBezgCtoGcIiOlbgQL7iyYYijI6WfiZK4DYJ2vq4y7SXduKbqgbFTnmbzO+DRC JtC7DS86QQvCuvyh+j611+e2irHLXVTwNXtJ/6KrKaEgWkFhdo+sXl6yg8WRUsgumniW5USHhv2i Mbdel893vHKBLKVyCpDetENV3mHvvjoAvqSKYkU9B5IS9zGMOS2+WnuqZuY0wFiDukOJZ26OVzVy B83lFzWkNNRGO0uDskn3JPqPSvPpO3hQDAM3O+2XOjs1DtbFjlupfUypiy3tcQubeQ0wvU/arA8t X77XiahJp+tu+2KgwGjlGU8i/53G2RQ5BxezCrGBhdZiil17kpahi9AkmGK0ee372EwwoxJNZ10B rqSEgtQkaAizSXR8vG3plm4s+UH2qCd0H4EYsPDQQTAaSnqkzvaEL5ISDAlnQvaadPRM+VSwwHF5 VLGM/LjQLyGYpbdwO2UTwYzAbl2a6SvdKpezlzUYomV8/vwTY/MdmYz+CblCA/8bpVZffVQL4IZ1 1cCT/ZMTA7YAZwvEXP+xwwhv6nWb8O/q+DeR2hbcSOOPqIORvYJiE5PQxwTKXbymopK95MNFx98U GSqMiZ8CFma3CKrhu9RN5ZcttxA0gTpZF/2Jj4gTAhZud5gxbTiar4iTVg+RCmd2v0FAxK7ehmoy PW8xKoMdeQNk1dWzKy2i6OHyBoP68flvDd2mXiQAHltVRAwn+4geRrrQYulOkWdPXB8qtykg5NSL DZ/+2cg7rYizoKSMnmfVObnoBR3saRw9t/2+kgSxoptre6xaUhHw50avRg+GxWyPGZYwI3b1q7gU RTdaDDFd2F3bKTGV4Nc8E8Xa/37GkKqtvdHes/X14v0R/2qoOsp+Mk6K02r8IvfG2/5x/BhgfAoO mUoL4ENJJ/AAB7CF0QMcVbC5HbF4sexlg/QrcieBVkptL/QkiaGu7aChHH9ZTzCk+xRRsFtmT7nu WWURzh8M4Y6XsS29R1xUPpVXrqml96Hzq2qb8mGPuj9eNG+P+6uCNhdlRWuMVUxA2RG1icTPblcZ 4hCLSj2pmHgcmb5WJPQ59dBmXn22Pe7TAUn/1qcjN1MiSK/8npyq5l/x0/2gmrtulZKdxCCIoDTs 14sO+icRjzJ+4CBfcpDGjjKnWw/0Jn9bJ1iUqgdMSzFID+7BZS+LY2uydbdwlsFCXsLDDvVz9jeu vVSxuonSV09JffMYZqPGXWFLTAw4EHkQvasG+/6zYdfKYowy0fYArM0lBoZDNpgo8Z62I+jeSuzv SGgtYF3bCwH8LIKb9WLrPJheEe7G146bvwqNf/tSTmhOpUHqaq4/RnhlNN2zLCpgFrFzyiEEODng ce1PwIbF4v0/2Bch8amHgsYtxT6+X1i2ZzW3gO9/mzUD1uB3y5C+srEv5dUyTNlfAQZXKSFIW/PE 1CLu4q78J/FB9zoEk6gBwIEHILmDls4YFPVrTGJXkHQtd8nwAxbD3GUzPRdyc/wK9HADT0USXAtE EOH6i4fzISgejxGfTqywPlANzbwun6kPInOdJLRxpTAO3+My9OQ1ubnBA3xTJMiRRBwlC9i99N9u ztBzAV2ykPxuH4BpasFVtjoyS30OjUZfQTXpCATQ07ffCbIzfsnxGIc4u0hVsgDqJTvLsKoGsA69 EFICXlkLmlTO+3EQwDxg/ObS2jYlzD+hbgFfzICwF2SunXLCsiHLZD77zzjf3T/hTAZtLTw3nIJb oe32KsgCI6QvhxACrMJzxhTjLWqyzbosYHY7+cTYQ7ryMxin+UO2KqwwjiXjf5kLIo4ykz4yXKC3 B2zzjS0v6r24WbzS++CExpUcYw8HavX0GbQhrp+LCGOt7VrQy8wFzkTb/nMEN/k0LObbTEaM3oIZ pXvlbY7yhu0iEf33iNmK3aS/Hl3jLtduJ0Wn8VlpA1yU2v6FVb37P0JSk7kVObm6T3iMhcQz23D6 mppkPcKZo3cBv3pcEy2PJ0f1qey6I1yEymFCPoPwkRDD1JpCisHB8POxQXSczFOEX1jHumFD3Cyq M804G4ApRgBaLAmhQMU9KwTD8NELRF9c8YrEGImUp4HhZkJFrqm3VcxVZ3H9hN/NNopO/g5hIuff e6RUWQADeiBr8+N1Y11h6UxJSPNIJnUdsUMdi01Wg24e1hq3H7sRTwDYfFod9rE6NzV/rO8jLgnA KGrsIAuXdNtGzjWyf503VSlCn/42oPs3OF1CNLpfUEF/8IMTlw7mrqWjlzD087VGM01/qpi2o0Y9 wTOWBB9BXSqi5bc2TP3GjEM+OgC47ml8u6PFH5LNlHVyOv6BLlJArTb5Gh01352zc1malLJrUGOS WH5gZnVFdskyMQYEWD0uVLCozGHiX8O0J1Y7E+g3P218LXaAJB+VjEn0epbsWK3K7A00/xcsYo4E mJdwmj8WymGV/5UXJ1Nywcc2TEXXnyJ0u9aIOH/Rzv7Sw/W7Pb9dl0sT+onkZvdzVT5CKpzEXNg9 uYJEU2f1KNxE4qqbyG8pqGxG04iMU0Ioll6f+XM2CJc3UYxjABbRgp8yrvp4fCtQpUFDzA882/GP QrOUCoCn/E0CwMp0LUPlL+wQoF2Zjemcxs4iP65K+eLRuM6/+NfSCVpkhEQFd3mXwsqStEFl3SOC 7/m65kvPIZLwYXWAj03cIDkIFlHPnhps6qp0W07EQI5W+alDXOqsnBgrQAdadAT8UkLusv7/boHh P6VtH4m5tc3nqhdbUMjRMpKjJB3jzi0Udh7Q86nS527knd76kuC1st9Fs6araGxo43spSfzcJPyp GucAIWlapAM/xQvHQdSun5NRdn7QBEt2UxytAmPgdqQynajlgY6w+Odo/oR4CD+Q6rGqfbhP0RR5 chowknCtOm4UAuv9HutXUDYd0KDBuSZRTTHu6cX+GrqL3zVhyR4TjsTvf3odureC0os4atJWJjtc KyDMLjuZ/g9p+cwJRVa9QZtIYNHYlYqp8+mBdx+XyKCZ2I9U2S1IMCkMR1ekGcXsKTw6+w6HO5Km 8famaaSp/mwRwLiFBD1wRKdDECX84MURR68HfPcxcqQT/+osL5dBBl91M/737GiQf4f1jajyeCQE pYwtu+8GJ/v+WHa9l3iqbFAoDZXla9NLyKuWQs756JvoDAch5bFmfkShoCO6frSv0xPUGTf/XY3T aUL0G94M67qWa+DwE9AY6h0RoB5GT+R9yxhnejjVvdNJElbmWab08V2ZN7PHiFgW12NLss4tep8U /rC0BqikegRFjhAwqHnlS1jx7AhI+bDadbBT+Sw6et/fh6EXaWBQJswHsjZ+W5bYVuUo1fvqn/eI dUbBgeGg1oDaX75veew06n9ohwCBTxqzhsxb5K7hf2haEC1IvNmQD7WiXumeFVdCrSnloIARD+Ll +Qoo0nTiCL2CP+O43BSbrq3bYeIvB28lZofNhJ66bViex9/0Rdalfy23RLfmKvotcP/fwpNTjxvu KhF/UBbV8g2SRjtTKovudcTARZNXyyyXEP49XrCAEzEg7DjagQkyrYCEbaFuyHEsKWOrSNHXSH5T rJk/OUrDl6XNUUtkQCIETqMfXX9uAmGPjKjlUlUU3nJZDGF6SQXsTirkcmKUlk6g+l+Xb+nsx1nG 49fsjE12AsKv5iNoG1UWbQUn86fG8A6ZU8wHNbxO6W16D+7T3/1A7N6lfVQUmv1KTp8nw1jzVe/m KRGLiHlWp9DmZdk35DXK5rohy5MMQJIgZGGVEk9NFoUAU2uPrY709jvinzdAuTHyRXOBT/bJkM/g Xys73FB+dx3Qyg7x1olWf7NSeOh2Uy+WEzMzeF320B69CAdc9C6T9EPY7+zGEVM1BnG6ReDH9WW5 61wiqAJvkXUeyv4pItJM0QpTyZdNK+ZQswQM7TyAe1ZXbaWlgh1bMbTLNCysvD8qzKujFMP8wfM9 u9pTa29xaU6foPnLv4Yt60l3rM5tEzwPZOishgrIYA9w1PKP/u6siZg/J3NLEey4bBWMfMSK5C8F PR6VNRKCF2PzbeNOk+7hgNudwuw0wsXD8dqaoQynQ0LXRebbZ2jCtUzuFpOLrhhtt8qx7O8vk7A7 XqeWznSGImWRqeHY3dpiWx2koJlnx3zjkaPFd4EYG58goaLuNp10A5FPkl44vf4M/T7v7I3nHt+q NmZIt8mfK33u6l8+7SGSniBwt7KjQihCWbciYQQEOqdd/BA4YDDh9xbknw6tVPW1t9eWkf3Jiw26 lCCc1Z/64zMikV1SWFyco2wrKHDP4uFgwxbKk3vnXdbdGo3N8px+/OPX839ioFM2g+Ba5WyPAE7S WwVXWuNxjwLYcw2pJEsWO+M/l1Wp1NmO2y9OQSgIAu3+t/5Qdv8UJlN6lJOqTIrERcfzXJkS2rEC 7H1pNKZ6pauZK0QdWgiIyqLby9/xSvFmKGTCWDfVWu62XPfiRS0ps5A14JcB6AaWkMkb1wnMifRy besFSbWAEtcmy7Xs3syN5LD0BL+TBamMk4nS4edpkfvakR7410118WHUVg25bePcYtJouuxmVRRe Roe+pdsAMiI0vlP8ct9+qeCjYOzzpGWvk6tb9FVvF7AlWX5oRkwxbmQeR2hclTLYYpBRVGjFlKTp xXGug3LVO9Obaaway9IRX5t6WGMfH0ELaD2PxFH9Rf9h4BDYwEH86is9Dy5koQSxEz9U1C9/R3Xb Zt6tMMHkQmMlh7bIZMas4LsNeO78hQCSMP3B6hxXWiOzT5qvU2FGZESRthrG4nqRu9cpAaPdq1Dr x7i/oZJV7SiJHEB9S/5JO8py0QTGXKSB5y5pOzfEmtjP1hnZaDbfdEzPvx4TQtP9YHYN7UF6jsku JAgKXciNVNhpVN+pT5r2+Mc0qDYBpuvnZo6C9ywBFmxhTVCJQ0yi8LIXBQij+4Zc78dOW9O/JQ+G olH+sL6m8jdcdj6PhK6gjyIQUhgWMLBtWfUgdP6YFyEZDbmJutGMJYM/6I/sN/a25Ch52tRBxRpa akToWJLv7nngfR42Fj3KruqYBApCwTBrlD0Y4YJINuSNuJMXM87fUpaMTZmd5CUtE4AXGiuQaaZ0 DM25N8v225ZgPrvT9QLMd7kGjaPocnwArjLmJNVgaAQcNJ8q+sPyWp/2iTI/Z7IvOvpN3jrgcb0x FixppPe+rh0q8xzjOOJ8e5tnikv2ozXmEZpbno1Jn6zdYSrjHoH2hDny/Bk3eaEaoYxB4HnUtdjI Jjjrt+5HREopJV0ZVLw2MMDDk6C6ccEi19IbTmi41yKrGmgxrU2sIWt9O6iKT4TYf05PRJnYIMMI zyRARS8QYHPRSQKCrsAuf/mHtBnUajLXtIAGikd3FUU/547SSiwuAfG31rlOFKzqyy5CoE278LAg zJKSjiHW+HYIcLvZH2E8OtLT4jQeLTKSMrKpD/o0NHw3J4s7QNrqx/NR6YLh4GMVogRs5jvwcirZ PtgAtmXHvOOiqCwRUt1fljSvEzL4hma5hpxAbPePADwUwuN+r8sBMGMsIg06z0D7qGaw0h9RFDbf w2joWgExwD+TodL5+MUrUG9rSh1V9f5K7gaXl9SHyMtHWVLNWyH+3mC0V4Oj99J6LgSN+a5LO5bF 7JZn512wwiHVGJD2NpDyUiu5wEhEUCgbk62O5tPLSppBE52csiemGnYsdPT2qnsugjFvCdPfQVbB TdyJHvHvYUbOnYnDWVp4Nc1MxJ1flv9gi1qbnZYxH3gHeAFjEMsJlA+iM5lldG67B65QpWsqit/i yb1aYwtNTJ5+406m4GRg4/dybXPkavRq117CGX/TklUmozhMsb07aYkOkLFU/gBiDw7Ood6vQvDX 5hP3Hxwexng5+Pjv6XOv1w9gbq41BZE2qKhhFT9WVASxcIuN6+ZSguzrbj2KNjxBp2pQxKGydbPP H81tOescf4FtS5Bl4hSFPiID35UQrFjVhy7rHus+6RfN7NfgRSivELFUy6Fl7eTM460OxSpdokub ykM0507AH4QkjbNH7UVmmc7U9KRxG2qyyrC0GBIMGtBOIlopFnSZIJQ8iM58AqrvBtvK7sKwhStL KxneEiXXLlcutCCrc0x5VszRJ5afKzACRALv3D4PU57RFVAFzT8h4CV6kFjtVV4qveA3oiGWrXjB 1fNK4i3hF5Jh/SkC/7J3FkU/PgJI11Z3gGvNx4qB65rkVJ3YgmSgtHqP4i/ox+9YlyPkKSfvI+1q 9/u347My/0e6ijvbs5aVmZmFpaJHXtG2RGHNpYolGn6o/77lHjcTM5TIdvYBupfesMvPQI4KSIkc V3qb5Tjs6cj+N9b4Ph9ysF/bnEEkcxwKcojY9it6N8SN2PQZ2T2g724gLkb7VVLqICugRLZ5skVD Hb2NBW7PtgB0LvZ38sW3NabjvuFYaXxrhfHamiwx8rr/zBzmH/JzgESoSDvtxApNOVwgiBNHCPAg BYnPYnpImkKdHYzPCz5xTyBMjHpn4MsyudWzFh5RCtBMbJyjRlGoagNzl6ZV54+RDYrsbNNk8b4v k3xCFlxdm49RRhQ2pt/eJXFPsXwogtJC2x3nV0+dQ75U0KCADzMKslqlMhlD12wjEeLSNA5CcI3q eOEMCC+shFkwp3IiVMzlinXB1hI3LRPFWlp4qbNqFM6/kQjMWcPXkcvhid8/frHIDJOvyhtHMAz8 ff/Vjl6sfkEwACB8v/dcriIXL9FoRZtBJS7lG3gyZ1teRve/gzqz5MVbYKePx6o+WkEY4N7sFgK4 3oSPpo/c4I+SSJDW7DObnFsdHnbjiLk30/up+J8opUP2ZLiF0y2C6RhQ+afLbUf173363GoO/lKZ IWpfmpkaWBkzx+XtO3gmwym+JnQjM0jBlUgnlqji1o38RouKEnxIkZF2aZbTei2xY9e8qeEaTVXn DVM16+XiquPxxc2ia+w6YqOgq+7zeyUiHfsPu2Rr21rMmp2XDQ4vdYkMJzvhqu395STxINZU7AHm PEAU6vEat1jsVLmiS9AiE42HK1j+r0rR/kAT06Wsf5dtC0NblF1vnQBiRLbW28wZcFlVoItKqNBL Xb6PnGz79HCex4csQ9RgkkXB9I7OC8SP2O9utqgr5f6EcOH+q/EUDRRgCZN13CIFUfquKn7Z7Z5+ Qs+9FJ8XIZHc4VvpYYftygilNq1VHg4tvsm/HyOE1XYlZpoT20xhlM7jfz/jFfKHqG5P1BVGShfZ 6kD8cSayRL0hg0GJeGI8V91grZo63bA4L4o4xfJYuOpFlPiTVsHISNdrhZXcEGBpJ5ej5xLuuQWW Vm8abXWXMy1tf5dArT3arB2dP3hRGKuVudl6zwobzthR01E9Mx8CBo90+TagJv7d7PNYAtoeiFoU ibHWHNmKHeK9LOodkpGaeF4IJunlQmsiQ32w7P9f3onlue+0+/JfSILJlxCDle2tDGpLykN3XENJ ZrHYKWMADj05nNjrxq9MSey7Bpvng55K/yKsbxV8QbQNr0JDASURiLF71cZsg/gbwYUt9CbnCuZT EG9veyxz3UAr41CzSKxHBv8IK5DWQq1GmhU3L/D1u7EHYLg8VAJr4UYPi1g+53tDePTBo6VL1BOj MhmKNm/849TUrGk1Qb8pdXaXRn/io9nNNWvN6ytsP5IZTciBIW1C79zdrmZMEBcfHetNOLsepjWK 1Y2Aj7vdExYVhMtipym6UsTrOuwIZ16+CLsI2gQx4s6qkZlblL5qQQn4nr+glmDHQSrjZ1ud/stJ tMjMTh0X1bNpUXix4bFziDv7b+Emd87iD7z8itbV8hUikr1cqhFLDnzx7krcp35HEa4yMSWmbJCr eNEc8PG2Je4lxQ5On6i8ncf8PnYgKn5J3gWbmueYaRIeEki4PLqt15xgqYd0tERAQdKJc+gBqhqd EMV4RSYc9witeButMRvspmtYzFnKxLur12GfrY7ypYOoOjTLJ6lJqk1dc0DlZpjBsmE99T2rsvb0 85hhF+ipwZF2qgdfDUARC7QGy4I4724z4YINFXcpFaiLP/Fy+ngjLHTnlASuyPeV221ZOoe83URA d0UXj4rRfzaj8voBsTKbD3wLZW4+LFHn+dF/s9spln2cEGwL4tTG/ggCKOEfqbeUKGNyh2b+3dzX PmIi4Y9LYIb4llUq7dmXeM2LEP/2AIEohDBjBUoL5qArZUX8EQ+8IGX4KdQ+EDTR/r156c8znY5f 2t/31VdG7J7fYGY8Zb36lOXghKq2E5hiZ97v+v0v8ElmNZ8a1sTpBPC2CZPk/W1wfib/rV0x8FGc GThuDlq5VmTSmWFU9GSWD3SbN0wP63/lXASjVFRJcAFs7E8LtjPKNQhGpHpLEybn563S6To72x+E W9G7zgsWOpT23f9dMd/WMzGbfifAb8HO9BhQ8+yG84KkvX7t6yIMUip1/UoMk4H3dYPXB5MViRji slU624K2BLPQKT+o3Vocs8V+QyqOlkh/G9nVVoAbfeYn7RJTbNWMZasz7Wp3URu1RxCAhLg2STxt CLeuyKLS3Pai3BlaXwa+sImND/u8SjlJNB3Q2ytpQLP42zDPs8trwe7gd3ZhO5ODEAuWIHVaiuBr ZyBQL4LOfeM2ZnJIIfvU+2ROd0OaWtZr/Fh9eeuEsxvNakDNeWR+HJcygc6s4tl1/IYxUYZ2FPpO Spsjb3SNFMWmQNia2wnXl4xkLYK8oUAOJv/Vl/3x9aP5hnSggnjr+aS8WYMoAAXB9Bd/1mmlV8oH 4A3406J1Gic6CA/Y6JdJS1+IwBelBewn1PzwedvPpyDy16UfoJ0tlNAJ02KI1myNkl1Ry6yScR2P dxN/sr6Rmt4yw2nfrexZwGpzAGIYFyIZkooQh3ZS18+q73T91QDwCE2b83wl0cm/0xarpqm2QytC ZqmOpaKO9ZX54EH8S4fXH6KfJCNHyJ/YroVSunyyL1ua7ixqnovKT9ifnM7m0TabZYkz/q3HvKcZ L33RImTHfPHK10uAeaHiVJB4euuyMGadlDPbF7mh/IhG3m+x5wvQGZd7p4e4EAhS6DYqeuL3U6Q6 74UluuOe60flkX/BT+8eO2/emxRXPQH1pXgFemYReLBRz3Mulm6yN5R7o/v3wmfWGneqo09UIfLU Zo1KFeY2Hb+x5HVPgiyRznusq7S2KbTFZqi69bz6fAl+Gk/are5+wwzG2+nTARVcoMwjfMPEJQBe q264PxSjyHktbfOr4mzVC/2bGGdcSyUvEgBQ/mhQ156JUq8MoV8Z3gNWBk//bH6LHchf+his+pg1 nkxghAOfyufyCZvFrR3hmAgtqt1+9USk/BMidjWbHz4UuwnCZWEfH7uBS15nSz4BqmE3l+0cQb3O naEYBQ5jjb1Xr46TOt62keb2tZ21dKM+yVP84mYrHIgpx+GYMPo5o9sKfo7eY6Yy3Eiz0TQi7mgD ELyze6iVFQ4Ibr2hzEOf3rQS+VVpP5j3ARrd3chMGrdqxS9nz2cvn1axgKT8veJkYbj4YpN9QQxY 6PzqtAS3io/RZUKGlApfD7WeKPw3mcQnfYBSDXnSUJhsNq4bEqVCbFwGm81LqMCRL+3xvw/tA/s8 XGlS5oaUMDVEg8se6RcpwmbsxvR+Xd97H6SrBSKjvb5rvmmc38ioARjp81NkFDtR3FY3m8UxhFHd O6QtiBRybw8h3OKALBztls3yyqXr/LfOs7IlbxGpAESTyFCQc9YxCIwPBEqyE8RXeVOcpVSzsMaR 4O6fRa9dRtxTRJY8VB8jpr7T0WXBApDHqAnRBBlhXU6sijcpqkY7icJE+tUULhFkZFtzwGEsocbM gabLpO00W6j349TOTJ59QAkUbQQ1wAmDo8u2RPXLWShPJpVSJv9n3wS5ZZqxbZdk18XvGfavgKBm NFw0mP/PnFxuUxJdyECPMZ5mM/tXYtwXRf/9LeDaSPX/Za8uYZNzdgzIeLxGZnHS2Mm2qlJQYGzz LtxaRzyPGSgl1Jqwuju4WufDQRl06N+mgWfwqDcx5F1d9P6ZFTqp8ZqUnlc0/m+Wt9+dHTsx+x11 0jmwClBj3taPw5aG1VC3EiK3n4Em9KWQRUtkTObsbdNOPgle8aGEHaJA99BQeDBsUrDiFol/h2iF b9PK8rlxJEhlmUQUw1dbnFRKSSyHexFB2+l8Evuakfp1KowOwC8S14oqtDOaTLSKMdiMELMtyRnF /VgjFbNT9M2u/riHyMMzc+GmkPxRJlG+PINvYfzEtoYj0vB8C80/OPcIT5DqyKuy3Wb8EntNTLpe zZ1fAM8jq7m5CjWBtugVB8SNHn5pnRF6Z3kdvhPdZhB4vx+4gyxXsuZs0tqFjGYvUxlhTnapaX6c 3sioOvnu87/mjtvHo21Itnqu2+23A/Q/UvDBZgMuG9Aur7N8jkBwC1fzhTpHnTj5v5LMewhkOJAq lXc6AbHOfMJFNzT9yIrX1sO+pIdXfdZ2Quu/vKhOyxGoRBXpaoZsEQm0zWmbItYQAuGZeMjn8F97 Jej2VSHPlFS9376hO18Fa4fuLwPhRJp7c2piOg7b80mmL283w8TWluHkpev8dAZbaVhaK1XGw66q fp+FjUnqk3imzBNS32en9iALF98I8/EZktTZyjMT9PnMc0EkkZU87bVCUXvNBliTtChSa9oH1zW+ bJ5UNAk6r1zu/iLEJiyW3rjwo7/eX/2+3LGG3YcWheKwRg5dpMl5dPtsMaV3xajo4x3KaqD/QY0X qzwypvGg1rdZe8X/FQOLVPQpDV0KHMk29vSTKAZzkKH7YMUaM3lYSSQeifAYlEteAOjd7QbJNewv MQbx+f8AxZYIHqUmtb4BUm4NeiuOQ5V7aqaelOpCZrcmlLoT5tCh4dpa3DnRvVWlwxIT1c5jnwGS lW3IOMK5onm3b89sSfc99Nfpa1rmuEmdZzmB9/Mf/H51re1BBWUq58lwRv/MQedXMfq4FTMlGcsa OMCqD9/M2STPHrGX/MGfDKdrAmjajtUD7bwKrUKkPLG5M0ftULFMKZHgIt5TxdquIcjZazwHPdwM /uQFKjeywYR+RyGFh+fq/ml9gXOj7n7ZC9cbi3zvxVs9v7/C9FfNytQhTw282NoYyKdipmKXxRdU QczYqOZDFGwucwdNBmXjPUrzQH6oh1fdgNjJDPO9IypeHhF2MUw97yoc8waNzALvTNg2lkrHWkTZ Wz8/NphlRZ+9hPLNIUYaCZoS3TV3jpeGERfvKbuDZMAv2ADROXTpN0UpzoHyVrypNQ0h3ye0r1gN Gto1CRqewVdSEG69KzcLFVUtJm5knqpsAF/Mv36cSybUfr825PS0Kz/eqREd/cHZ38spLU9BhCNX bKs3+ttFcZTH32i1pI45hKchsrIaWhhet+sHA/h2e8Ijzic95eshrtcI91c1NnJiI7nVNh0qHUV8 eobEeExYjQh/mQrkSsDv3wMuXgnhMpwcZ42rFwP5b6oLl6IT1fJEphr/N++ohJXP/cl18KStVdQ9 S/FNUheCtNHVCOyNdorAwxyPWzx0g3W8leydpNN7VJmvog/1z7ABK2+yrJWtI+TWWREQ2ciXSttE 8lcTIl2Da0L54qofXDOoPnyBJ7Br146lY/ZED8U+Uv9JhHq9LrKen+WOOlQzG41MBiQz8qUqcoD5 V4ZalXytwNt0YkzXPD7c2Aqll9F+49etqfQ10eFL9AHBpa12i0APkEFeaNDtWihCwtzp+eB252th l0mfNeqLVKkCOGu5bBMiIfsKl7L82JkY0ODOtLhhFAf8gpR45Fh6R5eCz3r4m1kK8jJx1/y4ZRol RKmzVxcbp+2pC5qcDYGzPcQjwLAGw2yVGG59sconG5Wre8M0DjIDjYefpmWJZWKs5h8fAN4ZBhPK s6zhM2eEAHEPSggEVhsmyliQgC2trTMWxtlLXHasOHFw+woO/mRmfSFRkH5BNS1IVn2fT01h+OCi d9OI7GKorD+FyVL1WgdxIJXIAFLqAE5PXHUdM08TAP9qBz4N6f7W0epM96Zzm1duRZLZDnC0fxdx Vl48HGHz38dkPJaBdMd10TiQL+A0vz90zNDszK9FrW8+7/ID2zh0b9QbPS1Iod670djS2vcLn8Hy WlKwvUJjzP5s7p+K9noX8ejlOqUALI6a1Vjr4SWO7wqbpGg1W1kt6+Ys0hWuwI5a6s0xqHa5Dcnu xyvcp7JK3ZFMA7g0LNzdfbUEm4c957O9zdWAlf63v6+tGvcLYLJTuYrc3GGGYskEdSqqIe4cY5Vk OgddAcSYpGWpLbS+ht/cVpvvTXuqAbeK0zX79kjSVqE0loCHG2i8D2PSLNi3iRIFL/OgCe7Jtrr6 6MWC59B7WNbeIFTM+WMnYwTvqnvoU9MCNH0fSA5995NFFJ+W4r5U8oVPNYua9VyBFzdhxpPC/0VL EenYp8ERxVfd1NTsQxc1h5RsYRLtLBlVjlvVGyQAEeXS3osQFB8DTeE+KB6jcrlEhaLFEwaWJ2/E tRPKyo7Jo0W0Kl5fDJF/a3f1Vcqi9812+5CA0oIxoshT4dOUaAkGkW8JLZUHFxxRmJCra4LB6LvD ovxcctgG52ukCqiTBbWC0qj3iwNcVVyFo9XPYQhbAEUvFqFQqqmf0lfMUpENxhLkqxbVs9R7d3/C eM2OD7qrP4e8KuI+T6zcFeKozj+HyVUJ16RGY2M8bFF2uXpzffXyQ7awcUfS96Thci6zY1q/62XA omQg/UHp0h3rgd71OGDnHiyDOvhP59PneH+1cP/2B6SrHSt0M6hdJhNRkRi6qHxH1tVo98tKV6wL ORi95BoIhDQgtpQngQCTpZe4mffuMBsJUxAKw/hUQ7Tnt0VeKEIUWRqtdEcWrh0f3ROcjIfxYIAg R1Xn3qpKHU9Gur3WxZxxEvoEp1dkDU51k0FTci301ZLQYJpgUxHswXgGTwR5sZotw+Rh0hA+5RO3 KQj9qebXNKQm2AP+GrZjfqc0xEEbxP1oPakEL9mLvHVP0x45K6vxd+HRl7wepYv2zXlSUbZjho7V DUVISWhQYEpMPB7mAmV1+UInF3gqZ3F/D9+u2ebBRFBI7aSYFaBWadsZwZxSLuamkYlB4aNmMyfb OeG/4gsOn0eqEURMJRc6v6BcoH7aN9PpLkMumAbkhRe8vyEdvD2LccdBvLZ8KPPCdazXGenjX5CV xYRxDhE4uHlcCXnVEJd8/HgWGwMGONh8omBLMJzrfZMXwUq0WXUpI//MYr+VRpZakmuLnZNH52if curC8wcy6B4nu8Yp0qarAe4kGuuWRvVpYVfz42Phpqsu3BlFIWw2EYZjbtsQkeqc7RhYKqu6plOC ZIkN8kcDYIs4m8Y3DXHZjCCu9Zny13RXGrCN2ePPaXkmSLZ1snEjivowA7Vt5AA/YG6acQpe8xtv 6YxnytNSDNBBEY6uRN45UHW169HPbSe3bM0bJgp8TH8lcFVEhmAGZFgttzhGAc865+2xuUvtK0BO R/8LyIR+HBI2rajlKpik3SM01waq6vnHprsdoQAmelo8PjtDlT5Kr/oFbRNJQy9NDT6oRsmqFGah 9d8KmQtTrUvNIEpI4McNA2YfoAWiIIDaiTVtJcMUP02G6kxwPmDN4MqsaUhbQ6/94DmqV9OVWQFI 6QXOOmXu2P2vlL5YIvEtxO3NlN3PLDHSSIvzqhqJgniYzbwUyEhfYSDm8Q/IfZ55zckhodtWIwyk sNxdeyYs2RPtAEZ72GkmjMzd+u7Sl8h1brrsU83MPFupxm75NBjVaIGukTHUaY6znAjjnVaPQ0v6 c/gYPDToLs50CNeXB7jtI3f62hGHjBQVq0hLZrAmGJJyNtco2KmWNRh55jROUCLQiXcoC9MUWK/0 CeqxgbOSLXynOzGvVGsuVtvfAQq/FA8jKEyY7P3Bkr0rE2dlN6C9YjXjEs4tnGNqdrkWTCpLfYUL sDiHYFlvrMcQjcWj+vyIFhIMQwIcMGhtAIvR2an7rKrA8al1DvdwCOcVvIPp1lFgyoFBHEV83Io7 bVhssa6oVD275R+H9+9Pw/2ddNlAEetPbcMOAW01k7BkVGdxC7aDoDxxY51LZBXGi1A0VhPRwYwI n4MLez+SXPArZMFaK9sHfDpCcYz7sWlXWU0d3Cfnh34dvT9KrYfuoIHgavFMDlQrRo/eo1T4J62c qzfW1e8dkBbboMiKiAaamSdx8AamwA8DErpPAr5U47PyY75tN5usG5d4vIswmiPBCIwYKoaoP1X5 A0P2duf6fgeCvD5oqMJcCE1Yb5ZCOHSA9eZtrZzGkpvaJqAp4xxlfqv/Mo40rQeOq4PB5cVon2ED +miELLhWp/1UlI90Tt/IJW/ztg9mBOQGx3oNt449X/IJDR9k52BrxCZXfA5+0oubnkF7VtK8ICEw 43XXw4zMOuM18VH62lKfWE7vMhnHsIAu9IASINnrs8/krPWjUQsrEpcTav/nzmd4dKqB6Pr/cmr+ RAaplZE564iLWhkw8h27XArp1c38ZLtdI1O0XStH11FO84XZENrhw9+cx8NfGeYBJ3Yf+Pld/eeb zyrl99uO0ZXT5SD4R95MWu+W0BpRwmBl6P2gQHJGrWviu+/3dxvvYMixZoutPIQPjUuTTirSDBp7 jyDni8Vu5dzFPvoy4sacf2px72sWJbaR+ALmYCMo3VHnC+v2Apm0Nrqebkiy62mIPq+hYOJ7pwy/ WCdqhvWDjdbAqeoV7jvvimwMPXIHPJxxypkittJrSN6AuUX6yyoEIOwsoMnlmZwkn+v3jHbrP5BC S3seg2yFzUiMLwPVHBiTJe79OFABu642jmXa6UVD/C9oObIAPswG9t+7iTTg/R5qM5kmZpBjzBw0 FoelrtVbWK1n4j1KlMypQLynRWRZ7d9iyrCfjfkmSWWHeGp6ZsBUwBugRnqFDeUVTVVEKNfqFM/c O2dZRiLsG56TYDldRUFMzHzrUgJTteWkf24s7iKktvqOOMaKyB5sUebjPihonPsSEf+1XlnyHye5 pdbjy016ED23JUerHHSsF5TZyicZ2nahbV3OY4HB1ZahtUuqdwDcOk1NtrL41/FsMvNPTij/Z7dv WHaPKRl2WgwcKq5VlorWh1/oBftxTLLyGvyh/BeUYRpP+yQPH8V9ozPY2LF+qxDNggvIbYYlm0Tj qWqWhlCrTCqbObZmnQ+IKzr0EJE1v22GG50jTpn1WUXZDCsg0E3ZPU9IMG4HluK6eCLKM13gI0// RyqjBC1Qsp+vsedLfEc5UhPexWL7l6tVB090rPrNXCbiDDJAaTlUMdwpvIvj9ceAj92Z91VNRhnf OXKh2UK1xhk7vkmvyybKlY7LFbJsKpSKRsXkQ4OtknIRtkgC8aVRs7RBHl1FUqz7DkhOYL9nclQP mObRy8IUQF9Y6Bb3rvLeOfUHdEucbqyHQjp16oOn3mQIn/4FasueP2qd5Pjw7jGgvWohnmVlmBgq kv9K0GW5X+pemdw+nA0bQCcXEjN5EbuzRdYZ6rRGhayVqbSD6+bVcCdgBRAJj5d3Suq9zJY6+p1t K+wZKtAN51e7rs3d3+lxHPHWaqLMsyqk5fMd1fPq1lipPPp4T4wNJbce1QC8ehS4SlNtbukEEhP8 4J/El+c4pj8v8eETQS4vHHQ2AtUWxJR5pIg3nDru9u3oyFHwowdLOY6waLT6sKS3fYAY+yjb/Vx1 NlV3rhtf1sCxXojGuqBrAhpXpUtq/eQc6f7KJ+8hPaeEiPpEZ8AKwbar7lyTEL0BQosFWZIJ95Eu HjxQ5l54BeeZ8evssE+bjKJNpU7aU7pcbrptAOgQ4MjNlHaZr3UbPaeIlHtjE4xo9TXZQEFHskbQ bAPPeHZInUeqVnjBgTeFJYse74MWhTpf20X1WR4WoUt+7mlSvIkezQLPvpH08g4QBuHr3k600D2F M56R1ROQga38W0CW3Yjwzri4XJLmA63U5cw28TRZcUw+VP+G+ygpeo8hg1/0UzgCWLANezyPppLT AWt7kQin7gcRjY1Ew/NNxuo6ku+GRGiOsYTevnTp2u5RIaZRHem+f5DLCUFLZX/1mCn/FCo7I4X9 5SEKYpEt1l0wDdKd1iNRw8z6JXePXFoT5ZsSPjOUcF90nZHwfdym606g7TYwyk8J1ly2/Wn0EklY F0PeMNlb6a45HBOFaa2FsrnyLUUHC45T+vpR+TNjhuOyUeDQ+hi8oH1t2tsld6do5eKakhtImca7 uhp7lQqBSua3sKDHVGPJ0Z36yS13zU3GbL0e30w9iPmOzvS0FvIa5ntRiKdpA1NbxURxSUWuWo6w VkEu+Hv6O0joHGKbGdLxnsTN5X/1t931NTgh6RHlppCXgI0pwdit7xf7Qh2Mcgd7px8zF3Sz1kAI 30uqb34/YUR3EWUCmvfP/C21JCiCxCpyc6yqeIgwJ7QFPtExi1IEjMCsDvfLqpZkgAIJBFHckeSs 3OVmS9QGxeJf9AEokT0ylutE/AJUaRKm0jBmiGIf2wzZ2YehYpykCnvF4Zj4jel1ELQhk2+lQ29k YRbzwcM2IyBqGAGem90IeeI/iEFc1/cvSXBU2uj6b6+nnbafYoKzkV8gvesqanJDUr0P8nT0eidI nf9qImOOdDKTcSGqknulRYBmd4zO7LPMGQiaf8HhpK2XwFtvcDLP1xk0mg9n+LgYUwM/vqymdXGy W7Mt/QydzvyNA/AtteteMkCC6yYJRKvVL57b5flr+e9oPkoOnJMdv8LVrdkoQKUffZaJN25jCGrF dDVPY31GBUwjNHaCLsr2pB0jojS3+8MWKIJDCQDMbCbWL61J4A0GaaV53Krvcos5Csk97KRDalNT L7m62cEMvtmdOyiHmec26i474MLzG5boZ3V1T+kqoMwsLLEXt0s8E/oD4tEuPTsx6OqQo9oy9Hsg MmVbexus6z5wgOI2/JXoqH+HnnOcYpEu+IQ9+xl78qPOFCsMjNDyAQaixQfUG941UvhALywoLS5c l8zVgZRcypSGcBniU3NwjX2o/wL89l7NAgVdtKWzKSJ5CRFjL8W2vAheijS+QCIj/8ULMTvCtHU3 TQ523HlCXxpNT5R7GZUOPz8xKFn99OsCW3zN4k0hf5N7G8ndFLyIBZN5R/UOAybSuz1LetBMFK1x 43ciI3V4yOBSc2XnvxEuNeR3QRuyBYwHCqdjphekf7wZNZ6RB0cwZJ+KJX22VNcypOt9ck0Hyj9j XbQhoeBu1OlsS0b4g//md3ehzmmRdy5yhqKJaKrUuJXsXv497jxKW/UUpaAMLycrqMek+fneEpFu NpowWYitMnsH9x4Yk306Mrn60D1RRrPY8hjSybHXBEjjdt1SQ224gAQv5pevGCuJFsQgtWuuvDHb MMhGadAa+0EsUGPl6xYki++Ub0A2jlVtT3k2R0rmagsglBUwydkNNopOcLJioplRjHHWhaFV/NJ+ 6KbD/uFPO/feCPPWphVb8d6zwS4I/UqtJo7PxJ/xJfOB2184VysWj5o7ieG/2jmQt8mob3LTa6Qa Ivo2UoGzFSeHOpKt20Dex1BNUe0yHxnO12BbATjUAk+9L5ylyAgy8YjiqonmeZozSfC492J58RyG 2lsI/CIALBdpGWsA1A7v1Y4rhLBAPDERcM6nzrZ/3368RsfN8H9C5zL82O2d901Nv44traXJanji 4ozGJ2LshHLtU7GGiChey0U9sqejdNK7mJ/Bwf6+KG5rS09LQaqDHyKVOMelAmbUdn4rkJv4Nsiy Y5agKlNTfq0RFnSCXueHn5Ce0wPKy1I1vlClyiinjf07yyxSUYEfSIwrynC3bztvVOtVCf5cShzd kvyItyhrkIMeMr5WTv1eXydcKHQuTXyC2ZIViVXjUPnqXaiG40SxzI3UInWCTzKuv3J7rmkunWqz +Y2HgX35/nzyS9DNwJaAqCZcvzi8dz4LuYJ/ouMDXzWQ7Gai2y6wNEq2UbeyWr/lNiaYTCNdpXnC Bm4cRBeKf7Lpnpjx4ZHMCEw/6lZwuY2Npvp3/wpKfh4cMkPTRPilnu48rjq4v+w/ym3ZHMScOdX+ NCuZsDWYViB7nwEfIaUD/BrPipugz6n/XnPEROVigzZ2tW7AgVJNQYkfgoHwnygs/p6J4KAU3Ioh oqJShJY412nKxYBHWV4rqWnMgfVKXoRnlgOgSrrZyAOwpdg5qjFsH5QDsGoYaEPbZGtn49f2ynMa 4BIBj9fpnOIcuOD6dfxJQIZb9O78yJMyqIHzhahAqicvT/74OKEBcx5Pg6yCh0+R1A3/j/Q10z78 uLT4Wu+uZTtFYD9I3PSv8QD6uP3tmOjr+jxY7tJy2cD1Sgg2FvDdfjw8UbNssZ2T2rj5h79NFtQS 7n0QhoQ5uxg7m+ys8xcHxLfRmb7B7sgiG/z6DEBdFeJbKDlsvB3DyJvn9s1fckElC/9wjocN6mYW 4llhuZjgCan6ygYmRK78+2KZI4OCN5n1zG5+WZJ74M3QxDI96OBApC2i+aK39nvFwT7MYnpEA3dI ++3uItxgpkwqBKP2HzTaZK450cSTpanzU9VwLQznOf430WX8kae867TLF3GY9lXmJGnFstsNptpu QAdn6pEnwHXu5nP/txLvuYWyHe0UdXHTWyW3hMjc9C1gCfxXPaexskH6dZO0PYKinZn5UrDV8NwM B8QxQLChOfv2kSAcP8eucjKg4PBMxYKzdxzgGO9QQPSAdNDnrcp7l9d2xmLf9TjRZHnCoi7Z9Z9u FqJvvaVyDqE8CKuvdRJ4pzsquHym42D2wDElSrn7kuuhXYhtJw5hgZakkn4/PkH4DMWGGHmz6+sZ tgroZc18yjBLKsWqbtEznVEb48j1o66b6xUErtqv812TtGV1ZqJlNNMcI+2nbfXHOnjrp2J7fln3 SetvF9Z+OVN2UAd1jiVz9gPNVb0AqoO4JKljKVxjB0o+szL4ym1y9/Db03AD/Ig0io+cHHO2YHlW TkaU3t/EWz1rXxDJZzXlxzFVxOzzGGS/6MgsXqWF00E2NuXdByY7D77JYlxD4YL6tlfr0QGY3ytq YDlCet5a3V9x+VMnkC/wTbxXU1dKXOnWmoFZLysb8UQDAFI+JrSTVQcUtz9Q/FvAPUWSIZS4YTW3 huXNyk3StofLJEpCm3iZ6R4O+GAcr7OrZI/vJl/6armb4h1hGlf+krx5zJicX7Cg4z0/AbbYHdNq qX4eSAS44eSNze2MMmCJYmknpqF0yVJJr+k2duWnzuSczn2RrC1J0NSzRu+CRFW/UpNBlaWK8YK3 0o+NLuJTMTrnTyJDbniKkCnDYmz/DCwQt4kMdYRXYglA1En/vRQXlJMzz6Leq53PiQvBp1Z6ZTPh tHcP6/ZXx2XQyCE7sGvgBf+nzEluL1IXDqISf040cYfx1uuDr4bd+uHTFJpTRpp9uaCSRyhS6az7 ywEKrjEWWWVKE/PCfCf9g2orhHNz3nn+UPvzhIMyVaPmvUV+zMesXYq85EbrjTf04prAdss9sr83 W9sVfichWxvD3M57FQHRddZMKV0WkHyfNBRTLwztg0JVfRPi6z8zBW5lnKbddpxSAd4kSASgni77 VTJbsx8lH7X7EWc8InuPw0g/OONYzXCqZc2yh6/DcQqkJ3CMbXFOht8lcSVoYvJ3YoF9dQil+uNP 0Iu8DJUxIsoynPEsmC0YOClT32V28P+A5G4V9zdIDw+NqqzpuO7caWciHNO6tFhJDaUmYcMhyTzx xyVmGiGQOZgnYw+oTsbtYwHrUb6oJZST+Kc76Hfb2vzt1SqwjWCU/muCwiEbZxbwUmMIYuFWlojb 20/XnbTsmZYYitSOoAJ/Xk0s7AJr2Hsmsc/tqzeoKpu8QQJRQpvHEBYj3XVmxC4CXETOT6gTld9d AA6snTt/PsHv5sYCPZSrMYD19Lav5Iot6ahqJ9gtZz40VuWZp3AOHRdAhv5VOsniok80Ch1a9EnZ yaT02Ev+N8FD4E2c/Ca9GrpX9BOtE4e+1SxBqi9R43oEF9Lti4Kf5Ce00BqHKufdPUOqCzVsGh/u y+nkTqjcm/GWhsMPxKJmnd1WMxywrWOcaOTA0nDy77NcjIy7o7dflqqlmvXYDPatjiXy9iadwCGo tLXncbdzSxxOv3i644KDvfPwUyA1hkElmMqzufR8AMEr9UDEQRzZGG7z1/5yZppQue0B/y6epM8c sGeo+ivXxcSaQYCgmp8zk5/HRmaGv2BLQYC6rwLyOpPZJIHP5Odaqc+daLESjw/2MiWNe+SIILuJ D9d+Mi+RNtek4pVVoJ6T0H6QLDXr2FthAqxYupp9XhXj4rHvChXc+HFqY1g1ZK3u0+XZSJFTg0rA LGNuI+VMGvhPu/6wneimsOTL4DzQpRyFwpX+e7Le/4Gufvmcbz5A48Jlhb9VaBLzdQJkTjYTo4JC qWOuhewFswHkkD92REuS4oVF19KrxYuhVQ/4TkxdNOXmQwxK3ku5/2q/NzR+3OCmG4ARR7Y7ZkCn /JCOAt3BzIgGBlEXgmficnAxm1MlPr6Ex8KphQQHYAVZmM0Yofke2DjIq7+XhbGt3JeMikeHHePr jZ0/C44VFT45EfbaDzQIDoBfP0Q6jxRLzi5gAYdnMapAxWb3uoHEIKMf0HyIQ133vC3Mng6j2r7H EGpkQnWPubH9iE5tcpTF6a7plZsFdP5vWtXFCjrHZ4/RUDrlyuVNX2n/Pizb7soUjxURmzahzgiQ vHR2XnnwUYhxkliWK1+ONT0/pNM6Pw+UjAG1yILvy5L4UeWIAG7rLFSgJR/RA8KN44KBUQS4is8C Vx162/O1tBKb5PX/wyGZCCpZ8xr5gCeW1Ln7VGJzT7LGK1lZHq3w38/BeWsVwnaw2uFw4rxVsIBC +BMsElCAiYjsY4sTPmIo3F/CcDFh4q8zIhscd+jjYABaZobxkQJ2P3fN8vo3qNYYs10WjDHmKv+Y mMzIMeTiAaU9tAs1X7Ytfm+q8IMGIMcj2C+9d76YErmqKN53YSoLCoY9/AlTBsvFC1pFDnvwrgX2 /COmFoQR+crvI+A2d/Zk27yrgpzvOpS9tldZtU+MJExf4sMPTkMw5e+q7Dp2hV2BciIi5A6YQriw 3j3OOL+xwCCF5O3X44qlTU3cGCP7BnpUk68CM8y/WbetyilmWui8CJW1SlVqxYMLEwLLAXvk9IGQ keA6sLtlFC+0P0KjP4CH2aomphxFxD4FZxbQ6CLQ7T44y4lJvf8EzgZb0oozu8MB67jgzNA7cUij S0kEB+1jqrnH6Ee6cQiNkdwkaXaF2EPYqn/4lmSy6jniY0PJer/YXVhoiMGg+6R/+rYE0zm7jH1z scEhj2849j1LXZsWGc6wUpzJlwPzn3JxQ/GtEN2kLGIyimDGteBxNG5CXTHK/j+4TXdLuOaGAB3P xQ1vTIlF1H4tuXeZdEb9WhS6grCQLxChu6KF+YUGuYm/Cqh2evHyFomI4t6+NY2cTFw5O8heQ5wx qh6Xrsg8iLw/UTXHwqhIF7btvJ+0VAvBNx1FsQfRJw8lpO2t3kmI8wK5sO0dchV5MYqxmvEjS69C pJKa+5dCYX3zGP0b7Sb2S5qPNR0pCArTynJR3NAVh3jYucqT+R+29etrim6w/eD7/ZVOQG/WVtns dkFw/LBHLpTqEp/+7YrrpvUCicsyXwfSz3hxpX18goqFR/a+4oRC2rmTJQ3SwZZObW5iQRvDu2i+ Rlr6LdFrqutR3kqFZcRdjQ6BKGuPEU40S04T9EJxQoGnLtp9aloDUMvlPgBypk9G/JCnXvnwPorh +WDljlSnsI4Ma2Ea8ZgDPSdA6RjDkmxvwgIQ8GgiyfxI/u350lrQjBmpqfi0iPyvetVlbklYRk2W c/+oP5l1u5Zl4CS7ozcVQLl0TSAzEzKXIxKz52O1wUF9mjtJfIXkG9JN8jn3hHepWhxLWQGhSZR1 Dt1Co56Pdai/jpq3oG/Gcq4Y1+tzyJJQKzgnPTSAxBuTO8aHAmA7HvmGbRp0OfdNHdHGostrkGW2 VL3yUUL4Obm5vO/Up4Y+ld7sRLC2GBt4m4ttxs3+9i/6b+uXmnrzwlpm4nvLUCGSRQSftKMVYCZ2 m/l3/ZHYEhoINwJ/yEzaNH6Jmv12yg7jNf7GTTYwBie5jUmY9Kx044znhh9sUgoVc4Gutxck9ZyV +kqus6SjJdMGikoVft0fVzPiL7ihPNpiUzmsHdwNl6TQIbbrJPlyuVEI3qS6TQdkMLc6Qvq/T82l P9LsKrtE3Wsr9h/nF5yxtqczkVS6yI8bNXIuxBDVp3YExDMctkWf/4YbTviP2dBuKsNWiP8FjhEs uVxm9deR7PxaW/hnZDmOAVlK0ZscdJCR6tLtXRHIayIXZ203pAxNYf3ADfFR9NPucc6yCGlo58YQ PKUR/+XgaZUfelC+MsQnzxjj3fbyhiJnynZJGpTc8HWCCHfjOkuTjTnU56fhznd4n6L3hUiRGwx+ 0/H0BSU5SGJyVYflPzAeL49RIPtl7F1Cc5LCtOfKVudq9mx2MAesFLiIzJvuzOGLDZ2pBUsidR6q VyPawqRrl+6Bx1ehRFhkFDdYvy7YJ46Ptl4IZwC6DGUvkvXRyPKquqO6mscpSXWuylfRwmMz7UsV 5Fnm92EYxJU9j5Thyvzzq55DP07ECbdxo4yK8nirydIr7UAGS2uOB7R6ijgw9sxnECmQ2E9B+tQz v56DtMEH+GSGCiqh9eYqVjU3YF8vm74L/2LIfCrwTcMQS6r5A0dbsFR6mtOx3RV68m/OCCCrw3Zq qnUW72CKBO4deSIis3ORkuJsWWwJTX4OY9259y7TXp6B5TNVlKsBYmQTkcLFOm3usL2z17tEcHYY BpsWvsqNb9uHm1bNIPsT38yZ9D37Kx7vrpUFZCK1t1ajJLgjOrgDED6jUY9sAuAH4bmaGENtOYHk sr7jcGYTsPViY757c5cs3sgcAat2xZYrEwgmKwtbyC5FTBRkCVf1kk8rTSCHdK4bn5F6dEmVyYii 7q0MkdpPS5psLMhlMk9JstZG5+rpz+2MpZ786Xcx31YtHEDKECSto73gJhLZJi8K2fDZFwyNExw9 VScNDhnAbUMvSpOnRXVDjGE/xb7QBZeAxMxfz3AAUx1sUyj2xDsEvQrjPY0sXEr9jtvPMaaf8Vrb 6Xq26lCKvRHwfwX3Hah1NpOpjoGEkeSLw6EWhMoKPGxMJfmV2uw+KHtDblNtaZuZtvs4yFeIlkUY 1V8Uc+5qqRk2tG6+e+wpm47UCpFLBUMkyl5CDrtAhSFiZPbDDbrAX+7H6m9U3ylpmWNN0+Wjkb8U FJJFSDx47DNXvze2owPLr3/y8i7RppijWahdDo/t+9g+XptYn1PVzc7cIiYehsMmsbYU6dT3uw/r EERPqDBgggKYR0+93eSAHCiwIGO68eBDATs3mJ9t7I4dGORdtX/3sVx8zLkTBtGuBKf0hKNwe52T LW3PulJEPyvtdB7udeuCgUfOAT9oYaGOKSpp5WT75tXRV545aQzq4F5PsO6DjT65k683lEfZw4Wf cvcLf8YV9lkyFDNWuNtdfsUHQEPGq6zsz0II9AkE9AU1Ye1imyFZbeTG2x3gMU1J3xzWexFh02sC MfW6bMCTzUVkgtsqbz65ky0uJi7rYoBQTPUQBzDuGQLsH4Z/es+1SB94yaKBBaB7NozNEpgqvRkC rAdlEzuhoLS93yZ76aESpkuJw9LwGXr90fRrnLs/eyCuMombW4W0ywyoregzx02uHYvT8vKxSaR2 kFvTCjfnhS6hD2d3+CYojUZ7sLbfgTg+86kkcwNUsqVdkjoGx6XMXhmmJDvXIjx+fLhSEJ2I+5G5 gyZmi9W5Vb93urc0O/D5Twq4A0AlMnbM7zm6qAW1vCRj54wZJSB7sLkpRansxt6a9wfikrlFxTfw a7BcUEEfTR5ZaDIm0brBHelzpnYKiUvw49J2G4r1goYKDOT2xodMk41Ut01orehmf2HoEF9fEHBh HRC6OripFQDYK04Ip5DzniY1/tYAnHAPCBgnJPd61nj0aNfe7FBeFMybLt6pU+/W1E+L4ckOkYAL N2NJy51X2l+nQvlxy3wQ5W6iyfLuaDnOG9GdVUQmU1LopsFM/Ml3JDbllOYLvJjicaRwBGwoqE2J LtQ19e/Wde28x4RVeyjz5OI4+qBBAoq8x2xEH77fRF/Qth/FzxNWvR0l8zJtyKTY09Aw8H/8F8lQ Ke2F2ukFDDZAT+vI3bqpiDWOQB6AJjsZzH9C/8oYIuVlYy9PrZmsfQJf+2lboYLxBk4JOfCIqBCE 9ZRJuZjfhEbToTC98i1XKvpyA2b3ZCKl6ive6AR7Tc+pq0a8gC4c5+9g9swDS86OhlkVXbu++RRH K39WsWYdShDCq50eFK8+kLGV9ilEmt+LpvnTn0IRxsylZW0sU+qgFP7KuTr9VAZqu1wiEPE0mmz/ akLD+79uBuFHxZTVGaNjROlQ6qL+eQx880n9BZwRrPGahQ6V6tBeCRw/drTg2Eln40sDm+Dn9TER oXQYAvb5Is75lzcN9aaGSAMZqtvsH153y7mCaZ5xZ2ny/T7TLhtM1Z3qtv3t8OkInHbJvMZoBoRZ pOAVMDrLH+9qUnpIySaA8KWVmjeaf4rghv80i0+Vw/sHxhpAJ3PEUTBlQUnNyQuAZewqHeWtDPf9 SDVNoGCQ5eEP/cLaSmqQucZKthF3EgFW/R/a1YVIeavddmxpThNIRi9SJrWIuVPH3eLs+7pie1Q3 23qAONKCslFQonNOZehjhovXOZblRUqCCpfcyrI9mq9SHvC+Thu+t7+Ch5JcEWN7f8HHBHSVixVr 1o4zAED647ZwkzyqPirDoScri1FzuSpRc6fg4sehY3rRHJMF8irQnuFN9AvEI2gxB7/r0HX8tYpa 0dacH9SYzdQHKnYwoIB4nZnaKnrqtY7rRIBKjgtK+K+8QuRiNnvO3BvogR4uG+toLyDm8pYx0JlN sgf7DdQIs83ZZav9YlSH9wx/3i6E3dkCQf3X7Y3e/hfqgkcLoZFuCJi3gXCUnhAau6Mnn2l1gdgD lzD1QjNBD34lUvE/HfiL80wyHMOeePf3eJ2v8KvXcjtMxSaaRC5VC67/krmXe/aiCJ+J8HTD4/Ix CPlhB638W2zmnQqSQdMw67EkZBcoMI+nvp4X6kJ729amh8EeIYZeHAXMVJqcOEVcRLn5tLzhveVS tQxBRj+hvFbfY+c36qGaKwOc9aqWvwKQN80dLmRnNPoOV+j73USpxqor/EbJscSvZankP3APgKWo 6P4ghXGO8ZAGT3Ao0Ov85zm/e3rv2Gwp3j1ikbwfAisTPRz4GTGUfgEut9v9jYYsQ9sB3BendU7t LTfrfacCfnGZFmFsDgQvwGZRUiga1gNgrL1pL4pSpiMF13CRs3MeME1A3nCHGEKV00lhkJosv8Br +ES5jztUYkbDRrD8NmefOuzNEuX7r9hbHFDyr+jGVxcCh6ebiSCySCxxK3pnT/D6rgXJ9wqo+xeN NMYeu/dr27cwvOZf4GYFY5UXw3/GbcKxsgGxnZqRpfikjaMgE/PPYP7WsTjNQGpljl4s3I77LDbQ AqgtsRrUK6pwt9e2jOvhjrk9n+MTe7UH2P69sC5kbzzdfAf3K5IGybZLCWs3wc8nn9jhQ+q+L6+7 Vos/Vj7crY6oTmeM6bGjTQYf2fiv4kgVRgLuN6jXIjO12XONQ80ePlYygdWbFU1VSSwojtWn9xRT wDDnqiUYglrwgXWPMWHMnTiFgyk75wfA4FYeL1If9deqheb4/htpOwW949xEJQ2+qrNJtb2ql/BT RnD3p/Ct+tqlp12rrMkhdmjJZrwTC4M+qlugGsMhFVS4QdCuSBjrvZrBRtKHff8kqspLKygn8WnC Ysx76ZNdfngKs5ZMGnh1ByYjdGkcxbWFo3nma25XjtG6LnKjhOVbpQXb6z7iDZx9bjYIw9ppp9gc 7r3HFgmEPfQ5fp0RZ1YngbrV+UK/X5yL93M1FFM+P9CkGbG7scgyVCYY6g06Hnh91glRFKAiOkSh 4tJ2Qnk5SfLKQQ5wzE3QhKRNF2ah9vbisC/tgBP9+eZWFQrwClo5m/DAnOecLgi4+GTqD4J2JU4m KkitC8Tu4vkapyAAda0ov20KGJkBeuulyFK/Uo1cGemVIhExrMYJhBr5NYoixzaifGQ0qSai9lKW ix6aNsPMhfCAxdvJwCDmpZgWqsOHTc0aAcn0o0A4EJzdgitrd3Jjln0RVQj4NN8BE/G/v4GdKooy 6dicUILV0BoAr9KSf3D20/kRH+BxC84BDqOc/wAzFnlIjhn4Kdv15rfenyPAc4wbYEQWIwnhDQrn Rq5m9WkZTVgpRn9jHx2LXlycnehcA0ovOyr5D+igAwkp7jgYoUiX2neAo+RqUVLpJDfqrA4O88xl OaMSnMDpSzsEqXuIjV/NSbWfI7vSliRKMalY1ErHN3o/lijd63hpNoqNHovKjWJ2gU4WLI/0LlQU t/NXAUHfIRl06Bq2756agC4ZEjTEtAiUApQ587lp3L0tCzgrlJcrg808LB1FiehNQWZ05gx9w3Xf ZjMoEOwTqqUlWVkQNxpFUmTnikCliJksV1edYWt7EQeeqW8t3jFNRhGlqwT1KOlg4zPKKaKkL4UJ 2L9mDIh5VzIwFXbQGKcRWttQlXbDze4iT82mfYGZljrRsC777FrPPXD1s74Lz4klw6onlaZpFana RGcMaAxb0GwqWyytJvpEo3wf/jYEiu7QiNl3IT5LBRcgolV3fj9t02qzGT/6xpoF5ixkG+QuWTA0 /zFlESSDdDEsZkf5bbMdAjnzwdt5bMoSDid03zAIohtBpLd21XfsANkjDve8agZ2wKSk6J9RiTAm VkyZpyYEihF9+CmBz/IXHxGHLHjgiWAwyLSJTlaGeSGdwh0Nb76hbjEMhlf1bl45cXMB5tUNEOuB TUmb4aH7GuvxdfNglLo/BqW8yYBUITF9vERCGKV1giadvJa9Fd/cCtH7bsYDY6k4L9ZVN4hcSKvM lzt4uwV6e3vfQzA0onNn7R1xvIiZPPT4aKrStqtdH7up3El/Z/l+SQYdGqwibtAs/IW/DIxWFu6v jPtK59/EsnTiLsaB0GkJfzkznY+AaeIpQTjfRzMAhHV+1eJMq6xEQ/L5zPSK49MI8xUa/Jefecj8 abz7J9PgGI+0eGodwmv/oA/N4hZe8COCYRpvwQSy90FFWM5DFu11C1aMF5JPhNipAjl3rzxDlWCA HZqgfQ3cdUIKlnskqPc6ZesyWQa8xQcUcj9UmTM57w2B9aeY7lGm76zGqhyCrR03J+Oz/iQ+Snmv QCs6pyk6BYWukBwFsIJBhxoh1JAvvNRG0Ctbhw3qODu7hjuQjQIKTMxYLMAeqTx7s8KrDFROt29L Ao/L+59ncD/6wR4W7FhoxvU2kOoKH6tlL7zpvRNR2YalJG0BfF98SNGWGM0j2G3QVHuNuu3qW86M JGU11VsvMQgm4n1oDwtpR00WyjL1BKb9BdC04GjYxNTSSUfqIUrg6K1M5Nn/JeVbgfFBwgc1dzd1 vJQ4vcJAIGXp6dMYcEZLhswinGnXlz5bahqBSe/SUcr8IaFqbRVfqtL4I7iHj8EBMYsVgoHShcRC 2ejjoFWmlR3Y2SyEwvYVwnmRJ3TalpjFHtpbu6kKRTbZr2jzSp5MBm7HGqksFr34g0U92MO230ux 6jboXgBTSPZSUZ77H7yPz71rJMXM6TCNvjV2Vy9zeWIYInda8fhZsrY9UBX1OIanaw/PviBrFPP3 CfUJaJsxDAQj/V9Avy2B2cPBoHTw0cwMs5PlVFCVBNJCASIA9pUpsDezzEHpz5jS38+SiPupTiw7 TydZb4v6PrsYmTRqq0E78Y8Wtv/tYm72qsH3eRC7Zp55SMysMojyqz/7zBdMlrI6ywEs1xn7bvVJ kFBqp6lE9brA0J//DeGA3q2mJIh0oKLtTJKlIUdGoHsAU28x5E6SC0uruinH5MR8H5qZU6gZIOEN XNtVwMxryDpRq607iaOkvHPUn0UE+EVlAdFSIiGUzOAUQ5h4tPhp+p6QD2iO4TIMcbSLzGi4jA2K 9e3N7/ZZ1QOawNxMFbHDNXly2bL4T5Im59lX5ps5QjwAnhsoDba0+JHbkKYgekDVE6l1UkX2iZqy xOz96duHwbgXYPGJo+hk5qK5fooIXlQp/VTCG8IUJo9uyhHrEXv1xaF+9ANvEiv/qtw3WTF/gwuu xYHND1lv6R4zgIkJ18tUEhDaYxPrbhZoDr+tcjEx0tCth4x4yHSomHs+bkFUjJtjGjyHGykaXxPs cLcCucIu5Qyj2fd32eJXx1SIm4p3rthJt5bQwwcgvLkocbqbEGDqk2T6FSrw9lXtY2LBIIlKaEih ksqn0La4Q3jepNZIpb5IdJ7EvyajaOvyqP/4QTHfn86WuYZCsN3yiLCUH1O80dXOse4/QDUWiZTl gDOP5qHtPP82Ov3zyxrxiTDcekORr3yNDjJuRJYBl3pip2KeyRo9aeSv4sonRF6IW01Nbg/i/daK UwjSfSKVmHS+AfUM+jMBdd9DZsGaPwfj99LEhpK6n51Tb64wrDdROk5vwSdiEk8sN/a6REBvlAfK uX7qyyLpfJdRt8yqAfhsVd5FXQvXj/1ZgG/1QbW9PcDVp0eeFcvfr6lBXmR+qnCOoS8HPg01W4w7 zGv+dTUEIiWowL5gN6m1MEg1cXosne8S6rGg15V2g3xsLzfFDxFC45xO3FhB1zQAoJCA1wxpFxIA B7BEkVQUKPVHSke6A9g3D/XKjodd893wZLqPHkT3tU0l+lD340ZW976UZytvlEDe/HPR71yV3Iwy kMfFak+u/pqbhk/61PgCvSxuxkiofZVTepiQSuneFT8ZBd5hzqT5nEZLOhIS1i2iVvi/a3+dKKBj jBc8fLMefXTmTnycC8eEmi1AfqyUPCcP1UJ7ntLBLZvJ55u6lKBxPvlwZz8WCBlm3Sz0rSRB7WTN LBF3BRT1d1VG/juxjw43yp/BvrH7rKqKqEb9GVxeEc57zPOA3XSy6V2GZ0dbP2CWkyl7BGnOoUzE lVyBKVJbR1jVf60Nw68qv8V93qaFs06zs3KNbqB2Zbw49GUcneqL0KMrU6MuitjvXSsCbMlh0IEv HT5fD+acP9/S9dqq6LDkqZxFffSW01RSypR6H255K/yFZre1iP7J5u3TBYDw2t9bYyvgE5aM2GJ8 yt/193vSAgKaH51FCGHorYkjsDc9fB4siXqZ5KojwEWjnlee7JxC1FpUxh3Z/JC4OM3GWKdZe52Y TOIJFOr4koleiWkBR0uqGs17Nn7n7UbW0PdIaDAkO/KyWkRfLtz6jxb5SFuQ2da6ZNjZL4VJpDJy /VJc0ITkaks7t1haiUGArLmd56qkJ5GSM7/hTgv7r3D4zYl6Eb82kBXgT87a9D1nH3R8o1+jNdU0 YkOGOUGtGhipEwwcbvkhQSyxTYUeHQyy8NMHnyUMvOIOUeK9oTdzFvAlN56Pi2ViNHYTvB4ay/XZ e7HqcEmA0F7slHfzoLwFGDrSs2QKhihmsOgHE735bzfI3KkQD/kxIXJKBj9nslga2vQoLZwKpzLQ HiS9LRMW4F/SuXJrJALW1Mzsum5DDuvwpumWNU1B+xtTknwVEr3ndh35znWgXGbjUUv6Xaz754NR Mzh1Go8jGb3lG46HSxZnYJax8DgzLnAKzMpDId9nFxgUkIbhklpYa/E2UiRHD7OkRLHuGgLPohEd iEWsw6B3Eg+2OlaqUy6F/F1oGO1mt94OKRw+p6cwlYjaqNUE8y8d1WjzHm/iQNXsrAPsuI7b+Hqt W4pxSsKbkQmKIzHSu8gTfVhvhmxZmDnewRAOb4CgBI0bnhJDgHCb+SK6HJWVmncPoR/WGOfClhIC DZUHXtkEGhv39F7PlIWt+LmHDm/zc5y5Qi+ET9vKAu12IoNQnbBsyBBb0xfIq09jNJ+m4Z2zW4O1 6gffghnAqTRIfys4T5Gb/k5XDVK6xu2SJmpqf+tgne1CZS6r5nHTmvOKjo8fRLByeqCWrYRXUz1H 6YsfaDb6pa8h6y1FXFRUXsBdOLqp8JKL7G6ZnikK0hs2yOHd+PsDZRQE1DWzmr6J+wAX9VuqPMB9 RGRBwVYTGgi65tjdzRpAvrZA6Vom6KrpJRUb4Zfw1qAQYqAlvRIGro9I57bzCKwDdPK56ZfHJo+S /FsscuxIcSKNO00BtWVZvCec6UCsmZ8lzb3SNiG2aBHrOdIHupd79bqjpk4eLwOizCoJ183liOMM d3ZSsSHxzqG6eMOrMjzV4l4cukxFF11F/pkcJG9N7vo2M0KhwEZUEZYWA4CA0anEn8FtFNGoJR1r HcxkntznQMRumecheslkAeJR7WLPWB7fJbHzwnAQm0fWo5X5xZ+CJmDWIkctNT/qFw8wEu0kgEfK gbnmWR/MzdSUUYJTcCnPCYcG3vzn1kyDcu2NzbG4ESiAVToJGnPRAxBjlbpS4Sd55ek7NyOxk8aK JdXntMdA3GTkBkPh4P7S9Eia6yR4WgKnUYgGPlLPkBGTVO1nDKA1WL77CbE0XUVNUC3K1gFVqzF3 k+TIPa4Pl9C2sDfdE69Mef5LLQKrp6XMPW7rXkgLHsFXVARCjW1AB/9Aal/YyNJjD5GEUvwJAkjG nZWxs++xiZvuK4PPIVoKfPmXt/ABnigFlZ7DjSvejif6/YRdMpVsbWjx3x/HgDjxGTQPEJFLPXiV TIpebBIIj/WoTsJjnP0GrUT2yJfkvnyax3Xvtc1FchKjEi8aDAAVgQ14xd1NYqMEv7NhtYuQXUCT fFImqBja9tx/IIkmfp6R1KjW+WjHpjqd6lkZbotjIfrwkpxw5TonSMYQ6Vc6QE67jqymPB/HnUbz NhyLy/G8SvsCjI7vi5PA0S2xUlhPTFxsyhtwRk1984YmJlJIdUbmGvVitSqSQi/BkyW+IUnVzl5/ A1Jzofz81M3aY9/OGUM/QKTENwW+KGtUbxL+1uY22lWidKorC9R6Q80paxZ69JhCip4xVkXLHG7y gTJ2PEoe2y7uKu72/fwqWzzwteVxoOA72C1cdQQ0A3EcPPr+D7bLQrlN9O8OQNyDm7xfdI1ajDGF 4XfFmSQl51FEdh9CcrMl3DFUA3bKhh8DXg8CXxyONnZ4a7GSa9wwqKEc44T/3e0mxkPT/HVHKXcl riYSGjbSuSzWeJA3thIrjw7/mZgkp/kFV4wmT41dJbI87HbsltrMvQ00Am8XvafGyuu6G9HrkiLz 0u65aF+k2/l/OF63+xLMfybefngwCiA98XFtvXB0lFBdfdtVUtOgNVw0nj3zrfM/htnA8MerGc9K SGy8TeaNqhqKCs+GPEqp9zWQ809mXGD7e7Lid5/Os51m98/ETv4WA1KCYJVDoqtEvO3QXhBID9z5 0CiNYLz0VY6FN48OZdGwenjz/IDrId93E4DAXnryh2KpQ+bMFZM12UxC9/kpB1HnLpt3UjtSY24n 261tcm+NtOXai/Prdi3Lgi3BxjWu+KGxG2/P0IjnRVOjHEG9bARAE8+AELgY4bE4z4T6ViFNuSjY 1w0RvO3ffNcMVFOCBQVXCzKGE3FIjNFKAkfmx4QpqK5FAl1sZbXcKd91v6WJPf7iDLoJurG/Ldm6 N96ktZx+E2xL+L0P8r6C5aLAfu4FrNKpF9iThDqmcGuDyxnIdo+e+fc6Ha0J7D8D9Y8lBl9GVQph fk6CZ1FVGV46A7qFLzBY2oGbslPQDdP3Ho1J5K3NWuyl3oGVmWipH1fTw71fC0URfGoPkQi6OK06 nuj2NwDRfPxa5ADLvwIycUIxNY8yOqZD2qfrGvGWWzeRET56/VD33x275+/ZKmX0b4qG6Rggos5N VnJTTXpS2zzIlzv8qjOMLoPIeuhK+8+fwcnjIoJLc2g2Zi53DyACiPby2OCdPJh4yd0ZsGnlHd1v J9D1gKaW9PWe25nSwYnq974uxDT5NsIA80htgYNFKhrZ9lN0WoxONMAA6O7iELRCxLlTrkiH+JLG hm3bOyv1mXzSPHvtqw87YxuYEAosCZnpyk27GBonUMnFiwLE1zvZO/K9/UgM1lNhjTpPdqXjv0fF BDJBcbj4y9ZHNWLOgAzjaxElxxInm75pZhPFLG8NPOqK3roBXBw9JG0aH4NQwSarkVxhwsP6RxcR PUiEAq0RIMAD1FgOfn/yINz0zjSThyXzZrI1+6uSGlWYPLjAEj9e5LObj+t9TrXdbkPGPNn0FqKY J3SzQwcFhR609Lk9kxeFqGgREPP4avEsrgN+B+rEjTm+J+8rZ9a4b5dF8h1s1e7LoHKi8BXsp7+N yl6VFemG/UyxotouEwgIChYWaZqXMbHsU5ou8KC1kZgUszw7yjM4rnas7HOiR3Rr2Xew7A6DJCxE 3waiHn3B+U+9df9zdYJrZaL5YSaBmhTzFxPqlmCxmC8JeMUsI7wftgdPuuovxPHzipYXiqb43XeL ffU8axP5gLOT4D4kvaSRePj0QXe1LKR0fGhGRVpy3t9Qe8zpnZLVU2fs7sRDkWoYStubn6STqACe Xa05zgjKAgeTR1f7sLfFZDWe4ezIbdigWffU9vZhGsYERigJXWi0SFjbiO85a/jxmBAe1b1+hxih 5foqIv7NimG2ha+i/5sLefPOg6BvlM/7Ueckq11IPkpn2foaHqwrE4yZEuKBYt3PwUCr3SfJab+1 /uKC8cdp66MHfeQrWS3m2x2BpoRMKQwLn3WS6+fjaD+HBtYFiKQKOLVuwtFOjBw65AscyN38npVm vXpKK6X2B4LH8mfnx8U+exIJdORjDRpVnMIacekEMPpNZhCz5fqn1XcvTutLZxr8XwqLUVoYC4Uu UgiQ7go7arfEsegU9NWA5vqrPFk0vqZRtMbG3nnIyb8G6TLruCb0Gl9o0EDGX4AU/D9sJRzqmT0l eZ6dDE5P0UGFSuafsnUEcimpsuxOxSr3TcFkG+/J08lThZhU3VXpBqFvzOWd9x+zjYdXs66OvLZb lk9FkWFlqVEd5fLUr/dncFJpSi1wGf1A2JWDLZ7SjmmnyuG3fNyotsTAMGZj7/p9ablEV4HW/tqz iBe9m0IVuBK+dgOxei17+1U4oQ3xdPS3/vbNDlHXAggS/5TDIVzbMlL42DWaMDNsZnKou2naPoVU INzeAKNPjd69Q4IBNKb7oQbtTCsvNnsq/BiFnH188J+8gVmcBfBsmA0EBzLtYq5z5D4a7Iaj1HtU Cw91PG0qSjH7UHKH3/iLWLz0m+Yp9FB4fKViWXLkMU+oSMTakYRWULxg8A4IziTrRePyGvRQAkzp qFOMvkwRNY+1Y3LHYQypO72MwaMardBvXTlC17v5VHOP2QhRPFQJJeFfvp3Xe2VTiZAcBlMguacE enoqE1AROORKRvwQfgsPiSRJUjCCwCDiL9ZKgiilPTWlsQrwRCNWtc9rgc2SEFpG1ER6w9A1mpI7 e+fGtyX5SiwJrHSdEir4Sy3K6miEKfYDKoI5L7604EKvUAEak/f77LO9HyZEA60bZ/veiqTCPNof TWaSdG/9TMaTjW91rarDNSTfM5DsImLNHSovUmBPPE8z/MFh3jwoMvYpaq1CIEmLR3uZ8s6mNTgx uzGhOGpDoHYA6NhtEzlUvO0TAjK+M1iQERmBUhe5j808rbCZxuGonHKtdLcEYbfBJJ+E2jXXLc7p lbo2nPdTTuWOiLJqJLbMCrtdXjJRNfD9B4HXF9v1h+N7WO5xYOJRBGXipT1PFy3YsYeLGtxRpq+t 4IzkyNR4kbGNdEU0u1Th/l+cka3hE5gwchkgBJkzsPY4Sf9SJjGzOyQzQfPlEI6RHXWvHK66uBeZ Ymer0w2hTVggDck/LP4PxUPQvXyNxP22C374A+oJkxLLm4IRs62W4IjqkiD8Qb3gyvL5zucl0NPX Uvym5saE7UNRJjZYKMq08vekaS5JaVOOMLqJLRYHYLEVY1M2fKzcTwCLsToWonQx99dXVh7c1pIe JsEmgpbwGsaVcRlu+bEXdcN9Il4hNQt8cWS9cmbo7B43Ml4kMcktpvhL04AUM6ABoyoPQ3rIMlSI /DB1L7i7Gpz5yyoAfVyfICJP16/ae3CfsYvoiACaI36FbbPEBVwKrQ2WuevkQ4wecOLJOs+njkly yOD1wolvFc+PEK/o5Q8p5AsI1Qd/+Agtw8yHW+Xid+hfHzCJ0GlIp8IBjMFY9E+hcH7/0Ct5msVI sv8Kd6vzn4zWc2Ia+s6H+18L27cCka7Y9XFhFBPpYWBD6AmN1N/XJPSp2dnWUqyC2jrt20N63cZa Zkdsy1zctSDkk+nnj/HRkFmwSt0ihobraKHL68N/iIllGobsYzc0xjkyr8RR+48WN6eH0ns47Mio OjQ7jjPPGXXJQvSkhrWhZ893r9LTYFGG2MrazxVrV17TjGRkpbZkpbrkV/hBOZy5EJ6U0ZffcgCI /qWoz9j6PYou8gXuUg+sitSy9Abvm5rKkj8PJQd0A/yoTqOVyVtg2fuaGPuTNZMTgDqK2R7XVd2g XAG4eXXhQJi3Xd/8F3uwyqfjBb3KemDw+VgaKRPA5+6YzeNM1fSnDjq5MsU80CUH8FTn1AEqv0R+ pGk7YVyjfso2FLW5hWfP0C2eVAg16PhO+lD7uxTtT5Ng9nYxMs8jDheddj8FkLlteESHYY5xvhvP +1aaNE0BLLH/itM9HJmrM7vyTeiuWuCJ0NDOl5JoIuuI0rj7fwTNFxmcp84l9zxEPKEON29pl5/1 J+6LPw8jv5zzt6fep4QPlgTfs52ooLEDLZJpiCKq/Db0a09EF+vZzTMz+YJ2G8qsLgFHAcy/7d8/ VMmcAkY0f9uuWfgHH75Atkt1bWu+XRT+7yPovOgPBCCslXrz0iKhQDuc5ha7IxNH0UuUngb86Yx8 w2b0NCQICxW67nn7TwEFQCSOfL4akc8m3k4Yqle28GnJPl/xBMi6xUb0bxY8IQ4ThEIF3y6jI92i vyRQG0b2Pt7Dqps53DLZoGjST0oA2yX+dDDrEx0cUMYy/m47vd6hYV7sgtY6r6SXB41qcjgaydwY VSNSuJvIESuxXBhQV36QY50wPdi4KAE28tJcE1S99dW2eVsWpyWywwOOcLLIdWuZW7C6LooPP3D7 8XmjNCzgi2YJ/oNWfnnx1hHclLQELjCQxPMcXt8BaWX5karLysKl+IugjULvI4EBraYSWh7ik6yV ChmePrwchnSG/UXh47l8jFxNHP1mE0A3DQ6i4ozSlIg/Sfhz73+7DqSJfxjv85L5t7+PCXIrFP7Y v32QbapWq617PDt/5ttBWbxo417G12xdz9UiscFG5pmJRrDo2KzSDuSgOt7K1c2zCvOhESe9L3P6 k+cdDoQv4ecXRZ5bHd7vYQmGXYt2qOx55jp28AxCK4n6dHnG1SfRD5tg4simIEBXK3RAigObwEfF CI331yIBw2Q1A0qD4nzrT/M7g+pz89CEsgKfkv79by+BZiMUyRD6JgfDgSu9synhFGTSOZp1QMFd 4CKy2aHyxiEgqhRIEok3xTOo0I0s43CPY/y/mAtoh14YlYY3EtUIOa/tsTcTfBAzHHkO3BlBTvIy rdIbcfZjTDtXSQzaYM9/uw8yysYLLHu1X0qJy15FrqTiZ4tXLVp0zNJVL/OcyQfvwTLGatxTh95o B2M+QdNasX3zK0BM2Bfft3RuElXDs0eq9rjM6nfHuYW0C80KfNG0naCiXLMWZRAdrYSfcxAkevxc J9AU5xCkEJvKFThBBw1NjEpMsjfue4LYh1um/I2KkD1StS0Fe94Bi3/b3LK7DQ5zjZJ1FwYv3bH4 AI/8IP3dGnF8iuEesQaHqwrE85v3U48hKOpOXk7+s5ivj9MAf8bL3dm8H+iAUMmhhRomtCebqKzt 2SUd070wXIKFLdN/7wP4xO8wc96opcIvHfqit62fwzP05pNibmdOfFG/E92i7UQWtAXqz9i3OwSw sW9txLdlEbhfJfZIFRhlWUtppqv+9RMB9QJz9FDDvyfCH6iT8nBapQpdeoX2xxfrdiRCj5nz/Q4g HmoRA+PyA2Fsw2r1q86J/hioGWIekrdMcanNYCzPXSd3tN0EHepS1K7M3YJEaU9PWNORRimgOZ3g lVtJmFGvU5vh+2RJlRxLx4YTbcPEFWGeqjjABIC6DL2UqUwBU22S4pqbS4GNw8psoGYGhRocLANG RM0udd6xPt8OAdR+AtMy9+65Hkm4DbaViFnQ8lC5nsIoTNcXwfLDqdpufmDTM+g1i6t/GybvFzXM BkJeaF9axkUcmGdhiRRD9j7ksyNGD6hmkq5b6FsYEPy3OAXZGeU9gkXzIKPiV1p5i06fXKwin+5G 9cTGUACJDKoTCAgGyHJ6db6eGuIUqbcyR4pSWV/UGre0F4dt98UHHBYkFGvOs3uJ2OsSZIm7mD0l VKR7HBgvWQqonPXZCjNtLkIB9LdGXgXvP0O00HDUMReU+lubObipUxNex6XKvBQmFSSY4A6OniIT RZaydwfDzFVZo9dDaEjcrhm81Cx76gH6UE/c4N6Ckslu6GRnRmnKYjupQ9dk8nGzGHtrg8CWMZNV xkV/cjh+fSQh3AmkQ9J1lcvPLlge3y1ZUWgUj08INrasTe2ZevaL0pJZ1Gzd2VvVho4x3N1JcOQr 79kfAmbG6It+EKbcOE/Cqc1Tp22xXcVnKIZZISMSN66QSN9wnrjdPIY18PBrWjVLKhjXnlgR0nTa A4a/V+827HgIiYFjQ2bydOPMo7fPH7kbVhBhkmnduisNdRj6mPQWbnHz0tRwgl1Z7g/1ezuJ22AD xkAvGRgQ2Fd4IyZFvTQ9OndnRaJFMA+GIl5wLevbcninV7r5Yc0yhrcwWaVywVt2EMK8HtQ8HNXU 9pr4Gxk3T3J3ZC33UvAI7YpZgq/yKdAWdMFdBYgk2hHerkQmFnfH8aW4IRotc3AeF/KGLGGy+Iej sCDUHgydrbj//hFagm+GeMZ1UWLFltExmopwp1BRvlhbDBGqdBfJyQxYrKtrsU7p9iSbFz05d6ZH 09crTzgXKBMYEIRH+QtHwcYrzAFnn6LObYzv54aDzAEWGd73rRLeHahchMAgKql8LnkYFZZWuyuQ K6BIBkFAroakel4wI25movzUTfMlUsNA0pl/H9Vh4YyUmHA6zRGEQayXMswKYT0TAj/fh1/MDpjJ LXD+ddmHUrMgXqt2rzoOjdJbauS49xOcjODoNtgarrk70yTSOSSZDx8wbXfa+V4kc2Bp/uMnVbYi mob8TFxSqSkhtj53KK8hRlavv7DbXE9fEefaInYtwNo108y2d4361VPoMVmbmNTZammY8+O8dCIe /gVTIq4diimXAFJxU6a9hHgPRlvUv1aIY97p+CwZsTpArl5mc1mKWNbpFzWygEWNoLK1GsaiUkww b3nNDs+7nOCgNEM17gafpb9rFeP8qnYonn9xMDT/Hix/f2xZp2EUWDVpxCetCbQrSFtu1PBMFL6S VBkQ6X8ECmNzeHJKWqA2Qn1QC8g/IKee729pxTUvBJltAtQi4TxfY6FYILsdjcjk5aQihBEAcq0c 8xgOD3y++yjIdKsFxaVeGAxfwee4r/oXHDUm2KfpeT/ng75M6itz0MtMgcETyhvdZr8FD8/6Gzn6 u8u7O9I9k+ar8LJLjxy7i9ctM5IwpOJhKBU0p0RrRz9vl01JTli+R6kvxaZxStk6/hMtLcsIwicw AUE0a4rJP/4DvDrTwjuL4kN4miNhisOyAOTAo2LLIUuhQxoLxxga6rM0dVB+UssWwRA2qYW6rqdo B/eVwjqryoEkp8DYYOMV2QnA3PBALf/NYXvJj8MtwNhf0c7SYlBBEICWfx4tEJFsXADzUp456dy4 tlGI0DgZPRMD3dkzRfeOz93SoLbRQV88ShScfMF39NJV2t9N9rWEhxtQycIhy0ztFnx5HXGb+4J7 WQpbpV/+38hH2RY8uDE65rHzE7sSUGWbAka6VFlGBhB9S/avU97X+230XZfGPJGuwOzb5Xt5daWx UWuWH/xJWJq94DsrMO4u33XMpQYtuSsQrn8HbWHlhoEPiB5+LRXebLMiTYbsrYrsOPaa8CpKRDTh 7wo1MXJJRQzzgQtfegHq4o2f0o8l0u8VqX4TMsRIQdNKkbps7ApnKiVt3AUBE7+AO1C4j8qswERU ZqsMSfZanb0YKhVhm5OBJw/apHlZ/StAuuXlNvsoEHQcRVl6pDM+FcL7IlF/dlEajTVTGa6Prbl7 8Cro+NYDm6OavzvSMN8GLrjvmbpynRjUclKSSYYASyp9g4JBd9J1jicgZxx4pe0DHlCA62J3GXwg X9tCUmJcs7ewdYljPldSXTsc3qdbHrTor+dRWiztjz/+9B8u96xUZcyANM6lN5QdZ0PjcbCpAAZH 9wH7MSyvWazFAVzUgCIYkYhY8mNiVG2VvazUmgswONBIOij561GlYVha4wHlUQKKnhXiYLGVasmG PBUADDOu4E+qp5RVFwzhHw2X7N7uSxH/xPrC2AHRCy7i4AlVF1RpsSEJS6nxlfY2oq6Hl8nll7ly pGrZsDBXgLWYvPu3+mbyoZ+aV6rDXXVKoCxRS31qrvJNUHvKj02fQgHQKPtgk35SuUdQqho9A3ur nLP/ZmeSA/7qG0ObnA+e4FnfDMM3vV2AUq8oMGDGnrsohbLdil1gJv84KeEpn9jD6iHBR5U1JWqn HRGJ1QrkVL43W09bMtMagBRf53zqGDNITCYWwtCakwyt6wY1mruczMCU4ZuEf+/cYpHwgsCuAhsP V6NJWkWpZyBWMP4OxfrWzWxv+p2QldeGY6K80zmnbEkSxk3TsSQO0q0Ga5Hnrg75LjkA68plPqho T42+XMzLcErFpzzKlUN85LV+hiu08XZyNxCWfzjsEAxFqS383alhVs1ld576e2CxDOtTFA03Jbzf jyqSVSkKDjpHuZ6Cko1HDqc4w2rGXcXMtI5gBPN46rflFn3K8xEEQV9+o1VbgB17aFcs/4SKK5ZB XQetBnb6HmoFgrk0IPQ5jwMP7dXdxtciMQ79w+70a9F72Tan7na1zNBE0jtTXfFWK8N68UwqLt03 TuN/3nMeNPnLNwrTKrnPXNt1rZH6qtQhJrwk3jUMoKBeA+gguBRsnHczm2LuhyYhffi0+NFuLF+c ewEtb6J9aDrkKlZkDsk8t7joDsVeH2/f1cE4WFc6s7J7hcPeZENnGz5q8Nq/fBoHXQHhr/2pgC+z h7zju24UTov2SVq/5IzbIyAEsmiJnbp8/uaau8sTpCyRr70VYf/ifGfSqCz7iSVl+fLHVeMxuCZv EbC7vw7t7++V0+w3yVn61GBQVBJcMNUmbMIYSLD+GeZY5/C8ki6rKrM5DBpReSWJVECapDjtdIm8 zYMYX4q47nbKa+JXmXa6i3V5mHvoHAyZGjHLd50GzR3h5UYd5r6JYr4AechlrINCDXBdBfu/D4pk +otU9W8AMCdgo3fgIXGQFQLjaPoryAPpdv+hbIIRWYCGjUMhiX692/tn4w9B3oBQ7o5/aG/eiUtV O1XxJ7wdLBckrjEeMUwlw5cko+EasuhQTwFugSn9MEGPEU32rxKTiKzDNPHGuovTEbMsVWdfNgZG bxVlrYQwaiKOgxeQmqUGn3aEXwfp6TFglkVN4Ti8jtNQF15l61BgEwKMLGf8fkdCItoBt+n/xyBd 1GPPb/Jh3TCnt57JGbJRGjAOcdCnsPv0n9Vdo3UDqVqzEAwsHAwsOSK1xX8g5jxX3DECyPnvpkA/ ajjD4guOdqbOW6jYKX60TE1crJxLKVez3dOyZd9zneUC6iL9xtKSt2v+PZX3EW2d7ajwRSYT/0jG USWl2ZeYExwJHAOgnZ1dPI4Vc4MLBQIGJ39Q21dlXZct3v4H02AfXOU2uAqPBVf5lE5eaN7bu9p9 3/KCX2XYP7vTuM5uNPCAogwbn24Id/KxfXDEPPdROoT48v7rm5oyHl3rr0+GDPSNkYJmARN5PUjq N0ETNA2+ILWOJ8ff2K3hyRPJafIiEDS2RqIF7uAT5EfdxpJw+yE6JB5IspGUAU7VF2OlgoxYbUv/ zF2WVqpKOFgkg6PhCoXPYZhN9551XB5PJAFMk8ooaxvRmY7uldqRjv0CM8TtgoXhn02Er7qj0uzl M+omqfADIwPybD6BsrgafFQNzVoJnMJ7Ra7k4vv9N2+2cQ1+j/B1L96v4GHOOn7gflNxnnK84m+O 3H5ttdJCcy53sxZ0ggNUWUN4wyecn2P1/CKTYsfSwIR+jC3sXJtLbigAHdbCl4AKDBBYRa0tcS5P 6dIwfPeiOsCHM6NOMQWT6H6skgftGtFi2W4f+1Ccqfeb3Mn90gHN26HTC+Bob/yFCfJu8nEa4OPK CmYzHxHEwa1TIBcdH41nkjqICdcVLglW3CtSqIsQgE7vv5Y7FVVgQYuSTsTOu3ZcERszSPbGPd45 TdGVtLxuaPPimvb8q1cSrhAHu7o1TTXD6Bil1uICINkA2VQEVjkLn4SxruB5E8WkeXj//wBqs0GE CtMI1bD9CEJvmx+0ae0aJ6FKuOurVH0jeaCYXfLWXBeErcAAuFNpY8W0pDGGwkg3eZ6YOLTck9yB r/gn3iK2JHC00jzsyjIKCXGwy9Bt1IDtjRqEaBgIJVoki9iecfRHTwvO3r9X5SZuhC1tzFTufl8X gbKKUm25gEZMhkKpN7gJ/heFYFFLCEvE+Zs0D/SLKNtlSKDqXdKZJmFX+ZVu89G3TTqSy0SXDnWm DnqF+gfZW4FoB12db1bg4hTdgnnu0q1YtcUeitT+RXEPdGEZ5VP9lXa//Jj15ng4erLduOEcUBBD HvnepjlOqst5jTuVsuSDZa7KhRfNKlJE7CM/N5xXc5tGP9R+4p4co54i4d9/gV20bJyee8U7Txct JODnWItC2IysTBgVCh0T1M7JUoyQt4z5IQSI2MvTcM5pEnnATFW1mse6iDMTpm4WOSmSyvUni5YZ MGQat6+c3lYR3CxpK2gxkWvQu3qmR63TeZiTFQzSUSFH4vqjyqQYHeocwAuvSHYL7OOSf1N+5URm FIgw54j5ce4Nde4O/ItXxxX6W953MKYYLS/zolqn/d7GohRJBQRZebYTUrDJEjJW464HAHhv1Yjv VUMh5AJ8JC3/liV+tGqMda/IpluEeGgmgy/HO8PGDVYApkPBjXWi/ZuEiEz57Ejh5gtQWgQpFh59 Jl3JTPSxNzdwJQTRdz1VX1u0iCRZXkoP3xkDRh3gi6de3VzPxbtHF2D78n24+XCv+jM/lxJn3T1U CwP3hQEVF2MBkdCwBNifNhYwCs1zC5n2ZIZErjbhhbzjZ7JpxDXzJGAt4v8fcZ6kQXJlNjPgfnSK daObzWqi60/7kesKJZddQ8W5stUzhQyDQC9h676JIbuAUPcAEM87Dr/aZyfEwCkX76hG+AjTlnSP QS9iACMTsliSRVMFk0ZbuDGBEnsVlOTgrNMz/ryK+lzac1nPSNFf3p60kzKCIetyj1jM3ldAsJZl UyKH2KfxJF+im5Z2n6EJ/ebTLq9T9B6gMjEFzftLTZl+FuaYcnJDpjGe99R8N94V20/2AyJGmuac WQD8ifX0rphQvLMmkow6vL6+QDjHcbIo0ocVpVcQmAXj7suOp0QkXTPceyy4YDVhQO8vS8CRdzbg jQ8itsPN5wog3bk5kEHNxWUFGK2M7XE0fxsLduAv9QSLh79dCGXYupDVB7B2+AN2V6xUKvg2e2bb 1Omc01OL906K+YAqdh1r1AmSi8A4/kUJr4HvUuJtfJTmLfwIDZ915FDOAQY+AjAHUeGHiX5dJodG Ys1stxUwOcaG2sWKgBEgbL3MSJmTHpdSXeX2BLmDEdzKc3fOrCtLn7YoxDZmHGTpioNsxASok7oU P9zKKNosp867JUd6YKDlNjOofhKhpzAROBSBsSaaq6LcJKTGGQwnCFhi+qb+vuTSmrjOi8HddrBV 27OGTp/MJAEbSVkca95/Q3KxPuUdy7QPDU+HdWwfWZFCVlkD2o2o+xSGvcFcHkcLQwW7IosLu7vE iPdku298mMB562S2aDpwaxtNPSHiyQwHr++MNtuWrwPfB+ghFBZW1DH8mPd7kVssmIhqXPROVg8U d/dtQrm8LRbACcwrmGPdPAw2LFhC3WfqEOW3pukp02N9b4hvioHISkw+8C2mqEOrg5OakWZYAYix 4gN5w91ic6ST+yQ6ujnrZol8ZwFdsLvlFQQcmSRNNiZgp4YXYViGjYQXxwyn9Lii3lmTZx55YOxy +F0wfOB96ph6fryGh99pvxFo74OTjc83GGNQiEHXm63UPLN6HNXuBv6RLdAlP/YMM/uFCCkRWAP9 md7eRt8DitOpeaFWz0UZRjKItHccamQFKc+sCK3KSa/qh2fnkXpwSRqyB27fFHrGqf04aGpx7t+I rE++CzVwqPQGLiRm7ELNxm1EruxOmOyk+32DbsR+3odeYzTPfWk4L1sQphiHZcbTkAqI7ekcJzU8 bjSbhSEMj4AsSdvFzrU1tRG3wFeT2/6r+3aB3hkapeNmaqnwRPS1tW66dXJg9mnjDK7d4HFTTb6g mu1YvrMDrsx4MguYrrRzPp9LvN+lhYAKQ+XAt5ADBUB8pvhRVLqp1vMvOGwJ/RdxAQT4rHYfAzAF kSEQzkuV+H5cnSu4/Jemmjw1Y/1IACwYC6+GPxD04UdwMcrm2mh9MQkFK3XwZTkN4qdSWbQz58I3 z+fdrfh01OIX1lNLqpLJNmYPKYPr3SaZFMXpUgtif0VPbJ4oX5MZHD098CEEjvHx0R8VJYqReE/r iG77MrDlROyrk5IVuYnvW5QqJy6j3N42sSiconYuNv1LCubrWIqwS6+sh77gTWcXP2c3+WZzqQmc znF94hg+hagSI3UzDoDQK3seKBFJci2p9lLekM4Y6YXmRxF8IRDce72BHxqL9ZQ+Nv3NV5dfndme R7lydBneldgXIJedcZ/PVHsG20CwBPpWQyRbvNT+WE/b2IPNT0ZFoHu1hq4DmHUmS6ks4yRn0eSy gmADpsUB4G6yOEHvxZR6Q10ubqkxX2YhD4sV3wncyc1y7tPISjArAtSCkAsjBZ+ckvO2Y6a00kKD SBHIOoUkfOzU2MM5LKbSpqxQ6YJB+QJRIIRROOGDQhEZqd6Y0ukQN6FZVAsWfm5FNkNwdFMA02JK iMKB09GByRkRa6DQEJwLosAWM2o1YFLzDUkr80G1NO89wHv47GzmHH1FCFiTGWwvhxkkMPYAhcLt j0w2uOJaVI17luQ6FdhS5tnfBR+DvgxksKQrtXhztP3rbS3P8sA1DLkhOZjrSTsdE/0S260OCaEG 0McVZBkldeDcES2UD9AKr+hnyGwlGi4CRMMZayteA/LkbmJh7KmGetLpUk2ydYUrp4E4wdyaRgbk 7UIAXc4jQPj0fxrqBySVX7zHlqMNyWdO/x4uDQZAp942UnZFKXsh3Ho8ZILDvsBsdKsWBGhpy+3x YjqjP78wUg0GQad08+u5Oz0ex4EQ/N4irTYJ89v3gJhLG1ycyD66KZUmj6dUa364Xx2v82ojguU9 IBGcWp70KLEdqkgwN329Np/wdlM7SqdI2OfTWpP8Gg2YWqlDbjOw9FaGpKoJDRx6YLabhhGzbcWw aFP7aMdAKcnfstU+7Kng/iuz17ci6ATv1/zdTFSF2J/mcsnOFmyDGrrsGYlEzWTKjeQqtcFtAwLO GOAL6aQWHBBv9TMKqp2zx1c6UD93NcOewVqCZqzfByi8Cgji7zy7CPspaUgz0d9VGsLDCSWNmnBl sk5Esxg8u+qMSlH4YgTb6djzaD9jDhnNs3qQWfUiFcte5pkt7Z+BjnC2R0VgpswlsCc9aSCrS9ku tSfNJHVBIvDZDIy9Iowy4taKR7IVML4IOjgpWa/k5E0dqE0q4fkbFR/Z7b3+cUiWpbZ5wXEEkCFE YDbLbmTfhUw+e58Xs9UfZPwVG7iOhP13mSqK+iE8mQc3W3tzBAKmRxwRhOYooZNijZBYtuzu82yK j2YOVDcHqG1q1YiU/Tk241fkZjwZHz+Lp9b0JnCm+yzW09AVT8fQtEynSNQKe7eMpDj2Dljt+teC 0mDM8MwwQ/ybV5RyPDF7q7C4i/FsTmXddnaF4+WJGltJ8I01hUxNHjYzsKbPNNnb9qUYVPfVP1/a fthzvBA3UQGJB/2jTv8O2uZ38+LMfu8RCGPazWRWnzBYg1otfO2J8a6TeSut7PNIV2FUYyRjDrXn Yc4pK28aK72NqER/BQqyvSxvT12isnYi9PU1LZV1sUefYNeMfPy42CBZKSnKJ98jOj+95dzahqtO ScZt3yffczQ1gt5gXolV5kD0pbCUEvf3C27qVGw05XI51K5XAkC+r8xowbgkHjxzc76SGfbJhYD2 NDrGaeSzxzVswTOCszW9vwR2R6+ojKc1RtC/qO/cCDSn6VIrI9o4XFDLhqR8ebKPZCikbWrZdn+l zMzQC//5+ogftJKgW30Se0fuUHuS2zBhJMQvj+L6ZaAaBw4fnb68B+M1WKqwflXJgLi0gqmMvlVq bWNeCBxOvOa0OlglF3PLLSWW7SCr7F4UDP7/mxrDBmG2UqdMr+YHYp02PpDUHqSQX0GvPSg7j2ju YEyklx/wYNEGl5gzoUYzXPB2rpA5nAbjDkf5+hltV2MvCBDEjcXKGRff6aZkIMrgIcoV92ubn4Lx RoaD19/O5Pn6JvUnZgvmbiShX3w3cmHON4Mh884d1qTD57FBLBa/bHYZids+UY/P7nZ1J1Rl0BJE taaYBgSFDe39yptbGOTXc/JaTcN1kWdzBU4/wpCXvLjOdVhCl7rq3YR1/or/owMD5kNsqh8uhBF5 MxJ3WEKAjyPrxTpq8ge1DLlXV2SXh8aYJ7qOLWI/PVtLFmZIxR8okVaX0OmAaV6gFtuQH5u0PoTj rqur9fcfQTQxEUcfbsut9FXvkHn8yGPXep+XI4iP2qoL5AdOk8H7MAhNgWa5+MD1OBGiipmEMsyw xapLKU9IgJXaR/rNROT866Ms2RBMkORfJSxwAhqnSc/NcSfV/PGz44H/DsN9xtVU9TKzXKIF9NLH UYvscFp1+WhUitR7BmCLsxBnbLx+u6DbKQTeh7GT7pjdBeEHBH8ZkXfn9L2c6bWFOpw1m4yIe/wV 9xDO2D0GrNb57KUdJufGguhTkOSRr823jR9HjN6RlreSoH+Vt7tdEoaBl74Tuml+wGXTzCv5Gl/z dTDOUf0J9xJnS9B5m/ER5vgpHHPu6qx4QXp8dTr5jnSQSl+767Izsenf0dM2vxZy8L3I0HmdmuoU fKA4swY6uYvNOwizX5r5TEI6RXqJvebKczb9GcSfBitP3BXtYaKwhKgd6TMImsOk5BTO/5JuqB/1 U5vttcshkuY+mN5V7HXG7XPHvF3yIOSOBplxo9y/GGH3T2E5+RzkypRcHNHsoaXq8Lt8PX9qZ3Q2 w1SV79GvxKZ8JYr01+nHd6T3S6YvbjX+hoQcNjXGvn86qpXmm8udzjMHCku69v1LYG3SX0+/+v35 qvoE/byc8EQhsTPkLG/NLgBrYP+9332uD8rEss9vaeaQYx1XNsfZqbf4poq9bTur/OWAPqBQQKhV tMEcDT9sOYXbieXUX0KyGtZRBVmmeHWy5Od7MhEuiQFgNiHAUTx9hg5mPyAkSRH5EBSwUGldk9Dp Nh1t3WLIBxG1A6muRvatHJw8CqRmXrnYNUXPKHAoygmBCkxLh9NlEHOYptK5Sa3v5fY30GNEaQjk dlwiXErGVrGBa9+DLph88Fzgij8H3ts8Yx37RrafOB+nLlPXrhCV62QGhx+jjG2xz+BU/SXqoAUR DIHXp26Row3ePQYoLFelCRJNlJnJgPz3tGT+j72bWJJMWhT0NtSoweEpIPOa/Y1cqcMvvjOgm0O1 rjcdls6MI3RGZvWh61grjeXU10fNZj0jjNkdxrgMex8E1KrdVSqpUfEXgl4Cl8CidHHcCOlwd6l2 FOCBSNSkCGQ11wW8R0A8m5pjTetgGj5APykqYHxUUTGcES2h3jW7Rh/vqJopNr+TS2QpBlQfzSHV pY4CLzSquH0TT2kE0Wn2lt+ZBJQCF+C6DZsLJ/2PgicdWTJFIa9YvdtaUgBwvv7R4TeUrWTUfCPP 2ANC+YdxFzHBrEUBMsJtSVFAe1AAsBuQb0BJipcwO0xuiS8LlqGK+dqlQh5LlIs3xB1vxsbQCLB3 PQk4VyVPtTMXfD4fcOxXpbAKyEMVgNWpWkQA0VG+rjvodE7mLhCQfkJ/YxGLpp/3IrKKOX0lewAY yh23AWmSizSN+VxfIPUmfiEDa0XzBY6lK4PA0wvcaJa1sP4dgaHRpyRm/tsHw2UCxg33M0fwwq6w AWiJQvb2+UyeKfGkH5RtsFd0d94RsH7y1O0BsaJq8hswtxpPbEWMylePOrI1XtirKdCnDYhKx3vr 9krtYwQEKosuT2rARtAvzoD/Ghe0TYXEVK7W9C6QrbqxpuEPrtEV6CU4A/wEIQLe6tV+CGBrX6GT H8UCPKIUayNEZPicNZACx8/bk0/voz5sP+9rdI9e9OnEYlUy4WLlwZ7Tya9VfWXte4gx+bvPhi01 V//zXkCaBmVziwomuqb285yRKjXJCrrTzBFyow+XQ1aUPtbUsGJz3Eo8a6w1lUjiI2fhz+/S3Dpd xSGu8DIB/iEiKxb8hsHSSKpKgISgCdnvhCUsxJNJk9l4hPAYOfBu4BnuACnSzeshmH3BNfecfHQo 69sLT0TkuCgHeXp4eMf+ajwjjMEoujcL8FcfZvRlmqKVySjNu/XFpa/GvsEXxPiEEr7NvsA3ZrlV gsgs9k9/49R5dQFl7vNyw18RWxv87lN2bVYYKyjFnpW8RUzS/YieHv1F/Mcol7Kiba4BOJSxmtzu F4QRVVs/JLQ1ozmbHKwNxmaX2B9gWphUrxtXjvTCnZGPrE16BEgFJZ2tabeyimnclIST04+ykXyg 0YZ01hUDOaEMin3BrLcXPhQg9eC8/J6Io5KWHuyIFSiLDauHoDDc31spvNdkvOgB0J5mVXtHB7bk w57wpgNsmAHhCS83SOyWLkFRRZMxNwcD85gKLWaPPLEnSuxvfK5U2xDxNpKv5AqQB9pskNvHpeh7 2iov4o1WtKRdxwWqdYrBYAov41b+yRtUXrDtbFK+T+/aXXlS8KcuEjkSrClgG9RXutG+DIJlxnlT DFKAOAu0UFvC6YLxTFla/2ezOlgnuPDLWHkghjetRx1Wix5ZJRXr/1ldxzwXbiW28H9TYKdHNjgM h5XMYForJzDmvbMCncBZgfrbH5Da20na0MndsLuT/uG9PvlO1GCqgSxWfNdx7//BqvzYca7JkNJX QGY557mfVy7pDuX3mweRqAlInZasR8pDPefotZ1xtuYWdtO0T19JY09jI1qeATsmXLFwMK2eDNo9 X3pD6qYp0eOi6voyCO0Z7FPuWrwsqU9SvzJ41Gxvz/uK21kXBbUT9Tu4T5v+QjEkyHGUe/2eZ2dD bgYNIQxky2IcpSmcxOLgYkvc1xcY0WV74ZcW8wzbKE/9UUjG6IHgL1Kdtbi/62Q9yapC2iy9nwum toZCC41ZlwmrJ9nPKS1xztDFMpU8hl2vCG/YKrPil0e2p4f37BcoASJx6mbVCVfewv63R1GYIZ4x qWaH3jUWgOUZpEUFnM6klGbq8b7eNuKcuA8FdwaSoJyReg94b+XBZ3WJubjA55CBFw0827eLR5rp TYiNlHv30VjfFpzE1UPjvEJg5bkl2XT2LoKOvGlCe0eOS+FMAXZj5Kgi5yAdhu4IGWXbfAO+jSPd kdHAdv1T6hLOPkbhL2X9kvnxigCDkUa0mYsRo8O4Es1r1BlNETDE2Fnb3G6sXtIW9UejKUfv5T/l act4L0BWyW0i8edPndgjjJOVhe1pfwre8QdEKCXb+gM8jsAmE4bxSkliFaTfuVVjs8fWNMX2YIAL ESruyJmJAmS3tD6OD/J27SwIWPUk6LVn3UC6C2JWAH5iIXEYykMHyrdPSKpVYxkr1yc5BGC61igO +2hTX46W70x+RfEuUK4Xypw29KzfVED7dt6goKdwmH2J/ey7kaT4kwY7fmKIVxLDzvZJeLI0FUhW ZYAlH/V7RzpzhKouW8p36Wnwo5MOGCCtjxlDQGczuPcqFpS9o/6WEqtUXSNboM2tyzDLsqTPJdJv Cy9ozE2nlILFC17RjLYi3uwWmb2kbaOO7i0cjxjMcenh4jRVjSpj2VllRyeuOo29drp0Glku4ZbC vse9B3Rpj1p1qmkEOPma8lBoiWsjHXpjnLiKvYOAYMOLqViG8iOrDoBjhi76JiOOVfRoKWuEsxbQ Nhh2AtHtpPgvNSK6ehGKCkRoEnYY+n6UTtTgxsIUH5xNYrZ8nHhdFv5dsGc1qMmVYAjZRQTjNqTY r7gh6Qo8FCyYUjd7nx98hU2dZmiFlP63k6maTydUwhUXCeGK7yEuZ/JRy6H1i0mLiZipF50JoOkx P1hUO/GZxU+jDzdyH9hNdnKUf2ZAPtXDq9+F+JcreRnj4N+uU9Z2sWEBpxf/6myRoCyMIAdudLPk PHFxmsfOOny0mNnBmj1lcYJ4BQZJD4LWFIyEWKMXiJ0AfzXI4/Si1ww4jXz3fOA9h51ZlJ5lj3/s 5Vw8zO5nLjozoj2R4AIQsPWXM71VmvI4tBZSmVlQa2WgiJU6iZbuzWa2wc5PvYCofvbuRMH4H/CW vwn6c+nz+OjF1U6GeYy8nEGwKYX9vlCklixpZtkDHzBdLLPu9zqbwiVLppkf7oq8Y75NulYVFfn0 vcfoLoTIqyFD5/Lg/JfWk56DWEtHdDr+FWoZfK2Hu+d2dsfMIlKv2cN8gufJWcZT9zQdZdhzCPlB KcTwqLfOQdYLkZERk/dT4hzArGAvBmCrhaclwonnmVqhKpW2LIIGEEcGLauLfPcppEi1jMWvtUCK x4PaDoknAQcHeZqHrsFFIOkScnAWgbRenUxekhDwhDWXUYU4ChQi/l5asXsl/JLvJDghLyOEoksT Ac+ocBFJ+kvcnC72oPpeHA+gV5lz30/T8UeNf1XY9jCx5mFzNyQWNx1hfwMfpL6DUaKt14gibOpE O2IYCXRSyZwhVQW6tm/6eY6Ao3wxU5QDjSSaL+cIwf178yBgYe9iUI8h05WBhjBsu4H10/2aWKh1 RP5b5Mg8OSHgXAbzmWkbvTE2bkTDBcVzVt2wyZIR1+e23LpYwXKWs9C9AEccaesKhbSk5Zdcc2mX tc3PEBeY/Ih6XU5qnAvaiUgllC6U9dHr36fnlxKjAGuXazsEbqcntMMr8eaBBM9b71aFX/OTiWqd rqsn6NBBRzeTc650aGaOdo3JVUNrRizygmb9kIHnZxfmM1fYAcxSqTwoBnAWXA14JKDcphGZpJ+5 VpoAPQx0dn9nHIrTnochcyCZBltsBtQEWtoGjKKFuCXwoj49Zrmtzbl9HTaHVJ4z5pZr/gGVSUOn 1KrrnIptDQdEx4gUPj6xBGv256V8ZrYeqIzkHz0AeRd1dN2J02ouNzxcw01TTEEz3f9FypBL4ZeZ j5PXfQDpHFHH5iAaFwg/BZbG9QX33ZhMBuGT9IioDqNCW9gH6GWbEdpPeSSI59mRcJuCrOoyIeBG tpoKKO++Bc8fPMWgaTeVQqRmqpi5YB/TIIn0CewWvyRK+uJ5E9qg3tSfjwozBrSVUdWBPnAO8ujg YkFddFhF2Kly6/dW/wPJOwMTGbkCTyagMtCX5l/srFekVTnGHWsw/a7j4wK28M7htTMx0J13RYHR wt4IG7U4R79xZqiQ/2xZAJWlsogdedsnpfAXuGhlilJdR32mbVij5Kf55ExszmNZEkxOzXRdb4oE 7f5vyemX2nOcYjyLbD72tAcyMtCD7Ngm/HjttmnVjSY37WZ7F03Y1e6L39g/KFJn1zMcpTCGtkGR zb+xeEiwUGjkzFtAXSRMI94v8xY5YewVAs/78BFlQTrZ6Rh/pBUXOhLLw2xjd5+9zx/ClNUcWAmA qQeyxn8VEG8AAm5psVpQj0FrQvg1cwcMGdnjURRmVG4z5sZTmbCARv0H0d5onevgoq8IZb/yRh+0 B3x24ajl97HWI5GKpROjrmzLxBeh1FH45UjGWVpssdL/5DSOs1d1Y6xY5cw/+rR1XdkhDidxtc/b kuGLFVeRiKCTK299AuwwN7piHXdJiyFxxCaJ666QGXqo3DLjqe9JHPgyhw+5lPJsdsoJbTfqyf7J buYiKIwZ/qcnTv4SsA7qg+BZ2lEWxis4m1Sz4KkjkhDsqlX4OMRaESgg3NKkG/f/RmAU/jy210a+ FPc1nYXhPEFl5Catjo3mO8IFm0YICyYB7byI+CyUmHIaQaLiNXZpy9Sm2ad+0CX+zzAyUbf6pPeS rv4scKeeEf2f8M0a/dk43Cafp2ZyNbMJJ3sRfswHBxrDTJ96ebrMefRbNcpNAj+66PWTO6SkJ0m4 B1insQeAd2b4FmgKmPcULk/nMLjy4a56yEfK+fnseCmd36vG0cXJsLmPN5DUnxXXOPw9TEtAJXRI leEp2uRe8Gjhj0v99P6PN1cp1h14ex81PNRl+eR4n/rO0d7Ycj//9GAdq7ir6TYWpXzCnvy0L7yq 40p64j0sFjtk8npyYA66kq3/coKhdZAOUTZhoA3J5GagmoFSuXClHDBs1jGLOWXTNzoYEkKBmh55 BfNCGS4cZBGzDKu08tj0nkABnWKgxZFkpC/Nz8sOz86435LN7/CalcFghKcPIDxDKmIVXenXjAuA JdDDtqUyxUS3aNWF5zvMxWUaIaVyo1Hhgv1a/l20i4ZVtIIR8DKpS8KBZNuc0AvL/2L8abQJ3JDf RThu/duGqGWGB1KZUVPptGRABnLOr72c7MxLxUyb15JFoCcHMgW4WZDlk3b7EOE/7vALZxZNRSav OZCwHF2SBiDKgsO12ZmuqhKXPxJYNWf4ZBhkWv6FwIV2q5EDd48mGTP5toxvopYigNgqgOn+CSgO qtYoQ8lzS17Tc2YbD4sM4qFPInmu8E2lFtUqsNZ03zEZSQ0w5223QUnryd4XeJCGRYzlcEbJvdza ZWQd/m5p84a62uldeurK5t7/WF+9aDy2WrXnW2nkENt0b1cC3bFFPh/ygiMR4lTD81mNqLwsoWLv XZoW3dqILZfPiAQhMstNZQMeqkG7sVRh0n0E8GQ/Uaq0Ch7R99DYM4f00jjDm0PH8j8vMzSpAkJg YqexdXae8FRf8ZobPhZ2BskKaHNsqYbEszgrd30p+skuJR2HTwtzkbU/XRSj0yL+/o4OCIUTKHFW /bsJS5s81cO40Fma29qlyzU5Kmxto1Ux4sTxa5lxZ5LC5h0npU51MZrSWfZdAxmIB6utg2Qrjk/m QTuRaYlBQZ6XcZydb7tI5uOrw7oN0hAJjTJbc6A3+HL+rFyMXh9YMim6QkOgjvMGg/g/slf2vS6w HA9RoGiWQTR/Usa6VtWBBtwxtSGCmakKpIX9LX5GfxOmU23hMNFUnCEdrlf0RAKpeQDvuJ4lDnaZ o8lzoiaM28aIKAusKQ2yrslMbWAnGXBip6vnMhQ//hR031fvELzBwxWdBJtpYns3HnUYxoFwy8Gg 5ezXy0VHoi4G9HhUy2bAAZpk+lZYY/kfS5EetWm3TRTJKccEdWkJJFMWvhNX3weKFvFPtJbwucIL KIMslZruFCfLTGkp9Nf0Vtfvoh7I2ImRqOx1KtKsWDRiy6tLI+1HtzUzTAQT0nPBZA9BwwUbKbZ2 My92co2bbc0ql5K+cLQhCdrEkHWhTk5Lj9RdIgWD9ljQPdfzg1CvcfSyD8YqjtSJANsnmm4JG0an fJyBqcf4vMFuL3dQuL7XGkwZLik9+PgyUYqNV+5ebu1Vx51HlGXwxYeF7HGh0wrf4V7PFSFvw8H2 rg5o2lMP2OrXxwyD5gSzCsLfYNbbPNOxro8fYwT2zgs3WHRhNOef4HTJe9Vyxs9JgoH7PZ3UWGox LC+kNEh4pycfGPlpIZtbizeSS3aet/in8nuJ3AbYGyUfs1NbKsBqpcs+sMvbDM/5SWYjXM139M0k 81YfEijE6xJsfSpjSLgpWtWgD0n0+g9gpLDSm7rdp/+pQq8tsEFzfKqlSMpu2tKH510lwG5wW59J xkoOjhL7WVNBPSUnHtYLFuv9Yr/w7LnFoQXpcUUC4G5xnfqvppnx5EFQOLMpU5WLDfQGwvh+cHFo ZC/9GSbfICTW+uV2zOqAFEQuQyagoLsuUeOFT9STiSBDUw247bYXKPtgF9EnRGU97i0mafJKAM+B 6+LxrEQe+dp4bp9AEafHYzdUOzjlZBN5/DQd3WkokktWYqegAOf4VwBQiMTKywRxXqZ9FPkR9R4w NOG0r/Pg/E6bEPqcQ5yVBRZTIfEfcmoFN088iQX8XnGFV+QEi5OfTa9Z+9ApSTJxzpgF+yc37BMF 2fH77lg1OoB4prj78Qt40H2pErz7XT8Ju4e28f1xHEihghyBt7tA/xrXJvluLFIGu4HTezYeuoue bM4zyw4X1L3+Jwr3L34G/wEGKrxIx2Jqug1Xza+9HzZB8mOoPbYDyR+RdRO5oVV11EhnCq7lMIgR xaqrWiT4Eqs9KivgrhKQKRFsG3Ke3kMEj5kt3tUZMxGvEFYWsvVD5pAAP0M7gKFNLNGHF+OfuCYd onw6PMUbGUDSB7PSoe30aAzrAjFl0SSb8+uP4h0ukcbaAD/g4z6akd5GK4cp2ZnNp7AlWlzuUF91 Hl4jGZpwBQ7bRZPbmFmO6+rDo4NtnnCRPnGer+TCIDL0rnKPlHh535RdrB4CSlmoZ17k4xkWuMC4 gzfEtmGevnmkFJQZtcgjZybwnn4wS+zBIj19Fw2mTslVFIQZAPr+TgqbpAfgtq1c1N2KGAy01qPi uNy7iLBGSvcMqpzROS8EOyKA0IJQo33xj/zuJsCCb30imqrOgzZQ5ix205QslsC/j/QVKVRB9p2O 3YuGq2eGIlVZFtWZithsVolgra2gmhMqImq/D6cOjDSGvysx5DczQOG0cBQSqzmK05w4neTermP2 +rbK7zvdMZw9rLWbMIEvGZeOU6hkyb7MdhcnyQGknbiVI4YYZ5mHYQXCk3wtX0sOaDiHfgKAtHhO 6wp1UzdiAuyVw2/1bObWRmkJ8KRaOCg3uPLClGp+6t0Pph2by6kDEjryFybdrKTY2gzjGS/N6UTJ Xp+d47tJLeJyZl9iHlE/zj7Js64Q6yU+Gc8eITEr1RRjObolomXAhHKtMYzigHuxlPpqVRqCNRRs MbezfPcqqNUqqbHeSL/FaXm2QysX2i38afV/embEXNFJtgneWvFDZcutjahXyNB3Uf+Y6+eVN1ur lwImhnkG8ApOdJsD5YVo31rS2K7j2I/ur/WrFS9e0gWps2jprh4joCg8ZlZrUPbOuIAufodbbDGw TTfMozICnCQePX1A27wGyxuyFWsP7S3JfQQajUzRUdgNnU2m9NGuTKjgyBjB0xoZrERmVqhCKbq4 H5Yd9ygIa5lflqoEmp6ZFNxrf8PLAr/MBVk6BqoV2YwxQ3cNZRhVm3dadiKGXlAb607x9wkhZM13 q5EvZT4wnn9ytNtBKEPzJr/hy60hoWlst+TJvz4V/WBFgI19b+MYrTxHtQyYa9nsBZFjhd4jwyKy 3zwIEiU60v7FoaMIiwreNJdchJSxM1JeEP/6onU6JhQmZdMrvtRd9xKqTtK0mJskmVtIgU6iakFg y55NR5wYX54qIitIqvegddK3dNs1vFMCVYh6Xyuk7cj5+OHeeDK0IWRVtklKK+RDFr8+T+eVLOMx xeiPx7iaEV0EkTpKudpUFEEnBSA3UHS3Qj9R0to6yCecOZH53HB5MXLLBlMA5SjIPNBADY7AV7Wi DqQuab6sZPresAg31Uwr/t1Y9EQwESC/uAXwVh9zyUAdT5qza6w2JfWpRO3mVrB0jM8GLIWF1+YR 5nOMkV5pCazcC+exWHN8Rgnv/Ze6CO+T0GKAM7CYl6KPey3Me3IuSxbOhIMoep3Td2ituEAmlenP rW9/CwumyR1GHidsefeBbEBGkLy9xQ1++Jm4BYRMT1y3vNkS5AKVa0nWzTId6z5YtPj/MzT0hPun fmtEYDLOO7m6YYCN2rZdhRVudE81UBgUdNsLXz/qtKmIBf8gTaRSSJ8KXDF7hZ0dFnVd5cr4U4Nu 8PHMfK0VjuO+reSnx4yzT2/oU5R0hdyi95DbUqzPZ6HtJNFzD3BRcmvuokTQsSm+yvRG9jbI0hbH v6cIUvSNXj/Yl1TWkPQfv6PrYAml63p40Co24nepXZLA24NEe1LfHO+BeZPJFumsdlGLXoRfmvoT 9nuJrbEo75Nl+7aQOn5wdlfH0OC2znxM/QRtcxA+k2x9F9fEdW/FRMEDppkZJAwo/aUrUH5FF4q4 X6T7KFtHTzg7OqeNfqwg6Eli5WzHGEarZdXJ9AVMrs13k4J8lkHzYw5l91nzeCJ3YRbqU8hQznfn e/3x2nUqO8SLR7wbiyC9IsH2qkvExKTRSUrV4G1jDAgcqIHhOeaf4lbdoF9F2rLZEAem3GVnGsMD YecPB6d2GAUOp4GSIrVd3L9KreTbu2PYQk1KU+oIriiJZH5nGhM0x1nFBgcLG8Zg0R+iDkZjz+Ob SXeiwJ8qyYgZp+UDzmGR4ojKjfssF1JKboq2IYAqJ+SQ4qs+BrYw/z0rYPm7daBwt3zhMpmR53ip Tncwh5Ex5zFM3K3L9jvK97P767MMJXMYiMcQG0+qNrDO5lOwzR1bSOBFoT7vYhSop8gvAxzxpDNE HnohXfdSleWVGthWPDCSXVIC17dJ2GJMB2cyyZ+Tf+3R/EWfSKBOp017e5M2tULPNNZ74CG1YNUW capSvmrLdbI2lOz/S6q+E54NEjv3F3bphYM/F2dBNhM5daluDOWCDYM83OecRrGRxRQfCavrU3dE fewlJg6jOO8qMwHHYKAjOz4TcG1caYQ5vaZhPVhiPqQUuy8HxxnR+FTFjOgV0wIM53AgroNXOxBk 4+6E1aU4MHpAxvWslOCZK7+3XWBlWASHuulB0nghzjRtDTh7Gg4jFuPLvqsqJPxTA9PSrYSHUX96 zBOhvYAhivf0qC8+yjf88X5ByNUaSJDrha1JnQJ4mIdRi7cj8uASK0SNhhmsv6QhlCTV1Pc01AJX Hh3UR0YSb+SRfqQZIK+dwLqn5LFgd6m2r8WP/xQg1aUY2VMBY3Ml5v75n2VMadjJG2dv+goR1Xy2 y1Wmiulpr/ZjoARcrDHwGHj8qZjnZUswfkOnKlTjlI7p2Pb17ndjRBG0vBRXZTbiUQ6fhc6PVmkt CqbkGxuzJ+xrFcGdMhJstp8AmX4nA1ExUQwxsplSZy+XfnnwMOhwJ15ToIedA1IdVFNPYx+ghCJe FS1vBp5Me1IPoIfoLOnfZOHTvkPq4K3bX3mebu5vuxtF+y4ZzP56gzRR6jIfX7alYMUXyqTbh3on Hm5ObVWYkJndOFdHNqmfd58PEa6yAaBY9LvXBG9xrMZ4cAN5y/LWUPp/GrUPzCYzinPEVNTrds/b 5rmKP5R96YzJ9iR+y1Qb0owceDZnrwI65l37EAs6/xwBYVEDn+bc6Y4YfDvcnIXoJYBOgw3gpWUq v2yR/7BuPsoUk0cTlMO90th2XQicTVpnXJjNJpkaMo6EsUu5e3gDbXQMrlyHbaHhF+gc3W/Pqk8c iBeic/kDYrgiQrSWa2nwwNCb413ZsmLujAAd8PXRfd2gpX8gyq8EGsjvYWf+i2eGTV5sAU4w66k3 BO64GkApK4e+8qyZcc9DTnzPrI08gFNF+Z/gElKtNoffn5AVLqA3GNVmszQLkWDhKvMSKs0WUYYg MzF8zsxnj+cRZvZ6xZ7iTpThZTNr1yIn5FfAzKaujkzbO8Uz6mAPyk5TcyJjJTqwZEQwyBnk4kHS hmsdQeiEmtgqXbTW7ylsecBwcmGTA0ysp5iv9a3q2Mypa52xjToN6YoXuzVonzhozoqo8DqeZ4nF 3jGSDXfGWoIzDJWcS+5864OPsCG1+mcaGm9l1tufYYlKf8M0xCMvnsLQRiwE3RkNJvytiIK7BlP8 2rcG+HU3TbDZ+loy9Ve3IEybuPf2ChrcCVHySdwBD12fSdK6o09y946usfb23qE9yKxf5FC3r7fV rOweXNiSRefq7W54gMXCMvJlfkfJcCVU1FTI1k4kOtsnhNbTVB71s3nGd8hazmGoxop4iJre17Ac w2HHQYsGP1Q+vejf9x3HSwcAVPa5ge3f6FPw/eQ7QMUijgzi28k6jPY3Knh7AVh+as21lbyzksqW uPJsbj4oZCAMw+0gbgQ2HBy6lXMK7OAWKz50jm+8qO/eWG8dVp1nSFTHwWSxjc5vHKyvT0rGEuI6 EciCFT7QadYJBiRUMgp3DBa73jDmyT7CNC/TFVXtgkKkO4Ma6Wf1kl3DtsgpIZJybTVPQ4KF6xp5 mhBUYYHLglMlsnxQ5mR84lhMJ0MiDqLm04Otyf9bXdE7acRlnNi2Sa6hWvKJgGeoW6X6VxM664vU 3fRSF9is1o0+N+cKxOIAPto79BQsisBe/5+fZVYxesMrO84WXLYGUl5dgr13uqleijJYbAB1/0JA 1SOH9ubmV0ZQWP8hX4VkGL2W5RTBHA1i3PVWFtQU1gcficrssm4ktYGKlnSZu2L3COvNu0zjF0Hf Z/qZFlKAHAT9UEuwCaSY5zLg5kaqpfucrGbNilzMEMi4smC3HE28G5t+2mKxuGpqrYQw3LCy2thi A8JIV3SvwZwHg+qXX1ZRSDjhJcR4OVpsILlJsYGG7xOexX4JWBqnWh+XJe2tCa3OGOxb/MnwwXj5 z5t0fNzWDYohkz3u5clzE4A/M3Bou6bonNMYExcvMSYeHTSlAO62Y29cgOxI5d7mFppHrGPt/kRC CuOCyYtjpalbbtIV7u8Mj8dm6aFz0DF+iZFKc9IbmRqU8k9jZdYtExroH2h7I4EJnNYTVc4Z4Gp3 SAGOs36mKL05kQvQq84i3IIm/EbHhL+nnTEj1egtawARQytCvRS0KS33qmgCixq+TPnlOLZNf3kz hcemBxrMaCHi+JMaTaCHkJLV0xmdryIIRz2EEvwfoeVSxXEdYsuFRNtXjOpdZwzCHJfG+oyesm25 aXmkuZe8PATkZ2b2ALFKkJlXv8u/6ZduSVou36jHFhJeEwWxb3UrvCu+GgoVxyOhbdVSZZb8aGeC VBWWeA2DGmxhHhnYTN5Hxxp/Z4zdS989pxX3yeXBKVAP0S7iwJ/mbWIOl2k1LNSbLEQUo84IAiCr LcL2RK8LpYeA3hkHPwAM0gh97o7eeVg2iqGSWqwdwPOSJWgks0T5EpLtaMG7w3evKHQ0+fFxaU8Y vrqlik5D8fcPw5dTHbfa25vbvJVfUZsWSn7K2lrZeIBr56kjp59gXePYbMn7tjVE9kHhsHmk/Eaz Jo4EEJ1jdyhjiUB6jBBay1yg2qRcKjdLcNaoMsIS8HA76EOUFeBCl1v36y648dXQ6HG3U5hnLT9N 4+MzrDepfMIZNMj1XLmTB9k/LTmVo32qqkBmFwlf/ut+x4BoK+xmelHJVtE5mmIeQYgq16KdjS7w YkcuD8r2/WaMdqG7z3YMweVa9goX2jAq485cyx1AwMv7pXqIu/gJQx4mGg+wtLym6gpTmPe6Q6Zl l6C1OaxiXAlxGdxzHoUDVSSKqVDDs6IUTH1x4T8Ykk1wnBrLwVlvfg+accFF6wjFIdGRyKVbWLAB RapiX/9vdreZ2ZlOTPdGyjOB1szQtLihc+zywgYcqmGDiTTzZ48CZVZfJmask9u2WbzG7GjWYYQG IBLPjZGsnvHB7+k0QAZbv4XBoZJC1xRhqsJZVReYLlrSdnBNHG9R+iJyz31Qnp0DQwEerTpizeQW YWrXJ8E0dWO7gT1WGIlifUr38ZsbZ6im/MsWaHftrpXFLKlz+joqdgSbHzDvbrK886KymJm51kcj eivyRSMJCKzt50ujwvMEQSQZ62HtEcmJ6SKPPMGPbO8Ekxlaj3eriE9zdqLk3rkBz5x+F0YQXzsR seaDfO4EF5mFOY8Sfp7iwR9IajnFy/c07+aPOvtmoeCHuREO18BUZBZbmX6Vzm5QkAblfyxd4Qfb zptSSouAOSDhmb+lMd7QI4giRzAIqOZVFjbqyq89nPfT59DA/sSv5YtKp4VorLlUefepmMsNr3LB NCzs7I3i0AoRzYYivqEzRDqT9XPAPtGkcik1KzN2L3Q91tbHzlJQCSMTOjkR7OO9arVJWQewvl86 pChHQLog1SEG3a+ApRgKosLomYIIsaOM4MDg6PgFRPm0d6KwF4MJ21ztkEJ3AZ2C1wJQsqDaPoh8 6/y4gOrxgTxKplTSxB/4/IRpTexv/PzsN58QrWGevSXfZw76zZOVfk94T5YBMPMUZh8pbieeeDeH 1EKgtXEC5of8/tzIQtnHbq8QbPBbfvZCRbSjQxxfZWWwza7jyKNgL3Yd7HGcgbVzbppgOPpzP21k Fb4SGEMjqY/XRP8bquJ20/3dqeUs505u5HIHHLyLUMm2gJrN1Dsb1myKVAwAIba3UfnUfBe5C4ki L780tFr34Yr7jVbuzNKEaL5GCE7w7j8FJulWGQq6fTNfyRagjXNp3P3qBknY/dxpSFjEqOcDnfcG 3HO361l5YMAPTB0Rk2jO5JeDDwP9U+JMu+3Sft8KU0YDzhDZB/LyYqNzSskM6n974EifFsLhG6E0 D5QoEwT68DigTaVV+JM9BU/ElPPEoeuOv5V1jezsFbLqcpFtwGFtvHvx7oim5I8osRl+X+uD+78Z 1EUUmfHqkaYw5VcN4G2g1/YUjlh7rBTCWQeewTIJRf66gwDcLZe7sFmZ17ZF6ODqoeurAWv3YhNR El2dodBzeiyF37Cd8G8o6tlz+jpif/yAKLGES+Ttk4AC0P05r59lEw5gWmeNRO2BxIxubxpC1/K8 2l+o78s8P+0Q5K56+Q3QkA2YtElrbUA22hcr9vvCux/464V4eenT15DiPWZyqBZ1/SIQB9WCPzW0 ikUQYmksRHtM9CZNjSW6imnvZPJYtvGC6h4eUW2l6uwH8HHk3PGgame6IfM9iRAdF+ClFpoJkU6X 09Q9LFw8J4nsRRzrp5TJhu95VOJbK7JdvVp8zl/a7T12C1Jx76UWX+OuMpZlCKkDGloS7yQSRn5W GSqn5/zZSqSHovXtG1nXt4EDq/BeU14+fIIOKR8wsluiFjTo3x/mVuEIQNv0xDpxYo1SkX1YWFsH 5YxZZBvklUaHCRkrYg/ge+QChEeVzGLUYg8/WJicTOucukDoTqTi1Sh+3R5rWcX+OX8/I/mAn4bv iuZPd6kU2x92mXXb4Qi58Fp6z0eHUje3ZFzIQy0Ux+pb34JTQkkgh6JsuXqOAuakdlYtbypJyZxB ZtV+DRC4tOf6W7EbNtG8kR8pM1Jyn+3i52NQ2Jy9z2leH/XA9Z5yMpNL/YNH681tjsnpH8lgHhoN wePAm7K11AFGyUJiqkI3GkumiW2ElJZX7UeItA65WBNGHoW6AnETeq87cR5SVUy/lfvLBD9Eb++c 1TQzUSN/xJUg1c3vPPIfLG4ZN0CjhVPZVZPNzvP7lFvnz6qmvhNt6fMWaPL8zGM9C2qbqqfYkUIV ++j86aRAJW0OgUiPiR4E7LKPw8BiyROD33/zJpKq8eNFZyXAQxqHs6fipHiDWliUKU63wrlTojk8 BAVien426h+0BhEhHhC51dRrQdFT/ieYye28myHg6/VREmATAuCBzTCNeEPrXLb1rHwo6gAvucdh 0p6SdBJ9kEoAVE6EGVISLVWS37xk/9Cb+/YQGx7X3KDskkPUWb5PkqmYmrU63skDH2MRVOrsbJ0i QEW4NB9dy2WQYl3gex6jjb3IBzdt87UERqaxQ3YQZkvITd47s2SO7T5piqZmP3i356dpjxYJp18N nfF61lDg1iy4cTDZLeNRAM7khu+m1Z67A9MB59gtZx6s+OT7SDlyqh3Z2OGn2CYkK8V2ZEScrd+e VrM1oc7/vvuEkyzrDvxuiSuo6uo73lJMZsPXInPdw9z6BsKYV1N1wJ10iTnH31eMFFO71Y/GKyjL 1K+uUT5vOaHJMbfNO5IKdEgrHHnFnj8HN/D33SAKY6gb+rjH/ilQl+XHcgc52f7X16tb04q+c9Ff ZtFd+xXny51J3wRlGfYNv3ukqmndZFkpZWUhRsGgLl3NOGb2zCFxdDNxviuDGEgLk2DaEBkR/3EZ S6gVEanI7FxuOgj8O4PJGSRAZww362x0UUup97N2NqvH7OeKGUMqRlrU5r8mhp+7rU9jCBBcqo/H P14t25yk8nKd1zTKOOxAK9FH3nO1hdY+h+oWiQ5GmgqMDu2bD1ag2pzcV2zsryqTeZ6BWpqtbBR5 o5n2UKmB5J7XQan355hIIG8FQwADeDEMIqeh6Io2SIWmKiiyv+nKSl35iiUitRY4+wttqAG/t3eg UKtjbjA2PvcYPCAKWXZMSGClX6sLIyRm1ZLOM2pfnjOHFA1ezoV4mKa22aYUGaPPQavBq2xKgHij HMLgOtLfYJyriqQSWlTYByoFbNE9/vh/qTfPatHsDa2AFZ5P6eAYvMI6lsowzftneQD5FcfuV/pz 8vv0K/RXlrl4a9GToHbDygTSlgDjM/3y6xUgBpIh7E6CV4u3B1TWsCNsOVP7UHCIJjg54+gqTt8x 9sFef+T5VOYE2trxAkEo83kLOhPB/EAtz9yCkxWPM1PVej3fz1VsWR6x/iX6TxQOn7QRduLXrDx7 fhFsYyqkqsFWJU4ou4cSUzjsP5x/G53rrA9DIpL8DRbA1OBLLMGmp+QG8SDBThdHC65T/pB1c9Oa rRP5ytMmSvwVFBt13UUE4j6UJEXu9/YCTmwPqALJXYzdkfk1xLceUt6rAxe8EftxdhXB+/y98r76 INr1uJpwQESwDCzSyffjvb15nfFlFpcclT/OJU0PrdppHYUhvMIrdJmjuXlOWAX4Ajs7HCUIPvJ+ R8Ph6DtuwzxGguajowF55HlkGOpciWoAq1jIjJrlLf0qOD5kd+e7GvxsvrDyXNCJMOXY/GOJYv9P xHiWiUVuidjLS6Grq7miOezxMiOL0ZBBgcCBtpg54SIEir2qc0BQQjPsS4LG9MxWWku084LH8Qx+ A+2eW1XQJcuXS9jYuRo9IUAHIYY5q/X8jF/NIyQtkpgKBH1NKpU4OSSHU+qwvIFNHBChxY2Yboh7 xiGMh8DkECwKzMrI7W/9jr9xEqb5Do58q9f/kMI7/9L+CMQaDq87TpD8cTzBCHVzVz1nBckadji4 kRAXsr3kPzK6/Uw985U0aNOAa5s7BeYAr+C1rzoxZx9hYZeXD1PZHqqhjDhPM6net7j4b5+2NqSe V+O160Xc+JVByx+jgXp2/bW9ca3Gkcdy+uaTNqLwpiNDWk0ZC2LEoGWBlKcr/6EL40E0r0XjSHRB Gl7dtWo21ys3+Biu5tloZqytRyZc92u+e6TEoalJT97sOLigEreTRLUyjc2p9VjUiQvGzITzHc3i ORznypAMwsDrq9JxWGWE0RXdEC4lr1icIwu5OYFplyB3SVe+5XXG74QQZAm6vMFWdoTZVgdU4too SYOoj5VlKiZunuJS2yLNMLjUiIhJAsgQCsuXAZbomcbinrhHJySzTwdTvDD2PO4rW2eSJ7VB4vzq h/k0LUrAM9R4EZsSAw4XNrXHV7hyEBFucOEGlJ7I05l5L1IvnT9iExy34EsnBteFgsKR2LMF93nY DLBK2U9d+Fb3kdbbptROWS3aswYfHwHm+xkmoTO3OinCGo5sPO33XuDIUQvYucLDVqDgz+2gQbAZ PB3O2G/kuVa4AElaGJHDSzQ9UAb7mbdLieeKiEOHgeZs60qRB0mZXUj2a+0XIBApTyCPNWOe1K1u a0VJT0DY6Wx+ef5wbC4OMXU8M4I+gW+Sj19iWXFXzhwo4gg3sJ4y1O2r/SHxTkea9A+sPlFZF/xA X8Nmfi7L6mK2Dzz2etwgJiGtPPZ5xGQ9zdLPd77mS7kysfsLyiNAQVhks0O5IhCobKxad12lt8cI YMOmJ5pc9Y2GjI0knqzJyoCwICj19l85Hvme8sZtfF888kI0Tlockl+x7BLeo5LyRHcIF42pqAiD o1Ft3CTJ/vngLNE3VoMr98dYhh8RENJ7plkrcUWFTkYkQVQutGX80I3YQYQdX87Y4HBDyubqBvMT AYGnQVnHPiAtOMlL2d9xMPysCyca/vDCVijiaJqplKIEuotiE1giF1x8QRWjegUMSm8OznLqczF8 9ew+oDpWSfVLbH9dxuJX5F+1nmyAC2LpPsxT7fTNaTSOHcTaewK/Y8Fv5BDtqS0b5Htt6IOzOv/O 6O0QtEgWU9W0Z8DgIfttboU644JFEYbRLnZ27w1nn9oP+JUVTI3a+22RGnQjBimUZxK4GoHcA1JO ISzufvJqLMfkkBwF2bzzCGqYmYw43pNtkZDr0rN9Yv8Hn0hPyS9IGZbsJ7F/g3XNVFljWtroYx1Q ntqP9aIfm6FhRuyjUfYdOu5Zn0sNLYpc+2SuxBP1EWVVCHmeH5tkIEx8aBsAVThjML/PVLFGTotF KhEJ/NVu+h4tbIPxuXNVq/xhzPoa1RCwgNNR0NiPOKuD5gNB67LOm0/la12SOQV5P0ng1fJXQaWJ Xd16oKOx14q8H03uHt3BJF776hBJxo1zQMQ1TBcQh4mXowBWgoc+wNrM3eHZ+q+SaJ9iKVYb7NWT Bch7T8aBG6ptg6ZLhQq9G/ftK+Nk/5s5yJttxh6hj3YF8Vj71cW9oduwngmSHkLdlXN3bR18Mn5g tzfSGee/Y8rIAc0bnEKgxZCadTnV4dGBVQ5tqQeOPkPCxmGlOEG21Tq90f9YRozjEUKZwc6k2D29 M3dxSA+kjQTlh+ct11Ib1xSoKO8EgxomQTDJXV9imucynsBpy7if4+VKhDOHWJ3h6hd/Tax6sfCr WDoJX1lt3P25wXB4y5LdX3RqRnBlU9o2lnvofmh8ooIDDJNwnKzR6+mzbfVJ51Q1wG+FPU7UwdWD EPenhCwRTb++al+PQ1whUN6FuBrO36YYZfXPd8ipZAZxsTNYEg+LzeIktDhPVSc4spJfS4/INn4+ njEgmY/0Z8R2pcXjXQqZxT9g6F2D2bdaOu/ZhkS7wvQZ+lZIo4Bq3THc+V0ZI4Yr3vM+zok4PHqz Q28uI0Z45yzmwRXMiH016z1Lz4q2VXg8dshjdyrgNC6sEYukywEWUFjJjA59Fs2O4y1Irs0UlU4p VuzDzKH/Pf1yFblGusZzS+jVksmyP6P1wOSAJO9gyULEjZgNSkdeoVrQ3NDisD15eG9ymtB7zokQ Ne442n7nN9BlRyRvgYs5imOfhpCv2qulOhLjjQ2mCdQ1F6cSRzatUtUtTU6tNdItTHNmPToVnWdU /am89aRHvVZ98AwnozSSnrjhoSohlA1RUi5+uCun7WccxIbjU66QXOAGXierGnJW3T+iNfW+REB1 RfbiCsQ9yzpNl594dR0QyjZEyivr2jgQYzO6kPvh3J/4narK9SlNh2RvE45UBaFPkXz795xsoMqI 4jvTR1++DIxeprLyaxowzo5LsX1J+A0P0R6kNtlaR3WaB0nZ1fnvMUnjGj3rJWY48fiju/K71Ige NhHIAg79m3Lu9H48XdLL8XjwZUytCHDZnwhjTL9scCQ7k+scC5WKPLUVp1Wo+JlLoaM94tvIzRqI ncgsKvwo3Rp0Zp4Gu1uFfxcv2eaj2NKFUVfia9AvFD0HHgFSVxNls2jn8UBfFlwWAfCpVjPnlFkk wOHaxiSD9imnB9KbfMf0YcMSftEBOtutje5O50L4SfLjCFohuyP0MiYolGKsKQFK5MMr2YlZf9at iJi1lQH1zk7cD1fXa7vmlgvfjTQk141ZRn0udk26NBsbcez5vqGkC40TUXWABk5AlDOdZ5TGBaG7 mZA3MeSQV8xqtQs9OCv9il94vRLMWINLtDWC1taXaj+0HqhlNvwoS2On+FCGi7zXpuEF525uY/Bh LUg3BKMB/pfv/zp8NFkTeQT6jY3MsLSAn/uvSrehu3fIdFzMjH9/1rsBGKWi5HrerZhNvUrJonO0 raEjgeGWwBQS13XIVVS8LYQvyz/HO8MDCjIcVImAlV7PZhwfUlMqL2PxoORws7965fIEuJPjEOem zaD5omveEuLrqcGCUouB8srHrpxOTQ+pI4n5yt6NBkU+6qjcgZL89vP+Epoey9RH+pj/ixV43sub VfNV/YpdHA6+bw5WhhfxuCCaDfvn5Hdpwgw98XZ9soQrUNzzS93CgqUth9Fsu/vOqfC6OVZvGFF7 4BZgl3ywBPRsQ9/51CQzrH+G838afjm8pGKHiS4ag0WBXMEMToLa8i+JvQvqcRAdME1I3T6fUGIZ 2LNlWZkqClnSqU1VCd2RZN/dMcuF72fMFN3kMdGimuWwiAQjHc2pmL/h6qyi1utjg0MY6nGiKVXj i8KCI5chi0ge9hXHRzxwn98HLsR0dZCFkJtJp0C3dKTwzX88yMknTGCDhUChNSJd4Kqb+aSiTDX3 Ydh7PUYETkc/iOkoAS/6Zyfr7OMEkW+RuW+0JyXAOVVVKxb4dPkDV5yxOnfys7vbRr1RaLU68y2Z PnqJTIrK3x0SA0UrilDjS6qmyNquxIjwanXTg4vshiqRYEhF8S4+CUDQ2ZId9B30Kyi7fc1UGabT DgN1HzCjhFNEEKqok82Xf7CuMpE/J2dK9vii4gBkAsG+NTS7ITeH3bRpJurKLvPHyuKZkodSCbmv +Pxnr4bzZIVNWE87bXe5Umsg/J7xLi3eMFVjTeRsh6ZvAG/ReGdpkGJglm7b10vOi3Qq2mAj4gL3 YpqmUThMXvIAroCUb32krc9cKGIL79U0I9ojecqQAgTbN+vQpCRj17FoJ7gOsSctv772JS2X4ArE JYO+t7mwRDtul8lsD9giwH2D7OF81Fw7lfBRwh7VwaengjYr9L9Qa7MZIx7PEf0/Hy20RDh8wHid PEtRJmpQioS82HiImqbzjLV67J7ZZXVL242WyIIkyh3zqu5V5sSuopdqZoXPPJPAjjZTMiD3C9TW iMXl4tiBb91ZEI+hpy5Dd5CsosnTR0I9zmdWv2aza1BZSkuopLBVxot8+kK0FFeBgO4YVJwSjPcX keftU4Tu0dPM68kJ6P94RTj4gGjGWs557Dne7APNC2o9wk+6qNzzqoGqNnd/4rC5+RqRVjrfWlZv q+I1+RNmhJTlp+N2gCQekPtxv1b2NClijEy6JcZiCbT1Pdk7pGsyldSqk2gl0LBfp0aAXPsUsfSd Mj0oEmrHvKwX8mcPEiL2d1XnpsR2OVaYWg1ztGPQapwV0G7sXO4ePL97TVAw3zsqkl2MkISp4mDE xFNUxZjRUSK2tBg2Zt9kJTDV3Z06yZiwU6FogYWzAUy7HYRVBuw8cwKqht6VwZqW9MukKh+fI7SC pGq/L1pOQFpD+s/E78HdwWh3sTMQT2PZWG+8OQOLaNqbsRMkZKVamLODEnkty9N3bka7xWv1ETMq U1tMaWvDtYwtgkK99mrav0A1CkDP6y0MlTPalwMn9jeUGg3jnxQYONJ12bSxYsXEoXIaJyEFk70O RT6cj5LeXeNayfMXzToQ5xy9lzoxBAWbdVamsEmA3PH69O4CHYz0mNllOCQXmpAF579KPvd8vaej wPYTTUiY9leXvtOFht/VXxY8qqDfWBwrywOuxjcKqOaTVGpoaEM/tSfwed6g91Ansmu7Z08C+SfX h+L4K2a5IKJmYp5DxfmeTOjb1nywdHYjXDPFP7Qb6qqrZ3ub7O/rhPkDHn9HyD6tTGFsnVPFDfeZ RN5OpmAfK/+61ptDK5PpM4S7eSQLPRUWHMEH0vopcOZ+JHXYVlGPYkoweo1tVUpYK6iDuScw2cs1 VxFXuCi4lGv4hF/PNd71N4bu/Ze9mxOjrlfq/yvQgfCkFl2E3kJ4md9NpKde8+YAZNFC5vf/Z72x QiE2XBT07AJBJ+qMNb8qaLOGsrj5mO+H5QrU9QB5MZAm5OX9gTDDKISLurbRcSi8B3zKL0Kf4H5w sbApnGnm4fW0IwYZX0P8OJimPpRTj/zIpuYyp+yq1ZfylifbR3YWBPgRnIm2n1fGNkH1DUn/hBaE u1RE0GwERyR6ETGvYww2lvhUrw305FoeoN1gIsSBiFfOa0W2bJJ5ZIZKT5ZF+/v9YkRo2jThpG69 qAukdj0CSIOAzhEHbj1udpDFpZv2KeWKCAV7vqyI56qIU4aH7GkpW3KOSOPKFx78j41dpVxoUMhA SA0xFVgEei6KaHBOaKVnCGwGbbLBvMNscSxcdS97v1MxwTY8zrwkxo2eIC8k+I1YNjl685bAqYPG n4KGpdZ7llusLSj5bIjKzO2WYM4M8JO8kytqvTznANHDZDLo6QWNtRRayWX266VcQEsB7THQ22m6 1H/ncF6CfbabGA0krThAfPyvsYvQkI3lls7Xz5FPgy3jBwRp4Hb/s5TrSu1CLuiwq0O/M0nlU/Ot jptYO/Pg1UWiC7UGg2G5d2KUyLDPiO6N4mwNwqWHTrNMXB4BLxUOddZloHrAtYrXs3avfQXOv7t0 Wm1iLmXB+vpLSuBmJsxAtpVfLU+SecwpLGCgfd25VlyW4bLCDm82pgtgMaXVX/CH9oLjUYhIEZ9A uu3S/g8y1hSWztxXax41yT//sr9yVJuO92CuHHmxIWX9zQFWqSMSNrJ/ySdt4ZY2KJQCBq1UxNo7 FTi/iUpQWPwEWYa1Fx/vDIunG1oRT/ygKgPKxebDY7oKoD255hm6RuuTwax6FP1KG8hzHPIgJkN5 nsbeccR9OpGE4WWUe2/xF99LhI5vog1zXl2TZayFOeycVjKpImeq82k77qh0kUyuIlTJuglf0SSh avr3vRRhyBb9ch44mkqbUlmyr5ESfS/ii06mCG2JbUFwnvkjx5ZilKQCj6xhaqsW4QI4Sw7tnKq0 R/pqiePcgnhp2/8o0TPXzfL3sgcSF/Ho53hDWolqmtwpIYa+Y+XUTRME+x/15YcQ+bvGxf0XfR/5 5EQD6ENiUAkfe+B2Ai48BGXjhr36ZWxOLOKCBUvTZpZ4dwDConAsGgqaSfloKw/qDCJG/qy0e8IQ 54QeaxXUsmIUoTqZ9tCGh2NSIdpnX+d0wD3+xyHnsV4zqE0A4Jpwa3G9hg5sEgrzbSIZkCzltuCQ yEZIiNYyhDxz5hAtlE72QSq7z33p5XKb035Z+RJtQeL/eZ7eBCGkdU5uVHvA7w/JUwBcQRAvVVOe /hgnCxg1K8JG2707IJa/l9lKPSLFnYqOweN4pbnY623+q3Wq44rkKEca0uj3U2+/ZFkYn/B69kE6 kwJJu8lOiP9lCGHvWgs/C1/wKuTB2rFtZs+nqGyECpwPGnz/k1JYd5DaxAdUeRGQ7S7/ba+7gs6D ZPVaqsHaghttl6NTtraSE2DkBFvU773/cfGhoTJU1nh+X+iBEVtR3M5Mh0ffWOVdfgAT1UkBR2qA JDP7fMSr755uRtKy0Jp6XUXB+nfNDjllxDU+vcMs5y7fxuIwqPVvm55LaNMLUMblG9CH2+OvP54C 3qqs0+2AsNOxjXxDux2cRVgbp9pHX3SQ3AlolAZvfiyx6OnAyicQfo3R+KM5WENZhKJBs9i4v/vp 8aCnjKN9JpdatrdWFh3ItKYwNO6QEqYDUeXH3HONZZk3bfQHV09/a6C65mlrIq5f7EVgW50uMP4S /4b+Nkjf2KMvJWDprXG1zoXXcYaceV82Wx2Q/X9Li4CD0ECAlVbjMhH4GodNFcUtQbwwlDp/330C d0nv4/024pWfrKI0xPeN1CPRyrBxmVXZx6XUjVOXBasTIk1cQ9ayXgFGw93hHGxW5KUaWmZ0gKWh NHAw88wiFe9NiXlDqh72+WteiLqKs+q1CQcvo6ZB+aHx4A4oWM4PavYvM9yi+PaEJKTh2AQQsjHR b88l086aGvTz8jPIm5TEQHTSi+ahRB7auGR4zMt8zvUmCX0ELRP6/aUYPfiBw+o10m4urFbVvNBb h/WRHoFJ1l5tmBtbT2Ypo4doMXG9PftVUYN4wOZCE/pQP3cw3czFcqMvVNd6np2pNuqCd/tZlr9z 0p3f3WQZMO7/AzzUW1+0mh0KR32smDGnPsYsu4cTqqNBonOLuOC9XCPG2ko4UzWXujSbCd5fjoHX stP631YcMeJ6AjM7dxcrVU6iNC9plPraX7Qs7caocguE1wewx3zfeboJ/9pgHKG5sZZVtnZIxZA6 NscnfZc22k2smAZw1mU2G0icpJlpTlqLipd8o8BwU/i2imQuqvLNvGxhjrg9OaLyQ5FpU4iV4w1Q BEoFsrKDKGEde+OxJ2z6zNdRImXKIXd0QjTKmfPw5VHCcuPeopMciPFy3H+q1UHEXfN57fxlkKL0 kpehBKFsE19zDh8zhgWF1D659NPF0RnhBv4ntkbrWvADUnuBDKQZJ37Yp2HOXzfoozTAzVHY/6No b3U5EUjzqftoaHJuQnsI8jeU5iDTxsuW0IwVXOOnOyRMI50dBGgA+fC39xvky7mtSxWrlv1VH01H /LyWyHgXnGhzbP9NbCLnIsCBcRmbyV1krb/EwBe1G/OfUceQeJ8ZFG7U8dWoka4uYjdmfExsMU0d fjeBkpSX75LxB+Gs2LBtfLRaYGDXUWFE9zEu5LRCJY/Wt3Ltz19OswYW4RXiVFfqzT1RATwTQBA+ 1RU6/wUHRHhX0Vd6iLP/b3pd1osotIyOjWU3m1LrAFeiCwkdhKsFGBldk6J2DgXcqsB+iWAGEeEU s2SQHy9cE1I5U6ScMdfv2FpGnbWqqGX0qGdGSITnExeY+MebCYRsWcsdfH3upf4Su8RDbGyqQhpv o9TXmVjNXWOdstYZ7WUer0KO6LuF8xS9kzf/e62QcEO7okhgV8MekO1KC0STwGUDb7bl2Jl4VJnT QNswKjuzT2SzZa1R3X68h0bIZqJRnp9CVCtk9AWQnXQwvV4mKgRgKqdeLUOdKfmHvz6JpV5yTBf+ yCh3Z7F2MikwIQ5XdIiq4xqwDSimx1Fxo8V1DT6aROB9k3a5ganiU9Z6J9JmRsfvXSJom0d/J/+E hvmH0xnMdwZJgAxhnVtBqMfGsiiLWIfwC77m6r/Kqvh3a2C+5fqTLM4M+odxpD2D3xgfw2U4b5Yi kYhZ2whQoV73MIyxemPmC2WP5+vOoMlRzEY9t0CJc6qMrfOHGKHDbRRcDNLA3iA+r8XU9KEUC3bc wos8DYVErk8LuOnAPVIAMT0ezG7IOxVeQ4DQtQqYJWZI6eSbggt6YJdVQOzTRH9sN0jMaSNYmxrI MJbYx21v4VoUhw8ErKnNuMUKBk8e7iIbBfA/+QJ59CefKcz8c0uIrM33TSEqgmwk7NtL7i59I+q3 xp1G9nCKwFnvfClu+hTyXkxVfwyBfq9hqYOB6yRXq8IxKSPSVKB+TWiw262u5Nr+cnxgsV4bUd8H G81g+rMdg4P7WS90r7sZKukCuhgd5ZFIbFOSbo2FKRstLWoNSgueuJ00hNHkf/2c0AySTZl7T5+P gnGjRE7iCSOXCy8hQt+exOgHhOJcP2XbSggNUwdEwGicElmLOqf9zVlHxA8Tf79IOUTehapQjxxC 7ljYBvUTmDO4WCv5zDcUfyR6/8nygTSsmBuEVGWDZ8asMdPjxlZXrgIrCixMJu6adMZ5i4WVm2gP sYLrVzbLh9PuqnRpCF6b4NkNMTcq7mfBPQsjtQLrK4pPpgGejC0kt844Q7L5D2SJPk28FgCQhAze xMGZvbqw/z9Efsi8df+XWngtSnNmR9XeTOX80E6k3OGE9VGw+KQYHMDme40VZVAcBBSbbw7hUfot 2RkM5UJ9Z8bH4lZfIh3BGKDY/8WfEKAZH1JxhdtbV1BG4SQLQ/eadQFbmxsqclf0nAfln7kWm74O bhiflh3WAkd+AvAcvvrTZUXgMzJHJPKwCOGOR/RKhluibd5m9BWITYY85xqqwrNR/r7lrAEFKjfj nUGpZ4rpH/fc0IQSAx9PlFIdGR751vT5l0VIrCn+L6BZZ7l4oeRzAsk/KEaOxh3+JFahVQOYxbbW onpAtGT0l/sQkvrwgmomx9CfUWMcaEOXerSf0AgVad6enlvizrI8qMPV6oopnyXsKxLtSnE860p/ QhxESLzTogpvJccpNdlH0TEwLgQ3M/dstLeC+8GLoNeTnY6hYrE8qchmKcxAU90iZtdqWe+iYvbX 3PkkQSKpvKpYL4s9wdzHjeD6f8u0UDZtSRb2g7/OOIfkdoLIFJXFmGtrcEKggqZ7t9fwlmGfd8Tb plEIaSWf0HklFMVcFMqtnhCWDMNENbdizPTM9JkQiDNbdrSPukJxiu34ziiLTAlWpQ8Pc8B/P96J hckVG6wZ8nTDVeGyRqKzaYscuZTYboiIKfZYp22Y7zqoAl6BBEHEyiy1zZ7WH9bSIxrd9/3BgOyR ptJM5byCuuEd+zF0rfxyumDAZDzxTXm7h/O6lAu+nNE9TTGItgYhnUqGPBSZUtavpKnR8eFLil+s yvOj12tXwUWj6uLTsLacTXsbrIXuZPqM2pCWsbU3/uv3ACFSiCsdcSEOuY9bnxD1HaCMMMA1ECbn 1lswJdxEkukSoIi0mRkJ/HfZub3DlLiimvcyTAN6/W7hrO+VEpu0IqTWIhnH2sOF5EcMss4MiIjh qRnFRs0Zx+f/Gwex5UsiCZ4A0AiOK47an0elRdShhEpKt/SnKguA1T7Qo4JsTml0xOCjtAXZAAHi S8Z2HdPSjJ8dwTF0M1z8sgxH+pTjcx8OxBOIp9b1Pz8RCvHHsHc9zjJXwJKogkrIu5nCSaSYUmIs P5JLtgSeyYjhs03A+xJw4YXduiCVIhDiwSMzvroZh/eczReVgUsI5hWmuUBXgZR9RWPWgCJOA39u le+KEDEgNtoEnzND6+XUFWPOgsYOtxSu/tPhhcgbZLTjozqGEj/UIuf0q+SbZ+/MgvCJ3JoixlAi UIcardUPkUgn+/mVNCkcrXhdtM1M7iwkGLjznM5OPox8iCgTyiAX9eKsFAWttcyQycPkdDUWUPqu 1TW56Sjflaxi+wjyomsDsXFz/7RcTr0WMPyplKjtgSWjyfhQroRQ1McDBEVbkmGAfEIaWzvv7hNH vmBm10CVtoF+7D51xGHb1e/3vrWq290/6fvUZiCUcyffKCm4c1gbmtKlz/QciExwSnXA8xSPg8m4 fIEEDNTRyYKB2U2t8l4wxxVmisOETyXrjo6IjmlnvvSwhU/0sdFX1DwCN2flMIoELf5dULmNm7Dc lJNCAZKNsRmg5sCmAhZLzoY5kwX+iZsbhsNA+hBRsVJEYIsve1IlazraFPBf1tDLsN65DuFfoZiC i53Dyy37vHxjVen4llCLiXgeQLsv+YtVPucEZe/V5POOErniTqb+WeZ10IBucK9pmeOEW1eEyzyE /8jGkFo24KePg4bdZ7wYqWA+aFtO8bNJ+keHHa7iTE3RBLgNsuv+z0Ugl9TP8DIwgVJOE1hdf4c5 JfeWSbFMfqyuputhzWHxQgJ114hcGHaEAFABG8YAPd/VMh49c9eHC+xwm0qw9OBN7G+FPreQTVJo DJMBxF67PJ4RuWDim26DoB9bUVqOAuIyfTDuB91LP82qlSLbWkC9ESGYvvscMVMRoAlJi1CNPJJH YHqf6kjoCpLF0govaITewirRs//XA9n0HNVLfUU/IdIVttoxFeioS5df+tV37PEOQ/pfQxwU13kQ mH4UyRF10mtN98DDwRNriB64Hr4q0L3ULgc5lNMYRricoq8KKEnMw5elzHxfyjZ+nJk/p+xy04qS NVqhJQtA+7vW9QkrgoNQ6+bDBpA1jXCuD/I0iDgHViTH8x3PWgySWF5XjHJW+GCcNqh46lkM8Qgr gHzRQs5zEy1qcduK7kMG4PgnxjDNDjHNMbSB++jFfQ+pc2oMN+uiFiK0lx+x7Ry0e+kuJ3Eb6i/g Aqf0NPrfG0gDacbyH+oFVGQkb26EPHepQqRX+6GhsjTitrqVfMY8rPdImSQ4Ly2S5BaJKDhH00zu aI+dc7MTlVGAuTkY487/qQ+7Hw+mDLLta6+gLGkUfOF2ufhewAM0nGqp5/YuOlkLPtwCqas5RQhz 5rxQXAHVlRGBV3EXyHGXnGlUKb9wOChHWmzQJm2AlpTEL1JDut0kGU3L/QixgtnBk9EUvGjMiSt6 K9z81jjH+1I/pVE/qAD24v5HNIfo3kD+It2GXg5F7qDcvZrSFicY2ze2DrFw0vU74uJOp9T2xI1g i3vSQB9eLy5VPzgft8Ijdkpb3VhxqxG6rz+SLE8lUlCBUteZx5yBUN3l8qQzzNs4DqJMp/5buArg CUmt5uacvPdOnItF3s6W0P3/u5eb0Js+H1rMqBZPuciz3YzeEVJZ6pIkg3IlDp9QqnDcu57GtWnf 3nbC3GXLWxtcnMcHU4AA8NrYHROLpKY0wKgDKjBUs3T1/R7lcknUswTwm3SZVDnNBhB+0aaRoNyO mk6xJRqtrB8s4JMISC3e/FRC/jEM8Oa9nEfWvyzfUGv4bN3O7B3k6hRpiNCilRzznc2BZTweBP51 ViezbTyvQSzK3AynNNcXSDxNLR7xBatHwhnTpVGEusuh0mNJ0qxQabWLQKieQpnEFBMGl0WYkdyl XuYRC/S1Lbpdo5zXmvJCFWyI3TwQCdiXjBSMfnzAL7501+BPdTnOh9KzxbhxGvVPjGaKIfr+zce3 JA9V2QQV1Vvw+BFeUWRwo01CCLJ/rCML5v3wrsQKlk24hW4oaKQL8FRc1qFhmPQfD+1dy0C2CDqB rSDetCIkbIMHJSz0jgJJeLymSFVjyWD8TrsXCemdFUfgC2jBJlVWxD5L3AVL6Stt0hUCmHq0a6vo 9ppB9t+jHDjelf/HfOnSRCJG/pNtjoZh3tAS2EEUbkHXu+mYr+yqyt4oAkVMD+XDgVCCFubXU6VV a/IpHdd4WRmQGWaiyy+Tb31y5mGu/+PKusKUlLxgWPQjyIKwruODHM86ZXCgC0OqedUf1OZ0fwpB FW1vaiPpMxZnmY/KLOeRFjY9aaPEe3p0bx/GcUY/41qp3Hlj7ecXXNoUxGAWNdni4dkNSXjZxqc6 zYpNZ8t2XYfFZ74QzkABpG6W+B0L2ASf1CrZXnehmwps+WzTE+h5w9coAngOqs2gVlOU3m//6QGh ssg6RQQYhjeAt7cIsDOHOzp1/SD1VP17gobLQBvzNexR5i00TVcOl9nUL8ZUTyTTy+t0P+Fqnul6 fib4FnVtg+ymMrfFEDphDyqClF6dotmCZXLhqbTx+91gyiw6zcfSnOgGDGtln77nEj6OE2O9ysUd qrCX0BSB6SZ7qcZoblUPcy0csbF+Xx6hFVAJP84yQuisM44U8l+DG2I0ml+dtGJV34xmcLelCMha FRAgdJqCSuh78s5enEsOvmaeMKxI1P75cb/0Pl1rnn3/OtITpmgO7PgfaM+lTUxefHlCbZQFv77f o460Bd/8/k7eoZovFSI1zpv//pJHeAfNqlO7JCcPYN/Uz420e17Uo9wnS/7zm9BE60wMNC8I75zK LupGmEDVLp2ngcZwjL+ybqJc34N9OcPtqLJa31Dq9zfAsEbfwPh5ShxOvGyrBJohuzS6nVDlFim4 z9ZyI3Mt+suAgr+nZMxdorcfFOYkDcfECoqWw9aBPFtExLaMkQuKxQiErFPXwQgQO7H0MUaduj0Q nOFaOe/6Q30JWgnNs4gd6t1S2qcnpODH9GUQebXAOxaSQsznnqoSg8QlXxdkJj/bC4QWHVmlky5h CQQs+yA9XCIvFKLBLCUgTMFsubxQghXeRbaQAcXEvwlx9PlHiZKtbXBgyi9PU+YfVVlJHpqkhbmd 1ABfD7jKACNtO/fAFNfQa4wUo1GwgOcHBD/z/ZnDXI+Y+4E2XkVLJNEtffs8CQKATaMEagCEidQd avoyPdm3TNSbqIF3EOOpZwuEPAUIrdmHf+X32HuF0Hv2i6fCe9dYsmUbYRkkbC2PXCUntMx45iCk g9gx6qspIQpn5tUQ0KUQ/QOsrujGtXC2Tay2dHOB9TfBml3YhfjGZAIh1pc3TjQQNwIEUEsoCovh Xr4gpB3j7DLewWvixZCJ7EneLZJtQs9OMoATsHaRnVKmN6vHc+gGKUQjg5OQi4KgXfZvF/P06EJL OC90Cb5dchbqCsDBPtNJHIDN72gx5QZ9DBs+IDRoVPk0x3XWR25aksy2kLBMZ5JbTeGpCtQjUjvw Mw8aeJ1Icu/3ZgR5R6Azro3PvpP+rdN818GW55TXkAhTvTydXpK3dIXS36CrvXRnaUiaKd0NRZfE BtpoCGAgRfvKHtP3N65+SgTPYQ2hUv4JXDRJud3LGNaTlqAy7qa3qln4i1BRJoC7y1jASFKPPU9b CEzJZiDeFeiAgAIQHqo4sFXGpchv5d0hwDk5yuG3lO1C6oAKXmTWdOQJQ4xkALScvUo2zcE4E5RI vqPlvcM0yem+yXUMuEHuZz11IKhMdsUKH9lHLEc9W2nHpbzqt13fALzlyoz8FuGK5zwYSvajTgn3 sAy0ECZplifXAEjI6V60Rj9PhfvK342CmVDJLyfs0ygIka2IyuHPszdmHnWwMqLm76+84v2f9n/B f1bVKUQutpMx2dPt7apzmHwZEVDYPZh04yWR8DoTCsifgodbtbMVyvdcVCFzMCvIo0tLAUKeYpjO S7l0dbwf71iNbE+wHzeFeHbHn8WgaGTCECIvQ1xBje+FIqLB98qLruhViTrfAYb5BdRZl1E2CG33 tREBqNDn6d4a0/7JoLG/d2UIeYDftrWrYOQO3uKd1KDt1szprVShAWFUX80mHIkb9f4SrxReLpmB Yevvz1xjuqfGg4O2Pu9sjGsAZu7KazmhIyT+tlNl3G9N6966pA3yXZNuoUSgJUpoFfjpgN0wJJGT h7HHsXhz+vDcZLT33czZhldPr8hAI/EZV6UfZ6ESUeW5bAxulCuHMlkB7IqNGpl23OHzDuYLCo+e 9bBIwTfSt0OEo6Q9JxPVE43I/U9yRmVO53l3prdR21QFa+QH7Eh3+WvZ+efEHM8j+Eoz4sUKkptS ac2BsWrGS+DnCB6jMRmrKEIR0I9MpvS8+yGcqrn94NKeIITgaGzECsvbvXp7uOFfZtBlpJ0n/F4t O7acRS3RwYb5FqmwQL8e0ZVl0R3K+mNOGVk40MCZd4sQlcRhypC4pDZeDGhrD50FXXi5Oo5xOSmA K8CRvRZNg2ZXS3D4laM7YPkPNncruHpDf0obWhs5WqmW5u03Ye2ELu+YxY6nXdRlPCe2TZdNQvlt inQXh1y9qHJuRUOuVoh6P8BFS4nSmrf4DmMroPb6CSTu2yzW9FL/kaNQ729oVp/5SECxv9MxXcDL lsn43ZH/3L5AwJo1iqr46y/PT/qF21Y7hOUgb1+J530mAbp23sn0n9eF6eONr3YRbOS4VngMwHhK KTYrkP8iuHuVFVTTEKBPOyU3lIGOQ82JS30zy0rxrb0KXZRZJ+GuDPeM9XEDb7SJISerTCt9urXj OHWEF6jhW2FC29xce7BYrqtD/OIp4ufYcAcmfJofOBzvtJHUSB3as2ajqhAryAyWEfXut6aA8T/1 BdDHypyePqkrlB7QuyKspIb0F3b7R1OzH/GEpoKXAvOpISs98OkvhmzWL8uOMq2sElMaGYPBdFiH 8TrpoksXrz9sqkHVQx+6FAhhr8c6uBOyS+Kc7OG5FPgbUYeBq7lKUKoDzgpymcxbN6gMMl+C0Qth arzQ2gcRpW+Yfw2YCc0/Zq7fkgWcuC/pWNkd/0mh7SAiOJZmAWmT/z/eMbXWK1xN9jwxNS2MOaPv u9HXDYguK/f64m4KrXZy8j09mZeJelU3LtIjsXPQ6kvmu2pmujBeFV7gX9f1fzyKWgqJEAV/eyRi mmIN6EyQjxIg51nGL9p2NB0pRBr3pCf89+88B4ho1g5JlRoWZzIYcADr2ERNvJipV6BnRXvBAyp8 rKjj9asGeBXA+ebgrDDmA6C2aciu4wRZ0QanfQP76/4rvMGXoBJfE69X5FagEKRVscmglubIXM6k tskL6U3aaWqbK0sSMjI92BUuMpPF/zPzQ5CuP7vQ7nmuqOM1UedLszhs4AKRTrD0aWMHXBrMpCsu cGscbVLz5PfF8zyUJGYdU9nZjjhvRvdHq1p74ZKo7gjq2Uem0AIUyOqN715ObRIVDbqhEeR9bvph +a9Tx7fp4FvJO44zkE7HGbi/XGvEYoZW/PV1OLQEr+0udPc4O++hB9gCaVeaI4jrnVQGqAKKI6JY oauM52Oom47zrxCtiuTrJbW3FyV+/fraUK7nwSzrc6+CTYq+KMu0RylzhN63NRoRxvJRQ1cFzQKY vH5gZIwQvNbnot4hUVhmXvOXD4tSjIev9FJc81PeOg5jMm3W7qa9tJ5MFncbFNOB+E8VJjUy9TqE HZ53xqnGs6SHt3WxS1YLo8f9s6dfVKu/p5BX/abL+mgpAADCDT6oWSiFDydWUQRrUxYEWAhiIOLl BEN1UJ+f4/I3CmL+BrL/gmvpDoqxvJshuw/n3iZ5qJLYpQ63lRpmmohfK9/Ff0+tLmQyC+TCf6rY D1Q8QoRc2NTFC4Zj4EKRVZ0liI+yUk3fH50ODDKBCEdR3G6wpfkeMg56ShRpzTc0p6YSfzCqqbYS R0tzClhHEO453ZNXSg3EqdqkMe3lIq3EiEwGFIpgi9WviDActsp7OxzJxvjFbrYww5+LyCVUey6S 8mAKto3PqKTg3wvFJsmZc7mczaZrwCoA8/v/00RW9b3LRGNw8gPaPn9zIQPBo8vXzCSmjo29wAct PQhAxaBj1y8YivAePJoOjUPrNGaKJn4ju0sFqY3GlUR0BvjyxW/ro8wc0WtK8WmUpI68WZFO0M8v AXURw8H7kG9ZplAyJSEjpQ9qbyZIXuMsCQ5pfqrR6+rFaPkb69SwMM3HkW1pkz4ck+qdCLAyXVLU ZCrVWuXvH/H57JMWVIyfQSNjmAJFsGuL7zLFMr8Ky+12T+pISnEID+hmIoyE/nNKVWRfnsN9erDA UzjJLsZ889PUpl3iU3JkZgM+a2vv8FdI/IvXbNH/TBRQtaQOo8rbXsiR2KXxxLy8GUKXe4dMuXi1 rVNR5Z3fCqCR2Jsfu7EeD/wD1LlI90nnh/PslT1JCI3L+oTGs5dQdleuvgaRoWdBA11ByQCbN+Fl HlpO92tosrTZXI6PJet2DQ+nOJgrCZ0YY3SF13ZTRdL2a22SXfVfSSHiGk7ct8Oaf27g9VSlzSVU Mvl7fjwxFdEFZQvf+bjnUBVoHtZpev60HfoDSjTasIN3OpF1XMwv/bgGZnbFdKxteOwYdkh+/H1m 9xpdo6l1QRp5wuoReGz4uQwx4jb3HMcsQVg2voE6WZNWzBGKPIdqMUDaxEjHnN1nvbjmAS5iIXzh +S8je8RqiAXjsmyVp0ZPfsNWuvaqGmXfZzooLCQ9nrUvjPjXq7UAbgz9SCHbeYZBdh9Z1JOSbwBr V0/GwVAqiG/tuDtg32312urMfdGgOdGWMWlchFT/yxfZteKxkNByU7QQTvLjUJtzv+vHXmx6Xo0f yV9F1G6qdxrecCLMT4vB5W75Gtfg5eZf32nsIKcEBGkeDi412sRflPVDvHFdT3YmCfabC0XRc2uG 3sToA1w3VLw7IOeM5sX2Vau7pHvo0qm4GxTJcAQ8QZvJ2LdXhMSt8l1u1PZNbvFqmX0GWjtCdq+R Hja6TVQgMSvWMrIQoidTJXHGjo4U6u4/yNsiuduuGyWCcjcLgPPWeznitdJJ+68jseSnrzqYtXG3 GJ2c4TZ1MAi72PgdVD8DHt/Y9XtWLI4dNYbwEr+BfX1k9kKacFZNQ7xSJ6KMpHBPJ/RcEvVim1c3 Y5gP10lE9KJ9ypIRZmWfQBEnGzc0KQEG0MiGtZ6w9NlI4q+TnqxmtgoSDuhMgNKfZaBBYrXY1bB0 s12Q4WHFlgZeAd8IXO4uUuqpLP1N7tp2NUnx4kW0H4h3ZbK1Z6vGKAxNdBLELnc2/s13rj+LdSGH d2j4asdkChKDOtbUozbRmFnLufZWnYMoPfeWsshQbeNkAhAwVFEEg+nLAitVB3XF+L/GbQthI9gz LnaSfJjazz37yBh7OoDESFQWlfWUsl1MSyosrytAHApnHVB03n+Byzn5ddsU2r1/GzSWzhJASVaR k/IqXXWchDEaXQuvdOP+2kk3VdCecQ5vuOnHdkxxkm9l1bIQvr8guxnUDyhn/p7IpRoFgRvBKk2+ eMhcVvDYF+ZPNQaemxmE1c2ZoGSv6RvX9/rPge6Q6KH1pRL8VEPueTVsXbqpTlZ1t9dMOw/AbeWO cCTmnALpJLQUbFAZT7wYRddQMViGsXsipWMseGQzsvjNzXxORX8qnKJ4dqlCAvlCmdddVuTmKWYh CoreASM5FFQq+E3JvJqJISIZWNUZOHySQ8zURGd7q3WetHkfLLNrt/ez0L1EOKVjtSPuaP1jXABz DV1ELhnndqU4duSs9ydYBXLZ9GKFD/9uP/SfClXekJC1opYGv70AICcSN4ZbcXlVO1jttl8zt85u 3qaXT5lkygIAuivwdBi3+UhnatpXq5KtAItdEsE4iD9BDTeIfJwK1hEplZRpL42Oy2pk5FSFkACA d1c8BlEkHHsRxpKJMYu/fc4nU4Mw+/o7WyyDXcIgNrqa4egwis9LUgzw9PX1zB9RWSXx6DawXBMl hVwHoDY7hTjBEZdXEDdope0TRWF9KpHrGIJ8XlBI1dX7o7tWqhmEj1esgDKf73gslRK/IMiNbTk4 fOpTgIuAIxLO3KjFXgcoa0zhOBe1SVPxXVVICruUPBaiOeDMurX+29zsn2s+epLQUNcd8XrS91st LBzgqy8o0yIhY6mevqwxRvhG7/QTu8RNP918mXgsGbt2Lxo+4eKkTQoWR+MsIoR/79eIoG818shL ydeYUv+V1FhATEUJp8axOhA2E/EJneiE8V7/a6HmJSF5mumh92V6uVa8JVMjChyrl8KGxy6UKvAG TYlwbx44p5c4HXVFntFpi1L4Qq4ukCwkGXrama+h+R+Hl6khXqDM4bhCPPrgshkkjm560CfmMXpJ 0fvLuSTDs6VFY957gS6H6nxEkKGaqeC3LmKjQbSAB9AWm/N5nsJFqC2YwFIccjxCPAwPIO+QIFVw z2d718Lwe+R6rUjntkoiBO5GG1XgoUwBNA0kPaAfHpW/v3IY019MWivk+2ND0z45gh1Npu+RNRV4 r0xjn52qgZ/QvA7HtZQAKvmiORCnrwqX0HvBALnKAeHFROIDG8huyZbmZ8T82WIvmB6vmwGNnloF ZSDZLJbQC+cmwOLew92nhNABu0kKEgy5vWfzLyqBZ6GL8PKuYjX5S6ZhIRQIcGE02CWxsV7LmusD Np+oGQOLD061vJI/vy7egbXjGAG8cYQ6ySI+zRhhpODlVbuU6eswaMtM8BEMfwkv+m1tCJ9apyb7 yxxXNOFxb+mFXqkzKF2dgmYnpJbJ0iR2Y/v5DcrPqTsMJQj/jrk9cp24yrR7flSMwHvwhijiJmqw jJkKjF/9sqHylEhYHWqkwz6BR1eAoruwYnYjVBGD/44lRBX8RV7R1iOp2LMoup8uDnRthiU+Z9Bv F3ax8hSfE6HiPjc18Kt9V42+7YZ/bqj1Ri7zH7JbCrAPQcjaUEB0xyC86aA8NYmADILswhM/5ihb vfsJ39JdQcQnhpTq25djNI+pvXDHqkaVzpcx9D65RezDMqNdOAL0bdJWnGgoN+YsTmlmXedNfJ2B 9WRCL9m345/9pD77XQYYkVNQhyN6WTmrhe9TJ7tAA3i6Dn4mwSjom66FT/4Dn23eZMYcsSUuZi7v T8mDXRXR3ZHChpDv9YfdCx3WCUnI3Fz4D74vRA1HgajAqrcePVNoqSUVeiZJKI4GrtFkQPtUoVhA g1OF+VWduDoIlgMsFmSURLsC3eQrXiAIIoz2wWxEnFPN4MKBtsum2w48IYr8/WYl06fQFeEoWRhU //h9Or4Ic6CpZOY1AWSK/LS6F6sto5WkyL/9PU65G714N5nAUU7Xvvt23E1ROpmTzNfx2df3CUUN Hp+LqDZ8J2wrX6iTZR/ENPIEBODPH6PvkfPPY+FsliE9rH1n6noBNoxlT7hIdSKviQmFh9fceM/e 0/awCIm4UGnFluoXmtLmZ61ag89az1TjWXmjuA1T89dL+Ncl+BgXf9cJVfzqrar5ifnzK9p40yQP QBjFjKYAj8tscJYGjPKF3sMfa2QcZXYyzEQl8ALiyWNOKjUjHmCKLzxF9jErU7cSejUIPWG1PRR7 lXYNzPDbj3VDh3X8tjCO2YE0eBWhy5/giT79oz/6aZzyd3JY+3Jx2WUI4nHL1Wo+HyhH1Z2J8mZe 3HFnqHa2sqpMf4iMf6THkZY6ZLPWQldvRunHF6jTOIuUlJpIZmyt0fbS7Ubc8NB9DiN7Y+T4+1Pn ALHg6gYcj6WOh7cSDdTA1Yb5YkavY7iyKZRcs1qCmT93PFykJN+qVBKLPwvyNq08FkSs2Js6bE/p ZKIYBNP4oMWkNAFn/8DJAQlAiarBWJSgnDOufE+XyoUKX3J8JGiczZDsTEGm/lN2xglT169SPryR Vy+qSQMiIL2TRw6TroQq6HLJWoDTagG67WwSNyjtc/3Y5sUqLM3T+ZFNR3XYEQhkuAfBTP+u1jQU lgKtYjO+/fWD2ZpAx7HZwLn6MCsr+EvkyqqttUe+FqzsaYUkaSU7z6oISZdGExOcj3JG71i39a0u 6jGBa63fz6ifsqIXL81c+vSF4Sw0ZvxqQauIWejIF8Hi+8dP5NGf+JLu7oYPHp8SVH8BfdhEsgok EXckeyFx0+UltCHrQ2nRZ/0ZEah5YrnqBFL7XmwjQRZQHXt0KniGRm+cd2Iid3ONkjj2rHYj6y3I dxabMg8EzKmEaCuA89FHPtuXJUCv4rfFx5AQ6Wus88xFOIWaFd3q0KouN+kODePKjl/DwLMl8SD6 Nh+uChhymKtcOlb02w8eqZ9/dfWcIzE237z/EPZ6E/A+HIL9+6nFxmVGXKwdT7rsTrIGSWNGsnwi 2+s3HAOH4lPKnKB5rNUb+dnAH8xoyfw9yTXtg7SXaPrOQoowcUFtfehFCAJ71EBuYOKoU+aCULb0 pUqbGnS9kXFcRTM39EFIQ8FokeLWfaR3cSZpVafJ5GEPZXzzUFwOvriHD+q42p1itPZv7o2H49fZ xzYNiwd250DKYHqAmc76jSixLjoGsVMssBC4U0rq4A+txgzK7gt9DDVBWytnygAwMC/t+EZejOpv 5UClKeITx8S73C2aN1mF55IdkidlyRA/K8YmHiQzH0m9Nj8fkQIy8UNLCbBIl9BRusEmXzMnINeP RrOUvQ/Dyx+gKYs0LaWtL1ybolEJs9okdkUXJlm9LoRHKgFneTWMIDKuzvZZdunFRr1L5VndfCoL dmEc5Z/yiTL2I+fLM/X+jXQV/39IEZJYTRFYk8qoMKlou5Y4nW3X+RFFXDZ5iGIXNsmuNWESxHzN vtsHB9CljJ2peGAPSkZypj/9S20eYqCd2lmgbSm4f/3zNnivCcQWZlUbrXIpbbR9e1xoUkYz6+GW P1N/v9tT1tQjaPulwftmYocbIKOKRGkuiJuuAVIkr1NYlD5E/5FVe6kZs1Uian2iq/7CONBQZHtC zfZcVvtjd1+duWbbxyUgtyDR37qWn5WriskcNSIbucUoYIXkpwthDAkdSIe7vMQclfjZzup0Ut0V x+e4vTyL6+EDPk4HFi+Nu0kXIFl3UmS/3Isn/vllbUHvxnfAwld0+7TNYfW2SERhpgj6zydnvqiM Vdw7LP8B/2mJO4Hqa4wNCEVB/UNgcgVvqwsDNONVnjjHnDBpR3zydv3theWL2BuZMMIz5Q2lUwz9 BP+SSDGrsrHKDuzTIwYC1Gn2DBjs4JvzHBJvg60/iwFKNOrl+N/7KvipUn0x47zUwKRNpvewswVQ DFqEsbIabnYFndPP5JXDKsrAbU0rwrK+u0v7J12C/sER6NXTI9HBV02KBBlWF3nlkQVK58EHCqbz +7B28wZ48YD/++qhq6lsPgEZjCIBOtxvZvgT6AkxjJsWNEc0OmNWZxYNi+Qy/0XG/RPTpUpDNI4q WU5luGS2DJIbD9hLvNcBirna9yMHpifTbmO0kWDu6KUKk1ldgFgvu8tG/lOK50lfVXTaoA/5tf9I 9Mmr29UaMIEabaX834zN+3qFDcFetWWYOXwca+/7ftnaGlBjFgrC/5Vw9vpo2Kw6pFYkVB8Pvbvo 4miXeVCH8WlLFneW/jJmPeGASgqGlj1uFSGEax67ZYpMl2ZjWXviUeo6UTCwdCbfTp94woCDdq+J Rbi4qRppUDLfuBtafGR7H9bHpIcpQGNdBaOBvMLd1bHFYYbBFjp/zi6JtMBpsL4WUXZaZ/0WYvZw 4/geYfu0C5irwTtdk6khgP97XYP7AMkb3XuFTt959PIqiwF1vAdnsXarNuNsgEVecCGTxSfAiIrx KbIL2b2kWWanV8n4qCDUrtAlz6sW3hEmI9DA6p1RYBRKLSu4Yvyknz+JIgigd3XYJNoDyjMfqOUD Jo/ii8i3S1vjE30fgOGojt2NRQPI8rbzGYlvfk9Dvzv7vUmVfE+ZuXZRQ+ph6nz+FBA2BVWpqwb8 dMhZforM1nszpdsHAOIFJZScpDBxpK8RMCRD12/WP5TmuNfBa93/4V78v21CHAyA4DBskN3o9XmY kAnN7qBJML+Co/8IcTABZSNsMjY9dtxmPfDo7AE2yr/kIWeU1ayM75oxLmuttqRi0DKI2nGXlEyu MYyw0WA/Hqgt0YQ0MekpBkjVg7FHQkW3Ca+3ck7pEKUoijvdop1TVfaHtI6RaF7Guyf8M2rjAuMC PvnkEu7V9od5hfsvT2M9HK9fr/7Kml/Nr73pVMtDHIBUedd8ucnyyKSmMTzMbOhQvliEngmuydyk CIJAR+ykxPs6e8EWrtZvF/ze5ExOsG/LBKHiLfa0GegodxscILltLYiGu6O53y2lEtQilVQMwjGA TktVF3hqVNn8+5W+a1tuBW30ZDxumMk1s1A2919dR9PYX/cERylyJKtSC70IIwsExSrjtLlrneLq cUNfTytGTv6ilsHysNb/ko3YQtdqKlrOCXVDy2aQRyyXqWploOVDD0AdvMvmjvxS2YICICGNnMbh nxG02PnYO17WYes+/aZEpxEbpULUKkcIw3VdqCsdiP+Uwy9+jV5+GvMqr/GRKnOC4vo2zcG5xflb cjManatvfd9tkDQ0RWXGL4ahX/cpTx3UPxdWM/wb/+3DAhZSM5O/a396E+WNcZGgqIE9AI9+oVIK qHGNugPL+mPs54YsCIQD2L6x6bsLmJSf3WO2+VkEFBk4/exS4ks1V0jvTHIuFYOI0gbjQkhhQ1Uj kva/HUx3NSmtzbDq5/S98K0R7cbbaRQXSPhNJXfbZLlVr0L/OrF640+cLAod+Y8Q9reznPe58VD5 aLl+rs7C9lq17ntXYVZf2kYNRc0QvlJANvVzALeNI6thhv+SzoV3cwrKgr2EvshDB7f6rQK2+BO+ d058YUX25i1jcVgjxAbMTMeqM9Xa4RrLN3zFEGMfCQsJxQ5+B08XFf5dqfPS7ccp94woJ9Rw1smw WutYpSZkccjBUmGdiAdhlH2DfEHKcSyyNn12Nb6Ng+Gm92hD7/5TB7yk9GS/w7E/z5M2LnA30tVA cqdKReO0W2FtUBxQGY2AewBUgK0oNxARIcAdL4IlElLq0QUbUxaCUPQVerQMCecl73pZyEsQB0ka 556cND4t6RdasWrUHKHTeKNdrkDEnFq1vvCZM6t0m+p/Zl4T2pduhKpNG/MOIugBlO8RZIMi4M68 hg2CZEv5b7CjgGBUd0Q4xu8sIGCk/CVSrr32qkN4bVQd3+uiv62lI8TndQykUANdwkdAUMmzc1xF oXV7pNxvaa5m8PLbT41ncBWGcTwGP+gLKlGQv7vyHMMT2pNiXr1CWr12fCV+z6+nryShNsFGIHQA 3lDqkDi09JH4pPNpnLl+wPBm6EzGA+ru5RXbsgQVWMC1zcXKOnLn1SKAg4XBjTzycp33nwG/dFEN ucbxH5uPqRB8po7DBUlFMZlFUKRj9tjGAYq4NdIzi1F9VwExJfpKtOmQnFQktDCLVjDR6hKuhxuA iqQcm/XnvQqU/nOhivjiuzUjbtsGgAICUUwV6G4592mjjbX6ymMwv2qbAzwuN7vemg88GGGHEeOR 3QN1VC1TUeeeC7jgdhi/HVNr/rZFbYcszP0UboPXhZ2/Pu68fPQCIU21GVrkOiTy+6UoQyN/Ea2A pSuh3a6NOVSySWmVRUbFhVbvoDmermMjwhCUY0dWS1Jn7/8Q7L2hMEyoRnBOZKreUpE6vYdVPAJB WrhcNgX2QZCqpLRkvVQha+Kz4ZRkwg5nN4nlkR+HVIvvnnwpCXZmFJOAXMWlAgMAZDRs+hJpDyhy tTVKUPDGjCHLxmkx7ywDpUxYWU0zFXhKJkREXYUyd3cKEVhRWmIW9cwwEMYG3KJzf+nHd2Sm4dSo J03iI0cuocw8CrY7f9BrBIhHpbQeC2fkkNxrZSb5b5b+u4TV7ZcJT3I19gXH99JtKCOPUAy9wngm KnQeiP/SQUZRhsdXAtgr9HnlLQI95nUKSImjQsuZqbJew+vsErc5r0zaUOhRMdtKyapMlB1191Se AdFWa73/Z424BrvztRNnt2H5YS66LoU/sP3MXbQYaoWJDrJ4HrvJjbzfZYbOUTu6ZHl82+9GMraV W0W2+LYnFRYom2Sys1V0qj041bSSpKueG9QX24ul1nkyfdYjS/g0JV4iPuD5vRki4hFT2lHbUFSj o1W34t5h+/RxCL8qdeNSsxNNuguCFkUDUDIpzKnmyEFlLVVu93h16hiLvrtWoLbTRvc2SckHE8OP h3NbdMaWLIyZYKcWP0AnY31xrRWxxhWgAfTdX6Kr0OJfUaGSsJxK9+Ph3JfFbfY4bvj/fq86ezi5 4oijXP4uPShRNkAx+fTVTvUVaCd5CscKWiG7n83m9J7a8lRin8qUd7Wl6ajER+ooRhsTIimnqb2S qlvzuDmxF42N7p/UMPk0U2gXSw7GF/Q4YKga1ud76rny3SCgotSze63VHxiu/Z/nU9DSgmEAO4sR kEp3LJ2oD9kf9eypeFTBmjiW7hwyUpN4x8lDyWo2k0FMqYbDoWrCAMbC/J/vyWMUr6AXEEUSX1ty O5F3acZ2TB+PMbLOan7cEt+rguXEZTfBkVIdAgLQsx3iKCYrgSckPISCDcvEKwJiB364giE7TM4r JsoMELjnxscZzpxbT7io0DkEJuiMJP+d7mH131iRjPNxILZuWMvk3vLAGcL5ehVX4zI4rINeXAjK dPioYDjbvteyzUM9HdqS8cbGJq8GRtrESXjUq0aFEmARiUPklC7himhfvuLxjSyQpiS3mhPFj/Dz rekeyUD/Zti2JdT8p2d9iwMALrC2gz+ipTALjMxKmlf5DNhvug/t1IyTowsU7cU8jrH30JMRiw8q W2DDmCX9v10VxAr3a9sm/xvGFQ9nPqQaB1Dws4hElLUylDIQ5/vTe2NbBz7wkWG+cqy0dJsI1lYf i9+Lg6lozJIsDqWA0Y3Y38kvJTSDkTLn3+PfrN5W+YWyNB5ggTTyp3ri9RUf4N9KIo/yiaKMLB7Q 0WXVLjGK8XBcCEkyB7YeRRW2F/hOV9PgJqkCtnLBqlzfDCTk2A2A0/sCZVCb0PuQ8vYcDAsZ0r5K AjjhTaHq3uu4Tz3xVG2srwIOneYlQ7ttbL7IVcC9vArJB5rf1Kxot9qc2upKrymk0/DeG8V7xpHa UQqGNxyvTwTGJC9SNKey3FXwEE2WXN7ajFft60la9Y4IzCerYYjdwQeGoaEoaB7mkJqxuAB6asQo imvP0W8Inm/3WWRIZ09LUfNT2rhQJsvXxYiUZn+8cFVAnqv+MfelGZL28AAVZtdHMAbQvW1r/LD3 l9VI0GVPQjdZoeGu/hJGxOFxYrQbt7ud079XuDgUG+WLZU6Fd3VWfmrN3n0uUnQDwF/Ii7qusdVL qIQZ8jmG1O8aeHSw4T0hmsifubjWPWtx4QHa58nLICU/bnTbUwyRaT7Uj/4MmaySLPxQJ/JjYK8b AAmKVWjFJxtA5RkMhrzyc58M2JzQweZ/l6mOFHJr5fN6VyBfGCRNTvBKYJCrLmUVfGtfagSXDPFc teW6tzuWl4jhOmQUXBu0KbGD5YinyuXS46SddbsDSuJSuwNBWGkT24KS5t2ImJDcZcSGALjCz15+ s82+HFtACIRR+e17FroBwJHKjayM62HjFqxv7u4lv22uUwdo5fQl8vFOBPpJyKuKYPPQmfdrJ40Y Ssrwfg9FWln1++EP1g4G1tSOuw6mnKkyUm1/0MQGIY4nmjIgoXR3GJ8MjKmojs3NO1HLDlzW4+1h hKvBdcXcWgTTPyBL75ME9A3ueMdZttKQ+1OfyQ2TFRKFDGUYtJ49mGrbsiI7z3ZqDKED1ODgXjDW g8bqgkPKKlQylAPHTDPDZn18+cT25fv+zTNi8hNM36LFbVhoYCFfAvmzw3cMoYqAW2JzkmKuvYHA 0jyhPAFzvGv4nGlgQUlT5Qz5vATVxLArBoUf5+GYe5N60+SDExoPwRNE32AkofrYiE2h7AQedJ6z RoVH9ptMz+/vXH1SXWH+P5kJQNVfmfOOSRYDmQGsA7RAUBVGqOpWG6xS6gko6dS4TzYDVhqUH+az J8vL1NN9wrqKRqczGFR5JNadl3t/2PnqVJa990Z71xmZQmJoCoKRS0lAmD0dPLiAu/4baqD0Qdm7 ltJZKZ35qVMz/ApCZleqGGvAHWvSfV20ZxEQRvfs/W4pX5rQTxWos9Ne5bjf11o0cR0RI+uU652G hJ7r9FwodeyfKZsAqNHQ5uwGpSB0xSgG591FIXYLyYPN/fX5uZtQ9FKC/ieRRTJ0fE2LhsJ0SmqI wD6xewn9i+a+Xtbm4HM4C2kVhW2AfnZ5EHp62yZDENANQzXeOkf+oR768iWB8an1CEF25wabCI9j 7FP3Lx+0mKHrAP88uovzSJfpKdbal+4HhZrylZhuYxiDm6rr/iUyMoGv2cMEEmvDOZLZSuiCfGVc 7boQPqZyDJ/7ZBLufuIp3X/yQ5orYJgyXcA5bS7X7rg2t+6U50hyn1wD706FUreHgaFOUFtRw1ZI h92rPY2oxQYEl8z2MtgQI3HGmsvNd17ktaKsnw+/R6z36sgVKdaCMGvnFeESYD7RhfkqCpd/tX59 u5oaAbXb64Dv3KAIddaNJ4lptEgQXwm7DA5ZCQYUqmoc2wI1P3WLwzbwdq0hLVVc4eEiJ+FHBJKv plYNUv1iQESmClucC0IPEPEq9lpW0IrgF/eT6ZqQw2Gs36WaSd70ejVGcrOukShiEz2DMaDVYids tm+PAeBPJ/0Qz87DVW9ZgKx1lTsr19RxYnpIHPJv47zauxlLVNrmxWg/n00LFPW1+9OghqcUb+aR ZSHJhPp9prifTELHxF5tH0VN2whRxKaKo+TgIRGtWrGbjelcw6RDZSEoWP/LhGEYNehSzPA8pBSk mGuldUIFikRtTUSfxWbiXxLgGBYwQJl+hk6+yYFAfcUmM/gOci4KnfbaL9EChWXcm+EU3m40JrCc duozgDxFDklsT5pU34mANBqp6g64TQwU5xe7upCQqrCBb54OTIynmobLIqHYtitX3Sde/D96Q6zh /NtmGk5vUfn0D65BX8tOgopbsRiAYe0BTJLNT8V9QTdjJKA8HoHvfIBExI99SdB4oyqnr19r+6Hw ENfA9ULdGi0wFEBdsYtnwRby/NsKQLqVuOBhPoI87u+kcb0J1d1I1Y593rbSNbt4Xk3J3I1XFpex HLvpk0GWjut8VzWdCSp1/h6EpXH823jzbFvDf9tcXSRxwLabI2wlepzNWP45B1nNIUyi9TOSeudj 3mJPlPJHRHfcREBhr6yf0A6LDsGIVg3O4faGEVovL364LFjKMqfjN9TiENchM4LEDUqx/GbjKfF7 CoEhQ9+OMxTX2PnXTGbMlJtUIgNkMWpX/Tq7Vkr15YbUNXITvp4vJilanO+tpSja/GRi0GQOUuU9 G73H/u8N5VL4fAXayjQ2KeIqMuwIqhqifTV4jOitOXRbv6J+x5QOdGN5/TGoSoNO7cTaiToe8oaI +KCx4fZDcmiZkaKr1Oo4Ka1rL/UXCqSKJ+126f8CVx16g8vaw5pwpjx3AIo4cBQa170KoAS5KR8O LfCQFh5pF5gyAJwYXzt8RQdMphYaK/LU4s2cLtjLqHOdGBXG97my1bcotJFmrl5ylkYBDky++rTK qziOszzcpyYkgk+ue/5MucmCTNBvT819nB3c0SuDy9alYHK7HOJiuRuuCcldSjfDKp3Z7CzidQ/B UlwBdYNcn4ety7ZopmsI6JXJkc5UZXgFcuXzRAxR8HHxiEYnGtTqzipbPWsznmQfWI5mEvSUl0iZ vqloDOgK8Sib/XsW/c9wQX406R/dc8wNvezA4K7ANms1QaAiQ9SiyOzk3uT/ZZFqiSTU+JIHUEye gXd4vYmjRHK5RpeOvCLn4ZHFYxmuwGl31XTWxPTOdor5jSVLMxdtUeBtc/mU6JPLr0LU/j6Q4UeX ulcYPxatYg1sAbaLYNJ/CTgr9OA6CrN2fA7GwF591qI8NSjL/fvSnsgj0LU2xTpBO3DYwhWnRAS6 zntvVLn7gBXTw8/cTSFqpJSLo5WEbEwz30mC/L+7Ht0N4AkK0TPUV8gL34zxkKo9FrCN7+P6bB/j lFnKBTG9Q08KTFin5CgX90DWESo0QxZP9Z9lhQMCDOhjewdSf4INXjAE17DO7ZfZaUcG7l/LkNHx NyKkmnIbtmH12iPyCurVv7THWQQUQ4NEUco7qd6d7flw1sSwdYpmW2foblwO6PAevGfi0a3KnRiW hNuDKBiik1C6CFHvwER7ifJpqkxjtu9xTVOJSZ3ReSpWr6Wpefk8mhrYl0z3uwTqPzesol1YLV9m LFfQUBQYbFshHeFpfzU7JgqGxyYcD3sgVCqGgqFnRlr0NblFV84KaLxAzNe+NnMBoOEfJfqb/S61 6hx27RFsAI/Ulo1/mU5PAogPzhEjUBrHtKarefsPGsyz2Z4po8iKTEwKARbkH3oIFTdVs5Ovh3Ol kV9OjeddxdlLhF4bn6qUsLrxiOGi2MJnsjhrUn554uu72zNIeTRD7C4ciHEFzKURLjHffcAYnMYr eb3bu3Eof7tZe/LFgbFK+rBbHM4lwfSMKhMgWMArt8d8LUtokKEeo2m+XH7NRlnKCRIF2gTt6OiQ nu2JcjO6EgfR84CahWx6ZeAryUlFdtvWVSHO/OsD8Hlao3ehpkrSI1DfeOxqwZ0833eVdc54bLiW N1xtBnk8vtGfNOD3Gr3Z/LVAzWH4RUmEPVw3uwkfJD6a6fNZ8soULJBHefTDq5XlQ8BUoHCyQq8D OsvYPewmuGXE32JzAWFNPHuoL7tubuiWVzr1gIEGxqPyI7tS8/FwuOlDcuYsWNhrVY1AJiZX4NO3 DwSBAqYdW+DmgkzpId5/BaCHlh6ZjuS+xfolN65/02ttfz3hPgPkoY+lWS3uPXdbKdxyB418jbTW LvgDf9IPvptKgUpbIGv4iXfJmHUdReCdqmtn2+tj/9QCM5vhiYdodOWvnUETMtIZGjz8d9jjfEJn UDGiHW+uBfzRKOXm7FYt6MpVaQGevLEt7rILC5HqA/n0XzIsJkb4hcBT+p66urZuPbF/ZysPnvqi U+swISF0/amDee0Hu0KjAO7IAV1grRuZdJ31Q0fUecV+8mPCCw5EifZ+0sEFUa4ru7X7PoCR20Nf ZSSkfoc1clzcYeBZoFRVfztDVAjtsk+vVBXETNXsQBKhMEpzA+sOp6jcbDd+twxwK/RuxgEar7yj N0Ti8rYSHv7TDZ7y3n1CHh8r1NAeLTOZPj3pU/mkXFZoLgSPKxuAVc02P47fbQZkd9ajKWypLWxR FRuXg8DIDPSyqnrhNugnABVzS0FIXB5vGmy0LWy00CS9DPYTV7FgBAbn6nvYvR5KFKKohqQkPIoC kU3WOUsRTcM0hxEEOBTOt3B+Mb8QYcnZm8r2pTvI0ICU0kBs3u8CdpqLkLUor38Ttk7gq5DxBvsN PWh7xmLOWF6geNDL3oHCw37Gz2XBDOHs+KyYhidtYGtw3Ss/n2iBg+jgF1GM3d7bGKCX/s25Cwvv kURkK0iAq0qsf6bZ6COLEBtaubZsHPCujIEIP1uO652Ck9wf9elg08vRdWwrPWD/ABHLyAXqErSu 1tBaYIxZNO3fBW264DLOia79X7H+0YXKJfE+Nq3v2tHCD0Lk87TI1J3HMm3A9VbzzAAHfuJtzcdQ qzx3Fvxjv1v2Re2FQND0LR138+2mkq09Wi8l90NkWX3h2Ah+UfOL8XOlK0ruBSZYwCq9xtihdKW8 1nKpvu3ItPhbcEaYjsu3GGodB/FtnSrTRyzumTZbYGhSMvuZnVcV50B93XtklwwzLktgcQOvtfBX yX6kBaQ5F+1e3VFsRrk3IPI6Hp2ZIuBRxlkt2mwcbNbcFMmQ+3gHjrD1YCnfu6JKorRiruCctWBv Vfknu4tjcL6/truGivFutGOHbkI7DRD82zkkDldpXaL8DCqonjjG/zM+16/C5Oxk2WggnZUu3sCU jaBC5AmUZZfo4xgdSP9RvfOuzRnTTHDIQesFd1YGaiI/atJXMWhDQvyls9tWzQjc72l7NWPBwtw4 7O+8oQyj15x+0MhFg3RB/xsmQ7VCXTQa3YUvAsTMtIgVwGE9EVFJs1cADfOjLLj+n1ZyDQvPW43R 7JOJdWFFKvFg3jbGu6laW3F0hJMnvE8LYxcTNJTmStUTF7VbRfhBWtlvaXExlZ80BGLHYucShEKJ Nq3TRap+JxPimON3f+vjNPNSDQ9FDxGXeX5JWhARGI7BznnHmnivzyjPZiS9Qv+BHpO7nour+4sT 9XBMxyVVM/dMLgjXoJaMl947QhqK/SNyw0tUVHoQaLmKr3L5BN185YSO8nbbtuCWHAsx2YwgdY2s ODQCo7asvwjDU83+jdWy9eL4nohoV4PPNhE5q2YRgDMK32dLVFoYJkka9QgEA7gbU5Bm48DiavmY 2DjA8XLzMV4BlD6bEHsPsKBeM8ELYycZDlxI7I+jdWDK20sCYyyLHPd9Kho8knVPI2Vv2y43T41f ki0Ny61Llu2fWrKUMA08XlS0x6FqaoiI1gWLwJv+LeJ/jyAwjTvgyxtxbru+Uk3G2qIcprNFEOHP OrxRBHPNIbRJBOpj0Nv3No2IP/NqvACw7ilO5SoibPYMu0xGFAS0QbshALxCjsXxqKwqtlqEmRW8 MGZVFuB7KEd8Dj9ixq//Ib95k2F4ws7qtD0vOgmEJd4GitdpeQVpHkNKL81wkJ9Yq5autjqrfgqO u8gE8zJKFIJOUpo52CRYRWlI4jd/KlNFIOWuM5fjQj2hI792mebYZnAsM26rMhEa61qhI7mt86is 3rnXXfqYT+KwKo55YLB44MfepDjsuut2U4Azk3M1+CNWYhRVU+ziYTk8JzijBaTu9tsgWnsNauot d3+IaWRLwJAR4EG+/XNy4TalhBRRa9mMyAehj7nQ75qUILCavf8axOWb6nkWu36l5yXC3vvfcQRV eWcDhsfvWV4vleCqlkxdVMbGyjO/r8ZTiWnyHIKCsfb/glM/m4moge5dabXMpVWo3kYd0qQp3imi BA3vGuCPdf7Z4wuIHoVXIJ+MaWoYYjdV88yh/2n94aTw29nohIxRt2oVcry2gydhbsaYiQJ3O5lo AFKXwKZT7n6e8TYNhxnUXXHW1z5uX3panB2hI0/Q4jtxK7uJol/hJTZwysu9XpjUtnh9hwwOClyd VdrX3sIpX3CNtzv+8gsk+IRomabb7JFLviLag7DsDZCrXRp+v3UQkpytL44a7ZPDGCIPwVWIeyrP NwZ9xS4WE4CNaujo/DLAnGf+cq023ihBd1piVpEeUulegS5k0tR61VyovWT7VTG4U/rt0iXILjpk 3ab4RWExwn2TVJ3wv1kMDciOC3LWq+bWe/oZFqd1/iFOqHP+umq1ncusZWAa6F88CeREX5JTxNXI tr7Sw/4lSGy+rnJoNmgHMgusbJsmtY2p4OZhTgSBhzvYZAiv6t7C2VkZI/lr2dv3B8dDfwTpTbMB hy3VxEcdVVoCTAHMw7OlgqEOnrSeKUnpO2ulQU5zV6sq2PXOHM80yAsjne3cNyUVJ1QrZ+1XannU a507fxCaC4r6GeI8xaIMA0c/Q8upIHV7LIrfExA6XR6KMmF3ct9Oi/LHTsxtZ794VysaSslH1dRb XU3ZC1c+UeXxKl4Ug9hSKd5vlt6jWdPO5scEfRd1hJon8EpJC+j1I0M/J7oheviDMyrkj5UNVK15 2YsYCo4aOa2zO2HENGYToclLgq9IYWywIxYLmLwxSWPvgYAU25g3XYPBTONWJ3mYW0x/BytCEUfM 7xgbalSJIPQrN4pESVGtaIZsRG7l9PMw27MDpUUAGO4KVoubcFom43BaW1a9YRjjJsHOvhwj+4EG a8MY07ZBwvk6wFm8xKvhAaSu40wu4oL0opegcAkztEdeY+7EfLDgfXxP3C1CAc6Eitn5N6U88+mO H+FbdQtzFANrcnYoDQohZTmbZ8BKdhEgmveEZ/dyUMGpbWKMRsx4Qj/zzZTTicTt7ESK6OukDfGw E6k819XPH/8KJRkIEtqcU6rcxSc3VTTtPmQ1rfe4IVA3GitpEy4DuMwROvx/zPQtu5XqeMCoNMdW ASpB1rLHjOgnoUnp9DEF0Uhm0HfZKUgApkai3JqLh4KlEKQhZ8O2mxUu13cAyrpeRN4xuOHTpoVG bSJBY6bRh1uHgTb4jMqYKu8cak17BP9CldFYDEOzVrOuLwg4Ndv35Qb4SKprWF1oWHMBGAFjhXkj FqXkfq5yHtaXnNy8KpJtz5FiDGBWKkTscyrCuFcLBUzbv+++KXj/Ea4/Sl+o5TnnT7Ak3aqOnOi2 TJ2i5oWnf5nEZWWauvy4fpYaYoDEkj/CDTZYVcEEco6WLCe64zrghcb9MzztpKCUG34qAXa0lCe3 fNyqWuf8nFMPMYU7PMOsfgWY3hisTDEzIDkVEEqxxhxl1CcJGD3RFM4VEdBhQUw44MX2LKXDBi/x up495qoXEUlJVEiB22NO00ZRENJjT3ayZEPbKVHZbdWacioQgh9dAaCPoVReoqUWQ3Vi3+8p0HzO 5sLVaHaTkR0yWAmCnPWzV6kp2xTl4nN5xHsp02wbmlLEvJgaIPtvW5LLc85ZBDjwaOKG2dGcdZLv JefHTT8/O1GVRrEjx2blk6Xm9OdowwpoOw1KWvWknH3vzqkzC/2daFqXEJQMLAFEM+CAcKqqNbr8 NDcXH6Mv1UtXoHrhvFpnNQrXNJy2nbG9JgxhgzdheejgABtkqzTtAt17iLR7f6px9kc0vNN+hWLH UxElewd/AW2G+JNbp4u0KzluA/7H9pu3A7xp7TWmdG8HoMH6jkaA/hqvGascydw95hdtAx9VkUC2 daoHPRZufK+t9H+dCFGxZBBHGGFhcwqjzv7CSAYX8lq8jYt6xack1iab35emkqserJrdD0hbbc6+ x1xF8Un0OZMeuXaBZJ8dkft3TI2WZmXbjHzWqkXneboEclxHDOTo5BPS8IM0zAfA4Ntzu25XWTiw YR6Z7iLZJy8agTZOLwXK/BpkaLWC1vx2Zc5WdiJAEPaffVAdo+YMTaREoMeRM8J2ledIiBKWjoFZ MzJpzRMB/3ebg7XC1kqmnqoKqEzPBuyd53w7s0dZifYQyT1NP1Ad8oNAMUAwfqCiS1VbNu0K6b2s /PKL5BLAxcD2J1xEtXGMoGm+yK1zPE3qsXFJGs+ducEh9mL3LCxWkLyFDCTDHnQpW7QPAyldqSg6 aENFfzPSLY7hY3CUYA7xifZdAngIgDhwbwDdpltncMPnkSQxZbusrd8qRs1bNW5i704/T5w/3CmK pivq4WsYwI241EWPIb6QzhcBnN8KhJVJ8YdpeYoRTEGbf3++QCq2tJQ967eZPGA4TCXiTtErJbls 9Y4FaaIbCDVRt7eWY44QNvTfUMEsMPvLBBTC3YKTu5B9F/Y+GJzbIT8cUGTTXqmE3sLIU+4yoL4F 6x36U81mQVCGhyC3XkGy+G5Dgyx+BKkayeRLZpoRyI1e3UI6tmSbE2xdU6+vM84tGumgJe01ettS 1DGe7l4eunlOAeDcVlYCq//cjZvgz503/tLsMvjSCNbl7OgNwmgFDyY1yDAPbqBJDUSN82zfJg8v f4WBagIeP9KeRAJK+WWq61SLS1d6VTmzjiOktiamCgCvCWFsMHT1azLdx5oMBdLwLLX5lTge3jmf 1RuWkR7qdI6TJ0MqPWwZkmaIa9tHx1GGge2CcsSBYxF2O3xACEYiNUvvxqDjCmf6yLU61bZAdj74 GO4CvO6AA0XRtVh3yJ/q2cXAgybSjFFIjyMaRGez2/pBkWwrUHd3x+cX6HXDzWdt3NmyD8XBMvul oIpQwaT4xPBt3jw8sImZF4MEu8uLMGdw1ncdkWVnMFQ2gS8c5Ra+NBkOQ8Ro6iWTADK+zqvMuaUP 5KyRlvHF6/X7BdPlW0G4DEwpIemwXFhCT1wStRhJVHiGUifE8weOsXof+D69rP93tP4iG6a021py Wm0+Wmc5Bo2lKXygXMadYYGhuTdkHiLhHWit9yCuDy4ICStx5zdvCna4zPV42sSijSxfYdS8NsOY nJ7E2zTrfaIYAgfwynsqSpXcb+E73r2iVPtc93X73QvLcNdXMlUyJ4bhnyaM9qUSXLBwqiDxh9cR bL9b4n/hzQp1PLeaD44eUPBGOlWrIwVo3Woua6zazFQogxf16PpcIZ8XXsatDudH7eoCwP4awcoz Hdp0nj9AJrMyQa4ug/oGWxAFXhVi1KDJIquUSF+BMHXI0rPHNZhw7iGJO9ubDS8K3IaApks8p+rZ ITz1OEDN08TniG6Y6GtPiB1meYi8WCT6ea4d4bXBqN0jLi/bW36lCr+L4+h3/wHYXMgjzkGOmFsR PMMsvzDcPQQ34nbXkIsIeiglbJgBG8JfaEJSI9iN+A8PszJoADT4vZlg3VWl5jNcbnWYi/Nn16Vd iHglfI0Dg4bCydl7Yo2OWMuwmoeMwhIKqs24yf5kiIzOcNn/HfFF4Xs1DKi2MKnFGlNxOgpyUSTg /unzee8xrXcX3XibaLjkjF8xOSjfvcFi4bAa7J+D88LFMOP3ClRZb8OTU/wn/WiFuB7UDHMv281j SFczlsNDnxO9LU5Jzt7tFlnmLZy+sfqWAgzcCIIymm3bmbjXqjmaUCX6a2hUJvRxZtu9ft475xsk lsHFCaOgC5oNVTOxgpNUAYEYTw8O/2xIaM70++PcRVRIw1vGU59wMDfaURp/7OFoqmfojWeP83Wu x5RTyhqtEzBEmYEfCuLtfFK2B/l5w32hwtwoQn+OzrPQWz0RkUFI8sqRM8mxqj+IUE9GgE2lvZdX eIs0PvSG3uVZVcIvKnnyF9+ZkmOgkLmQIv+11/DYd2bYMqKKDVTnlnA/zxzkijnMs8GPpzi9YQBr If7kE5ENyignmdziKH7teX26Ct1Lo7DB+0dmecxNzMDcBJSpaIbSwumSHUstTUcWvY+zWrGnYqw7 fPA+nNNxwrsjXfjqb+w0AnlsQ1A96MKaU0LW68V91jEr1s+vkQBAM+r8cxW/p6YPVcVOAwTdLA1v k69dwKXWvHKSeDQTYpZj4RbMNXiNCZFnBaLQReXIZ7iHQYvNF2eePr/KEGAGh26BJtsZyXgihEwk kgycceXAHIueDMFnz6JGdGVgT5V7sMEIKA4Wv2qemG4ootPDJ02CRYgTgZHNy3jLeoJEO+3rb5rv vKVxo85LIpsijE1M0D4+A8t402SL/LCLyUUsHtY9+73AFaMIXabpQD3P78dhP43yznrh0uT2TuNy tvU4K6BKuZO4Fu1bYGO+9XPfEUTyuG0fPWVlIBKYuOPTVylumj3p69OiqM+YY8Hv3i1sjjtInxpo lfAGdVJweP/aKpY8fLXQP7iH0ajagvkKMFx0a/AiTfaSTIuDe0iz30Wvuto2BFliw15JnfFNeFjF XiRgO2Uxeo2uxYX3XCWV+FEwNsKPOQ/5RkNvrY9k53RQ/dZji+p/lNJcVOe2tzJQs/wgr8ZYV4xi keujMO1wJLvbBWtfwTpa6/9cyNgO4Lh+CYkV+Dx60ySzq78lgKZhCeIWyX1pwfyrbLOeDdUOPq3U 1A8GmH4g+jZiBCUHpCioofAzjt7oFrAaA+wlT0nCMNWxTE5s1RF6CeQTUBueLhqfJNbdginJAS2R H8U6I6biRB87UHMAs12jxvPkA78jGwZ2fYzDyjvH4c8hZj7j4fMB+6el0iOc0ZaHoNHfSSvulzuD s7mKNpzuKoRTUKfP/ZOxNpspSQvoHKUvvgwN+WcixDk4kPk9n8b1i2Zug43wFLx2k3gx1Y6dAA96 CdtcdX3pTnZLpenbe5Eg3laAjUdSgnnZxaiYqKdz9n/r0mnWDAOMzgS65qCj8FOsAVpoO2qn3kDU 1LVevmKCA8JyQSjg5hdIeLBScThyz8oliuuTN8zPT39uO7B8YRl/oDMguOKt3MhNF1EVsopIIsD5 tg0EtkoeBdSGkLvlMI4K4ubHq2bUqoi72097uBYSI23tGaXTlmdCQeoDKPPkzn7JBBKsFOBi66bU Fw4WSUefC/rdChpzVDIzR8pVmU2H44YN4j/2KPvFbCNvV2GXkhkEE1YLRoHL3DU+GFppfTivrjtY R1CsnXXRSHKYOtW2dqifR6N4OStY/es4UUue8rU/o4B1rPt9d8Yoqw9vk05g41ewixv99R5jQv5+ v1bu8s8KT05pIe4ecCdmbYHCFNsPXZDhK4uTH3FNH6O9ilZa/40RddakQ+XnPJstuCmzIS+8aV0R ETw0qvHZNsQhfnHsMKB3svoJXzdxpYFgHvVTQvE0sSLKuwo5tZwG85RZr+PGziSKuJ6/L8q3j9xB kKQ0Wb4jr48GL58WvenNxQ8/II+zDTKCvPMsZ7xz1xk+Tdkhimr/7A9hC9wgFqAyv/MtpZT7SDa7 axrPp2u6y6t9fzdHm3c2A3aSyg0WNmiB0HE80hDLJud02TyrrIhA9ZJ4app/IOVT6Iw5VPfVhFaA 0hKJ+lIojF6Wldf5jAJwdCVl/4lqnD8n7xoeCq7KpgGG2NU0zGbj4858eFhEzbEa0uYgr4AWBVb3 nD3/fe5Uagpx7To8ePbwSKQTIXQAc0/oCWmb6yrUjCzIqmDstFngGcViaByVWhbrjh4yGJJ20+fz RkhTQd+3sOk3cVtytVnVt4p8kjZuMNAWlGW3K3eqKzu/Fx7z6iINuzQhfGmHac9xzEWog5tvhLFN BJ3MJ3p+JsAVkJqLav5H/PCJQ7KsHwS8iVjOJS9ieJlAUeuIEh1dDIYJu9hzw8UxQxRM6sxL9KS6 fPfhw0VhWeE12Uipgz6kw/5GtKRYyPgvDNl0rMyyVbJ0P74UnSfCX1hKjNKZ8yqiXC+EUBqJctdN 7TJk2WOYNPat+SeO7l1kN0xpfUNjQqXw5FvdGDS7yzNy0gMymAcDDtSMJQs2QNb0Vk4sg3iLTuMz GVglK5hcptlOC2wUmv4xQdU+gFfkx/KoSy+rU/NFTudXa6CL2WKOEGc66i1nyqAIgKporAlCXW0O h1QFe8s2KAvD4UfZSGBBZqY7B3Pxqt+RaKURYzCEHg5mml9jBffainZgHuXBytl94M4LS/hvT1pc Y+Tmt/9UllmGcplT2aAd8FsSDwAIJHENF5LAA4pNhSJBKv9FnXP+GdUkOdix2DPLJeJRPeqH3ydn HZNSBoxpcJ3ykhok6SdLp4ouEzkS2NNfNqBZ+QjTUBEJPHJojU2n5qa+5FD2XnT9l+QnlMkB0IoB Cfom6K13F4ePozj1VM0r3WRC3CfHsVJ0/SKv8YQS4Vy8Ad/bxLT3EVVF7cGsrJqRIWpMtOJEVMAr GS9sJKx0Fed4zFCNHDPQDcc2oWgIMdr40uzfC7TFA0YNffaQbmhXvaa8Uci2oB6xPOa3ELbPak1S Ev+IBHkhqHmayw10A8qE9jJ0ReKgoDBjzD8IK3cal4TwNJSDiUQAaMLlOmWyHexdtYr9Qnk9scqk kyNnHhVRu3kwgb8JnBwkHwcuS1IWn7CLKuhgOWWkcTtihVnA3bAvAn/5n29sXbVKG9bJZw4CtQjh T6DD4RE3MKx+CwMQbCnmBPhzvhvv8gL3ZsbpZRV0byAYKXajXLiIZFEiu1tlRUEr0PG3g7RfvOGP 2UxOVrv7SywofwkhN5fNXtqqlo5wSZrVjZ0KRCWyPtaKp4ftKluT+cQpF+wxUiRCYx+rkiNLINrD 6uCvTSGul0ylkQaZbRA85otc6tXOQ1urgTtcMdCIqttDVKwQ9QVR94cYTJW2lh6QzEyzKcRk0bm7 zntZuuSp1Mqf6s0zpxZPg7Laq62jaTeH87Mx7fr9bK6ixu36HP0VAzqcPrgkuFwk/XSJjeCZs6TH i1M9X+W9VRAMS+rzTEtWozPNmbhH2vR1MhgE5fEF5WjDjQM0kxTbmZiUpZWt0oy4tx3B/n/zhB4D 78GvKU/vSymsEosRZ11x2uwnEIb55sSGwoRd29ImKAack/5K8DoLSUJxkA83bqhZi85V7l4F1KT/ F44y2TA9jUozavPRIWggSKp+m57fVxow9uKQltSw71CgWOjVVDusgj+2pTjoOALhXxUqUwvZOOvm ZN/Zjb2y/Cvcvf3Pzx6ylDn4m3EncSs7DccCQXl+IGhB7DUK0PBdTGakFyYrORTK35exyHJ3Jfw1 fXZhDdDod26xX495MmWpTSLf732HsxBz/Vvx52SEcDBmxKQUfofNZDzFYXzFUGag94aRxPBlJW5C GK9ZJbGjFXZSZUTnytbxQM5Pg3/YOMfA/IuxSw9srNETtvfKGimHZjXOibS9LRBnoyOmQIyFu8N+ 7927MBxWNY8UObTpSrepEXMW5fe5QihzjVoMdOudD3ojjvviZoQsPHreDRPdjHajisC6K+Uw1vYB 9yGIxERsHuNIZfwA4oClO5MC6xgFOD41gyL0vD5ovmgillcCh4XoS0P9UcO3M3DIMw/rGVa+BQPh KBNFGNE7wJop5LUnsBxtfL9At8o8zLAJynDm2PRTuyZxx0Ivows9nVrUdENY1mAYCA6X1WAJb2Ar ijzbWJJLwggPstEYkjNceVcr9dNpXQOkJr8uNtLHDmXkRsrguv9vMq4LYytR1G2b/+Ze0fp6sEFI TeLcbw/pMj0fsnCOKze5d1vrDr5cAg2/FC+6yYe3BfwNRALt7L76j4EF1JdzW4hW1kyUSL2GcsI6 YgrW1Zlq06dIExTt/h3o5/Vg/8XhnykBjuRhNr/Mbg1t6osAtZJ/7r1k9WcXIwMjg7Hk4eA+idZc ClYbNbxnvnIRpzCwC4o4e5Q8AoXPSeJKJV1dY6bhmIQfgoyyplsK/aHlQjrojzi/l1UC3c5IBPwn ccHqSz/VHx8m2PiaQcDdzOAnURstVpo/uctuU2fwxREFJSZItEYfMipC3jUs/jrF0zO6jvckyatZ UTeFanxwUQuck+WfQo4SVHsFXDMdL1jQvSrnaPN3g7vs1+MGMOmBDDZQZBeO0Zkot0BkpobYgJde mCSlwFLqVscaZiYIWmwBxOGGHalxOkmPH4B3CoyqL/zJqkHTjdQbcIUJsRs3NDZ887e0J+5uVvsg 6w5KoRX1RwPQOvDW7++1Ao1W6KPtZf/zu5/4EObosvA4ZopsIaAohALnQCt3JwWna4mraTLatrEF 67ZxafFwgOy1MzhxktJDy3qgkyC0gB/BEWBXxmybuv9JJjDDASFDYyScdQMaFUUsbaF7o30qDhFv 9IjOw22avsJ+YXGIzpbxP1511FN216dPY21uHlSrJsNwUJrxgSFW1m0NuuP1qZq5j9SOvMEFe1tE 4LgYw4gM2naSaJdaLHpVm2CEH2zIdL6QgfK8g/TqAm6LGfJBYfSYHcupWAcK1+LkAaEs4tuW02Oe m5kyQcUcbLkd0GDb3vfZ1Joe12Ac7ctsMjRrPfbr14V6v7ZFt/WcFwpggEd8tfzIKywzczL7D5bl rmjFptgic4hJKL/Z/9hYqsH+rZyuAE0FOzhITZb3yQTPfsivM37i138EYNk6FYCwS0XQJzaNJ0QZ UIaGy/nZX1dTM89Te3gd5z0iygZYeFo2NqxqV7sCvqg5oc6+xVgmo9yueX+EzJzC+11KdVU9rh6g SNlF4GWI+pc6WoTqAxc37CTINFikV7MS/FA1G98NVUpylsaUzeKq98AdKPmoI0OMSXPWLbIQSHGk rmtNFzD+TGqMbVg4pB3/s/BIsj6yIwEkdQsjDOU1mJ+Twbqssl/JOIPxsAUbT/A4QQ0ASSWPQJMr 0itlZPPTezTBn3C96KXcsI8C8ADVlS6DiUCXK3dLaTXyMuQHt9ps6edsCqH/+B9VXGVMGmrkN7ge JsEUqlDpLUprKMPf+r2CpHxroBIIuUd77WMXLUofhUkXZQ74n/EP2yB4seJnEf4jfatHKjNCOrfm yM35pOuDrQKULXhY64xXdfHrSisEKR7SqGCeTVG2UOifeyixSE76JxAzVDAOb0ap3Jf+DZp/i42F dHd2hbM9epld4m7dGE3EIYKW4k/zjEeXr759GDmam2pczMHPz56JZArdUov/5a5wm8Wiwe61eEgu pFCamOfW4aaz0UxFJclHNv3JhKMZ2xrrwHf5XobqjHA1Ot1Bq4q56nljy6111bdz+DUpbz+P0nSB s+3AzQvI+yG2TK0eeNlDDOVn+9y6qSiziVnb7MNCNFVtiveASXN+LsTYAp+bM3P5Ne5Cy6FCCFHg K6OmSo7YYgCUnD31sBxevj2fpPndGI7N+O/tf/BuSUJrXYGbWj/jlMXT9HE8YFCWvgSVdjWz5GEn 9cP3xrgS5B9PdXMRMlWGiWC//F8AfLd4BfYNY1VI2FNmgVv6xyKdBlKzcmqjJycHzUPazAlZgFHR 5Wkrl5VFXikMl1sY6+GTXd0iRgC/g9+fR66eifGtIlRIqJllrJFTWH1CqO5ZM6xzjqaG3nAL51zT 7AoxEPI+taaMhCgp4kStNUjIRJ/g5dESBZTkBdMmH2HPojR7/gYcfTZxApqsVMep9z3oIRGnxkFz G32euVbN0gkM/hQ9xRq3LPOyqAPuDmGTVWkpArEuMZIOxyabjDSSdRz6rcEE6TtTUogoCz08kTLa hsnukzozGun1ZmR34LG4OUSVKYE9jqbhcxcjdV+dQROrEzsvM7nceAqeVVkbbXo7Le6OixWQxGVN m8QypYUw6eploG4bxcfVzJVS1zufkvz7gkqblcTXqlE3sS0V1qCkshfo0smkpUjrM7WtfSmCNapT KFGaqBHLmvHg8xMFIJ87Fp0zbtqdCGHaVJJtNb/1pyFPMrl3Nm7Ml6+n5KusJ9GeGoaxbViWfgpi 3nneYpjMfzbR3Zy+djieDZ0zrj5eyi6sL9ygyUPu4xW8o8HUfe5RyIO8TGQrYd/mTWty2sdcK+nv 0Kak37JUHTlmu9RVirDvHiN78pkAJeN0og6FLZCEu1JlDTwU+yV5ZmD1WPaJM94JgN2/2CeNQ2ad 0TD/DU5zeyzLLTu9vBLO4AuAjaX4pE5zhmg/ydVRe1h30iVwdw0I0AnVdWaOcciddIzxwfIglBM9 pPWoV78LgzKWsWJ6tjKSarhKXEXNzyqrAuoqrEVE2alLNpq5DTHQUWwcd3ppqOhXKF3atGk7PRTH lBPPHatv/qu/muknFt12PnZv+kLtymhvSWHtrCgmkYa4zObjvrcTOzufOAvKrjMj0/2h8vBBaDKm 0jCUs5WwmiCsAR8BgSMAziIa+1hmekFE42m9T6zhbGZeX40L55YfjPvJ3Z/ocv2XAbV7XgwDvAG0 c0Tw2sDXPkSkQSge3Inu1fV5CIMTFdBt+xZp2E53kaJ4ynAG4jibVT9B5ddSUyniXg5Bkc85/uOn UwIGrKDZvYkz66ykp+caeOQpDJqofbxeuGu1B7FrjIVjBphnTAd5Q18wDArYmNZIMt8MB/C5vHJo h3WAERW6B+/r4Har9n0LL6mIkEfVmn4yUmSD1LR4AHVh/cXT7DvqB3qUEpgsgZwddSUkuKndX83w t1mNROQQFe6U5vUk5p1E3+LuZArsOQ8qpO2QoCpQNKc38cLt+HfSpvO4wsK4SnWCfgdZfSIU16D9 DTYR90XKy79ZNzzraR8xMvW+RHsPIcHu9tO59UnVNsQq5HNeNH4z+c9xk2qDjs3A0i7e5pU3v7LF /697+3ea4xT3r8lUHQBtFWq4aZ7V2GdguWbIjQ7VYO0aEyG9WTv9MvrD9DGiE7ZWtVgKot2fUVlf kx9VXLtkCSdUxoNjHjjE96Gw5xwlkFFvfDQ1CIyGShqTdbTGVZl0ZgZPLEWhj/VGgJ/Vq9EhwxzF Mb3fF0PEGWwxrnNUewRj42M2RhtH25IOUK9H/U6BJvW9CDpj50CoPUntGsTMONG3Tc6Jt6LlMHia Jq50RK8BcXG560we/tsxKjEm42PVH44JDiz6t2yohPWAylPJ5LAmohFib8oqhGEPBP9qfOLXj70D qqtXcrSjdu5vKt/H4Zzm/nMzHL+tbpKw93edEAQgSgWfpNyM0J2Vv/oDfLO9ddr0sBlcpTJRFQDH XmWTFF4ZWQUapPRbEYn5B1l3A9r6N4jAmm5jYwNEmQeeXtUdUaIAnqi2A4bJFX8R14uddI/ckwez 068E72VanYaTNFBZimCe1pujNSyPH+klxcxPuJeJrhPR5ogneHldgBFytFShPkreV3C8f5aO9Vsr 24dQ6Ze/o89hfVP3Irt//TUlTrN6v4NfBj4YIhfeTeZ6MGG+rX3AETS+DlSVQMpYTs0Y3B63PmSW IfQikFe0Rp/eWMJR7WHr1KSwZmdYY/awsGR2EVDzCssNk0Dri5wzxQotlq18jEZ+VAlxmgcUxZfX OIlVNT/mmTGMpkTNcWajcILtv6uCNwfJv/vmJgQAi5naUjaTwrm4Lqxa1rrAemBeOHgkU32lls18 3G/KZd3nRCU98kF62oOP0Cdy080jEDO1+cH0P5VdXs6nHyxkv/HhnAvokCqTucL53aktFtD9ImcH cCsyU4poG6u7l573FxiI4XOyTwbjqJd48diq+bQoznNF25YjTW90Up3Skm4dZCgFYigwTHmN/eGW wcOHEnmX04j8wj3C5ebvK24XEqR/tWNVVc3zwpsb48kebKuzkbopFpah5fzcDeEGuMNwsZ8W07UJ PlzkgEi1mFAUuW1A5EjXSvZM6BgHBbep/3kpaywNlZAQMJg+g2FG7EZNjQfje/VS7BVg2U1Gf+Em 2/n5iUVWci4h/qb21W5QQ5VCdh32BS08ju/8es9soAl0/bryaubV9WPqeQo+6Ga2SdYvmCidBBmd AK+YvIJIhldw2ejNCBk5yUCdlfk62w8b0o1Nk7PNMMsb+5E/4ewPqyYgStLUrmJr/QVAJ6QDH1Qg x8T+ucUA7uL5e/VfGNX31jfZBUGo/KJ5WqHjBYMQvkMDQgQezN+bpvmDAz43BjnIcxgnVLty/9rH E/YwN7BJUWVipcjtbFVb52XV4jQ2QeNyRQicu+ST6UVZqmD3L8/c+7HGjxFrZV3I2amiV8Ffh8nV KltfrprgFdGR+v9XUuaK3GDMny2UKA13A9p/MogpA1BN0ci7sg+bc+M9/Hvv2uB/bjdvy5s2+kb3 z+B07lqVdzhAAK72LyB9viqKDK6ooAh80bNBcNbGiK0CKvS4c9IxHT3yM2djVcyLdDG4L/xI9zNG qRZhfaChA/9I1NXr39QkJ70I+/V2TQUwNE+1pNLnG6FncNLM+Qfdv3wT9aBZM5EPqOsP/NuWidMR JKhdT8yyRr/4GUsBtw9kB+uEAzw3Oh7EXldLLdx5okGJVfI5D4cuj5FKH7j8/3qBrSzagK4Io2bX gSi9RcxQDDE8AL2rIePmsapwO5O6llcVvTuxGxdSBmrMs4rJdAlDwPJiJdK+QhyRtp+GV7jNgiXy Lv2iZm7xZxIz00dlLIdtKN//2zcUBLa8r0U/E2etq1qdAwvvf2grII2sptC2Uh72ZuktwdDMJA8o iwCQJKZcG3aOJMH75y4sw5vkELTFiB+XLWCqjkcW8ZB85mlClndnkT4idXdisD9IPxQB7ZkQon8y 0aNHef92ItM+RwfdMRT8mjrCfkcbK0hWfAf3k9y7CMHpipQnHq6af8KfvRsOdWsoPPPxt++SqwLv AejHRVRsyGEzq1L0ijC7xrk7tN/cO1XKHEmeoUnR2QlXr3IjYbU6fdVhDLoARrpEVvTYnmrv9oAo CtovABMwrqRFYE6+7vXHJIW9KsZwjnn4lELxlxJRXckCVZfQRDdka8Wgu7caRqXNZvCN5v3z3hrU lQXzyRjgXqieTefR0beyRC9V9JUbfCuM0wV1is767ZNX2WdOZwmk/EmcYwh9xqp6IqK8XPuw+7L/ BqS7EFpS1YjyFkL8tWjUpkAX1H0N2S/+3V+81mW6YOSPyD+e+5jv+Qf4w1SKZlbz1MiqPdUdn87I aTfsDryVwsk94ZtaeC0aWgIT/1TvjbOFjRFDky8TPNqkL5n9umXpa9rLeIvPT/mdlSy0mhgwF5Dd K0Pn8LZZlCP5HOYIhAjuMCl5pBmsPzpN/AfAwr0FHe3Nz8FpIJUqvj1QemIlDoMqasfR8T8pO7FP QY9L5pxiDHbYvh0TvLgMok2JQJB1LIqwF3Xr9zmttl0s1ZpFMNO3NCwfiluOh4mmtCHFDW0MxihP nzxyXLdce5YMn3vqKwetTB4VrorPElqgSxtzRn6rjEOuMQdeupjwjBzdAPNEP5UAeki5uvI6ePr7 WyOcp053Jpmx+7fQJL64nKUZ5dIZHct2GxqW0rbCR5KEn11BUSY7mLqk3BG3yNSxKNIvX4mFlI0/ ec3EB6fYv9FpCe/EMi9k+ZCKzcNfH9vEtLQfNotRJ6+doWZZy/qZ/idsrSCcYApGaMHY6yYRDZUS sgLOAK4LxvfJ4zJh/xoSmLs1PQUjQFAA3YZsJOA3/X6jL+DaRp4z+vIpYHY+7CCNNgktcueVo5ty YEEa78EgVWhOEcukomN8frdvkpXF5Z1RGrq4cBi0gyR064ui5jxP6e7tIbt7OSaTpnYgrreFzd6X r72jf8QxBf0C+noi49jLh7M9ibnIbyp5hkXajONOHlxE8IPFaKTJK8773NSgUJOfjBoxNpeXgqPd XmIuvp77c/jn+4sM6+F5+0TOL/3WBD8DLIhv0ssD0CoQUCST9wI+Sgqe/V0QzENkIAoycZSPlYEY uztyRlHyUEYZIjLnSK69g6R2qwYxaRjB7vse4xhiZIz0zz13Z/bYPUYSrnL2FvqApF2IRoffrEWL y1SiP9KCiYMYb+sjHQhwLn1tG5Izn2cZgX65U3llX0z5WFeBtt+4rCa7NRQlKOd3zvB9oK0R13as w+PJ5WpM8/2zVJPoGXCIQUPwi7QahcpveVAM0VQDOIYAhWBw1Q4KU1Ht4EP5PAarA3hOHFTZkgq+ 80Az8J2go4D01ffS0FHQ6ZB4Ifh53bPKWIv4n9K3MPumUpuwlOfptOpfdGDzoQ+2pqVAMc37ajf7 7vs7JraODwGp4R5pdwt+x5KJ4G/eVWvp9Ugx8PkT/EsS3vGLfRMNg2K3miQh+/LIc61Eq7FAg4FB CvZ8cKyhRmXwMIxsx8cO1XywbXS5JCja6XbE2TYZePCxzRuTR4yjwa9BQhucEiiEqZW6SBzI8oyO ntTigi9JC2ebqWCG7wTWTnJ/FECwlcbsNqsBs0lu6BfwBexaec4m4oiSPm0x0g6GqTq1FOItbNzZ 4fZdthu3/lGn55HU3J0QfnpKfRJEGZXD8xBGbkji++aipcD/JR49lD9HANGNPGWOWRjn/Q9VWZ5z 5YjMetUEsDg09W0r7+rzit3jSTY9jupZT6BA0jeK3a6jWm60xmG+EsvcbnzFbnKtBJYJvu5RRlYg ED4bRQa1HXjzVd3olnnBGef83fVdF2RnGNYjXXfDJyX9Vteefg8IYKdcjlFGI5oEA6F1pyWZTz5e /9XEI0kTq1FEnPVLg9YsMZjPxz2DhD/aYeYs17MSZ+y8X4cZjIJlf5qbaDJ/85WOBJwLeOWBcDc6 srGC2WGYlaCy58nKBdxhHv4ib+SunU66fD2iabClXyLg7UH0WBGaUL99wWD6AWkC7ds13D9sLAO6 WL1BUv3vod1swtpD1LM7xb7vEa0q+U78a6XJ5gwCvoV7/hMNufMDLpU6K1oHHBFwlJ4gAQ98XjeO OdENWqP+YqpYMec9GXHmDVhfL7ahMnp0z5GMjdoMPQBndNBgqFRAP1MtW12I79iOEkU08LHoP+J/ 5rxleohQOgi3wuh3R2SMN3XRZu376JrI7tAR3liFOi3jcLgZ7ImX6l7twzZP9T3KQvqlogb6mdEy kP2LsADhuxvENeSLPds0ARvvXOsfo3hmcu5tcKFnqWTqiSkT6HPnQC1WiZD7ybZaHd7DHbMgDcJL 3rDz9m9hq8iMe5MeHe1uIJ1XXBzpexWh9TdgOAFjiWRZjy1db9nQEvLkg4HhVq+gLsOCUS8RAl7c lfWfbR+EJBUnwK4Qg5ukAU8CWRrXKZPJGmFlrdX0GfXcel6JP0mjrMsp2IDz5r1VrwfsbvcPjIq2 gxc+p1wVBvnuLnKDPcmTmKiJPWUunzDcgWqXaWHAzjqbP5qlIbGGmAvF5sYPaFedW2uKIZxw2cLa emiQX/yvhT7y9ZHU/WL8xoiTz3vZRdLOexs2bjhkEoeMR2/Z5wEXZQlL6qcGXi+QS0FNWja6fW01 sTCofEzuY8VoCgTSvKEscYHXNdLVvhkz1yuAyS13IGEmRnLMqjml79BrTta0aYOcyhqv2POjPA/n CzvL6/vCkxE6VLD+51Q6Fxh4xA6LdWoHwmwPYyslnZ+qdWMERNIZ9cH16FOxgTUbyvZwOttIFlh8 DZa4JjIDrZZc3S8pZdHJKF91RZ3SDUSp4O3o8lhEkTjTdXrtOTg54VA+7R8lKgHO5QI1cINI90+Z 5XOSrnlHv81wnFqLjThDTVYOsqPIlDc9/MOCPG/gaho9dhiJpL020mvoYS9rh5f0jDWkfjbFj/6z HQdoz067vuyOXmQgy+bOWH2O6LsRXWBihlcokOKY6F/e7RkGA3/9I+NcbFY7NBPU8TecXrgOKaAs EFxBYNZ4Po4afxnjaCJ+CR8Ukn/3CzsLUEZwwZmbog9v51+HUmXeJAvS2z6kbcXHZ1XSpPNGcyqg T9D7ATWMVn7jLUYGFFvsSMKE5yWC8ypS2yVCEFqk80imz9gyGFgCq/2z7VW5oTUslczLd3MszwlW SVPwkpDlzgZLfL9twhSoSCgNmr6JoOE0MMJn0QleDQr9+leaNHPIHyAwOF6SVHSvLtEf+Fo4fsRa Hw1NdhH75XpfbCciOPPABVljx7/U++n+Vod2dPHmEoezlFETZZ4nQQX3FxOehKr3WRICT7tbQqjd 4zwWpe8qUnmEb9XtqGmMZgcgYJPNwVgpvXhTmsAjqK1O8F3L2S5bsuOGewNQFTXlD1ZPiy/3HXz2 bhfO/W+fTEbdLYzuaHjijuL6Jfh7LiCBSvFLLNjdphKgZmwZh2ybfOlY9TdObNxIqBFxswKmUdaE pc03fIZvt+NXg7q4+PFrF2N1SsYqs02LfgKYW+EGpkhh4BzvwuLHLGZMNl4nlW/NCBx5wV92Ykh4 RzLsEz8MWitYj0Lu9KMe3OgHHWGWEVI+OrIZqbLIwOTb1XHhBGaEFIoOk4eUqFvkhE2Bos/jA4/o guC+SR8ya8FlM6tOoxQy8h0SvUAYIca57eE++o6tfBLbr3YtooAAVfFjcUweZ6km0UBPDMoVhFwY VC/JPTYV6iCHn0tLUTloFkNS9pBMWrOd47ZdjLeG5x+pq0YDXV212p0jqZVdhmPFrwEUQdeNdpYC x36C6rp3JRiFXF+RojwLVXeVDJ+yj8Ezst7EwQ0VwmxPD0ftdGzuMoG/Vd5tw6H3SpARKkWoKfZ0 eg8sDlcmKbM9fkg43Nvx9IOEux3RSNBYKykGt0ono16BxtGQ/4r5hh2WCH7PRcWnJt/lTyTR5QuZ WkggBUNAh3jmBOlnUDBCGxgA/mVRtT4Fomfhbwd12YZT8ZfOOdBdyNVMngFFHUjkhidC4LHapqHn NXx6YmcM12WVAW2lcVAZxAxRmPY2cZppbsAO9CGa/RLwX0yvsdckGMt6D2vkwAlbYSWeN4PfxUnE NPUzouE7sm5yqXVGRps4tS2BNbqgYnvtYugV6xQJDMklPTjkv5Xc1tyCEVANo+rwRgB3Ha1y8vYV 3xc6rj/bizgtFJgTeu+6z0Te8m9g0Qo1tHVAmzVj/rPJBm76NFi89Mp39cg1hHNa5SsCSoY02UtM G9bSH9W2t6l7PlW9Zr3AVXTcxhipFqxOe/d/XqtZ+3GriohScDoUjE1YK86axtvpNotSPjrkuHEU N/i2t1Kgy3gf6IVixJG1c6hU+5bTp3FNvEQREoQcFILzVRsQF9W2csR3J6oBIVfVwKg28klmrEhv qH6TxQ/OP+pJ7R+1OlfPwF+WVXqPiZf6ZW9qoRnFXVCvtpt5kdQmBtKp69LST2ZwgLZWg2y1tuRX OhSuAFtAjhaXyrZCm/o9cOQNIxrbB/HPwza0nMaumGcj1UUjVSdQSy94DiEPx1shdsxi1RgI9ZB3 TZlsGSKGA4siW3gu8WCyKlVXZVtg6YrbRb82Y6LYGBbaqAjmLV6cvsI7yHicw4RIhStu93JRcU6W +x/8R57nKVM2iez07x4X0SjjBvZQeBZG17OnM2dENu7SVRUoZFHDhzoVAjUuiUcXt1Jf7Xird9TZ KMk3k8pXqCFER4sGUWDa7pxfaWK55zxyko6VQNO7jebJbdoplU8d9u19YqjNjCzJcA6Z5SM4byYT tIYEBue8zJeosbEa0PpaMcW3j7P/fd/3QJ8bVzD2wNVxO+HNzLjVyv5tZn/HDA9zl7i/oH8G0/rI U57KxbDjOISNlxPkmSXqKSkYY2HfhLnPe9nfEhI4VQJsjpNoxfAJA8WwkccmKr3tF483qwZ6Bj6/ 34Xd/TwcSBhkNvHlec3v2EfscEEdMB9ZmqvHb4P/Xr/4LfNO/9bGEzi3QzLWLTRhelr8Nivq1Dz5 SBg53T1TavDgDyd++9Y54ptI8y0OVd87lzjPh28aJ14Doa1xF8sjVc/S9T0P81TZRTq9sPFQpgdw 8tw45OCEVeXiTX1Uv7oMQVW8UEApuTiT8T5ZsdeXLL9ioq00RYso+vBZmTpkgYcnxfXL3tZKgzM1 +W3XHrBWmkmTH5ZJvQob2rI8CaReItq11enomfzL9u1sENIvLVMbugG7MTmguVMsxDUSSYiFILxy YXuOSedrgb64YU3v8sbvKXLIVP3r7YufabeQR4rO3s9+VCSdtOQ4LaL8h//iWuJiuTQR7F87es3i m1ZBR0De0HnEc0nFtPh0eQIW6HgONf04huniDrrcAW2lelVsryNLLyy1gY1uk+NCDWCE1VuS35P3 GkOjAH2G3bYv54NZN9KVVAfiETnP8WqrXzmjRlHNUkZxsDpS/PQxU/VHkexRVUXOezFVXCtwG+v6 sqLqbXeYA1mmWbv1fBsELuMpCaOao1pPsuatzIvSjgFJec9HsbIL7TAgNYstENUbEr5z8fK8M+PP gI/H/55Z7lb/JpOF7QBQh70wVSF2T3r8s4ykafMEqoAE4vWYZ+4WAPNu7w1FwZMtSeG5mfo43ioq x70FZCOtQ/khMRGiMFFyIRExvtpce/Ncdm3iC0buHrLNaEiIPusaFpMeEX0eT2EuNW9xNzXLzSRt Pyd4f9PqIppjjJb612kF8gIaf3oeIOLvYmS5hKpcN8UXyrkr+/fe3enNXLd4Q9342pPvzfVtK4H9 SIA3cRO81TUYkkrHmYr3WsNFZ07/3VwrxkFcSuV87RjKJi43l+4fzMdxaFre3hoKyAby24oVNsll xenIG5x2Xga6YcfUovrrlxOr3JkBM1N5vdUF+D5XrX+lGANa6bcyZ0X+SPk7cx53PyvbakxFd2QQ qi2Ciu7DxT5q4MRrmwEjdo7bcTXSMuSCLDHLGCsM8A8JzJen9jTuKpNFmm0HwXkIU1+uNJHqWDFb xXQU77NibxIVzYvXmMlnBZRMQItkWQiT9BYfZ60Q6chsHt5xRHP+9SoJpco71Pd7NlBXDiyfxuV3 wYRdtIAFHNX/DvdI7RUZeDHCKamF7VGicXHLJAL4VodzSwx1ttB5+kEpbct6oqktxWFvi5DXHmXX GkqknbpICcWtAE+1oSUdhdkJRJbUO6sz4WLUoLZ7ie+ekRzzVequmabbOrWbE2WNH7B1i/pIKc8Q IYgc8bPvR6OzJOorm4CR8gFW1nGb+8xKMTU1jOL2eeLLZ+xJSwRIe4Q8NlHy4LE5B9Vz1QpJ64g2 xEf25iVdP4K/BSzpz01uJPxJCxLbZFQvtq3HIeQ2TO34k6P/NUMJfdeATB3DPwhdcNFWIf0/ZclU Ksv0JUOMmGVHZ8EJCLLrzsM0vuHhF5LlfT7Khbesz+QUyeEnqO3VJYMzV9E6A7CGNbhIqrOR8fsh UKt0c8ONe7GMcoPfvrY09haxB0Dr/Ih+9yyeeIeGJce+wEipNHmIYVUE6sU14SyZ1Z/tUlEPeZYd smsX3BlaOmo9+TwAmXZftMOPqIGbdCMaLoGtci9fxdh7zt68fELLqhu/dsJQT617Ag28bBNjRXBx NirRLBs39en+4CI0oN7phi92p4bhqx0oqUnsrExjg0Ha3Ui11up9tC6x5E1MtfsLJRAjkPE+a+v+ AgczvtjrZMGYFxsAYjkmgktYb6ZnrN54K4UL2KpaAiuzF4UxX3qxGaiBwO1/WwPDLpqLV1cJA2k5 QS8/smKWd1O7f6TlNNmRd2jaglj/LoBXbztN9scd/ml+P14VdN/UeBV29sKIvsc0IjpgdPGNVqiE OUm6AurqQoHnQlNn95lRWWRPsyB9lMTCkGjVf2pMp9r0X/VXlYnb1JYt6jTE1VLR4VT8CRAg0ZTZ 6xD4t/rCbbSmFLEmUBj6E40cRL4JnHDhKX6+WUCE6C17slI6BHvyr5+6fuUSzEdN71kwhNRmgXng vwzpFi//Hxf6YSYnQVu0DO4ewlXmFa9f611cmt1+NEtSvZr7FuZe2oMKShfvyz2DYpQy4aDBTYCs IXgwXDTLqMcpTzHZxClUwWrsFrsSV0WyfALmPA9mhn81MqbzRjLt/tunyJ8wqJTA38l6ryrZwwzD LIOjIPw49nsCiLF3WTl6qpThB68orYaUp1AKvM7TZP3Zn6Ou7+d0FtAWNPUWZJklN0B5TXboatfj J7N0mlQvHrMIIqMRZsoh0j2pcTD4+1ZyupjsE0GTQyjYBY9b8OuF20Gj84Ak4LiKV+guuGInDAwQ t5qTh8YQFOT/Tg6tBJT4p6W0uXnMf0RfJVWC5Z1zLJ9btrrz/u+YHZzHbHm87O6T7OqxxroDoeeD xUpQqi/aj9RIMDRkOBa505AlvtMtiMiS41d9RgyVVn1ugNse1hX+rwYWOqdaEwKA+KlqgbVrzCZE psXMy3XKogoZe+oDnF0UNYWM7R4N0ApG1JZ28RgGLWSCOr69MtTBp0udeAkPg9PWqv+GgBcLsRjh b+0zXm20COm6HfwipZn2as1oQ+XFTwqRdsDWntbFr586zW1ospm+b6mj6I7y02gQt7sOl2Ery4lG xw5yQZeukbuuQnFQARke8QHSwkqVcyC0/FIUksIl2b431X56OESi8cbAJKvJwdbmCtBSm1Atko7j SnL5T/2n4HeBSdQk+RVnPXgXtHk80m1/mzq+9xz2pMvuCpxQuGz7OFrL9eH2R98u7bQVk13BAdLp YyxhEgyOpfpXDzRUAe2da4cHge8wz+brB7oG70pbBFdEOcI2nIdkvrxvMxBSZrMK0jsgxEHFzMJt gl5HooMo6M3BPDN5F3cq9VIPWSCD4pZKpp9tfp8DAdq6cpnP4zuyYtQWUl0bXdWLhmV0UGHQ3rj1 K3dw+mCzEyjAyHtUkWSVW6d70y2OBbue+7stpK0/dFyrzIw8cn4A/ZdGOO+fLg/J+jAYOREAAjru 20qlPATPz0agjgM22E51dU5xZ6IGw1Rps4USk8uRSYJl6cscaJ6RW/44OKGedyOTDABxSjxzCec1 HpF1hOC9O8wTiz+YexkaZ9ppCxNpSnvAV6fuRUXotdLQZAiRFBj2xyhii+F5lNqMSORuwZzdGX9d ZiupFWUTW7Pcz7DtOsc6g7C17fFrNMI1CA4CZiz9Srwgn0j1ve/M8fXihViO+m3INJImQR5b6eli Wq4nh9RRj55EbGlTZLMEA/VXDgSIWH60VOyKgpA8A38ODXpIvAhitjwQLeO5eZRIW+0yIm37yMJ3 FRu0VChfC6jyTvnPH1trKW5qrAPk88dqEAAN17Uvhlm/GHXCvR6ce3FexPHX1TrXPgaCzFvPwlW6 KcTW/wUWbO0gfXPlvrOl6pfGMqMf5EVrxwYsGtCTQd1e7GjDJPhc5DVqS4ndmJEoJV5PejiCR7xl yUvITp7TS4eoc4yjbsTwI2aqHESMvY8zIoat1jFAqCV8a/Jq7xHaJSeyAYFfORfHzbDP+X/CK7Ik sZ4rc9ucoUhmuT8XsrZXnkBBpurWQzJm6iJIGhJBpcRIX7NH+qEXzBqFpMMPSGMZyN9MbSOCmuTM ahSthpwkvngpDaitCWo6x9xdTzjdMyS8YK4MYzykFQJjlvk9WlVhxiPIuFB0D7AJ35jaQtCfK4xr Bg5hE2a8rr9PhHlXOwpN54pKlJ04zgWrs1jM9aLZe7cDkSXaqUVPeCLZUYyMQ5uUvMcGE5u2+/Au khUjcHj8/vJLibTy5K48f8mxNCXLLTGiPeHWcIZn1GOxHR/82QEdL5q/Tkk0/kwrBLAWN2zwhfo8 F14ej80PzyPNd1/w8aCtrGih3HCWbL5X8SDGGOeoVwm/qSx2reiepoZdK8OWjfGeh4YTJDGt2/cO wTW+chHazdfZBi+UP7gZRONXATD9/xYyEKSyxAj0+w9mWh/cR87ZgWOz7/MwafO1K2wH8Vyk8s9T J8kuXxzpTO+RQeFcGbIpP1RGti+BzXIn4v7DS84n6oWlJu+9oYyGJgGAFWeSOMHPv/axcRoLqC3I 9Uv77pCjlWyu74b82S1Zuf30VDTVilch71X4Jwzpmx5mVHp68iA47uZiBqDZaU0tP6KeKme1YzPd rVGVcf5azofSTY4NuNqff+lK8DUaajRgWRZTIO3TnMVfVxef1g0Uip+TZAm0cz2SMd8VOM07CvAp +KaaxOm/eaj5gyXFiftcGba6B419mEc21TKzr+QZKU37H7rfJ4nx4G+DlRJCchTsUvLkjIpmGNqT MGVl2izpSMRbvLMcDTBh9E5YsaWQZNQOM0LFhJ7nDpGYo5QlfnWGimY9IwMa+UF6s66z2W4d68jk iAWsL5YS7XtLfV851AnwOv23LTnnFECwqhPQxquPf70xvSEOrJz8oHE8rJt6Aas+WOttVI29Dn1W nVyRE5FtBaXBzM+dc/9QbI1Rcjn8FluL/RxcU3CXwWdPpPxK6sQLkj9Yab/zVNnKgKm4OTT0iWQI Nj07X8Jd+1f+dqNq2tJkMWSrdDC1T1s6aU18VQb8JM9XMqI19bZve+Abu+f6VL7wosK5mcJzBuB3 5rQ9LCC2dv/p15/WbgaWHK5cFuoXz/qP1+GrZlDegb9uubjJORR/6x9XEKdW1fR3KRiseONR3hyD sf1cnIIzQTeCgPJR1ZJVgAga4i1rkuxQmkDbFQxlCC/aiaakLDrPW/ZFWRJvFE6Qfzp2PTr2/DWv 2SctBC5RRMSqR1QF/R28yfNOds9I7uFZ1NkiaczRyY04L+D/dl+UaAvD+PCBwqEf5LNjkb325eEX 59hcVsmS5XnGmSq3YNfljD5ffLyp6MR1elF3njN8ySHMM3Mn9KZNvRph4r5y82dw9axzGXXL3ynx jT7LVCjR5yPJpxUH/S8ps9vDyxrsqu/mdcPBWwgS6v/2RHD1ocUwj7DnGluhkSboUVESY0ejbkUa 48LPZ10G2AqGKhnCBgIEGom/VyJmqEJBkDroe3JsZElmDRFEp2snY80qz5wIVSruvdH54qfGEHpq j72A287Gfzqsw7Jz3EAuD/5q1VDx2SY4E5z0wOf/blgn18e9//o9NvjWflsgZbcnOP0Empul9FLb gQfpahjgV+b9vzHH0mTP/W3fSTbTJFwsnBWRWJxbQYiuj3ngsOO0BzYd2hfTncEEk1jsRZzZBM+C FUNZaZhYSxZFNMKSbL9PqmOt3TGRnd/LsFU7qgECk4vt0M9BetcSeWQvxx2TxkcgCJ5N4ln9U03a XlhxNXfi4cmZgebMbENJx7B8fvwe9lAzwtJRr2R6sTHD/2Lgtqdo0RmwPuRrHGLXdnbypuk7uCzT dSqSgrnleZ1QqtT0oiMd2X+bLP9IS4DUwiOkIoGPBIx5MvK6jNbRhFzy2+CDjJMrXeP4HI5YHwHt ktlpae55U+P6/8fu9A3Cf1SwxY8P3nwss8btnyCN3dp7CCyXMxH4aLuO1m57W+HI5/KAs3rAhwOq 6veBIR7G7vKpmAZ5yZq+ajdQsgnXoHSram5nzgK3sw8oD/P9WHcLWNpzfbfokQicmb2Ou1rYB4tV Bq/7IANRLIpivFQ1E60yPYCDY4r2x2oGnhDs2nODZTIYTM4TvCimffQ3eLAL0i+fDcCjzNJmn+3Y X907C1uEtQO7ccnrMRDrGXPdu+lj/sZ1YvnNuGOzxZnEFwkIJlxlrHZeFxAIEOYCfWSdHdaWsXli cxgQXCM4V4oFQbOXyL1xbQXkZzYZqQ35voraLGFlVAbYGnFjcGU5f6LtsTd0XM4MIS1rwh0i0D6N xHjvlmJUr/9zNWAWOkfy3N3z7d7A+5CM3pJdLBqts5SO1pdFkwzhCvfLjbfEvkq0jjhHD42ooJSP u2Ife9BLoL3ej3u9jRKARz56zFW0vkuip90PfyCyu3f0/JdefePb5pKdmG/TXyTqHjztrVZxgdeY YN1wnmEmzATqcOqHbTd94MfW2F25RhM0CtxWwyxLmpr2E7ZK7F76/oN4TF96Sr6vuMJ8rAR+l6io 8+/yImTWSgaz/T4Fo+ViVAtnUeu3B4W1X4VTwI2olcbmkvHyqCeubWrTvUtLDnKsYLafRrMIEE4f sFmmZtsxSKMLqYUw+0Fd7yu3MO0LmeOT983yhE0v8UjShbpq+PnrpI8Yutm66C/q1aFtn5PaqFjj CF/EKbGlItRfEvzYo8AyFCoH4S2W6sNQ4cJNq2c6wqeja1b4zNSz/HEzFHD9AoXjFCBDu4caKhCB qakHc6Vudfc7+D4IeTeoU7nsnYiQsyfN9zXiurQSDphaohBi4lBncgaW8lHXIl3DXehCOCxP0mz6 ITzPAgWBvCQc3aqu5KNCaEaimcIaNdId94waGlMdrwFmMhTPlcedGz9e4rwZVztEOvWxHi+PDLRW bLrJi8vdNdcrLReBFmeaUkEsLuAD1OU/rRXYpxvg4YAxnM3h6yRso/7K4199fu3ayeCZx2hXjrwm Bd4u3VTag4CJLSmwgF3RMCg2sYv6B3cKfR/EC0Ka5wfla67SB9y8TCD5YdBC9DxGkDmeLk/x0xWp YHd10Qngy+gy9CLhlgI0sD1e/sLgo1vxi6rQpEjXu50EPwLFP4gX3JKl4x86sfDiCu4Y/PjwGzCk 7atLu1RHzRwM4RG++/jHBJZYvRvai3jEF+FWw/iqFjNVPEbYN6vmhAh35+/a4n7FQzObpbuA77fN VdoD4v9FUz8Xf86H7dbzmbVbNu94Z+E/dwIR6elZGbHWIHBNYnXIuSmVElzpFRSCTQbVldYiY6XO lN3/iGLuMu/GGUIitNzAVWEDZfNdwUbFgEGrIU+QPeD8Nwq7WX/FJhfHGGSl2p/47eq5ySponPLG L/tNfAwSGCYDTUzJgauU8SAVeoUxC7sMBFwDKUzrGiDExjZd3dhgPvIZYHdA2VQnhMC6OddGZQAi eJBxMF2myVINlxugmjBNMElc8g4PKw6irbuYaEeb66kFug3mjQrT2xEnNkZXP1YTVFW5ZFjFY1HQ ZRe/sgm56ii4DhdJLRdl54iydTiN8nfx3oMZVzmMZsHrb0iPbRT+dZrso/Ub5t1onWtXVnIUG+Ev mUOeYFQa/AlSnye9Nlk0rd1Smru6V5Z1vVMbiFA+gl7jRNFYKfpPRDfSdZUja9RE7z/E4aRp8Kp7 /kqnLTHZjX1ZBC51S+COYZMj1ywTd+u4NKZhoEa2fNAOW5PQLRIFHs6j9BIfrMmW/sDeJUmOSD6M ZgS0XL2HE4KNruIR+eZxHfSmufpElYQq3NrsUuX3CXhRAwIVyTlhkFbOYMJa2H51OLN5H30HtALY qUMAgDydf7+jlndd/YuKG08Z6fVAaxNzqnvtK/St2UwJaimQAOJ2h+QOObT38cYALzNQrJf3B2Ry 9q69eJ2UienWfhRcSrnVHJ7drefp3CNyDqu/RlgOL0M5lJXsEIVusUIuMTGCZvx01NBW7PRfaEZp hroD9uVRb32khhsFqXeNdNlBxUt1IM60VMHWZywEd1a9Rh7a+7YGBAIHuA7LFhp7gNxWBVi/dbyI B4JPObrQiswls3Tn/E+gyOOix8VINEpzJyey4CgBTZAeYpE0l2qL55cN8YrT7PhgOYSntVQHU69A nlH9Y3FGFhGkbcxpMuILJwImiqgYnPfWDIqf90ObbTbBN9Ln8nt8XfmPu99ijA1MR4VuSndKansQ VWdrHszOWJTieJoeAKz8krHXjhOe5QM7awy9AKbjRqHpJAG0wkisTm2ZA5zqPyxEGgRNvPgFCEOg 7HscBftdpgazPLeMJavAzWq4osdqQHGrmEaQNCmGR50XKJKDJSxUkGFaXNcolH8zNFNax1TAksYT G0FWN9SL39U6kf49IK8nQqvcYC83bOEoI2EwOFwHTtfI2jT4T8vuJPqgmUXmdvyNPaTlrYfjuzJ8 3YBV9S88GISIqZxmcXMVSFxYET8PxBTN/shKIozkPCDwRE6gYAiE64So4eW2d11zJhhLk+IJFbq2 1z/FU/g5ODmCS2n6OqywoAXaKK5PG3Lq7cSSumJAnuJN7ays2DdZ2g62aVZX+v0V/xkzmNJYQmV3 Tqrl7DDhQ1ZhfGsqPh0rfp1BrZBbrOa7cclr4DeDh+ga7RhCyxKWKQRlzPZ6IO+7vNI2oSKo2zp6 eYeJ+Nr8+3BoS8TwpKfCSnlr7micqd82wLdxklhh0d48HzeMHXxMZZDV922oD9roeS0fRSzmTkQC 6xwipuYHRaJwktGMMCKIkIr3qs6DzygQeFaTZs4kGZZWr5Bf5rKe0HXO+VHNM5ZqK6J7Tz5jwAUf bUTIM8SV8y4X5B9s4CsxiULcGSd9QEU8YZ1cm9dPW6cH1osJyLb/hoyVnwPZLiflAHumZv2OhvP1 YdpmKJn8E8KB5mGQM0tWkJhZ3pdOVvH0Jph9DzUBnBAIbUOB6FAZMmuNI4P2FbvM1zJHsP0YUfNe 0xlNaNBj8+D4iligOh+QfXpKwgFeUyQkxGvoh2okpLSRQv95RyDxmhLXqky+dvwfNZpLdHfro2Z0 4wYrafI7EdhgHCv8fYkru3UW5eUtp6ARJ+W9zpkU4UQ5D8c2frcR+J8Rz6azNl0YO3w5tyOSzjnn BH5DUnkGp+tR4yNvG37OhrnkCcwRX9G3qalNwv7LN7B0ZR+pfi5O00vw68nOm8bxCby6x2vN9s4T JLr6LyxfXrop9htD8HVD1utW+Jt8PNXRB0Dwnmu4RJsFj0FT/7VkVA0Fvkbb+lzSAsrsQ5+eDOnq VL8hKLK7E7iB39EnTQ0YgKkVBi+MGMA7AQlscmXAZ/7yz1ED5Rjr/U5v6w9g55PTQcspeMaTYjmC RnYWGKSv65116o7nYj6sy7iKnm+VUydqQ07EEel8jp10TfJ604/di0RVY9wuDwyHN2qJqE+LWovF u1jyi3OUQ3GjD+xkavzt921QKcKDmZ31tsHJ6XfA+dr13oSxIcqcT2/BrcsIJvxoOKb3IvVyEdne 7iKLpA2aRSbigqzxGwZWKFGmELdGQWiUzhH7Z2HbbGxyKuhR2+wIVpavRwtRTzRP3HiGfWleMHk+ pj8WQEeLcfJV/FLUrsVNeMJNbVrloM5Bdx3AreJFgssosXqEHa7MMob5wCZb0JZHPtxZcm2nwNeZ xPOABtVpH5gw7/0ro7k6uAUXDeog+UgTEVNwKKw+7a+ZKtwNcn4+vvlZuqEy+MUx7VGDNBkffXto +uFaJ2uXpzP7L6mzKIJO9/9Av1o7JHE3/m/Ez9xuMD2aQTPyGhlIw/WDBbwK9EKwIRX3QVfr0IWU 0KbGu0JmYAxaiN960JUe/52pJrsxTj9bIlZB6Hkrpx1aEOKGh/eoxxfM35GojxCWGKkiUaeXrNnL tAcpEz1CJ2sebjhO0hRLKGOR95k98CPOlm635KwEcQttfpl2779txbQPi7MUtWSlXImSQtMJsjJd KlIYtYo+FobUPrX9brYp2V7gwEo/n5dCYCgIZorvBZsoZlgIequu3iuOgPMUBAvUF3ycRQce+hcT CPJxPReWm0Gf4FJT/jtJPwNC2Cy8riPdo/J0RGi2bbB4eLBIKX6xtGeIqDeYUqhIUeaA8DuIfS0s pq/6VkErtnCnO0TTD5S2Pu2XYQQqhe4dZObUP966FPVZkZjghTTHyeRZ+XBh+QVPY18Ovnz3OqJf D7hcPLHuiIBHtdZ9TIfzbZAgHhHog9dVgsCjJ9etcSRKapMHmV2dEr7kzT1emwSeqn1LQ/pylgn/ ZCh7MNJC3OuwYVyVUh0IjROkZSdcfUXd/sMIG6tz4CZT2OKE8nT4LNge2GfIuPmP3DFDav+L+iRp rTEliqDwigP09KbDVBQD+Binlr3RvCfQlMfuxOHnMRbgjiPFCSDPOE/Cyr0yS1YwQQ618OP5Sr5+ Xt6Gcm7oorFi0gTqq/+qAok2AFJ8hN51j5aAHoyaNhAs3JH81t+c0ODNvbOjYywcj2l7ofk/0a1l Qn2bkcXTbT3OXsALzYLN0fsx3iLRmkQR4Ct78EDKopmTQ84eKgdizKYQ42rmLq029zxi5HvbH/W3 f4tMmWitB6Jo9Xj/+jYoJsWYXMP3sZ08182TtcR+Z688MWXB0L9Ni/xtdRLhbMQwHOlx6fXF+alA IRaUvGY1SFy8m/5NxYqJqpLdpgdVAD5LYKU4EGAUXpjSPX/W98x3haTSM5ACsaCMwyqVknrQ3ehG +BOn0JTecYB3oE64yvm5lyTdE/jaoiTMHNcY7rUFgJ9xpD6k4Kh8RXPA28urjd3ttBGfu22dn8nz TdwJgCZG36eDetKg0vTKAb2ktiw7K7r7QX4beGDLrxwTW7xwTSGFwKmMTMVqN+UFgnoRJlIlrCrw yr/YocP7glBaSfFcaeLz6Q1ceQgp0XpKMCoH5ya8cjSsFXQcCnfGJDu+TOQiY1hzUm+yJy5bSpbY Od2oqLMiTpqi40QT/E/km/E/O0xjhC4l8j37sGZH1y8AlnQh/TSOhnYOPevOsgWlRoVu34a+/KQ9 U0iiXEvGx4VfmUB5ogpK0Pg2B4fKbxHox+NKjzpg1z6MXT4mlO5IpfJDeFOSQyJhBrhYi6KhUs8v 4tfwbHhyOXWsjH/ZUu2gByp9x73O4TEmvlUdaLw9kbKHffAivzENMZJ5z5IEw+wj/Wxz2ZnP7HfO 28HD9fK3yi5j/69XEgr11CLpwdC8rDS69mgP9HT23iyVpsIukyd5i25F7IuNl2h9mChMhLmwpau9 QrkR8P1qHEH8tYaKJ8UMVxlCJNxX8QSlPDb73YFLTAb6o2QsK292M6xdb+9t0d1dB4wHlxUTxsYE h8LEGA3SCyRWLFCGWulhbW7CigejiqGj9sKN4K62kvQj126SMzV4OncYycxBDPK8UWA9OK1Xk+aC IohyKAwthKI7ZLphwlotrP6kw3Wsm89C9VWCDIJJhvtch6YrLa9MxTkuOzQDyXOc7uQGGiP66aTw 6lfUtLjcvh4VeQ2pXFDKKLC7z2DO7sv5YypmwN/N5o4hM0c+SE2YhYHaZtNsNGXQn2iQv82zPfWw g4CZt0282Yvu49Z34BhK4noOqgK9qiXDlsWitIcCei8m1ps+rhbgAA0xAf8410Rp5+3lQqfnbOLX R9HB96i8GGQaxsVLDL/0khbSNGeM4cAYLk+wJVjsT/PQ6mwc4hBH1Bo8SuMXJsDiaZ3cscbxk7MG AoJeaQiiSUkdoBokI9muInOhhks58Ej3iNOu5/wgzFrvLmMDAADLUkhtpEmTLeY7zfAo5oXvGLCH SPjTX5q7rdTp0ldvR3vysc+EvZqpQaEjdY+OR63UMJoLlZZcTbvbyHpSVDeH+cpIXMkG6NGzXdJt aJk0L77XfqsNLF5l5FwbcIGCgczkdb4iWpIW4E2UR21lf+yazAj5Wb/AX5sNpQGYvPBhHlW1r1yL L6ewvxThvZXQuviK7MX6PdOZGzdo9OrQxofRBYlI60pwcxSSFLxa3m7qeps3zG2b4ZkneOjCH5JG CnI/meAWUe5CZx3knU19MvonE8TA9y3Kd51Y4x/oN4v25x1d35n5bEW2ZJlq0W+4YPJR45Kcrw7z 6akwzKmIi/rpTs2sqz+vYUFLNi3ulpElK/jCLohlzPvELy9WP5kTOcurlIOjhnN0Ifb6ltDSWijq KsYuX1zAoGl8PTeABQhYmRkXe0zsknO4BAB4pu5Pwgy+GtWeWjgEcCRh3a5K430PhBwka508tLm5 9vH+ceo88ES3DG+V2TeVxwUdiISk6fsFIV0Om8eUgrucZ3NDcAscjZrxKzJwNEAtF38r5mr+zFUh HoTIAXSkBw1rmlFBOM2hxFn3JAsBrYV2rLdO3ybVB9Q5eLbfT2M/vjEy78hjF4UpYyRUuiojJpZK E1/eyVKyRoZLaKDYz+6mv99vLrEqNpAXlyFetNbGYzoTaphFCugxqwvKQSspLhRRyb34rg6PhnLk SBbuwzhOFtrfCrs9BvWD6PE3+bIcIOOR7bnh+QSdRTPxYny5bkwqlIzsted5lNiiNpT6AQ9orQ8m bKWvy6Np2HuOG5MCn9EF8fLx9/Xz7L4ZTUJTkZRq04GwPAmP8c6cIrsnJjWEf38E0LhJrNlN9HqP km9z7MmGqz1dWxVtaALlobAKkjHeX1W/yDXu4SPopEnefW/0zVntAHRYGelJi3pd1J5juPmtQySm 5zFqIcaEUU1Fb0h2wn/9hD5RA4qvOFTtx8yY8+X2kXj7bJiaXFJ1DXaLwcaR9sbsoRAVZn7la/g0 GiCC65gVTp/Hiq8PDtQophhz+HGyqqOAZclNrwJJuY+Qc2x6U1Y60mCyw1SDjpNPv+pjIvrZ6wu6 AdRPnnaM9B+WWqQ9c1zko9vREBee3gog/H7qasUfh8GDl55DBajcDlj1acNg3M7uArL79xEbyfd6 Rw5BTLP26kTo/YwiXBgSr3wTwSIjL6HgC49l9IY6YFOhtdCG1iTJHOKVjUZl/B/DPwoIfIYMzvZm Bx1LrXtmaP1FfMB7+jhT5w5cYAA/vrVz5NLAEqM3hQHEIEt95ZceL42i2FvcxbgSa9t24oJdOKYx 5TpcrcKh0eJCFgnxuR3IqL+HdDyM39xumZeCwwoUYnXn7Qpy+35Yv+L3rKv1kS4PMvvhB/Rckci7 45pXIewU5NlyN088LgV1OY9v+5fLEjGSfLysxt+JJujVCEQPV80NL950AEdAKT2yEQz/IshkE+e+ HzFNocvDJmQ7WceI+Cw0pSYcULCcE7TgfndDITxDBuwMd5wRwiwr5+Pe0HvfUYcRCkEoIg65VU2h h7DUdzkBDeYav08cEqdZfpVIps9pyPpn7J7S+gXeAX6MHr/WFiom0Rc7DZGiYOxvIcqJWJ6Iivku jaAjc88FTykCbeZDhcyDak7kE9ScQHIjGZckD7rKMTFJ6r1Q7QcKnk1NsoHuiMzCmfvLDdAPBriy uy1aGNPPZXzwZto3FHnOHVWZ6ogtNnAvRceiCYpoUAHplxZGRVC3cdlsEPdOrrAGUCBlEO4kdJgn R0P2+iIHUqFpR6zVi5UU7hoNPrs3e4w90TjPR9bhvPR45zQfeHxV7gNVQ5nkycGJeUPH7ezZy4kq VovN6x2wdusLmlhHQIa0avx1bjq/Rpg98oqFKeF5g25GxEEHD8Inj+PzpyGPcTGYPx+aOZTmDxr1 1YkyUpmFeCSqguiU48s+0vF5R3xbKEUeRFkQK06j1wBM8ZyUELWDkBF5uymli40l/Lhs8G6/tIcA HK1MbbujGIFtvhDhwI0jK2rXbcV+gljg1IxaZHSjrRwlSWtr+ZXVCMVmTqDw5E4W8b1dKMTP/Dwj 9nQ0O3a6bUoZlzE6WuuMdYfMAtXTZ0HqLigfWYkD6fF4ncQ90mpH1+KPMYQA6i8QUNiDLVsBjHHr 1jE1IkcxA8yhd+/a/4WVJP9q6DMtfiMJSHxoX9swmruluHqQgVMeek3TGXnEigPi7oODechMx5jP Ova4X+qWFzGX0wDr/m6MvXXXBJ3IbTUFJDVXKpLf33ta2Yz8NjxudvcvsFAtyXyvh60r/cS2XoTP HIfMjHDDKZpAc+N85XL1MqgMlTl/+cNWfQ2B0tzm6GkCzqzBuwKC7xQwTGlfYw6Vvji6+CL8pY+M MV2V8EfRznor0RS5gb+klMyrCzw/JCV+nlJtYTVyvCsCcmzim3DceC9vufZk2ONYTN1alkVXCXSP HyXwxQKHgjQVOZNF5Zi99KE2+Gu6jYZ8Ag10MjxP1FJQUeAywnNOdDNNtf5IqBGxCPe9zJODbCC9 yzWCksE03dIHvBXSho2bwvvV+zn0DTFniAVGCrPs3807WUvyF6pTXcuwQe+ocuHuEBGweSYhoCTc 272f73oyvM+sRpR4R4HkZi78QFMXqTmb6Qcp397i3Cbdto4Njb/t8JvoKk3pxWke6zjrXvoSHQr+ Sfnqjkyn/3h7ip6BNSUl3kepT/EvFBCA7P4D0hA28P7/4UvAy8XepHBWjTPaak/tEXlFsw8kP+9g ox/OmPguH2nZzC/h/9IGkYRRFyJW9+wqLrq0EbI7l11PJNvmNyM/h64vy87yu83M/7ohOMWo8HGj o3c3/emg9ApVP4G4iBU4XIBb2Rh1AeRf6Eo0al7dCf0GOdv3uR5WZme45IjyywrpCORJax3YTMy9 uZFr50jhLlpJl+1/D66666vx43C3piA2j7FOXWrSW8+UdYWFb9shUAlVaTT6e/tFSZ01ORKpwWyA XrtWmG5UjejlAS51eAJ5vvTIENbWfX6z3Fd/PgR3kVSWBJrodcSGhXmM494nN79dLAwMhFFqKLOk gsT0nVtjikbgz5EUAdmmaqacZEI+Bw/I2DPdJsnGaw/dKyHy/KCgTISQyLmaMJiSkOQQ1zyT7D0F KRq8fXbGAl+m90M7AsHo+ocas5X8psRckAtoZh+kG7iWEIrSzCFAsbON9DoX087D3bc9TpYV0LC1 WA0veSXxdFRpyWbt3JPCh3qwPyt3QKs8W46sKh8002jevxmNnUHqUBQ1eXfcSa73RCSYq5M/h/Fd veAS/9Mo3zSPZ6BQ5kb1DfOLYxxO6EkoP4yrK1SE5j+QJBe5S2WgxSg5lUKqFuyMYrHeI9070/+y cPw4UyMzj8IQq9cK/jgpfEycyZpSDt52p2WDY0t80kHHGkE3cqvmqrqnQlWCI6YqU8ChtpxrDbPz 1idQ8TyZUyF0FLkd6DWL2VhADrQnbKUdkO7SkwzQZHciNFOHSqCsRjznmaDHYHxixcpEVqjT51Gu ncMCwEyeAj3ID5x4eoC6g+C7mU3G58CunoGkaVnK4L31peAumxFQnTkD+Jln9PxM/kbFy/MW+pbd ETtVgB9+bE653v/2q4NSC7ZwgRMdw8M1WmI9FhH9mTvkzqxC1oMAR+kBDLDcA9sy+/QWGh5AEqZK IAPhYylqG3v/ZsDVlus0lbB73c8usRtPjo+tW3qicokPd0k+PXZ8gJKUi7d7FtDbxZ+Smjhkr0Ys Z5mWvBR5L5ZijRKOuQ0fo+fHSc0VQgN1o1+mD3VPC0b9q0im6iBVQ57Qtg5Wg691wm0638cztbY3 BNrrx+BldQOYdOxS9ZtbWOGLkFrFPGEsY3AzCzd4LrJ4N6IM29Hb0WcnPrgLZWdP0nn5O6QHlAVw xb1BB4jNjX1zYvIWzW/IOPMguA5akbQsTs2lJyQSBC+M/FPCu8xa7qNhCf7Y95nLKrxbaIx6fPoy UefasR557p+5rg89l7C3lbFQtTJ0AlwWVqipjQyuKQo7bPdwmivZvjhQau22HokGNDAa0ha4769i 3IbMXN7bGz7LUWt95x8XhKwkfsJDBOajeCyiQt0rveMzXq2S/zpZ5XXDtO4HwfnyH0y+z3T7SjAH njzeA87PBFkXTHR9eEAJX3IgY+el/FkCrDONyxT8jGdQF6X/ZCCJNdfocr43qXk7LLtrd1R+n6gI 12euSf3Sh2qtzg3vBhKPd+YuyQig0UFF0aJ93jrRmtENGFwc/bw8S+UBLgRQnt27lqCSsNGC17MW 4lmO7f+Xr7SQSpOhRk3wkGcaul9D1340zcW4oo2JNfodqmU/98KOIyac+Vtg80WOPacUJwJ2w4pR mZqiQxWexqlWkgDFBA1A1x03z3wXYomo71+ibUnHN2amQxNIFZjEf+7Kl/di0w/RL/Xs/Z8wY780 8+hUqntYPBJalVCYx3+4X38S35psDbzC/p5zBzj0k5FI8ZGKGtlKZZKjxyI4ataqZD18733O0VVK 5awIU+PAGZI6fNMubtWoKkUT2uA5boIiwb6x21iecI0c+Qd4Qv+mqZVc5JjTDfsmrCNJEYuMd3eD mbj9eWXxqr6WDcTyTwL46FF6vo5wZORpQz1ItcLf70WF371gYddCWtAjX4cfNANmhuq/yiAEGPLN 0/NRFJzKuRjUGVhBb29sx5XOxyCcr4IDJjsvDb+TgXDa/BBP+0D9L50xZS9nO7BTvpaDRbOXpQlN 1PQnfrxZXJpIO0NS0TIkL8OSo8j1dWaDQmqqaRPE3cd7uWXmEXp+5crmAs+i1aNluAow4CVEyTuA dNG5CP4gEvrUITpTtGY4pu4JhE6V0uTwVCLR8cMTKFIPZVFCs29rTlm7eAslQCg0LmYo258b/FQC xemjVg7BaI1JOQHC6rI/XsuQuXmHfonp1KVXmwtuHjo1ZB3JG9+yWFRVEdhnZgKDEQDImvOkBk+q JoOJE67WBXKn2leV9Ey8p162fY6Jj2lzINpBYuGNPcioZuhNzZRRd0hkFGH5kzpLOnBwTXMrrjP+ SyTXHsDmIE6n9m7jCvitwPwQt25It1vt570kaASUH8G5Ac3SgKrlW86ZVlKGQMpCExfASig1MIPU wP1wRXoeN5nJn+k2dBiQxoVCC7ZITH/M8R2Vsb42fT0FJMjVbBF11HSegPzCr7SgHwY9ojg2aibQ hrqDuMkA2fmpRvIEdPdrsD/aO7AjP1ySu/smloPcT/gogiD52nUu8TTsh/HU9D4D90NXBMcPJft1 Gebe6leR1SOWRJ3Sv0oerxYYiTwzQ8OYyJeAJZdksBeCNSzG0lJXK2DbEj/xEPTKP3CcrIa7g//D xBRvkM7qNQmUmRPJrjI21xVEFka3eQvUPXPQGOgTCHcMrD/rHoZF53kQ/zPKXxRoGRt/DKt6mcjc 6mlfNX/gLkIQcZFoqxwTqSDAz+K/2RJIFEl7wbXwIpNETLavHuYFBPK8i1r8vc8XLfK+ekGFZv0W MkYjT3QO3D2Tuiv0RElbRYm3Cnwg0rxUSj+8gvRVpkqSogMxO3WDMj8zRY63x1kNProDI8yAO20s J2foVJ8g17YVlElsvcHWtxq9iDaEy8eBMcZ28MuZyk5QIrOhsW3luziDVsLJLq2hPOekvbSZl99t U7iYmFa7pq3aHV9MTJANOzSRcpVGoLF1VfM7nLraJQTWHN9K6R4+0hcFI02faseXRePNC/Nw7Iyy Rpoaxqlb1Rk21Te+Z4mUjKmvmxtZnSVsvTox6yRkEveoBuYN56Xtr+myN7jlld6rPrNhBL+9uEDo vGmG30HRRiRxQiNsxutW2vXyszheWtXqLYD3GlQGc/gpz2zdlcXBvyX8zo1K8WGoqogDffov2t13 yCMgvE/A5GL+jHpp9MFPh84ecEOieiMrj76N1kx5wEdVPKg885EQPH1ZzCYlu6NjtiCV1h9GJ20l 4n0NR0pBVQ929TYQFO6I11z5Pakbm1+8jAjstZvIlGWgriYfL9GigMVlGvVDvvnz5GH3sWf4zsT3 Rf7o1ysc/fhzjb1Nx2jEcy0gQlFtGDCQ5O5o6rM5OwC+bBUPo5/WXfTbMmRCVbKqnblUkh0PudCP u7E5XvpCu/7N8tdwbcq4FxOYK4SD9BP6rWIsBFovi0HnocjHDAHI9aWOeGwxAB7Dzupc+refpLJO TyisAgjsnUalhyj5qJh1ee/tIN4+MU59Vhz//cbCdFxua4Ws9dNujKKvLsVFN5JyrILwUaawUYrz NtdkZL5VDwYD8teBkr5qd4tGVsRzk7h6UtVpwREa8EY7vDeNNoplsuq0WqGYM8IMxV4Sniy5xHGP RYQfOAiTFsEJoVgXS372Llt6qHezA+Jo0sFFHjgcSuU7waN/dL5dox9R5LOztHuCeqqo/cKCjIyj EQsGLgIELGmGPGNDHs3+srth1RiSZHrSxdNkO6tCwm5KMYjEl377Bjekj2pQntRVrmS5S1KkIx0X 4+1lRxlinNk4nHIAe+gnsLaT8UawqMWqoOPWW5c14XHsh+ZY+p3PqSaRPG+R2YcZZIjPqfnbE92e AAfW/GzpGy48C9SGsKVNsmS0O5aHK+E4YO1iK3hyXGNMpJRPK3NdGXE1HPXQKzuuwCaST+gQxRCy OnTs87k1OkedW+8U/BHpU5CsVITzJGPDRddAMOxOkn4dHj6ImLfHGOiOuZIgSLlyAL8RhwUL26rk mLKIQz0RKwn5gSBaUQanACboctbUvafSfHorgw4DEUUpPtrZm2YAdHD8SoFh5bYJRjHRvdPoQhVo 7nKOckulVVwwlteDy9C3vLjdhacvu4QSTX0/cddQGyMb7kBrbvgx/hS1sQSKVVA+Chn4W2BcuKa+ LPdsRrlSw6RbQHEr1V//0w02DXleuQ8+AZs9RCsERByBX3sUhNN4mjUorio5yjXk0yhyIB8mlPw1 XksEigZvkmKeEombMFIKXF4ITUVEWM05yQ/CeIE1l4AuitZXYYpsRmRQRBDSv/0gQ3XR0eQq5P/I AX15W4Z3GP1egqL1wGsaG7BrkSdSk7P71glclW5rpK1Cxk9A4RjfaZlZc/o8vkBS8dY8x/IKP2EN C8FN4/j+hdgtm/Gu7YkatQydcTKy7/ZNMnBcX36vMGvmLN3EbHiKAmZ7kOKuvxteFu1AqKbHZ908 kAjzJj//y7m6+tJSBfdUu67sSdYkwV8Yy87wUO/BhlPtWYI74FCDPU+h8c5uh0Z/pB/nDv3CTiFY FA7lDI0bYTtgzURVtR4isN9JZkm8p8oQtu5Xi74fOw24gidgSCQsI5yU8qvwz/XTamSQqnwy/guc VYHK62SPeWvuuhswj9KKUCe2kv3FJMo+gcmSfbV2maVugFJmVGzyUL3b/hWyndnFzIuUBQuhPzPQ fbfZNxCiyU8RbhFkQ0NK+0uiHh/Ehkjchoi+L/kiHM8tPw6mvSk9x4a85Y/3de8oDY7Ce85fXDJW XoxKqELogW7LzBb+6IUt02e8v/g5mrcP3Iwdtlb/wEg/k+Q+FRqZQzXZzWcII8+KspMM30wtLOmm 4qWbkYSgwSD4/X6ZxfG9N5l+o25XnoqFQTik/ZHlgQUgPUvceQm7moU+7dtWAoHRUZSZyj0hW7PP JDGK4TxyRrw6PV3p3rnqIIDvgp8HpOZvWT1CS+/O9zMDN9MHftuYZhMf973S7Rlk1KGguh4lE+Fg UGCiMGgTnK6rXhdZjnWvKLiLSisP03Fhs0ahboThFm3CMoKVaT+Yeu8CeL2CJziVRcUljyy0UJ03 K863O35m7DMY4WtqBJVxS77AUaiM0WpcCB0nZU+xivYJ7ouUl0lbpxI7bJB3frGq6rbqfnnRbdaZ uRKEzBz2mHj2JOBn8BN+n+Xj+rXo+0WuxQSWCu6PxD0csS26q++yuzIqBFKF8KNULI+EWp4uxnKg QUb4qYdma3hpycq9X/9ETYW2rPJVTa9irBy5NDB6BTWcxbagxRxOHxVOmY2BccP8cVX8gwvlP+Xe W+DtURDUOLGYGtNV+NZ/1Y9u6PdQkSF8SmnEQPdoVO0N7LRzUGdVF1J43Xhph1r+HYRjihBk+h5t wg7UZBeZ1f3Hy8Q3C6tHIFHJ0VtYW5bLJ07VucZKovpWpQHwD5qpdfURhl0Dc6t77f705xViizTS E3wL/odFmf0MLV2hrfviAvRbUbc2koLgB1UYtlKXN1jP6zobiwMHb1VmhJscdzDykut/6k9ZizRq aRtEFoSP5CwD2i2raRgn3lPJSrEWSA1dfACTsFx3Hcah/F3TxnFYaSM8VNfynUfmEGQisxhC98A8 CEBMmo3WukgmnbKTcYblQ7yZH4qvIOxziG3IfaAVO/eirM4LSI3tjeCFzNvd0QX/EEDM3mcB7xqg R2ol54WviMqOskZbPm7DICePoYOi1BvFfGfI7Zj1Idq/gJsaN2nt8xeFHxnvDc2jL7IhMmObPCbR 3B94RUnnKOcw6Kw/1iA0brvL4PpsaRgRPoGs1iAXn7XSBpPdtSQcHm9VPWyC5BJwtn93+q8ZOPP5 kvYWk/miCuyvkjYFJsDW2W+KAHGXi7aYjqfkgxLQCgL1Uig0zsy0kNjbR1xxQHxRMH3cLAHUwhnF pVcQ0mMtoH2hPBCPhS/K5OxgjOxDHbn2dDQJ2As3aDB3xBNgPEKCgefJUc9dIUH6peGZ+LEPzoTe OEVv+/zNv0VqZDpOZl9ohhTvf+pG72WBo6IPGpQKxdyVMltV372yahvyojDk9TBnbXRG+c253T11 YmsyZxFUIl49lWJCHw9NVhW/g1J0n54Xjq3SBJfIElg2O9V5OULOLTxulxrn3F2hAn9Kiw4KE/rb kwbuLnTEygEthgD5wI18nHrmcEex6mokVy7Tc5fgvRyKSbSeWTOrL7s/hLsVckRUuhxirh9ZFK/+ kuAN1lFQiswy/lFU4Tb7qFh5hV6rRf95ygwWdhY/N7EntviEYrj5cEtUmqybuQ0jZ/0DBbwUn1ut EqjMVs9beA8lag20kS162HWNHk81QCm6wcBp/nOLd+VzSCHbK937JyZc7gFr3l1MiAlVzixlBpq0 ty2XvY7qYFMgiZJl25s0JCSNajpMoP04Ua3veVpunhOD0Jwkj09O32y9vx3+/qVeYYT6HXdlSF3Y vj8Vv/LYCLLrS+UOvcF1cuck/E3eqRsUB3s1ZJOZBsr9coRQs2jaMJaOZBOlyovyoUw8GwuX6YBw k4rQw8dPKZaZJ85wMz127dZHFFGEGrEIT+lAlkNyLfe0kK2ZgS3AjZejmYUj226worywmkJjerNz gL6esBcxhg5Vnq1bSy0u7iMc823xHTlfH1OS1g5kcNvI+o9Q89OFnkf+llUYmiAEJ+fM6jCe5pIk T/d0ZQ+6FXX+gfvG6CvteD3OQP5Sa3xLzO54UdnXAZ9OUu5mGZu81Vo+F9O/HtZijS7YcTy54HeN obUUiI6K6Z9s0PZxiClUxJ6dllIXYzMQAlZe2t9mvvP7GEY8hkyMfi8li2bplsFXGNJ/hDbSttQt LEeW54A+fm0qFrxmCX5zTGSjnG4M31qXfrFnwi0mb11qA1AdF5GeFyTKc7DHbZ0xODhXoq+f7nbK F4lMrS2/wEMjQ2SvXWvgX8y1kLurXdX4u1zAtciyFiNsYM64m6ukV8ywXr7+I3H5fw3I1Qb9S5Qj iWfmf9su5r6LU4lERAJjnp90CdTuPY5ymh9qPvXW/Rn6nypd9RJ0AgdFeTFCuqxDkc+UGXaQb07X aS0/GC6bCGyGOxJLdsp9omZOFY7FVX83/Xlew9lin0Nh0aNEWtFaXZcXprsr93GYhTYiQAzg7nVk p3FJ80nevgB4A2kyFw6CercYP1yV8cx+8AACwiMvD5pgIo0kKLK8wskY8Pf7+nAoYX9bjs/rrvjR xvfo2Q4UY77ngHi5fjWjL+v6vtyYt1QQh6po/3b7r+eTA7IR2evYPy1qlQDjW/a3SVdWeLZoRaLA rHO1rUGHAn4UYoRfk6wBv/Y4op248sa4+cUmRMyzkHUNkDXDLY0DE3qxpAUig5xOGNotSfTcyCPG 9PJZ3Tr/G1HXq9HkpIa1qXIYLtmwR1yLCZKrcBtT9WrGGbmJtAU49CQMs1m+zRpaLoBcm01fy2Vb FcPAdiFEvCOmGNPdZPRnt4QQaKUpDKYJw3iIS/wYTA9YxbKn5A1IDCTqxoiH0vfkGfPKKTcWAqT3 4m2n7tCqcHw7Q+Q/Iircg7c8VKik8c5WNOw6DtdnEIrQZ0toAoB9RoaUoLuRCtBHTnf86wx2jygy qIcBPsd/Tu/E+MCtMI21p/Tw0BwLauBJ5Rgz/7sO0bDmiNO8ExcAb5SVHyclKBNL457IaNrWZwrN rjXoY6g9ZkRdqSpqRPvwZH28oc6YVO1V5THKHWUhALDtx35XvjNQ/1PA1xHSkY4jZh3JDgAU0Jri 6rS4LLStOF0DWP5IuJEZ5kHmGXvrOZxhqUZQz9lAY/f4PPOAzeZNii0arXpMUZrKvRdUmXKAQaDP Vu0wBMGYs/TK5gLHxdp363wqOO7cBCUwwnhWqeNQyd8Jm1kmY2zlgPONtyrtVlcSPzbTRXG4R6K+ jwGbSJ8WDbsHx0Sw1o0nAo6MNKNl9ZitsNieEieVKR+OOnCfxsavzQPNHykowoXTMVJjr8/RhHPx ZJJC4FcHXgg4DE+YZYH1CCct+tZQwO+Eq23sVvJ7kpsmaeo/oSZShQbVbgMQHpn1VHkrHyHpMTzc njxopER9OEzP7g8dq02Z9Ob5IICS28obZlNozvskoYJoX/9uYaKJGSFG7y2sjG7ZcxWtDsEjZBB8 OBU8I0JSsDz8qlc6AhP1S7XrfiKDh22ci0bNBxlaDo9aRtxhtUVIiAqh4T+qap+DX5onxE1XdvNc SOmguTNnbhn9VF7fXKvD4uNHHCD4J2ufcOm9ot7v1Rcf/WkMZ+Clw1NO4EanNbOqGTmYKekqj3fr TH3WhIHj5EajCfQGL36hm5keeQ/bCEuOiBJsK1spGhDmxMocfE2wBUWiJeyNtBc+fL2ClAy1Wr8g K/r7yfeg0JD/jP2ko1Plxv93g8M6vcXsEzTf4cJykMeHDztaAvaK1XAGEDS4jmjMXx3hSslGYQzx Rpq0assN19e32/hZZIyCaEGrqRkZVr1CI4WG1sPm2/qFmSIQF1g/icWP7oqsMeX+4PpaUoTlcJWa unpFqMwidQqZdER409v+r3nvd8Wcbmu0yt4BbRfSbt6OemECDxmeOiTK8r2Hg5NW6S4xaRJca2i/ 0uIwGdr8d/XIAoSp1cLxjpsfKylSzI9JzS1uve04c+fxgqlnr5AkBkIj1vALcv4ts8l1bCFZoLHb DiUEjWsPzNIBFGeV98Y/LDBSRoX/ERvge8oDE3sTOi2/7PlQWfelVhvlI9qPfMeOQ8NQ5ZqlD4fn rvPlPjn0mpY80ZvjuIxEjh0mRD6txYFJiaJlazKFHBibobQFt0ckO2sVwi7/Kj+IyGrlvblx0Ub9 lQXLZ+AT8rf3cq/2WvcFe4CLUCfJF7IKMo3s4kCziElClxpnO2WixTjNk2rCSJPU29yPvNuIdMGk TBHEdDyGF7VsA7l3HlmIv1YRc6hmjYxLeLVOAwzYzFXhfEzvCwn8QimnBsv2Ou0DXSw56V8tqMyW y8wXjlRzPedpjIIpLhDKR1rM4zyMOzEABGXzApVs5PQoG3m8ooo8c2n9Gx8ukxPMIjqTtCLjKcAZ UK5xcCM0M8FYcnh+HNnAvFm08ygbeRpiP0jdpuFcU4bYYQ2Ykcj4QTZLJc4dNSrQsGqpxUHPsUkx CRI90D9lD2DzaTQB6d1heuc3NxOAZh2XxWjRiVe0//wDQvgPqngjHe2TtZvp2S1NNW3qyznsLhuN 64rGpBR4hh10fYXAeHj5igbmeKlVUrsW0pn8f97xpMVqhxeezaGAJw9D/CpHDzrJ26xK49kxygse U/J3ikoL/4qc/x8fCATmEO60+MLytwFFy6FMl0H2B+7e/hgQ47CLakUgJchnvENb7rgkQlRJaE54 C8maee3vQ7ppsSwTrGeH9sb0vYv28H/t7UQfjBa8z7H/Jd5ZriMlAgH6JJx4fg9iGQQfrDy3qCH+ GFmy2RhmfqyQo+R1IbRkqTBVjIxEGFSdjmKjH+WJVlvGnp+ymh+HdnMF5nH8sbv77Xt0dpETAc6q xNeN0I4WKWeGyhECo8k6dGofiPP5vPV3K5CArJ7K1OV8bHojQ1oYMvVv13pYtFJMSM6tL1LSXWN+ KQJsoTKrhVFu5z42Cz84QLn/iSDXhGIAmRzALyXhBXkj4buobV9vb90H4v49IfxTrf0P3UVPPB+e PyXKW44nUOfKqklYukW6TwF6YdJXdP2jHQBusZ6c7R53vvUIW199Ut4fp37dDQmQD34jECMBEVED 6GF6RYujvCnFW9TO3qqdR9kAj9jqjpqICxq0Bm/Lm7xldFs+6dycmnJMKSMIg4NXEGU6Y+17kLVO EnkxghZMR8Vx87H+jLJIZn7RiipMuB1ti/YlOYO/0+b28mw5WoZUb7qYyRSYBJRfR9Swaf+DE2Wm hVa2KWDxlVMbhoneslojW6jVluwOlfZhjcI44/vdgLEuvYHx1BpZvmSkKY/5ugdiQuAfvUQEfqED TFdBExYEJxIELSh9AJKKv49zfKjGwJqLNbss5HUfSQT4LV55s0RsYfJhX43pcyQqrdqu3lqx6yne ElQC+64sIeKl8P/1Qe0BG91J1u1eMsEZpC5dXsNGJJDdjz7nFqBFDai10hKEvh5s9J33x3x9XeOJ I9f446wblWoeBvemDjlEgiY7sKYJnbfHd8huLDe+R+ZWknMOTrZzyEoEdef+M7dJidyeYGS4GKzy K/Ytaf060xcq9VapYHAnthpOx+FLZdouDU4UJIogp5aBE6FuT747P3Ov91Hm+HYZMB6mfK+B91JE myiY7QuN90Dc1awa5qB+GpE1rARGdYMAc/wtro8qCHxdCUoml6Z1eDG3/3Zm9d3WEyNDJObElpwg Wf+QMznCMhXYBd4HbqaExUb4QjBpv4AXd+Mff3YxVgmvjhqth9GRbQma+9g+b3EoQynJsWi+ZfmO 9k84ipclJCxxJB/xsX+CBdyDAJAtEl2J61zgFtDU0Lq4ATBqhDVRnKTdw6UJfK1JFv4IDpiJERa0 QmONLmPpjf64wWMlRb3ZvuybHy7tiip9WFVciiv3uY37P3U+MkYwGl4pPpa7PWNjxhQpJDOceGZS dTDQlcVmIAjPGR9++N9SqumgLZhQu/47iegKqAjgZL+k4jZiyvSELzsASzjVI/okLs5emcMdQ92R VgeRJMMEy8r2l6OuaU6m2ugVCE7EbFO6iRANona92MCXmCLNMrqL6/2J2x6qj/Md8RKyWQmXzRIr 95VrxDgX/15VVfKlDM0ULlhjCuQVKLu7pgwF+VjExSlzCWlu6YGrnwwJUascvZcZon66mIQ+0k11 NYqiydPwRRIjersTfMibnMUp7x8Mm7gpVlKFCeew1u19blRJE+bu+MORS+KLko+FAyfLfhNwYaj/ fSb2mzhPbFigraz3/nUCdByWX+w3vS73qgNnUs7NHNyQNRk+x1Gz/vRiufLpANSV77PAFdVoXOVb 9fIQdF2SxZSyjQ+lagfAe3pwjUCMsvUab4+iwJfeHxW+0yPGLQvjybMBh+L7tMFFkXWnErY9bz1p p6purmAk1x1+8dhKWqpvTz54aR+PL3DLsQulZNzALREjh8w0n+DKJ6WeI2qacLKHgqtEDW4F68xR 1vx/3gOFrV9SANa4uqDi62xB7ek/92I5mGls7j2xdeRV4vphk0u9pLbrO6edEvB0R2OHfUAw7EbF 9OazbpO7nGqx3geHYmG6SwJTKT1icDrDgy1/lDCzSIzjsu/qEE1LJ90fDCnw9QL2yfJddWISoBsT F3JTEbKWext7qLJUbTzmJs+7mwcUqFMeYGYy/9kKdgX/Qos8CkqQIx72uLTUihRbWu2A5khjKxan cnMtRY+muT9uKikQuYXSebiajRKgz3Gtqz5RSzgTPSnSLGokxF+t7/tvEnTiVbi9RZzMP7eFG10W wX2Wwht8ByVly+e4HI6f7fZVOMWnnREYP0uIQ9TgzYdNpR/J6OLLbHMKdYV/3Uma4hIw7CVFjwBq eaE6KnfsHoU193WtnR0sq0e/RxRA1xTxMixxmS5yvxlgby8/0ikL8/A7qEM+pKAZbFifdbeGOsuc N5NS4DIvqpi5SGy29vY6ezTM98VqdBjTJpaG3oLq16DmHBfbAHVXnJl0CLzCjvMQMt/xZ3V/ia3D 2CHKscCSzy+K6PZ7HrvdLpOC1+cnR2U55ndSUhZd+W9sCJ4MH88iT2AdEn7y2jqvy5jpO5jQIIch vBiygH+UNXgtUiSzdy+xYbKCBon9nwatWLdprwvz4EeiSHUVBe3ZKiNCqqgKSXmrtd+erCgzQt6E kLpnxa6+MCoRAO2m0IOt3+WIWhR9TQU1xfWLKqL6VPSye7Apw46bQAHtREHqa4BNDZy8kaL3Rj+W msrWDlSWRikJG80g155plHghYUKozzjgot4mmbJDZ6XhFxMcVGlTjE/U+dOF+G3kQbAGPzL4I1b0 x/sCRJ+T8AWfSDbn73dJUopP+d9gMoAiB1l9ZmHNShm5QEQU5/jJampKil0lMYKqL3BGxxJNYilV WIhAvozwencCuJG+IdaIia1tGoxLzrVmOZJy6Tipp36SFcb2m1Ig1AYB2kmgy2TKUptyUziJNeId ha3CtgTo40OWapByBsMUR70R8/KsQvqhXBTDmIzBex7pWf92zUyuES45vx+UrF/wRKLA+0NnzrcS ATwKecGeF+bgnxgLGTWalt388+sSMTzUBGLc30E7/13TLamJjEe2scQ/y3wAwzOj3IxzYPjykZl+ dwsfe56b1bq5U0gl4BQCG6qkd+03W5NbYlD1nFgmcwPNbC9YVtJQkmU/6qDUWDIR68UeGEtMSVo2 0kL5avfcv/0RavD2yNzWvEZ6dEGmkxTjTrEuyrzJVOg9j8zO78flKltdVQaXzfLBcBtUxKbK1D5J ODCqvuqoNCnu3G3CcSNVu97aQqMkSrvVqnbY/7YAuG0s6c3zJH6O2t7xH5JG/CnRDHGb1IcqxjAn fTcpbt2Zqe5zxE1Zg7z3l9CeyRN7uFiq5t3gZU+QywI0KN9J2MTovtqvRJxOJSFYqxOY0sYtQMoz Vc6/5xnYgojeNNbVCQUMRvAHKFyV3h0WSm5BMgDYYgLzQf2L89JEAak6zSmn3bB7Ia7o18zUojJf AzYiF5G2KOHBOP7mEnBogtWZcllFnwUhHBTMsu9X9u8VPJ/lHiEgfewRSJxGSrMO21cFH0om/wOK aMjNUaG/YpmvYf2mhdpKZyvCiDyj8vAzxxkx+PQ0JuSjXuu7glGko68+Lh+wixq7XqEpefJnCSrJ pHgXZoXmyHWh5gOcxNneDpfrBj9zw1Q5Z8JgrX7wuY6UyEDrSmiBuK/i9CPfccEP34DUFqfowsHN kK55pckL/jmOToyvmsMFpAIP1Vsq6kD4Zkacecni4J5bHja5ghhKYf4alCEzNQZvJH4owkyuAyci PPMBFq99TDENBSZ7mVsqQPaAc6hd0DzCAzehqaHeeXq9yQuIwySUfrzijXJtf4ywL3ht9tBvy3rA 21o/egmXm2RrFo35Pm6rGE7x9WKmkApdEsJiixtWs4EByM7gZ9ElWSzbOB4wQS5foFmdUzv+qhhE zOwgTQujXDfdZr+4Xedsz2zA0bqptTgHhZIZg1Bu0sdcB3hIKbPwEjOzF5MolKJ10UqgSgsGaEdy x9FVrOh6K+woGIKMH7Nythumv4ZRpY72ti2OhHHU+l4shIX89DgAtIsnbXCkQ1WvhZVuwSb8VUWh 3ytAQTBdZtVFBxn4WaL+lw6YjPpZcBAuaCJmKKWktAXcGbtc+g7UPQVVFns+ZI5Zpn1Yv4MOkRdv OoLFFM2HKXDVcyg3iBxiioECZnjZIJQGlyvhorgkeoWTsfYeXW2zujh4VM+twII+yOUsVyDlwZgb nXyHc9QDOdeFmmlPHUoDycGYZi06e4ZBYCt1oZviaqjnMS6I3VIKbMU4mdXJZ+AF41/2Ta+BwyLH xEUAKYcJXKYwB3YODrN02mfE0NQz23XT3lwQ5Q1cJa+ZUaTrvMjSASDTFu0erynXUXGyTJdgJIi7 SFAOCkv13msQ2JEjs6XWSvdnFyS09v5pkRgcKqiVj8H0H4I9awFll+AkYmOqIAgBiEd2K9x/ecmf W/NZxrTdvPmRz04SH2xMZSKG/5wEYnpLnk4gNBCZMNEGVKBJgDX33dwJ2RXiGQeU87JcXXY/3Zc1 smNxmxn7XCKFkDj82Yl5vsNDrpKD8/yujZ2X30Q8cdFLzutQEG5PRuOg0HynEw2zgH/EuaUNuJEa njsug+v5fYygjL2NiSbWJV3hViFvM18AXLYBk9IgAeDvm16lbY4o6uUy+NwEieqFOI9qqdltPTzf yFiGiaacejh3kC4eAMFJ+vVY7XRtg3cZNqyHa6wYtc5j6tELIHjb2STc70X1FJbU05JI+hx5bmCW cXR9GMJXL1CH1UojozzTFRVkk1X27xltTxMgJMpOmAQgm0YRAt2z6CYj6iHyx+rmmDDe9VH2VgqF f9EK7labJIlm8AsGrCv+mp2C0Um5TDcOk6sGJWbh6E3qc9f9hwKr4U1uLM4UVUbnFi0zyc1kXmCp Fs8Ymq4LNlYqnA1pZVL6jEisGjfdqOdXeLkiBn9XTP03mciBTOsNHUY3f3p6RlFhP9u2sFVPeHew u1uOKb6b3y0fgOWVdV2ZgyOU+NVPTgpO5677qRxm0LThthfAdhUQ+zv2FHNv070Nna7J/WogrbXu skZgF9MP0thzx32JDwHjiunED+wk9rIe4GaIY2S03w2j7X2DEsy0c+JRhfzVHhOp+BVB5kB0DX0O BJEZiLJ2AZxCiCFqr4Gefz4RklvzUx1PSEVy9HT+Q3yq/NGOOgcThxDArT49EoWeu93066h3Izrv KVomWkmao4eKkAYR3K8Lz+lLtvZkpgN3wAkCUJ5nnHwtL+ZmveRbxzRUDz7cPUs8J/G2unwC5LqH RYjL+ZdwR3jWxHO/7zgxCnDCuSsMn8AVfqVltMdwu4mmbs1bkWgk6u9YvTeF3AB0zESfxdpwv9hL VU7tK8UpibE/10vv9nBJl8Eq3V5pzGHSfmAeT21p5EJXk1xixPLO51SHzYqTi6AgjuhiyC1lCu8o KyFCB+vWgWyMJMCYznGvNoVlVhxrwsdt2qDrD0n2ysaG4c/TGIzf3yARcfwnUSS/mfejyUL29FnJ sxqQOm58h2/mrM9E9nZR3e299KeVNKg3CvU2R4qIJU6KTBI/9HSyDj6uOqPgKsqrBKLl/712HmVd i0afqZSoODX6NHCLxVSnJ6eV9bSkyBa1+Z6rrGpD6BJVWtcL4ku9au5Qjbvn9KSjVCWFF2n+SbmM JQL1E+1aln3XjJ9Lxtad5INiOZSk7sQLVfyPYL+s4R0Pp9Jr8VVp3r6PAZ05hFMSrIwhI5fuz7/1 2oy1u8hkENtxPUXPWHHZpqBsm+MKbAEtrAYd6Bx4CB0tHMqon0kumEGA+WdrWb7l6HRT8UHMNyk2 +aQ37mGMphexMJSXM4mq7/+Sy3EB1xuC+nYYrPiSL00E4RD9SjDG669kfIPNckXQtyb4LNOGHQ0M Df9tJIohbMXtYSUoPH9YBGnl3SFEAPbB07uyGPJt1iQCVzngfG9gAINg8K12YCW+1tCBDqSX8W+j 1pR5uQE5s3VS1q1P8kJ+sEisFGsRP7TQ+U1vVt6b+Qghz7dzp/23Zx/bLbj5IH84Hc/fJALV0TKS HKGG7sSU7eMGcy2U6DvCUWSNYH3WC2pG4JPbTFiW8cx/fuDRNIUmEnSOZfVQmYnNopGMx/5v53hp jbxQ8r10vFqdXpC50vJjseotT1L0xvdsuK3ycSm6e6FfGLxHJxL/Fy8KdcuAGaxJS/Q2JzN0dJrO onSo1ZEo9ARgmAJGrp1O8Tn1TvPHHOtsrWjyq1hPryWkFAInv6+eSISFCrbzt7AShwZfBQpwT3ff NO0FqxzQ6e8JsR5fUCcn+nPMgtgluxvMm1acQwVm9ULx2paNuiJGWeNBmWw2IPrzJRO+lRFzbbJz L+qP7V9l9ANh1oRGkqy5P4GokP2PcWnhXlWumcBUdegasG4avhD9g6BsA36pVUClfbyc/Iv2Eoq6 PAiE9UexZ7J3IXjD7Bypj9AbtOfoNkffwlVqeyVZzVihY6Cle3yAj5P6PybvwgxiCL3cx8R3KfQe 8BfsqDmrIpJJRHreH5bfOgOtsE2bttMNC4LR7xjvJY+7k/EFkw9qg55ag2eDrLhWZNjnpWuL5CD/ 3QK5RrLsyjhPDJ29EIDd9Ae37ycfDkAUDoLl75rVaeifddVPNEQueLhyKcABY4lzyjDBLHe85owW RjhUTnTKp1Q/x422ZTDhL+0Op0CSSqlI4HEaIgCQNzMLNK/HrNgkZFAP+YGQwCmps38qeK3hnNTK zW7rBX7z6iiJlhY+clKqoVekJORKK3QLaswmP6fLnyYkCEGpmxmlTqL0Xf339lyIzi2+IYmGA8Sv raE8o0GGXaBzr19jIzPMzjCfCyUYSwoas+9m3YZTEBIiU30cYH7bU/MjvlfYa0bLHTjQyBoBD/qh GvgMM75ytGUYUhnjZZEsP7cpk5VOdBBFuHNd4+gTV96E+VwELK3Or5rM+QMlij7bO+uJLQhptdAe er8p7RJ2vN1kxvmUF8g+WKAzJhalRGcj9o7DoqoaxwhH9rEOgImVomBG5qjg7KENZC2U9WB7Pmh8 S9jD4DS4HEI/SZ+0OhI6C+TyZ7YtvJLtaq4SkPgCBaj0pPYn26BrY9Yj6ZkmLkKcY/0buUCL12iv +e51eWEzb5SrZJNE7A8x6N4G/e58lyUPVRbwF+6kWasZ4xv9LBwCA5B7T32AEAXwoJCmfEvpluJ+ CO5nhCK6ntSmy9LaaQ2WPbX+YLexUQ02OVBQrry7TgmmymzWBsUDElhyczvU6rWYHjKfIyAHit6W N3Qokc/zgIutvC2SgLo+Zp/p4WA5biC5dV4Gj+7AUDvz7Urt3UR1y3ucketjg+7kUvFAAa3z7wzk b5EFTCWDLM/y39MewRH+tL4rp8Du7K/1WVvig+YO0wEuEWlX7fe8LZDeujgi8lRT4zqbcUTSDUJB wEoaTkfQdevCCeVAOlM0zYtHPeXv9kOsV3oYT9lbMubSyhfyXwBGCO6bgIbg+VyHWcOTjyHny3ld pWbDweB75KWGMIE+vLx8y75E/AfViC/KOGxomCWeE5uBJoGIRUZpAxZF3T7ny2gpMcv790KtQdtK VO0umNcmqwtqe+TKUlSVqFje8olNLYQ3QFcMxXuzAQi6lfXceIkDjtYnli+a3Z/dJBAsxuW8tbHv TnHZh0AC3ETyW5NMt+ImftV8pwWlH02kD4w+8F283Byfnbm6O1mIM4+AwNgkyXvjRaTu37oN0FF8 9XFrd9VNj+PgqhI0wkoot85cupAONCOsjkEIJUHqgCUZy+cCGv5pTpyBMBcivIEG8PZx0IoLn1Cc PY1z47XpcBrIf5hPN1pcLtC2mifhwQ7gdmE2oUUvT9VVVbmOPP/gE1hzy7417508RayD1MNXdGUd I+rNrpFTKJ4kL4UMK3w1Ev4AkGvmwarQTifNXRFFU9kbm5k+4gcxkcTwd5r0sygGysPjo38qfHZs tVEOj3SAGdpurIhdc8p5EwTJghGx8iTFyUM457JCnyBzDw+PgUaulBvWKRX8DuF4i/d7jfOJQcx7 abJZ9avVCJvZGaNxrifVWIlLTP1qK/kTHV+xQfsBJ/31ezv7zK4XrRagRYZ6TBdm3BeuYp9DVKTk En8dEEQ/KhdGGlIcx47GN1uNliMaFtJ/0q8QrKPUljlWjww+CQwAzsddG+fqMn7QmzL10rnrV2u3 cwAqXzoNEXpscDxFf1r4W8YdtvZV6rntd41dmuFW029XKue368sc8XVXiPk6yadVKkBdRTU9pBXX ykvBpwv4eLTIZYofyAA25n9aSbmxEqps0c0SGoaiS7fWRJjmiLypyed+SeTB+IeHScSWqXxTy7zN AeW0GRdVr19V/hQr0qFEwF8v/C4j9hZEj7TGgEvL+lM3+mMB8hVXis9U5d7JsjcEKqiZGA64UeyR LuQQYmpCoMOMBXzMnlQZXI9gN8lwv7nKCFAMymBhu6of6wNt/A9pIYaGkvu657Oo2MUtlG6CVlqI ETibm+cci7eAK68hPRAe9GHXwNutAfVWzWIfVy20pUrDg2NXBzsbmB85w5TxOnGfCltzHXSmzUDQ fgl9kXqREG0CbQOP1gyK86mU9DT4YI8traNBzKat+hIDdZPWVBwnsF7Hmjg2KVXElRXiCO+md7+w 1Fe1Gm19QQNIRlKiBNAwmqUgfpfscf90mHe0zD3w+Vs9oY3JPludONJwLCRPcwKILYBqHvAUy92e W5O7c9pcjfiYsInhA8C6NkR+s3ScAqtB2L79O2xN2ApzHaSff9F8noJ1P5JJlH8mBueq8r6l4OJA DjnnwAMOdIqo6LA6vMOwCNQVMOEpkaaHvzI0UHLct3v2ulZ/iK9PMNSyr/BaBO5F1RvfySJnUrv5 9Y+/silbP/xnXI1R8nRn8I9qA+ceiFsnHaw/eCLkQ8TD+gTMFOlQTQOrcdokCtV4eIPSu3nsvkOj l9r/hY/rnqCd57J8PcJVdB10fuqgMEDFXlfCBBRDu78SNpVx+71w8Z0U2uVZ/zpWDQ5NpQ9AaKJG YgoiQ1t0FeXXm9sXe4oOteJLJ6VBX9K039Ff/j6iYMH7hpEcAcN/GcVPoKN525oLNehcNsl0mBsG v/YICozztspxY9wmjNbJs5GlwZkLsB8nrcZL9eJneLlATk/S38tKOhzmlYgHEiWLMIbXLuTXfQ96 kgOVAfDNmaDaY/QlEDrg4yAnnifTpDcekGAEgsXSqBU4HO+OQyN9msGWjoSmxaMAQ27fa9x37Xth fu/V2ffoY8afiKpp1HWgz/uZv/aKp6q5/FlAWxTwO4i04NB/sLTORcfbKWh7TnYap08IrZIOt1M2 b3zqlsL0GPL52x71x+Whc7rnaTQ+CtlC/N9qmvfWDXqCQ/S5yyzWCIRk07fYLjM6Lij3xbMe81lf dPHDJjB8u9faC4VRqldS94NC81mH6bqsgRgvwTzJ7fZTRmWLtErzRnKeKeT3OsgQm8rFiSAu9NuF IETugIvdREv1hTA1IuotNy6E/HuyqvI9T0vVM2QxG4EI5Yd9AXB8fHqe7zOw74gz2uOr2MVojT4x IblFRnlrZCRE9k++rWCUIbxHb420bp92h0QTdaWrNzr0Mx44nPI2fB7c/iV8k/0ZKbM0vY8R4M6N oJcQO/Rhs/rIe8vxTFbJq4OgAq9sL/2xKjN19lCpN5ZI4r1lA1vJiCsPm2fmFuZ7+WAau0ExSlRX sGnPpMUEUiN1zTGtSEdSnV9Fgq73MoOHbjFs3o5k8IIpzutfnjF4osUzX68UUA+IOzvAFjMQvgIX 4j6vXOqogTd3VVpTPk3tFe+PszbFOOx2DzuZf3V1dPfVXpN5joC8o/hnFy0D1Ze7rPcCniDF1dVP U+d9KnfJu1srelXc9roqZ/ibOv4+0WIi+lC9qPAsI0gjIQldGNJmkgqg0CfEqDeDm9pZHRMd6TSh 5uqMEhqe3srhtLJWHf1QAH+7gn2J6uKdP8v+6s9KPMrgq78HDFYeyGzpdEkXxzBKvvEB/b79lit9 goi5wwpQtt46Fch+JK2HpQU8Vt1CTe4VxHTV3OZMcbqhUeWKYUx2FBOCh+z3sM/pA/hVofJ/K37a Dxj8POKOV13rtaFXJZJQyJ7ap/4JfzlKBZrT8S844vZVPETbU0eb7fBbdT8Zxp1xWk78qyj0pC6f p2yYx+7CEf5fSDvL4R7umdvZWH1WhBTA/G5sSA9GahwMD89WyZxFyeMaK2mPKlpsKK0MBNXzMD5B JxppTTWOidnn8hTvNQOmpg8Ht+vx1+QHlxxysTFpJD7zmBhXyYss0R/VqEiTxmJ0l4Yo9ZM2RcLA imVK98/deiY5oE/Hv9lVciPYcHMvE616ftgqBizyMoUpuXro0seSUCZ5L5DH7vLDaoKl6xqycztr Eyxq8XWNMf7PRbu0CzLTNsO2aBEi98XitlAmdNoMgt9VcINva61NXA7rdufHW5ZfCnbTM27WVWO8 PklsoLF+PyXx06UvR4XcA8XugO/LIe4II6RrAd4MNQnkMW+VQJkhdbYdiAo/wFMRv1kvXnPGT6D7 QBnZyLBp8MZ7e5OWlXgr7N3N5LSXFpn/GGiRWu+9+t6HqMtcYgrimipqcO9msVF4plVuP6py2a4w 5l2SJ0o8gAZEnp9Ezk0kZr/4QSTvuw0DUzj+QimPLZCw/VZZHfaHjkMTKVSWMjZ5cQ2v1cZjqTGU DY/Jd22xiW/6+BtcVAXX7NZvodfbM8jnr0w9NxpsXMUOpZoECSLaz5QqtpyU74rJKElyoyMzffHG SGMwRJhjNKOW2kjF/MZcvnHg44XjOMf9qlWaBzpmZUnCZn9GWzzs7Lg3ut8+eY5w8fXeO+bMkzTb RgwV5LaFBhqfIQkBCxgHL9FITXo1MjJ+c+Lq6wfLi2aabGTGk5ddtoItdLaa12D8k8ObHhVEqGxR 5ks8Kdm9qIKGci/N3U3Lugvcd+HoU8E7tNa1Ope3Mg8OFiwsPrtHMzL069JJkHGnx2Liv/EkApZi ggMVPNbp5Op0AMPiZ82n6+JhdjWLAtVsRrdmXovz2505/3lEzIuYmQP6yom7OBy5jEV46NCojJz1 AR7q51FXmnWzwP9neGaQACVkxlMnfoUSCb81yZqyzYT8DgCB79RNVxlLpJOPvHZOmc/A0g9MFGkX i316WWDwxhUVbnxrZSAtSwb+qovuiKThTQuDzX8E/S41V1O4KzwocvKeq9sRMrSuNfs/8XRM/qEX aBxqh3HCKNplRN+Z2kX5MwtdhslgFkE38k7OYDwX4eWlyEWHKTYNP6hxtvPd0VxoP9sI/JxQTGOF CXh4oMIRZwHCyx4MEr2flD48WRn0v4ujqninkqOyDfzE9ef//9BY0U/arVXfZ3LfmUn4soSKKJVZ RJR8ruA2VazjghKOh5e2bfBbZBNAcONXF01+rPu7no59MyRh4P8PHqkyMJYv8KhzYJe49Yw75O+K wh5/YAY1z6gO70JPdiRunCA/7mznNPNNLKCbc6Uq06fR0PMeWuWxcxlA8RDBrPHXnZ7pMwxx0mv7 9APzhoNVt4sBZWbzLEZDVKG0UF/zEfB1JdSnM3pGZXypRKMTEo+rnjPE2yqeVHyIKpnNALFewkDH YfgcH3pV9hB5jBmCJQTlMAQDtmliJVjiPsn9h/LQHq2KxUpoWXXVa6BIOa2MtNoyRtlP0QBJYE45 9XolsPPv1qedxZzNR9QuNb5Koz+z7bnje6ALcy9UTLPov4uUT4r/Hb+KYsVJznwUN5cgr8vtutet 7EnFWz2R8zjEyc+6pqT1+qQbG1NR1Lu6VBM9d9H0dkREs65HSjws2Gb286zbVD/AsKXo4EY/52T2 YzIdDncQUwgSQJ6uxM5X+4nla8QYiO+XyyPLPpPs1IzEfZ0CRMW0GBwLkg01LORkzx/5r59P8OPe S1qE4HmvirfaS4wVm0Cabi90mcTlQKQooayvqcG0vVT7RzwIRmvYtcJMI2fib0ZwyR/4sCOX1Ao4 X/nw6IfsAfhSwCVbdbVLjXJIhd5lBGGA6dhAyxsWONmAN0Xk8n++eZ7LCnGi9UkXMWhlsh6DLehF n54lWWmh2sZkdPq1y06NoIYWIvXT9Kx/sk88IXzVbcbcWjt7VG8yV0bslCph16LSKRYYIseB4fwv URZTNYfBC/2x90t4L9UbUFDBCtemd5tg0EBQkya+l+e4ghnuzvbhaV5oYkLhU2zzjMeMK6Jrbmuo XqrLjyNUefPX1YacXxlDDm5fnuKmX9TffRnXYZ+HfDcukLgP71Trb0GdngBRFQpJ//sVPKTKtXsT uZtNFGUZaVXVikMIid/71D2nnnIqUopdb9t2Pia/MwEMrcvlNLEL6Xly8J7pJhty9xsjKBEWWDA8 gH5Cbx5VrFK9BpvyEPxvDWR6SCrFZIT8afa7Voq/AnVzJ2V1L7tUXPGG4QWHXxk/6zHKoIlTrYoP XFNn69F/Uv1Otdl5z3SgkImT6UxFIIRAuiJ1Hk4V1ddxrrUvgflAIkgxK1cKykNVsdEIvBgaC9Yo Rw0dXD0Wur16X63hVMTemaamCR5caenjfL+Yd7Y1QZ2jdQYp2AI2n4kjg0Tvo13ZWr8dTJK+3hOK 9t5mGEDPrbplGGzE+RExLtejb74005DFKk8Y1uQYKqEGdpJo9EKytF/seTnBrP7AVAe9w+GKsQ/z F9ejP3jZofqldzsFRAunmEnyGAyuDik3E5j9ij12An+3P0TGWWkF4i5ETBxE3w0DO9ag4wl7ykG5 IlIV5AbNjpCI7JoCaPQSTMys3yuF0l7KxkE2TJcKXOtAG59siA+e2glJbwyHx7cadyu4Ee0bJP/H QzkC8JcRJ6xpCueEB40WvmyUBEk2E2KTrS7S6lZvIOpUNSa3jweF29Jgz4Qk31yI/IuJBBIh4FDY VrHmvf03hZZ4dTyWrs5B+K1HqOvyyHg4rR6f9n/4yEz0DlspGWhHT4PXCpgXt2hCPi85ujfUQuiK 3DVCcxLQABxaPLzRZ9xmtTEADyEKZI8WaFk8VR7gkEbDHRUDzNR5U50fb7xYIwn5OdgPXBgkpmVj jlNV8T2R3rDpOCFZMpAVAZCYaqDubJevIQbc3LeXB4WsKAcsqUKUDlqIDPQhhSSRp/5m8zLvKoRx pgFscNpLqSGCDpJfis6FieK2qPvahF0DaS8gRsDZlMkoNA1YU7NuzpXpkmz60j0HJstF2BITevN1 BIw5Pb8aQ8/Nr98Px0ZuJ1ZH+BSVElzDxu0SMRz8bFRZxAQb8sl8PbZ8PbJ9s5vKyOgqJplA+u2c hIV7RR3KfopVkUsOiHdm/QAZaM1XLoyot4/SGqM+WVX6x5z0Y5+ZP73d5SdfBcPcT1ypbuf0pNv3 TJJ7wMPUB3ss5wveye4/vPAwFp9B36nsh6Q+UxvaVW33DUzILc9kWA5bL7gHF5Eu0jyL0iERUuLR 91B3k5w6pcf7owodfh96miQ5P5SwHvZcOjtlMCfHF5PEvL2vDlV6OY1fMTXHsPEfoyPIHfHXVfQh KysqOCeGL5Yha0JALAZIS3hQlcxLqGbSwQ/l+IlzcU87k3rA1mSUCPgZlPi/FgvFfMSi3M7qyuL0 eLytPDzHaxuCTcS5KytEJmwmQzzkkqet/KHfTCtpodlmSyBjEaZCdCmiW70yBGwAZmbbOE4KBEQy pW7Rs1cqVb4vMDejTEH6hrARBWGFqL3y9mecnpPx6j62VlezLespAlxrxlbJ6S4jkKasxjMCsJ4f 9ZIST2kquCiDjcMB2WUUvBx545AqjEnuxkXa9RVW1Gpez06sdWCLYRYGx8u5QBO53B/G5d+DCjc9 VuXpU7r8Qbyhk57Chh6YNRU37DJRMqys7RLkTAy2x02gdvMMYYopUltLBWXfKBgQyngA5zPkN05r pULJkuO05hisxYSPpsOJyHVG7XmNifcMAKHlNS/8wfDAEkV10RYH3pl+s+sd3xze9QSWJZY9f8GG iaBvS9vLdyV7mirae3phKMG6ftBAG9EyTzMQSb2gYAODRoAeaswa+31vk3lxMWheJi0N2ZueLP1u T3NfShB0g17pNl8R2dmq+K5JHr+u/dXLp+FBLkKVsNU6eS3nr7LDwLW8jpva4Xc5YsNB4ODXMjcV kwbO5tjiVTjsPVFI0pZnG2fkpUorq+TG5nWEt8sC0vr761yNpRXGUW1EDklpo6bN9V/I3ME1/r0k zVYR/tA1mqm9upCpBquNSoc97se9whhjfogxi7lNFmI8rojdvMZeQY3UJEGpYnKXaNEF7AyDKVJS iRPyA7JPrH9qWuX5+H51EE3RzhGAP54hbyz3LTpVYi+naPQj4sZM5yGbeCBlf5xTXw01x/r1peP3 MvDSRcP1jRwKlx8oGTVTXAviHR0TbpEhoLVeEQuyqRD4wpeD9eJBlTkb/jqn2Ck2oAgau8hCJuYP MXkBW13oV6v9D9di8Gyft1CoC6kwjfxtneW9PHU+2VTAUCtkZnTT9Etc6YwOzGREBkRJICNnnprw 8zRNYFCyqnMbnSd53KoqffSlK7fvqiN0TLhmRsQrsoTBFD/Tv9qdDd8wuGF7zzhSCIhPV+5z295m 4b0eY5ZhLx2QSrEzjd4K4aqf2vIh1eb5kduRyyjQlZzgZDvJ644Qk4rzNsuqhIobhrKWzqA0N20A Cy6S/SvYWyRXtTUi2fCR+D5WLyx+p96fDpsm+e8+xqKL4YcPKZazp/phF6oddqvo54sKu8Ly5pol LfzBcvCAEZ5WOOQTZzDtHzKknfQndUbOKO6DTBwJd9J28nk+m+5+JcbhTox6iqN4CqakHdTZWrPV VskbOMaeP7wxcaYKY7Bg9iIKV3y4oFzhzATtqBaW+GEOyx9feWj7MdudmHHMQyEKEc+rpmu+xvq5 wc5uFpbUVeRRHYFzxIH4uBbI7V2XMSvSu+mMme0Xmh3ILlK30MTLtwsJAiVH0lY8yfj7HNX3e/Ni IoxcAY7ks7x/kIZyJOhwy9YHUzth9DKH7wMz77lwXg+mfLw6qnw6AKqSMeuAePfvL+mJ9eY3CN9C WLo9AJO0BY4LKKrKpJTo9go2hscegxSS6DySMJuNnm6h3emmTm1v/IfIZs6u3m5Tb8vO1J32E4vI sKonNKby4qGHHPJJaOXLsYgraTRZN0cms5OWtPgO/HdtD9hh54H9uPxLiijN6OZ+P+n+FgknzCgb dqi7mEDl2QwpxF4zYcZB/4zbuMsQ7Wk4ILjVEb/i1KbOV0kUaav+jalYOGZruc5fuYBGIKQSuX4k HgRVlckRCLsHOQyAZmoDnDycq12WXBGXy9Dav3H+8ktlXFMgpjZcb+ZerORZHnw90rbcoSWGJxxQ hbvCgMjinHgKkd+einuKpKRkz5txv13Qu4Cv1cVOpvA+7laQ4TEj+Mz+Osds8rIAWN7W2pedERN8 HBsunmGUc6lAwv3XeZz6NnjvJJaqgXasrS5lfCvHmHaH4zytmCUC9opfZX4MsDkipbmMPjkSHQ5t WvAniG94DYkGE5t58A6WipTswoCfL3n25QBGTtkCZoN/BX/wIzswATScwMii1+AjqN4FrW+ONXa6 lQmua3bPVSOxP0+G11xh4GriXLctmkfEbPzn/17oPIZa6kjMDc/LrtoDQNPuPhKR4ZwofkmXYCOp Je/5hJmlZrxV8v9WcmlG/I31DDS18hJuBcD2lWkm7RrG8V3Nnn90DKLzyZkWX8QooSeEwuP2aKt3 iTKnzh4yowk2CFYjMCVuop0v/wAXqG0kdC4K/+EtBs7p7lD6k3oNrR4Ap77PpEMXuk6mSHLL8rt5 0Am/1j597qxt4//VMk6AZN3GqItUJr+KMktVN1SV4fvfUd/W6MiPw8hMVUDsWcbRwGwVf1PABT2w 9bcXNfIxYRX5J/8a4neHi/ZN9yN1LKR2JupZu6WcmQcUEhqAmj3hnH3WwJdBC96lOYGfgeKyAlWJ sYuFwLyt3shFHjuugaAc+xdbWdsYNNZOyYferh8tRPCRYhCPhwSlxW7QXULRjelMKNr4oCnWPDon yCUtGQaabalopvT2hV3k7CgD6E35Q0jIodfeYrjU/Mz98jKF9UdbOmWcgi7UJHqE8X8b1CsecwK9 w8vCDrUyxo1Pr1+oN5E04TvHmcp0LKQK4l8U+DHiJIl9uO6tzPkxqL6ORnyHNc8ZZUQohOtCC6Gu ZKMZXfbkGGN9UBGyEaWze+0upWBTakPngRUpnG136F2KuxY0BrCC0iyKLU9aoi5vgAP5chkmL9/d tgNUWLRLiKjcMLFNwvHb9Vs8x6plSLYkMU21D+s00XJYYJRSICcHJhvjtqHmpefkGSY1vuresVGL 7GWD79m7XOk5h7qxLV5Tpfno8rLIo2HETNNF6Ur0OKMLEdH9k0oRDx1+WgQ8Ng+EDbadVkUH3Dct AYfcib3/X2i3ZN7IGJSvlPXf7rat2qnbkKRprch49REN/SQfrE2KeYrz5AE/w8xT4bG6dXUm4z8h pWkUaifEnu5CrG2MFXSElsW5z7yD7GfOe2Ffk0TU5SGbWd7IZKVwyGTyI5XZfa/spoQrV1J9K2l+ RHUVxrKKjzL9Ky/w9SAwSFa6UrHYXLPc0HZ9lf5FQg3WgO+bTquFP1t9uBimJ2LfJWQM/BiWmBC/ 486bDVSoX3Gg3McjkdKwo0OIxFwv7A/DFxApikA6yr7Di9WtUYe8XKyg/d8c9QF7rBFwD8e9C3vl eyNZkzlWO0neehbcCebSPVqyZbKTi93XdTGCMwLqSobATAHEpg4k7F2xfG/W6pIQio0pCaL9e6Ue 1aIeTGGGJOSPKesP+RzyoROkOuaKFOs8nV075mCs4MN5zjWvX7SGjW0qyhWEvERtVU/WqK9hdayF escDCPCLQMP7M7qOObRGvWnUgi+23QYy9D5uINPwzL5+mN+5VMyIAvhDPicgAws2YeIm69dorbpz TQrPuP5gNRiXGcwTIMtvDdIUaBCr1PTSsapPpp9tvK6qbQuXEq3hmbpKILMmoPSKvHpSdJOjuQ+B 9BqrkX9PWVhcnZFoxBJA0hZv81NKJrIeuUqxuUfqcIB0EiF6e1a4CrpyDpCYQqL1aBY9/xUsM38D WvPZ+BCM2lDwvfLhrtbL7IFJBrXDC1yhKAptOOJCW4I4Iojt3a54Q1psuYQP8S+FBOEreLpOtlr8 igRILGb4EnGAW8VhkRU3MJrUkfqNJb8AJo6P1B2GPpHT/1RSx9wi3gqKQ49k+sdxd2Yvlb/AVuJV jcH7GD19XCSastC2p8iGkHX0TW6yjSPHz/ktbxHakCeWw0sVc6++pl46DlzH62g/4akFB0qENJ+K jg6MCZN7Nm66C/gIKtzrQa+oGuFo4uIBHyp5K5tOfZyLl8J9GN4nNkVdSBrVogZRd4/1vaYYcW5r Fhm7fACmWSpuuxLmN3GPXejQiyOTYCo17/97QSa+oPuCX7xAzyYzNGpQr28LAJ9hkYSFq5lM/EgH OpG0JNrp6TQSJ/kzQxBW+0JiHnunR2SQf2NIMcD+O/pP3DQZOpjGDJkn64lP2F0Q9mDJHJALc3AP aHlOGMzR8i2+WMU6kx5wE61TJvgGqICO/TMvueMZGhSWvJQKcjBTWBe2H47EHPn3AqK0EneYhH1B CqMRMUvmN5AkJYyddoalPmXqE/HVtigjO+LcDOcLWKJptcB/UbjW9fgQo27btEFEHrsO6BRey9Xu XFnCDH8vexDOsnuRR6DZh0FYKFLaxau4tnVQtlneYTJx/kIZxXsvRBU+asYVJQsWdmz3h22nGAMD s/GphWnzj9QNzlqgU9LfrttogQ1id480r6YLlVW/6K0EspiQJqXWpAnDLREgf/RZcI001kdABLwn rK62oWXd+eT9sZQOeK8HsYtTdYoQhgUiIZKQVP/QIG8vRUpevwIS3OllSJa7domAgg0CxmVyIzei uArsr3WuVZAR1HFtPFDS0oEhJBJWyY9lmVXoawMh1CeUbiwZlB2VlgOsqzn5pUFT6kpW+aQhks96 JeSGAVd6Pl4p67FmHnYtMMjof49+sSY6/Ry1YHN7Us2g4vVxirRo12XvvJ6XhLtv2X+bBoXAeOai eGUkZvMdZQSKHxdkuWg90AjrCSafNRLAxL7VP6YfM34EwRlEWMLwU+I8Sv57q1mGO6ijT+PZM132 y0my2cNvmbRseWu4gxkDoNS+mPaUcDEp+ENzzLFcUxpGXd2GgoBrinT2W84wkycWlJxXnE+8i72P arQnavwpWszUHCsPiDxFfGEIodHA90Ux129KHE2k1mKcVd7eTdEYuBJU8/mGnyB46fJNwQETxi6i WD2xCrAwoc3/jhWs2JFEIJgK+Ljo/IL/RnOLI3F39bUK+UvwQQvnUD9PjTTr01t6xQh786aZijm4 Ni3f5i5YZOmfzlw3O+qTku5CYBNz9oUyz89Sm8tUV4SHo7TgIoN2NsXdtgQAftZcmoxTHRKj1wGA uxGuSeVeGbn8tfHZdMxoLZ1/macqx9iDua3ewU+QYV6hXZez7SjtzodXh6J/Bx5Zo3DNdcnbD3VX T75G6ASrhZZ18bTkMQkyWsn0YmLnHTVK2FtoEcSzm98N/ezyw1IoIzkVF6ZcMLma/JRE7wdcTxIn YsKbrAK4TuykxvwoVA/Bov805crxZaOH83pUBLUUB5xgs021+Q+D+KuxbgbNefU0XRBQvday/zRW sU52xPy3r3D528RI2m0vHDw/Iu+oHR+BzXqEzITQl3GQbhgYXl9WupsMwg+165rm0wB3tJE+PWjZ XWIuIjQQCxTqxD9oci16JsD9uWAqvuMpzoRHOaStfOOAN/4sEvEKjtX3HQTEGnI8DAEMXKmAcJpN kDfBTIZeVb79W6G4eqUvB/2lOi4j0u4o6IFft0FCUmZwQE8WcBdR0RHUM48RQdiC8VgT+8ksLei/ 4Z2710VoyKgNoAXlITqNKtAq1qVv1/wgZqP9VfnBdfclD9Za9vhNCZK9xP43QZ8rMSiztAFdrBmG wVhwTAYsOl2uT78f7RzQYmjlbbPvI6LmrnBA/BhUkub9WYzc4RK+tyH1yJZLGL2u34lL4dshjx6/ /UnVvyLOmVkiTHAo1MEHKi/cEF1YWRbA2EXxwWnksnuvAYnNTWZriZWBl64ZUmcIeE+/6drb6qsl w0aPxIIoSStccl8zuI2AO7TMZgIq50nXGMcglU7snAr3tKCT1wPbO7jkPnj1mcFTw84ToOM2nPC4 SCu7HkTtxd5UQrUWUxIATp3rjlW/Oy+EA4m9EzQI7ZIu8Lz21ngRHWtKoi2lcMoMjQEnK0BW+0Bk +Ox/zQ29nJxjbMFU0A7sf071z1IvkB7tKl9TO+jlT6M2VJ9JDBz3UrSwN7qVtN/MBH7Xi6HatxQR /LmQFni0LCKjfHLK11sMwH3Sb2IOuONrz2z4ec+Tk4D4IgGgwvhqRYXFgGcfNphGaP8FkyGpP99g kkhKp7xY5ARx//Irc9Hyfll2hBYjz13eLVc0ahTNi9t559zPdrjBx+lgxGxs8W4/Fmhy2u4he1DH 8bozOYu1qPwDzYXYIRcdYdqc6lwgDdUKhuwYBwMwFayJBx/aoCogx81TBVkTodgTF7Rejk4so6tn jP+hU4uYcnuvurljqqZbhjsk70LhRmJba2rdPcSgGuniUwwnA6QDfkvzquNWzC1cOf6EDsUAuSWk 2nQsBjfEV9roieK0gUrkd6sL8flEfkOubb8HpuUBYzhPo12nXAID1cnIAZsF93EnlMubr6OJ6r8x J7oyS+2J//AsEz5+cg6LXN9BitPSADWYZVlwvQren5EQorDpvl6MObOBGqDOr7tifXd3yJoxBrOK oW0+eig5NVlVyYTnuaABAFfpNolVgbi0QUAVLICMGe9x2uKCBOYpgYrf3Rs0LdBQHZaUQFKChSlb +9d2ZFN5gjL1tkeITPf8CaG/Ye04CyuFJj8UKL0fYQc/HRSp1tftwq6KzQa/UwIjFZNmNCx42fOf 8a3d7ncbN9BiWuAlU8++ncfKdL+rWdWiRgQtOWD8sVMalrJ0iqDiik2KqCn9F0VcRmWtR5SSUpkP 8oFSFbWVECmoYZznPqfDv2ZVa1Np6i1a+wezg3xBQQvG+S9p5IdFSRc+pXcpLXkxcQ3JDw5rmjqd XGIFuYcmdAzl02BIN7bUhRiicIrs/aUtzPvhVMcMIRrIUQLuSLpGkxkdNODwUtbIlBti6ZzvgfrV aZXfxdWs/yprS9Sk5MLE7xLMMlFXZE7a2vZfjouZcI8yz1OFbsfnRSti6Y+QBl1RVsKIidb+UAhc xQIajmdGXPo63w+5De4lTaWk0UxbRfTwkKIbWI+Mhs7gtWZdHhAu+/9uY3QRq0ODmT5gsNwRvdEQ fNwne/Ph7ct+z8B+v1nbRikZX7ntPqaAeURILh/bOAJ13y9IrOj5qK0u8SRttpPeV/8M0A9jtRWX Orfrg2dMSDw7fhG3ojKxUPHZhmtuH7KUtaRn1sHgZyxr+hsTMmg5eUXg/GNlY1tnZZYB/ybqx+I/ Eyi9KPYA2ZiRtrCSOjXbwrXkAtAE9H7J2jflwDhn4T66Sd6Z82dzeuqmyurxCLFL9Na077kWFYwY LfaabGj0QvTUR94h+T1zSWsyZ3rGohlKVyJm2FW7LURUV+5z9eqGi67pSNALUghjAKu7YTZWbPfV bfbLwY2PxF7Jxndub0/mCFR5r8cCQXtgmWatkxv7u3A7ivkIfLXqVFt5SQu99edwqVB9dn6diUYs TpwG5TgkyITFhEA7whH7zfkO1EMU/EfUDbcu1/6aHpwEErGdoH5MsmScX8elHqky2TbjyLAuXiqo S8A5MSMMtlGWX8u9ewkdf+vhn7mfI/CLNLwz7ENoKnRRl5mEFEei517YtMbAMbdggXoscMuqKTPb nayywlZHusEVTnKlAg4vYQSqZ8ChD7Yt4oIq890VwgIlLUhmqsNCnHCtitlWF8GIZJLeqhhlxvgm ZlPru2lujwhjrqeZvusaxh1O2HyD3sRLFrWNIam83n7sOyiEDVXfg3Iynno8G2TByrsuYm9XpvVp z9h3tk179cRwVooCvUA2FNRs34LDc5B0EjhmMs1EOucW7KY2H+xURGF4lxgQ8WupySGDBrMD1my1 0b1sU+Fotvd7W2G1fGJ38YQRopiZ4EakO/Ulkv9lPjuQ7mENu7NrOXu1rFHyyOIAqxvnOf7OxfKH 91n5eZVXD6YZ0hDmkwxnohLjwkTxqKVVhurJCyZsvY3yycCHB0GDEv8kCBm3a8z4JRiMvQX1EgxD XdUQKzYf2aEcCbsO16x5bOEZpAEGMX6LtYUDcnN4i+zNv+vU9/Q83Cf5w/uFS1nyQlOh5k+VFRWN VAxRWdQ8/GKc5RfRMfrz8mtoKOrftJkUFkjoxcvxtr946KDFQcWPdCOYnL09mSP7pLYT5VWxJDUG dSFRCsASnjc76NGnD0MnCSG3zoitMdwSY7bcmAwGw8jzX5JCssLusKG3AvY4qtc5XhMiI1N3PsfF Ej8InfBU+qobtxcdGTDIR7jk166rPnFmSpYxeIZjLtMBLxLRRwcAS2GACcjivJ+HaPLbVbhMu4tb 6u4ckyGLPHsx27TUHAhsZhJ5bhSQKKrJTAceYywm1trmypK0Q94EPawIsr5JgqEoBnshzqvqh0tv afrEkuyElW/IBteR9nRYfNyB1GZF5ro+2qI0lr8/kVWydAPBmzYVGL3jNMCMiShs2eUqianOS8SQ lmJSNggVwopa/93ufODltvhE1H8ARBNUeNGEbDmuA1M7OGU5rg1YxxNwZ/4ya37s5QUIZ7ye72kD 0EzhskL5eoJAiBRqCt7ARVRpTirukH++k/YcZPIXwCN10b2NTS9wL0pYkONNDHowHwFL7HUWcW9c VO8c4bPp6INAfp2ys/4Wyi5TWBQRTl+bdIcwEhCRH6iqgLgxQmkT91pY8BbnzP9HYVuxYRGHl7kb XCobx26daOO9PZYS1IkfleeQsh1gpTYUu0w0DM1VS2yhcCCS4UgfaFyFvCbqEKrN0cFFUJfNPQDT xN22+Y3Xw7vL9otz4pge6RaRF3KUxRyA+n3bS4MErc/hzC037k51HKK/fTYq+Jnhgd0rG0LLgkwp 42k0Z4zzrudKMfJqzH6caPnJhNbfvKyEdSEsfFFjZODjxIDPJnYkv9In/+OgcyaeDBge6E6visId azYy3r90M/aLhFea1LWFaattX+H28puPenNYXZED9rCcl25AmCLGRi/Sbt1m6FHXfCQxyq/jeuTU beZXp8LfIx4Onkxl6fhEUZWanlNBAVoe9QuzR5Be6LB4PeQJTkx7qHkUW53kVv3flJ+44H1/kgd+ 2/zsD7pECnul/+xWv6AuQLM5EuCf/TgpOAJe8OGjXIaoCd4m3OVHQq6Cug0hheTsx9DNJXtjkRpe GDOw13BcZaCUwgffaoPJfW3sDSrxgff2sJnrKo5bEzk8mA4iSMDf3MBxYHRG43dAB8ngEv84IbzI 0q/2zQUsCxz1S/qBHPMVzQFmYvGphuQROZPOL0bSDtTE1OCLQ8BU1drxoRT9m91HEtG0RqZgycpg fSwlh9nHfHkcIAaCy0qW6QGj85jFGTqIzjqrg7JfpXU+Vv4oMtqMYvIGdkABpYyYkH+DIWfmIVpQ +UxWsJnR7mSBUbfRwh7FdZ76IyoG4EAR2lauGQq5sCJ7SFSP8q26k7QwPZD5ymelCP6UYLrwhL7U mca0bmjZzkvyXG58nWGSb/pUT+txyR7uG8tXX0S2v015ZL+6LJBq9s2iOzXPQT2e1LbkfqYghlrt JFWeSSq7ypSHBZjULd2QvNujROcokyYjDG0AeWDRe11L+vjrYyIuWVlB1wyu8Xm9wdRA2O28zx7D 4eFI1VH8PIrW54hgyDP/XBAysKoVwMAqZ1j7zF390ySMcFwS0MLMcItWraLjyazP7Z4q8634EAUZ wT1CMNs+oWAjbjLPIMjfYCgGnV0xLCbB0iShiT372LHPOtVfE7dYfcp/7hhpCWHV/lcMhFQeuZtt t40F7NphuoenJxzuSKeaSOxy1DoHJJSkL0uO+3OM+pA+0ev+fT7T0p5SBuh6IDgXfFCQVfG3rL3A m0iV3pULhibsSH4Cw0LIWsSn//+Y/tyX1IQniedYQG2Ancg8t9HHYlWuCr/jOHVudiqRKSbPJ2eY +RH51QkPdd4L0ULDCo9dR+eyt0d718SGkQfMUkD0hDWYmWjGaA8xr4fqL/Zcyd9h/WKYBioSKvqr 1BXtgNuWjncXyR4gas4M2GKzIEJUlnnptLRZH5joKp6svl1SSEEIqGuhbD+gDTSQ2Ja5DxBXUijo GV5aAfCDbUkowk5udLhRcbKYun731Y0kLQf0FfltTkc7D2ZrsafLn0bOcSkFL5VChqslMoIm8GmJ /hSZWAioaS8VX3F/RIBgLt/w4SpMe7ltuJJ6nLg3O3cbmRk+DLhXGgljAC2Y/TUmtDjlSiLCui5B xNOXMg0BQXWsAjx/Plo/iA5CPWsnmQd504QXLJlSNsZm9sNwhN/dKE2gO79x4RgWBHxrr44fHIH2 zN4w7QZ7fFBK4iwuYO7Cqt4a5VhZqUuXANakEtgAG3C82lgTPsY3jJMeFzuhGAVXMpoyUMJDYGL+ HqEuopGeVvaSQtbIQrSfH8jx2gJW/YGGEHjEVKRq9ObbNbm/ang8jAsDFJoXZDj3WzHk2GUiR0VC izL35dm1jYoYlWGSNDcssZuUMU7GB9L3/P8+SGriP/NTPCt2L2JuV6NOSVwSOBz93QF+ydLvmVkd BP7IX+Cl2frRBA1ZAqK+r9V0Bu0i5uHKpFvWQwmrUCV+r4+JlFzhotpgiJaE/X/x/fc58AQKf8eI be3xJmDvCbIzRrSO03WiNiX9JciWtFT/gyqqB7ItI1gnPdvTIehv9DlBtO02BPVKegBgsP4/cWxC ClHDKAKkPI5rwjqFzxMok4vmjujG88qF26FDTuQbA4ScuH82QyUwUZHXQVdZDo3cMOQCCm6q7D7t y5yZ/p2NMbGIOG4REJGgVbbvVJ8C3d8IFDF6NaVLRqvUAijec4OTAEWXukk7CCMv3tku7pzNKRGV OMGN+9KNwbtStGuhl5KfKQRXTCpyNhCpViIkEbYxy6Rq2Eug+2az3liwpXJ0hbzs+3nOLmPa2Sr5 eouT+ETG8l27TwBVpXa6SLqB/Cit+Ix8X7Ys+IPw3jmPoKDGe8vrOa5HJIEGvNP0mtIYdrJSKWAg vZ//ONkjD/SpnG+PuVhpnchukOma0xBgMJ0U8l6prEMi2IFHnBvfdLvYzhCtHF3dq6HnQzBHbsfu 7aLEM51bGMAIlDEAWM7aBq4HoJiaKWDkzKDTe7frmkVTWukiDBR8Fn39Fxods8IFXQZbNPGEdrSP B5byHgm+QhMeJwQMYBhhFvNEXhfGhvfoHKCK1TXUM23b9zct+9FG7C0ZbsK5suCbNlU91aI+dyzr 9bxL76RrlrWOhZaOvUTqBkqk+9Zuy9BBL8EpUUX6huiyEHNCUcyIKaujwHL5igX9p3LL8V/ASGno 6xujtejtIsAzwwraLA2Wc33f39MIy3j3k6EZnY5CkOWRSn0V1gOoPC2ydgG0QP4VjkQtE3lk1eCk aenS8eblb9KDoBR6RMg33QINTkRb0bk6/TDIy0BUbpu9+LbG0yZvDjiPgjJ3woUs6D26jyStlhVm ztp4YI9uVMGIwh5LyStELQGVZvBnP54m7Crq44humXHOvZkBUTFW+prRVd1FukY1ZH1Vm/Vtruvx FLgf/MueJXNnJChmuzsf7RPJGa5wF534LMxcIJpGSIJw9JXM+89GVRaE0NRUE9Y8kXtM9N5xsvVQ 3Akgc2c1BqCBjV/SOdJLi/mwTqFDGOzMav4hGKS4h+n4e7T7R7U/yA1kE5qIoWyb+EipSarpDpLC +uitr553ky2WbDf4FaEAitUVmeuP33eqEMXkHx6VoQLu48YfKyRYIfSsP6/6MBHADWYZ4Gs7iO0f Gj/iPL+UyWG+IEN+Vpuy4lxU8FbugTVDxnMuCqaoDDPrYvbo2KS4eIMz8zfHgbHKVFGMRRLfas+3 43Yu0sBu9nTX58VaLFMqE43N+6qV5NU58WvOJhztxCQJqK+haOCJhBHRfgDG/1utfHO9XHg0QBLN gOMWgKpFCbANxjKs+fvOhLbw9bddoaeHqFVskljKAXePTOuX6XcES4wjWck6cYvaVtzFXoPgHw8F 4aoeqyArE+VgwunsQMp3zOvWVsXLrfe3JS7kSuWGLNQq58aRNLfF0AQJ0WlYpGul9Qh0HvMPvW08 y82qAWQk8dveHD3Fg/UByADdjwVQlf86kmCaJi9KYKAmg3lPN9gdUQiUX1EPu8TvK3Dc7QIyFeqo akv92qvjetqS3wKSqVyCXm3E2AAVscSEF6RFxCjxI84tnb1KTLwHVAJhdju7uqmGqBLvcuGkhX9e grRxnVsf6X/7myzJRG9lsiP25m91/SUTK9Rm5k5U6hcqMc7TPs7glYQ1QIXM6jSy4Hjt0gs32YXc G1Mi8DEtEDHGEKW/FTqGYcDyNjJzBm7QvoyYODEexKSjpcq4P7xn/w6tTmVquNS6mHjxXFTZAxgI nsbHL+xXX7eMDzY+CHDXR6xfaweNwptSj+0Y1I4r9ZK4BkqUxLVOqzn+FXRfopsQSq4aqiBMaC5p uElRwn3jlGtWUlOEpybpXGRpgmthkqi50u6JtVnYBk9bNhBTJHgMfCtWkq0U8NhOyWhc3H5zyS20 1quCVAnGAxhIYcNHRk2iaCT8WUtr6hTi2zvc2ZhI8de/rRBkIA48hvmYE9nQvV4oBzG2Si+vQE6T PtlG9EV2g6b/xD3mzv81OZ3NJ8sncBYvCHzcdsegId2cN89VCDIpm2+txZxJ15n1TQd8WUUCMmFB NY5XXug9WmbITeYOLprtA9bpuHAouXHFyxR/yQCd3WSnp1/h2m9EZfrqUCM20PzEAB1cAcdCHb+I L6S7Zmtr2nFY2PH/BC2Gq+DTnvuQl6tI9sMyHpFPXFCrk/suoV5P9NWKp7S1EM80UiUdaVJq1hZY L6MRBdzIR2SXrIT2+nAmRboOw/Ph4VoIGBVSOkT/oQa5U4/GxCEgl37fLzHaLwvpP07pJX9yHdV+ smqHr8FRWzkLOlXixxLBCvazv1vTBiI10rR6AleBcjRzbMTAZbuSOlOr5aJeHWLl2b98QkBcyYZz UjPZeQzbhBWx/sozmcbnoAnLbgHQIAGP4aXx87I6eLwn3yFpZHb3i3n3SDKjK8IuZ4UFeCCFJ4mQ 91WWAta0QeZZBSoQPnVS/5Y9pV9C18ou1Exs9acQWQwOP0d7njDA/HEuNZtIUUt6628fkKrP+zCD MRtk/yJ80vQ2E5m5rhEnjumrW53MPpEhPWiP+8XRdXiEbfsWGjQlrj3rIdDv3uls9cd+M70BYig9 ZMpEpsTba95jnqF9qI3SkjKqCJcewpUp6pAhPFdhg45SZHGgimB8+nPaAd9iXDSI8Lhb9LvRMJFW atDnvZj6Pa2DKKAabhCaXcfbImSxST6B5uej/3FtMn5UPtZmUx98CgSHrwfrAgEuqnGQw5ZO6f8a UZbFncPKc2TNyufHfv8C8NdXe6HeMznZ0DdT5qPE+9kx7dINORnwHpPjjszmLxkw2R312Ae1SVFp NMFScEiE/T9hQTOEpIBe70jkkWKU9WPphPI5n/SP1lvvXFXs6p2SqpPTFwMIOgSx1bDvjZBfJ1QW JiMcr5I2EZL8P3Z+JxIGxNF0v9NcKtzXJrwWm/qbrECD61bcqqvcqR5a9EdjIOA393t6G+M4700N +K28qcRK0ZC5k/eDlAcGrFaxkof+jAzTWXlO9lIosZR/DwWiaZ/01UmOm+7lqWiQ11JP419PKFxf 2/FIdFR+3YPJxo9cSYgE1bBGi9qoAQxzzCiEYaT78bQ/vxYfXtFyEobRVWmc9OHo5TFUFfdALT3I j420nm9TFRzDyWrPOG/E7ClgrETpbsCwBaHhxfVCYunsfimsh3gxTd1hhrKcyZ28ldzYLzctQXVN TzVif4t5NsOVXfg8qnkBgwNYUNvYuJJaaRWZhQMML9VwSSu3CKACJGrWdD6VD82awR8UlUoDKpiQ 8eJ5f3RTjevsDpIOcZbzxP1lO9s+Q+YRahxSjewrX2YvVzr3OjvuY4pY+SRXffgio/7yyPJnlWmA IxcENmUxNx5uA5gqdbUJJA49XvlPcCv1IO6VkIrzvJZPLWA9ai7/r+XPduylfZzEtFQ2OwdtxYfI nVYBo2v2Fg5alJoIg8qatRe9zH6SkmItdex/vUHVAwAE5QNW8lpaloTWCckxo6ITIXOW4tQNWmjO tW6zsQ+8zzVtphE2esyvBC6ONyf095jf/AX0/40fSl0UoVx49XP+ibHx6J61M6kOUtD/BzYQKvTJ tK4JcUw/700CdEzR1poKO1wPmq/yGVWD3iRxfIngN4JZG1V3vlNlHlgxh8Y1dMF4Cg/an1muh6hQ PqjUM6n/GqeQEbiPFpDuyztqBGkUf8Rq/+EnAOCpC0m1EC5wJvLOle7YCzWzG40OXj6cnrLggENV ARbDfQTD+gpbp+vDff56jmFrdbWTnmSG2YBwkZW0zbUviRqsoWNw1V5af5DhJksF96LwZzhtn5YO cFe9JWuPle/MajyZBj3OSobPHoS1ureNcPM2brRImiGEGXQhQLeilyJzMWbwSevvLIeUg4JPwqD2 N7jl3klGAZT03s8V3HkrAXo/bKP7vcuMTHsbXNJt5xepTQR1teDCUN72x4qzyh9F/Bd50XSP0b4/ OAJyNJHRmft6WXYj8lEv+iyPjkULjFt6cHEKedYe3wptchSzZADIcd/wBLcMlngIl8K+vGmUUqNc QGy2haubapsKC1WIZJZyWj5W5PTSqd+M+eTFjPVAX6XX/LmcrXvD2sG4bJjXCyvVhkLM3D+C/XbG xbEFi2f+YcyGB67C8Gpv3XiUpPW2y4YyHSQ81SNkwCn58nxXwbTzqjGMk7JD9Cy+vLlkoI46B8rp 2DbC9Ykx/YIgsYZO/VJanWNSGxUnJfyftWXcAdx2LPNY+J7qdmdN7gFUHVmniPOiD5VRcurMRNPs nb8UbCIwvypfAPti+rv0waazRGOF2fzFiRIyKk4eCYqkq7rhw+caYA87hYXXW2FXK8Ggs1CA0RYY HraPJT/5YZrlfg4KJGxFrt8B26GaEdCX+ub9n5pdROW/k6ouK1bioZ83YX34RBiFnp92JK48oMsi J6SVFsCAd767zpjiG+myl0JsbXvmCc8Cf+2zv5tIuH12e2v1dyiyHlHwWD+oSH4a76MGCUjvcJKc 8lp04KmCsdHD15hJ8Vtdx9y6mf0mWnSuUyB2HMmmiEuRci19dbU94pDnukKPHnMYaiiXFw1O942P 2yENBgThXrYqQO38TUn/FCWC3Stpip+lXFz6xzOXyyrQQrZmEK2bCDSTHS5uPkrkI1B/j63bKy/a kdQNmug70WWLuPX5yib7S11egbZCL3sBR6eKpOKVporamYO2BdbdBjKFPF8jeqYXI6ososxuU2kX svI8+BDUnOBb3a/S7D4YLhbdT7+szqy84aATlSRXtgi77kALnhLw+Nzu8hO3ONwLktF0UAYD2QTi ROAky6tPDHXD5BGvYnjPGQmPD2BODed+ixZLB1brGfSnwqhWm88mDmSx6+8HVWG00BpN/tu6froD 8qlP/sRQwO1UEJ0umDDcziU3yOkCTOkThuw0EpVu4xb4IpTivCF/lqOf4TXZSqzGU4uXmJh8FtNw AHnKaMyoqze7dVFo5w+QSIR/j4WKpBOiNL605FpQOvwJBsnkSKEiY5jIwaZYUUPNQeXVaENIkvS2 kXG/Mhs51ihF+y2ZxRDB0laDNEDIEXrZc6OfHUtuCNHluloe5qFHKUZNgll/+Q4JOyrN8jwjEhDf 8ysWY33jPEtjW9Sa1kf3/sw85GkQaR1/FM4qHzFDuIlRQHfucS9/6ez+IsRr1rYGXQ8YiLglfVY4 TErRpjIDRRjXSZ9qcyHJ5z1ClmKdCp+bz3AYwZ+q6MC5H/YZ1XzsuubAN7fweASMCOydvJKtljMA s0uYhIgCStz4UmtTxFHfiZeDmEcCOUXvbaMz7qwcfuNp6pykUW0MNQIJOQXDjsyb9HJ7LsLnvd1+ URasuLjkAOgsmDO3yfUNYwsBei7Hi6MuJbmEK8Qpf+n4RpYEHRcnhO0xig7Yw10RHIA2vkG5AmId pUVCDbMNssuAZyTWuo617Q+ENyBKwdg1oSeangD+xZmCyKyu6fgMAr12RFMUzpxX2hGToV6Ugv8+ jX7XmfSpDBM+KlwJOIGEcg6hjCazHTmdODscsauPmMueJk6PwV8OZJD4vG2Scl3Xyp2kjNQKfO4i Ki5P8a2sSFQBkKnUAUAIPC66ni2yFzlHNjhxIGWgBvkIjuUC7w63MLGHr7AmfGGICOel2lrdUPHV WoXEXiixYoY1J0pdfI51+C4Ad+V5/hp8biAGwW1eyEeCv8YnxdpM6fuQEwHylRtJdEPmklC8WCEg oQayTbdQgv9tH37gkUdetQur25IjfHjIuDoMwdWEg3me+lERZ//djz/S9qTiKlkAw3yBzQJPV1q8 u+MjDjrudWHHNe8BbUH5FPgsshYSP6yZ/AZagkIm4gbVtvAYZrIMfT54Pd/OqRvNToIuEdDwFkII NVDuA+rIOaZf9MwSQM53v5jE/moHBRWfzjmFYFDg4wfdjRfmLe4y0fhY24dl/ZAUeQKh6HUEBgWI aS4KW7sOie3Qw73Sk2uIuFWiR3y2AnOjjKASQh/cdlYAkzL/nOO4Rl3PWNGSs14zHy9kBNinYqjy WWbyimWBQvBBdJ0KzZLxzoO9cqFspDqg4PGLKOUgCuMmXQOcshB+NDxsp+8tXUOZIL6OA3z44JLY vPAJBEGM6h6jwtK4OUTjmQMHBDpzb02W7EYqLqU0C4NtAleFdq0wGscx88MdGW/1SN8ZuK23BI7n zUFTsMaxwanhJpWDsu4PSvVfBbEN55+Z+QhYLkNo367Y3sgNcT4jjX40nKxXE1BImGLfriWBkrm5 2rYmUDhHU68/4/jTQZCeSFjl2y9l19uGXW9E18ojJ7xSKC6oQ6LLGpG2xloIXRGkyCt0nOmGGoqb 7jZ63QIR4PcKzTGVJZbqBCBpC+qOfH0os0eeyatxIDdPK4AcD1JhVeRiz7U/SPOzf7E+GL7aFZGE 9yw/waWLnD2bbfZ7gF7+X63hu6cevfOxV1ceooekNOpCyBo2a2mxba1agcUzGXFcxLLVj1Y8SEeJ BNyCKpalPYhgTej/3beWJaxoI8v0rwGkF8l+8prXqmOL+HPqV5229a9LWi/aNkPH5r7Yzoirt8y5 PDxhjtLJYXkHkcDTV+6IbgdIMfByXwg2WtLjiFBspHlBUJaJnOjz7yQ9+1vVNt5IvamWyeOmrM6Q GNIieU96kMORJXyywsDdjpbM8eEMoXN4mJS6CsudGOe6Sr3ghgTp4GHigKQLZyUlQXNpNAME5u75 t8IGamJizX+ycUiZ8K0yZGLa8ye3RsrdLpauPabTl4yyhEnaaZaJBDiUNgSOIugc4KfeivTlNGwT Eg6+j6/sQtx5XB7cyjgs+Slnfh+9qXn0pjRTqRWfd6ZjprMRHDZrXkyoc5zPzPL/lYX/1hvHaSij Vnre6Zexy/pZX5Biyes2ZiVkVCKtXQ8gAc6naaPiQ9SF9mX2ffb21wcRtxbOTAgJ5IBLbt9uLHZR uLXAco6wlmmMEcQxgLQzb8Fn++OffP+uvDawtwAL8VZ95g6lVSZazHbT2sFUHqc06gSmweRTbmTv fuXeOYNe/nSFUgiowVSoCNp2OSkv1/K5rqPiSeInY7TB276sssuKWKpUf8Mo/0B0aiaHWhfD2nwS qapi4BgjLPmV32ZxMU/synlS7EtlEp30zD0xdY4ArxTAYjTBvnVTLZoU8M4tc3ZEUe9P2emDbrRi qx1KK0meBoqw4X8h39KAKL4IW53Ns/5akL+lx0v4IrpGNMAnMr3MvHTZiKPbsWKJzdYynYW61wDZ lo62sQ/JoEBAupp5lQxUpK3qKGY7ELfGb7hZfTJEURkF5dG45wtqazuO023AfvcMsZ+eCj+/4MXj A4uu3MUyg4jQKxbJATbWAhANv4KRwS3PFlkD/MTb9h0/ubP6TOWKJ1i+ULITKJ1JuLjrwpaPI2Oi A7PoqB2Jjgh9/el3z1xPHdzgWC7FDf3P1byOU1kvvcjh/0/Vxg+Jfv0Cs/a14Eg0fa0Y6L8iG4dJ YaSMxBDdw3dxQo4zVI2VRbvAQ6s0qL4i4iDvYHBFeIrZBExr7RCikDMgbQQzdjxwQeLVybmMnPes iIw/T6WK+QLOBYQbvLufcrKWz+CF6+4sFZS0PFMa66ikUA02ejl4icP3QJ4UlY0zuKFL7dRvC/KR +r3RM+k6edx76pJS5ZjbbDvFDlYLHCtsNgHqNPVOkWjN4wxSCGOamIgLEPXeDFXYsLvh0VpbBD3X XQ0UXJva0TOYAwHrlqXpIDGd6sIR18Ri8wdNTzzYoL2qWa2ZnkmwoO7cOQ8J/xIDLPpVRotGgkoa Af8qNnsGbUgiuwaSj2s1Vth7uriIQOqR3XFsDVm2RnsUNYJLzlqN3Za/kmgMcsi+Yhc0ovH8gWhM F55Mfgirk9RKOPH9MgSZIGl3tJgjXBDrZe8IM/uQ46LrMpHZUrE+Q6Qm7Xd++pqTUDEn1NbCr7HQ QS9XXPX7DLLyV96/+V8k+R3iervj+4EhNnD3uj6UCA/AA1hRa8OxzDoNYQMW2Y1LIJZ70MIwTDsF /F9yBtUgMCfin+7r2e7Z6pUFId9+Q2IEYhCHk0d9IRjevSgHV9riPvTibdvi0lb0GOybuZSg52WG MuytfU65/5Wm0Jwn5A6u2frz5rjkMjRgVb9pQviMDFy1Oa5FxtjUpNNdBWdKp0fnr0ROdDJTz/dM m3WnwwThLtBhHk7doVHlorWTJtCOelC/oCrcIQkXECX1RhZ7Nkof0jBSE5IyoBUu1YRePy6++U6H uAcQU7n8DH1sIs2f8c6dc+4R2nimF0/fcypKWXXWEPhm+4vC9ZwiZ/mtTcyifXeNifhYE46jMlhf AP9wL66k5QXzJ8BXAN/B2keSaid3TNo5bNaXST4QZDI5YFJZ9RPAmfZ/mOVbBRVn7PK8DGx5OR59 ED76sJNQ35M3JFh6GUNQyUcPICn8qcf6fN4gOAogVuMTe8b4sPPEfBw2L++n9q3tAxzuylcRUA7l GOYNJTBEOk9bEDPzYSrJIBWAI5eUrJ6YHGRsIw7XQsyWj+h6rcbHZg/cVdg9Lm1aDlb26GHb9lLY EkQUKUf67ZG0PIfzOk3qBjKrBlWurqZ4o5LObML53Tg2FgswdPCeTChNzCR0/zyZujfgeeRiQah1 gZmonrJQw4TCv/qaT+eLNZkFfXSr8okkIU/4mQy3JzpCCWpCfGhmfGWLVgX0Ef28s5YjptVr2asc I91NWeX3M8yBuFFtrrvFLjAL4PHosDbSjf9UEaq8C+97R1TPBmicgQZm6XIF5dWk2uhiXPqMgJxQ 14vAMSfKHOZ1RgK4dUTNVERWsNH33YI0ZRvCTnh+GjTc6BVs5vmBeI3yOa9s6qtweTAyI48SONi6 bqqGGuRLAkDRNs2gOT4a+Ilj7PmMHLVki0MWAOFf8lYsyTs+28NHi2+2hqAi3qjVoBUqWxmvrs8p HhmPXYbsNInm/xp1cZ8yefmwHw5qFgyZy2Avef7vkK0zi19B9PXDw+VRJ9HuDcFCx8aUFOIW4SFQ DXiIseHoguop5KxwOTsE7NnOw2Jj99v13m0QuCIn8Kb/mwrBwdd4xXymMs8TYOAZfQKg19jMvWmR k/tG4MGzGMXLL7yWXAgh4LhVkWBZ3fY68rR/NPYghV1Bm/aGdHKOoWMGVIpY469pXt6dzP0j+dC2 FCe2cKhAShWUp5sWG1yi+jfftoiy6kjvZy0VyVXWvxrNWrruidwKcHvnCiN67pY/K7L2cHVMdujT X87ckmPSY6w6hqceEnjZRD19eWUeHr8E+Dyb3qi+qqPaeVaF0LnWZGooj11O/KmKHPPHCEgY9jCG MnZsf8r5/UpOBVC7I2CJVpgkRihYgtm/zwt5H6Ir7/+f0sWFWjGymQ0FRbftcWQHFNQ4aROLSIcu 4MKcdKpqstss2YV2bSTUM15CHkcMvcxHQ1+IVkqTQoOStPbRpNrUj37asNzG22dj4U/gIUFbIhyl dZvBZrWI4qDOWu4Q5SmZTZHtTq63BKB7L8GuTFXiLJDZJKFawyUz60CKo3Rcd8f6/ceFr+OekZJu +I2wQPFJUXs22vZu4kPg1zIaVGtBXXrTHBUJINAAjgQIi3GccVy5QpFG1paVpovJkL7AN6MT+i9A Qs7JL6SKcKI44TqIe+o9g1mi6ObYhAl2ZhhjRwZDuk6du7hBQw96rzg3phkjo+kEgoe1ZbyX5Odo X8x0DVOd0PsBxFegjz69keZBanDboz2Ed5tgKr0KcXTpJyUsRj0/7ioq9kP2rveUBkxQV/Qrur00 kJ/9OqHEdHK4QPt6ktkkXQpqdrs9B4qEl/xOVj5P/PiFhQGNRgKggj4FbtHigH/mLH78Yn+Yx1X7 Ca5foBX+mXomomLmSjLbquxudYnei4JDX3HlFDu240MA9b1OD+QmiMBeQF5/H3LrzOOf8PBLIkhF j2AKw/d8ou92YYc34K4AuxIMlSdoX8wjfNkXU1ZApt4+7jXxdgA2+N3yD9KuZhq8+jV5hltLB0vV YoZl/CZHCPhNcy6ETYoBg1hQzpo1b31IpHXYpEXHSv78IK/k33Zgr/34oMnrShFYGkCZ+TYvfZTY dR3XESLPukfWej73g3//JP3QwEw3sztR98J4KFpGGCyy+SeGysOQZaGKorF+1Yg4OS4eQEoeLsjo homrKHpaHNrhXGBblM5owx5iQD1S/9mAlNchL20nxYlqnSgrvkjkanl8tHLw6rgFK1i2JMuq01kw cGxQzFkG2HqWkCEiJaEsn1cwHsfQXfTmc5pKQxA71FRx/GGcSpoefhDHLhjLc8DeeMuQapo8vTHk 1C3oZQFfSXw9IZL6TozC5meL1V4CALm1yO6c1R3MjYmpliuXMtIf5y9FK+5gscARFFGvBriLc+Xq XjXqw102EjiTcK5pMPt1XP9UQOgtJp4yeYAvU9Iq28nTrHzuzcMPa59ABpgGuKE+UpLW+cbhTnA4 g0EMABLrmMoB6rNGJCezakOofzg0CxBi7B+E5boi7u9aOOp2z9Da/ePYSeP86iwac76PCqt090i0 JaPOozaFgM/lpnLUQWJ/z+eS+WKehoMQTPYXaJLF1PiIIoz+7onJ+7ru4MYLe1xZ3eshjEybt3Ht pSMtCclcfXXnVaBbojxWzZWn5uGSFo7o/Of6TMY/JLCwO/QCeQGBQ7Uw06wOygJNl1+qdxi0Aq0/ nPlHHfynvRA879w7MIVWwc4DsYsRbpQPbCKuWrYspF+ZCez8XjUw4QrGbdgF0xTtzoc3jrjKEdAo sveOiCJa7VSis+IQAqXrdlYUeBarSIiNJChGmslbR3hu2CLNk+nof4KSVbVm4ETlqGv20oZtwbl4 ZwOEpO3YhH2CuaBf1V42bb2g2iEm4+9ND9DYFp7lzI7LrT/Fe2/4mxbIEJv1r/6eh/9J/rENY7w3 GS7MkaVtuuFBVBL/MeEUg7tdCfdEYR2LkCCXJ9bwfqNMNT59nLoKOLTXzeyh+lz/APAl7GDCv34y 3R6kEAfFAuMZkVGvAnvUCndwwNh1fUszS75kVIik2ulZfFO4z93aY2cNQO0jDBO6n4JozdqJeapU /koYlzocHWUqUVocWRRI0c0Nuj9YatH5D2eVw34DE5S/YPQBaAPJbPywtHbh4Jo9sJ03TsiDxmGW AgrjeSICSM1/WxDhaAAWI52MU3iDP66VYRuQ0p6MxV7mDSeACANvP1j3RIfE86+lMHdQW0QxUTOH /aWMpkyfZ1BRnrjXS92iPksNG0YpyqT5xTTlYR4ZIeRz5iOCNoKWPTvu9PgD27Z+g6iU39C2ZQDv T+PWfgf9/p3X1BoMpFzNd83HpU6YUZx5kaOwhaTLAHO9o7pvv5GhjgyOxbDP906GSOgFtyX1ytmw vki7RYDOnnhO23HRetsaelj4vvLPB2ANgVyp7C+04eqSLbdE4uJymCIcuPGHlZy6ZfOhvt2bOHy9 mcpGdcVfWwelQnf5oKSx5bC35KXV4WFY401wc8Zs4yqGVBeRlDGNc7gN57khOckzqhuUbBTgbXJV R55IQemwN2I4f+JBdtbp0XhONnRWdVe/hSRH7pmUan3tEdCjDebMTyAYn6RierhUGe+EjEZri8j1 /mBeQhwys6HQZdIfC18jPhs+T9JWL+HZfXQHIfzJIPiQlzDcXoMzuEMZ5r7mU8Te0eAkXtSycI6s 4mSFybzpJNbG4W3vPSLywAs5tfBgpian+CeJMDhHqmeGqq6Nxl3OltPCujUyq/mzg3qNyctZ6qGy mxPvcW+L6AAy0Q3oonPxAtH/T4OgAozs5pA5qc79W12yuSh785hISdmpr/BpxIKIjM9onrIlVI9H oyf15CBEYXIpbK6JXAMf2aEMtZ0QFsFQmHX94uurZP1NnCdj+DaTHU90hJPAbVZWx0Y/jBa6EFyN deDe+6FEz8IBLCI9xc30HJ5zIiHW0eNsQw9barA88Blh1sLvMwQ5sNCLmyYJ4QVk/uBKDqXUaP7z Dw1isvYZX7RUcRURBAf+weUdH2fKoV2+0YLB1X2s27wa622KoyGN92PMaQgRNM99+vvDfAX0VNAJ ezMDkovM9g1cxomhQ/lsX9CiqkRnQunnP1UIvEGLULqqUQZxcH6DFe6IY3rwyiwDH8yWKZo9Eze+ xccgzOHTDYPs1JwCsKD7XwVuaVYSFaXxwMswHweHJ7i54BhQ6wXGaotIvdQmFzCBzTlGxM2Zqb3T IQj8sIBvYJH7ufMG4UuJt8Nuy1Flw0+QmZ/aXlHOWTtScBD2iKaPtgM85ve0SroMmy2VbbFXchyD BBq8lbLD2sgY4zNnyAxJ5d3mhJ5Sm0UBDsAOiStDLQjD8l07cuOAjN69Rj3kusQg8bc7wMcE1dKI rRaBq87OooSh+vGEPh9GX7Yql5ngUH+fWdMEFkXI0pka5vbMzyA9OJFUUuPLwHNXPFNp/8x25XWF n7Arax01MtmzTVPzgR0I7gbwID1kMVGqtFPchTPILLvX4DWK2a7ll3lBgiP64wgyAbhPJgfyl+wT JVkDLL9A3hRJpwH0DV4rRwAG4KaGbsVSieXDr/MlW1YefpnhRSWr1rQ5bZ6Lqj9BPuB6YD4y5O6G QjQJjYgir8ZM2rNTVAvm/l1d+4j0/GXtaEtppxf1c/wfrlXGwT0Ldt0q6pIEiIBkMHIF89VYa1BR YA0Q7eIVzwYIovcBAJoEh8YnwKvYxkHYsjF9wmnMibamsAHTMytHTNkr0iyJCoChB/iGKtFxNBQo yMT+hnaP+L+V08hyrDf1+aY+Fiy1Rzw+x489VJC1zf8h8IyHnCbxgXdoqRxCPTXimlAR0LAANZdB nFGCMAMXK0mKTCSOOrrKgjWOM8xLjYyaCeAkOT+HPKBvmXfOOpGY+xH0eSkvG5gJjxDjNriD4saZ F6p/qEK6fQHOtvSzuL5M0DPuLxZBNAmJrc8vBV6DsjXreJH066mt2B8j9vRsj5OiGwdOkOFxKrLe q8235R7h+ciqVuoFRcxZXv3OtHwOysp6+eP1t216fHZVgSqgcglsuzs0VtWj4+NYg0toMjDnXWJR +kl/c+zMwfkL38rH2r0aYbM8pq96eeuoxkmUKq240eSye/OPwfq0gQpKf1aAfrDqS9DSGqbqaeoi bac1Ji2e+pOSUUbAbmVr/imv3Q+uxegkUleBy34S7bMzcCfHo9nMDs7pQLJrtcklScMUipJRSugE ZAd/u7lUzcCKAUSM2z9CTJpYIIgBKnBrUyln/Ro04imJRdlTBZ78g48HbHu2ABNbNeAS58idXM5Y Ck+nr5BIZ2gCBTlHH9Xno8BNr9+tZ0ggfJ3Dhm79PKNx5TGzzNI4LpWDPDwAvjVGfnwEVGmoMPum ZiZpvz8/R5Q6JY0dSRH000QQr3BKl/9bJ30akrr+Gt+XD5xnHPNeQRDH+ASEILQ5HdhCv9ff3C2z 8ePHjCtL+N3n00C+wepPIE7ybtpFIiIwqkvFvXnY4qgsI5NJKQFKjyQpAMT44ti2jY039EuTgdW7 ZSR+a9MM/LwowUiKYFO0iwEKclMMXCdUX7zly/40UyyQAD2HEn3QnmHEduaJhZB25tJ2LsW3JWBk B4XR6RmWEpn1f/xa7acbTJtccXHIQobRwDQfyEnVUPF/L0njJFvbKv0U+UuVQ759Y8wZpZaU0NKQ YrXoKP5Pc1B/6xX47/PIm2cCDnW4Rr2wUvM0ryXjxz3qXwJmRYGhbDOWxiOA0igw9+76mWfbfOdO dv9ZUQ88qbGbatxnT/JXuJAs9AJ9F1Ep851IzjFFOmuNbSMez9ewQ2i5q8GroQ4PFZL649dNbhhj 8fwVacxfKAlcGOdAS9poOQetQeUtAZcHbAaigiq9QawfXZWLnf3P6IyG9cdwdrXoup0jr/iTpC4z Ss9owkO49CH1IJ5F/0paxzXzTgW9e+OdwV6fHtUWx/CFVMK6g1QexGBP4ZuroCFJgEAEWtnuRCSh 7ptSWu+XQOc6g9hDHlXt1IHwuq2LXjwTeSZaslaoYboXgha7LTzGlEGEpA0WQV2zga9onQMm92HW uWvU9BiiVX5SMEkXNEVY4UHHBl/ULZoShxqcUv2bVlUYETyIIzWokqIiy32dQ9zZOQ3OfGT5hLfR IW/hFNrdsDvBMzdaLezna9wuiaXFmbM1tnT1RAUqHIGNzFa4Pj7BzKAJ6oIApJFpjtKEvRDFGRtL BOVH253vdFFtmYqKtIiMQUB39X2OP1sGdcQZ/H3P4bwMAXD97WnYvTn4OtlgSA1YnvWVA7XAnCtp g/F3+vqOmuD3aJ8xxt+OYpI1AlbM84cYg8bOxeUuPSNWrqjKxLwcwlr7lMhdWhzdm1+3TjLEd5rM WQzbMbnrRZ3NBniPzbBvr1PV8fzTCtFqkMRD11kBEDBGrt2bZI2MxygKq0M/Wx7ikB5HDq1Z8sbW cwGByFdS4POfUf/49uvXObiJAQa2PJXlsOmgSyCaKjLtwkGk/9MXVdUKeBmgFba3YeC/gocJZ4ZE yiixhBRH185E0ZYUPvMyfG+IExgLNveVBONlILTUpTuDLWFJk0Y0ZWdl1uRCmloPjB1Dwv9PyftJ aPfFRorqOGnp2TMc18RTRspXMnjAxElhX41nWGaUjubXuom+MNmPrBs7lV5FfuCUCkNjoO33vQoA r+bTeniN94yQiwBIp2EioW6ZK6aI+Tg4igSNcOU0+7PS+Guo/VkOpdd+U3hXm4hJYpU8GPEjJ8Ps 6W+G0oyGVy/HPcjwbqR/hZHEq4NIXqG9kK5L8S1j3ahWfFbytUc2QKEjCvDr2QGALAZ3r6BStOZJ h0QQ7ZxIfA9qerH+gmsnUayPDvtETz/spD/nvD+ovU2yD6mX/p+X3NQlE+1MCr7/HPX3xskZkhZZ HhFySGaUV4KNxJP+bKFHER9oflIFA4UEvHeBd7ohVzGak/rkzOj9xz07GfHxeOEBUTQghTvdjb+W 6fQqiz3jiTQcPuG3PEELvQQ83bO0k82DqxltVkYs0nuWjxsbUdh6APS/2pSyqPIedbM30JC9J9i7 tegxVwBu1MVpPK0lRsrh7cqAVleV9Bfpe1HGqGfYpMWurvbEjyxD/gj4HAoruD1VnrdJf3/Nv3/e 3yRnzAh7Y5qMDtk5Becxb4/ztLqKcFkc3N5abBqNKChmRarKfXyL2V0TFx/tAlFv1FKqTITpRBr4 bmCjaMWnjxUhvydquiEWLdfhhH3F/p0X/XV2PWzCDV44nC8XGQr4W5xWW44M5yxMASKON2d4ZKsX s+qWUoZjE4UgQMilS0cMOMSJcT8rsmGwf7jwhWx0nfilbpkZERvGrSPL88NShPYxnkFhmFhQ51kC GzcuGsJeQxiRzYQ5KWkuiX2zChk6WKCEkbdRFKSetJHMz6ViPQxLbnO7jyv7/eiFK6qIk7lB1dbo /1MKWu5rl5ceERHBuUh9e6mLUqa1ZwXrb02IrJ35bMXWi1Kdl8HMhbA9x+4o1EllpsXI/l23sM9U /0D1WJQdHI9BykJGSclJlK/yyc0INyuQvgSyOcP1Rp8uI8nMCQnd8Rcc1vX/111CjY6xONPz7ODj uPtf0f02E1TiZ3MbcEOpZKnZwMseMo4TMdyqlEtfmeggJlebznAM34sNBNF0DCQh9cMzw5iAJ9XT thP2NbliLcYKeQTQA588Inz0dzcdKpfQ5qMKSke4n/BAj7Um3rKXa+4Ilcv0EQjmnYCe/1bSNcEo /B+MSp+hD8tLXlCzV0+KxA6OGXRacJiubr+prGCAXV/HmwMFUT3AxJijw/owhXeYQcw7vgGoGnBG xKKTO5dZl7/LRSmFqTPcuS2FIs0Ep9avSeu70Ug79Ua+SqSmOkpiNBqw1Wqt6qQVMNg3T6xxEg/U c2OzAMZWvudTD2P8QPcEfdCEy4Kedgaf9CJ40fNHHHpTWsm76esnvag88ZNIMUMYfY5fT7Jmu6W9 ctR37bjJP/Q/2RV5wPnGP2olqneNA8Fz+rnOWIBBIQbpl6nzZaaoIcsx3V9nc65zl3ajiPjF+8L/ 4K/nwnVAidSEsjMzfPIjdocieeLzMnpVjuqHCtn/NCzl9PuBddD3qtVwYx//gECwQJIiM4BwWxUH 7tGR4VlQa7M6tqD1PzHIvlAN+3YRSsJd685/smhMuHBEQHQV0e8NYHeN//bflPhqoBIEH8+6tKmZ jIxRl5q1wj02AemqWeg8s+MKproBxfPzVUniAQ5wA+7We3yJLUutnZweQWQRrdy1+FGf6CO9pLbK D3eZac+Dn59gKUqtHV9Q7w4kBZGX93W4SejZ1bLNnbiAsNtSoyARFhF8fVYbMbZiQTX+jgUeKKDu NuMgE03r5BjnB//KjQC35XqfcW4jJxgsFJZXfB73yYZ1rMr0fVnwMwEvq4+Fb27CCM6+142SfsMc r0bg4lHnmcQA5qpthvvvA7i/wVWibWHfVtb/5jDpok+OnZb9ATAWmdSGV9kY8yj9E0vZlnl/MCqK 1gEX6El4QDOKhawl1tZpj70Hc6SywPjKprVgsKf326lkHptZWJ4GA1bq8tMTGHNirHn0xHFjhxuu BiA1PwJOG89gKkyyU4ImTM6Bkmtb1kBkYsuOT9RzPQU7z1tphYyhC7mgiRBsf/1i/UKjRRiHZtoq jxq/C9ste3VXJMI5ZWWpMEhmOd31mlJq1+iGU4XMBBhWgN5Zbto7EtI8ZixwcBtMXR0zTN9LwAjn UP5kDybnbsX9NhNvGyQr9kwsx2BAFcxn9EEq3Wn474S0QnkY5dSCEXUiMOIEgrbFO1gmMnx8cE7g bTXth2A0aUkCI22NMNnVmkLJeYfAsoUtRP8mZ6jluM05HqjVxr/8Em1Z5W1CXYXktK3CVnXlLBPB 9sqOpsQbn4wAeWHEdPXhQrOlv4jvMh0J9hQ/rk0L9dezZZjwGtM990xBUSinUOzcHhO08OBNRsjh Ew+0cQ4gD/RsgboE8VcwWQOkMk3ZqQDCtqEdWNb9dkyoDWUUAbZKpxOMVYmACsBU5rP18IN0N2z8 TSPQYjBLLtgD44ddqY9CVzg/ib+ibnPtAvhGfL8pI8HajK0ZV791bYZm3yf4m66uDkznAXgyqi1Z 08sRyQMTvdQmVhYz591nfNQFzJAW0AGk6+cnmkLXt4vtrUVYeUDoKm0VBGJC/29eLE1rC5L5CYpP Ws+gA1hn4mUmbdqGXO+7AOFM561Im+0+W+5KVT3y6SkMeQrRBbY8tPRFlxcAt3XUGp5iGK2F4FQv PIxqF7FnL0EfuPeywpgx0yPyXOdX1V7qDMz5TQrBsYgRok1FBx3erqdi5Xfee17qk8g5HTpqdwTz ecOKR/HNFP2YakPwquTBb2tJTRVPGlGgKD2ihHtZTOm4C7xw1Q0VO1la+6OrdoxVPdbdLzpzxz69 pZJsZT942i9abGRW0MiqtfIShdPuYO5yVdNpbLz+9TU9F0c1/yelUTr2cZu+xzijX8w+BjZEJyS1 +GrqsefDDm9689aiHmKmfelB0FmeOjWhtoA3OoRiMqJ0C6dbzneKlemCvgIODE+bE/zzpXyIyLx4 e68Xv9O6/g04JiBJunNBJTe6dsPEEBL0e4+INFZ4XUiNLlkMyKFh8tjiC3khLYPwwp7CYjiKkTnt CkZmsJ2jds4yZzIIpnH1erHRthHTvqB6TuRTAtNGxfww/g8saMR2MYgUqSu7peTuRHVEV/lnSBsv MLu15Ka19TFgR59lajWzVeEBZYmM6v++9ZufHnQNzQze5V2sGQSE6MNYuDnqVkikpq2s3DzC1iT7 iHjpMUunXjrC2ch0Ku40xWwpzKtLb8t7urH46mjpFPdVl9cWibN9euKX0DZuUpjVw0U3nasvNyX4 2tn7xeQJeoRQ9gZUQ9ijsrLLwyoa1J9sgCfSpNwX1jrcBcVJStoiZAPIKDIlE2N5qUNRrxbPo4Bz pc+OLyzhkc2ChpUJ6t175jOfTvxo3Grm7gdfpUwZHfbfBwFQtry42U4MvQg7C8650+u36MbBdl7o HT3jRpIbIgoMm+M7wRHBoHJQvVRCEIihQjV/ASLgD18l6Yy/dV8BMaY9zh5cnZUEaaS1y/odhqxD /GYiA41W+gqEk2veVQe7iX8IV9yULcWSm3437SCSbfITVz+k+dcwUQYOBegybvEFS56sABqouAyX fhkjzExxVHm2WkingLi9HY/cMe0AtfD7yO90mlueJbKqpPYaK50mPF+iVEl76/qkQjgjqw4+u5Iu LwZjyMz7oYMmfMO4bqyzcZNdJ5SpTwM2Q7f62k7gwopGRYYKAOJd5Dk5ZD9a6zYyXJdzsLUAW9EY tc/6ly0V4LIVCNI6nrBJvI127XWlicKmUGV0ekPuKcw2iuHU1Jr7dLwfNc/OEViik+IVTdx825lq bMk51o9FLl9Zf2nkphe2uO8rzQmqulsXH9cjtEUcxIXlnG9kYOHUQ0mD+8wLizxnnejkX9iJ0Qsr Z841TN616Y6GZMIKuvoBgu6NWiCyWbXCO/xpVXcIJqa6Np9kK47dZShn16fyEv3x56zGRA14aZgh 3TVyUg06/57r6PwoOQtAvEul5byJWLgPo6YCH0lkKcsqLYHnPGnszdWBNFCxBJxT5PP1N6/5QUId RK0FVnP+0yv5B0EqgNWhDNPhtt8yU2Pjy2aeiYSilrZ2eTwUh0cZNdNzVH6Ld7ddZk+DLp2f6V3e VRlcp8+ywqV6v8/RbozMDuE57+hX/Xb4dFvQLsxVaokaBE9WOaEUL5qFnQ0VQwuZTbjTa6UAJ2jd qu0VXYFxXRFV48n+cQmYPKz9FQz3K/cuCq2xNn2HFRGP5f9kiXaqycWvrbF88hq7g4h8aUnAMKH9 2WdXiJrAApJzL+1or0eTm81Ra1huE00T+DXvpv2FenIJQn/WSGWvr+R8R/kIm0hWU6pyPZRtTRh6 /Ca/SqKxFAjdqsWwPwNdMTg5xPFo0su6SdHdQksELM4KEVo/2HZstNXLB3y9Ktw0RI1JsOmEDjXM OtyXGvzGjk76Z3gCQl4b6iRsn50ivbxNl0RHdXlaQRMy30DFfj/60tYewQJzjdY6X8FiMKI+3igF Tb4Ki9AKVcfRqzGOi/0BXZdoAZqeMJQPh7y3bOLAh+4hx9qhY9E/QUi4HUxDp3Ugu8JaL7IFGnOv mTYf89R9eD1np4ITqkmOsSTMvKzmwpkRXejF1BXvy2ybr+nti6TEzZuxv2UcdFLLxSEYuN16hmEs rrdwCXXtaE4CsszdwoB4zRah/TZ+dyXTNMi/baNKe7Qf6Z3vbq4Yn5PvxAZhoPK+JluZcGnKId6g atw3Kb26yfd8pEUjD3GOEu7kuZwnXWh3zCKgGQuVlhwOY4oT5cpis8JL0oPW006vRMEhuCN6rfiV YJIQRqd0qFwJaOzUG1FLydLjPlVT1fmVeERWE59fFfsN5leoROcJ3u5sH6iF8mg6gjB2D/AyCEBW Ey7CCc5dcutSkcXNq1lY3c9l0FTLGlKiqWnrcsV93xvF9lY2CTbdzioYvSg6EkOJ7DG0PAce/Hh2 SUwWg5YJsNG/E/uNBr1CxOvkqWlwM487xGiyge0ZvzqF73/aXPz4bXe3Op5cRALQw2InijZzudzS 77wC06ODQdkeyBlszqTFX1Ql1F/QgDj97ERmu9GGBnL2YE+OTdtDFK439TaQ8scU1ZFQg6kjwADf p7eE1DWjZwzA3e7PGxUFxg4GixeKQ9s6rTRrQQKNAdATXCRAWTfnZAY3qOeihQT2RiSgg+Y8L8qe AhJhRoG733MOXbH+42tDKK91ShmjWsnYrjTM6WwiYpCqTr8zIzdw3lEF4jV/NWKENFXEYr/+l6y6 lYjTKyZhNMmOSmlZwLacXAL52b36/bdqVrs5hniKrkzThq6WOHUQYzexgdAbQJ6HPxTpyjmKitK5 fZ+cYYJb+rb9DT46a5EPCP05GlMlPnF2yX/9PROx7cwZa5Liz5tdscKt2KXWh//nRgqUty+M1k4r n5xuEMZ4F2bYYO5Zp70QC7cFuAKCOm0hwr9bk0FKfHggd8iPS+PX3WQhiPZwJQo/IO641MZi7OKN Ykk2dAc4SLPlbv0h+eGM+xirUtwA0m4RypbPVob5u0Tf/S3HuuUH394o0WL1EEJ4b2KnLMwQtJhT RxJgRBxWU9VE8Qh6NJBeVQRb2nmcdAkFZ7CJOq9a9kMQMXpSTglY4XxGMXyMhJu8c/cfsRci0FPJ e51U27aApEDx8jtzAdlIdAvAhlqibwoxDBZOY8NxF3kZCgYFkiycikJRUoVeIgjcjSjR9Su21z7m fQyam6jnlk9S5xkIKAHlkDv8NczTHCkgWqYXYvLXTHr7nwCeXK3eYcH/VIRDM4yRyylOMj2Wyliz v9tpwiuwq3cX5DeGjyw1VQyNx3XtvLQ2eKfinRmqESgTA0ZzkEl9HMWj/G6J+XYCUcnaBKICyF3Q G4pvwdeU7ALEg0PEhbeIa9MuBHBIDhtjdXdnEUvdyJ/nKIyJPqJsoY/xWWP42IYNcmDU6KLrtpkp nBFM0iZcIRj5arvcZqgzMhFXuFWXGcbphbQCDLTLitoM7XopFkohDZnbEGpqf0xZKW7Yc7Q0VBYa 3R4SnXZ2UhJBc7gLpoLzQu1lp405jTsyY9Lu6xyrSO+JxyE92XAUEI+jwzol8+QotNBgllplqcHE YPSPOTGxn7UmetKHCJSd3NacwTC1zTNR5m3Oq7a1Ms1kRg6HkARwlqKsZdGSrmmFwLCzSe5BTDz9 jkTPDJJ0YBDBZMZFCYJ3SheH6K1UvgkJ2suh7XGvn6j8a0y0gqwyF3pvxlwXrV7QdRaobckQeS7q 31Zgae8ubATCvW20NelUS0Juhi5/nAKfLhhgC+btaeuWfc+1wUVAGM+1N7XxAeWdc5iNPJNIcorl EdJdXAGZC25UYucQG2fRG0MvNEZbH5VvBqx3TpRqe/6ccFvLXteQFXtBz1SyGMud5s1wRFNb8VmE IMIK4/G6hCqm8xAx46g5r0n2jcJJVpRDFokhjT6foAVKnatCKZJdyxcRmADuEl+wqTbgfmK0sOEx Pjr7qtlXbVClEYQ+5MF+dYh2lvyb8TC2lBDYk6uWu3x5fkr8uDG08LgT2vv3tMy0q7iW20SPRd61 WzT2LlN3nov4OGu2GyKdMeP2tgd6F02a2bNeTcRYnLi62/OAStm+VO8gX6n9w6XaMYOeZJs1UhtX PKlpiyzwRG/qpxMvRkmqPxG+gNBekXBaBi7WrS+K+98el/Scava26fjPrSGQpa/VQdUZDQWf6YEn n3KCAThbxAf9a+49Yx1jC5jnvG3gspsr6q7HBWtHusxDFqBEHJLT//cG7oet4hlv8bFucVvAbfQH D+Q6hGYQGdbUgnVzVd/ac0DPsy3VMQg3SrSPHvBwDaT8iXXZyeN/XsaYIpx/Mh8yPjEHVaYe0HTy iAhFj8NBNPEkQKYKIvKXtHbvV15hDBrWRkuwUjmzpbF4pQPkTlCA5rN+LxHiLVYM9Yi09/9JAAnX YAupJylsaDZMwoY876hw6f9ntZYYo3EVT2mqWDDxClECDROCW8mPX1xOuGcZBq+IUFdU4l5H7aSg jRZkn9FB91yqUhq84jFL7176wSyrpZh7veKWcA1XOtb6DFgDbhoDoIiQcEyrovB4Hg2aWukbpQyT M6K84J8fzdEoBt6KsQzod7KL1iqDY30DpUY+snJ4tJh9fs+Tr6FJGE4fXZe7krnq6pa/bAm/Jktc OfO819oA94F7P1uVaVYTkfZgWJ3YumNiz69HNfsWoIoJxPgrgO6ttneEdrWG4pWDoHtrfVsAp3Of B/0NcwGBrdCwwdK5pkzvgDu+AOvS7b+BfceecEHrXfXZD7wbmBUQLdTFyQvshhs+0eBQOsGRivRd WfKOcRlnTArpjWqF/XaD75cYcGkl9tmAR7NidK40ypT+2ci14xmW+EUp9oq4+HXGKNJmpk3bGTjf 8bbdXab/aTEDVU2nn/uYdqUB7YUbSt5BHzRt4qxgO4yv7tlmsD1A93rO2AsnXUNB7rJN+ZRscyOz v2iCF60GXUIRScGVYV1nS+acAys5jTHaRIHLWu081AO5ZlWIgFo5K3jMkkzMjE8Zxh5/bMW2Kafr Y7Ud4/r5+7edWiw/hsk+XIC/LGNvZfDYIkDVF+IMN5wzoDXmv6s1lFDdJrAvGIJjwjD4PM90TqqG eZx/a4stJvZQFarevBeOSyu6/jHLp0AMCALPhlhLnH/KWnzd4xIKHINt6+gBRqkxNKPXhLgUnZKN q2N1tBj4iAWUx6siy9SWOXdOX467xcRtPFGz7GzxnrmwPfGjB9Ty9HEQL7swb4kQXqF7IUSq9r8N 6XF2bSj5RFAeKtUIttTsW6Sl/91iwKEbtIORIDG9rt3ap6sKkP1v8VYMgIr2AaOohPeYmQhunLKO Dik6or+9qYxNQUpYUVzAHW1qTzkShuBRm4v2fxIQ2oh0trO5YsmMo97pwUpeOo6Ey0akdiXlpuhY 5OwHYvL4YuZoINM0tSHZEUyHMqwbNBrVhP6GFATOI/pOtPLSplajFU6G3AuWILcp6ZOGZawGu4Zd AfufYrLDPBDhbx4+3rxxbGC4J0zFnBdClvAxiKdj3rUo0LL7E1XolzZ8WRjjzaP3u6m9vuiC61bC ScHpm7hYgqwfwNDIO0J6JVicCwzNRS1VVk4LOvtjCDz2VzsVZPqmj1hwuwSjqZXpmIBZpSB/J2x2 zH7PuAIZR/2qz/GFxMTCw1wUlusAkFxnHfhLNahRPTm9MOCVNTH1sSJKP8eY4HQBPUKeStpzhde3 DiI5Z9/kp8cG+jj4YlPNy/YlUXiW4s2/p7hyVHYeNNnZOAF3HiG+gmcjViYl/Eo/oYFG5hDrZY/v lABrs8Ttu+/c7JV3ZCyBwIPESM/BGGG5pSIZg164cInkXNL8KsQjfYL4EzzxSrLXZocGi44qV5S3 S1sLTZzYnTzclvx31AeykFF1FEN/cj9N2T7HhtBCffeyDrEVmb/M8lsbpFWUVv9nMtvMCkhO0atp VeQTNEZ4VijNXgaEohn/S8H19HUBKbBU5/t9qtpin9w6HxiV6l4phQHjEVqo1uXtLvwlR82GHLqP AfHuwErdrEtNobevbCAgLI0L4rhpq9OmwbnivvYAWXufBXSmsymCDBza0JEPLGHWj6J8g+XJwJnt XJ2PUOFAlyniEx/z1vp9mshOq00v2VxMXGSycwcaT4QEJTJifUva7Ddb7qkbKTHrTJcnDvZXuipQ t+7PFVxTN3NGV9dBquiD4wPqZSCK5h1nBMBYofV0xBhz9qmtwK+mOQDY1qhXfT8JPJZmfFNiVe8K PzVXD6sNfq2DPMex3If979LPMyUbmBf6hIDrXyaEtwgv6iedd4ZTr8FTC1X7yS/v3V+Oye9sl39l YWvscdtqO8GtlHivzX/FjBxl2ecHRH0fJFJrdfyeTU+6RU4ya9oakOWyIsOJ5gLOLQXUIaqMm4y1 5y+642+0s0iFvfTLlyryRHDE6iXEHhrt1YXC5PkF3Xob4QLm/v4PBgaNACVEL47BX5U75C2JXGIm Y/Ol0QUHppRTxVXaAj+fKoYYL4Yrj/L+/Hx4yUkmjpsZu0QQtTUu6Yum7Lq3ktgQ5a7BP+AxO+07 ZIJQTaT4f2Reh32dzizIPeWoFXn29+ccoSoa9miPZtl/fQep2ore6t8r5kmO7Q4Bv41mfW7wjhOV e17+PtaSlT8QaQxhZTuc9ViVmNkK1F3PuolZ4QJnw62X4fdAGioFiS7+91wkTw/2Uv1Yfotpc1AK D72I5BLQ9jTludHDUG9e9Onr8r9mEvMVfXj4rnPihPHDF2ouFiVEKin1waerP6RayJDWOa5SIiQ6 p4d0UePZqs6fzmSnSjzQbCG3ae+HuxTBDkoqbwjGufB0CyrrXW0DB9/J6SG/dclOtL8Q1W06tOBX EcSHgfyRkDpp4pwjdICzcvpq0+bbVmZc5knh01zNqcgh4r7XOSqdD5uRJPgI+s6Ys+Pr0iJ8++7W UOgLkPrQOJLDg4n0RbsfxmySX31+U1OGKFoCYkkVdAShVIUk8qADn1zpa6waKWkQ8Sj8RQVGp9Bm scsI09kA4J1Aqw5vgGfPfDzq1+NqIxPyUTW+ubG3wuuRb6/3CR6q+iWxCBOjMjh7nZSwnWr7vioC KY6x5FZBCBFUUrXxDiUehPl7OAkZqAymZauCiZ2hBul7KHLQESNZQBS/6tt1YC6jr8yUtUOX0nLO /EJIH1AhEpsBvaJ07/ZfiIcbX1ROyG7yyeoZmseDIgjXQc+NHyTZ5optN5bolsHS7GcV2mj8nHQI amy/gnos04PMHK9QwKHCVKzX4MtI+0ROSwimLHUk7GUmm39GPoKtPQii73aTBjNVO9KQNjJwzhyy uJbFWgaWO/V8jB3LTAzvk24agw/tNMHpZQKidKtS1HHynJRywb6u+OHU5CAXZ/J/2zg/K15EHg1R iIG9QwZvLsuPo6y1Rn5baLa/tVIEc0G4EViPUDHJ2tezdI/HOb6bXmtWXDRDrsHxQRA4ovv8Z8FX SIScLPR8NnzKe0FcwDCv0+oB64OU4VpWfjtfdPG9+fcngDd+I7LRipTnqDFnc0RK9Jd8YYls3dau K9NxkLvC6VI6qpznzxyj04o/V8iU62858Ld67UnFa5iSp1XBf/3OVvT2g9SlSClvj1giBTNDvd+r gKuKJAx4LK4xkMq4OcbtahVukkmZSlUqP41T/T77ylm6jxQ2OXLDCmq1ZEMH6MM+R4q4PqifhdoG xEybV7twcZH2NQ78bp0FnTVikvs3gVYSBIAine6K1MgxvHsmAmeglGUyXcaBbNm0lXtMiValWBIw ee7K36I7Ovb8B5QXljOMngLwdlYfHWqSTjmLATRFlUvne5xP7HZ8+MxRDFPREVrny+qK3T6GBzUc SDixr1f51c3Dhzot0g2F+YhtqDgLCaTLwjr0EZgIJ6KF7opvpsp61PNpruv4gp5l21YnzV2EntRs gN8VvnWKCRIQJup6XcfdQ7vwYOzDtn5KM5IQSrtxrUnrL32I01dwPw3zak8tCwk5TC44Aqa4R3nj qsAvhD3IMFvIwUGZ+1cZBz24cbFaTNfFgclkwKdquVPtxgstAN398iIKt32Kh/BRqzqhHofBRZHi K41TsEfWNPvelnsYQVZOBVyNCtdqjcP0AUlTILv8c5rEMIu5TZ9h7RS1cCvIUAI9SAZGyDvKh92D 6csN3RkyX7UQbRDp/cxUHsEbIbxZifReMqlvQAY8Ue15AcxEkLF7IlJS377yI/zu2yQGPqlmhsQ5 oK1in8ahyjQoS9b4ojuoUOVkPjz/kcTm+UvCMhKr+rCgGFH13rPTpgRXJjMBcKLD2pkys7EvcrZx wa3/spluHsY1IwRF6M5nBGXT79blh611sDdh8dXUl1mrgSGiPTdUZfITGQQC9ZqUTKa3EjVYG0Wq vsT4bwloP2mOKVQjIBdrsl21PIYwH6pxDBE8KESTeNGp5T1JKvySPyfjb5pw4m3p9N/IelAWuREN vTmHpGeBhHTg0iJNAPxrdzyDfwQTtNY3px7rPhdYCk2dIjPxB3flStoBCEBWDT9YXYx+x2qvCGNq lbe32hN+FPrIyl2eGENnxT7EaJ13FqAdDCf3t9r+v/kHUUy+xR4q226DNZaimsbVOqbo7DuCdGVr JK+GLEDBIsfdH4uBxmhWloV6r6JzNt3izd2/iNjE0uAlyV0BggWNsrvtazbd3i4j3T9JV+gllGqU W136Xj4meIDf/oVMXIivb3hbQKcQoazhAbK/CbG4KDJVy3axAzrT8gngLjEoC3KHof1AeaT+mZTY eJZkVNTA7L9niFvhW6HZXGB2aNTJieNk6s8oYZBzXk1KxcPCqG0udkAvicqXWZplCjsI9u4vT9jh MV2e4t+nGKaJ8lcSJNBxtl+stQhEQaZ1wSuW6Tbqu7cG2bppqZMZZSL93F/vBT6T1zPQ1y/4Vis6 v32LfaP4RRripn/Wlv6w+RCc2jYngttFpLFz1lT7aVjGeg297wRi9CXzvmuTUTEZ+yfKCJblNhHc dGviSp1aa0unyQG07v1IEyC0JPSyZYmTslGfCz1+ktni4VsyZQQi2OfpuZ62vP7V1RGNgvs7bt8T 60Y00q5mrOJi4O2vO6cr4o1C0goMN3DgGW2L7ALq/ZcwJj71E2TxLDP/PObnOZ/QHVcY2hIQ/qV9 51A+1p99LF0+MQ+vlPS6Dks22TVHFhCdhKLdpEOVLsYvbybaKWmKMUAPstSUFj07+q8VDE8t4Ppz dUOu+xNcCTZ+L4kIijsHS4OUnNpBFHzvl4v5wasGQ7NCg7W5AUoqt/YEOdghH8bm/SXvHeic1gaG SQGcS8heXNAips0zyMq+XAtRGd0o9rIvt24u9AXfh+8UWL5OPZSoXlxnaCQ9yRqQueh9BFCHHF7p jGEbwR2Lp5l22BUm+ZsFgr8jQX0zelvBOicIoZhikLfnonJEj+NKZC/4UP/PjNe4pUKs2kIj+SwW oYCKYdE61Nsn0MkPD8sVQiGAWntdqrTqxpG4UCC9octG+6w8BbhkKThFeY1sVmWnNdGUI+8dtCHr hCwrr5Rabu9wHd6ZjjNv3PNDdj774rorIl2+D997pWsNiik9w/A0cvVd0aXN63LpCjZTyEGJ+9Uu 42yFmGhZMX0DGrCGLm4GusvyzYxLVJCiX1ElsuEdEegZ+DYW39vFMymykf0jVHosTyCgo+qAPpS9 TfqmNwawvIvixKQ35tPJ66jR2sC1ikwkTZCYgw6wzjSH3bj2luP0CvwsaiDaNmq4w/O8bf1YWeEA JozcbKdQmeQdlh56U1BR2Ayvo9jlgXlbuVICt9P7+fs1RdWLlB7zxyiG1HlzpVCcyqocVflgyXUS u0592rRIlaD7OHKLIXGDqNmk/MgjNOFMSMy+9a3rsxXHatmAWhx4gibOQ08r9RWFqFmCer+5wHRQ s4wAfgqyEFN/7MVFTj/vEzUjMeOMIgO+wMa+zb22UGCYrtaHiFZMCD9I/sNX/khCPCzvpdmkqqu+ ykwOwOR5H5/AM/fOU3G8oQlgG4ASj1C9JaM/q/W4UATfUq2Mde15p9cyLZd6TumEIZobAdNFVHpX ULk2rk43EBA1MSN2RDmUvvpConwsUUA+xdqTX2baYU0I3SPRtA/cQtPPedp/HlHhL3OBPPUrGai0 lQtB3eImv2FiINOk05eVw4/GUvFjBP66mt0aci3CkUirdEEekwenKMdh1o5L6c023hIYy8iVObFJ 4pR2iOh6wUOddo8BOKpZNhnXGLfz6HvSm8NDC117Dg5vYFimmD0zmuOWaxTCaB87czllz2SAL3qJ v/cYU2gMFmJ9NToQlqmKdpPHc2go5w6OC4vJ+RY7EXLR7tLQalE7pyHintUwS0FeCvoHZhZm0+2O nPXSaJ88fueyPRC6twchTN1IG69k7GnLmLrz6KH3tmZ/QO9HGWpw1iVCjrve9bn7OFjMjjZxCXa0 jVR7ogBKFTUg+UPtwRHHUJURKQB0c2otAJITic0Fs4nAeaZhfW+j9Nyg2x2b+DWpB6OYL1Y5JxTA faj460X30UFdr70ZTejX73v3plj6h/elcPQ07iYo7f/+1EKodmpm1ebblW0iA87Im65rEjUkfQjD lTD1yIrpseBcsMYb7iUDQUFQ6t8coNqorRnjQ48M95SKQAOtuaV0TxVJHqlSKAZaveMJ8SH7hR2q mPUPQsRr3Zu767Un1PL5Fo61f8w6YAE7jkGNmltKU4DLX9xwdCYUuprlpvYAhe67P/kYr6MNqjY+ hm4Y4Qe8jvFxVyR0PRei4M6+aOV3pvRwIOS5VP87mKOMgCd8LGBLLx5u8HaHGFN3HsH6WeHaGiJw JZ9deatxpR7joHJgv7XPbBMBrbjTLRqO8JnCQF5WPVrf5quIuJMFNGOcvua1xcsRPpe3QLnB4TiO OqMjf3SL7YFJX1mTrnSALGq52RHBgOKzTi47Vlm/ZUsYgI66gxWerSb9N4853uXas+Rl6quU3Bux cQ3p40YPWGU/MFCqLEaUoxNWsMV+5JYRoOeqISBxtudexYZBi31dv0EgwUOqGRbXLcBB08IRkSr8 sRfxQ9ZUAVfeiGtVj+vjkl1hti0eLCUeiEC62UzNfm8otkvWukvAGsuGia4mLrft6UnvCTHy7vqV RqiGfOVV8vLruXEFSJ+wRZ6/XxyqhUsoKEhnzKBtlQtulTqiYXcIcQ5xY477jgnRif+OE2GcXVWF a0jK7x3tHJZUA0hnzl/IZol9Dy+4K7B5/NtqzDqhV26m7WVYlaYBe/NbAp/1yUaJW+uD0KIAO4+p 3nz1RkhHibZI+lqOAZrEhfcdAXNAAzxBe5Sk/Lcn8iYME6NE3n14yAzgMo26ZZvuLa6oy4pkKsPG KuKNcz52Ij+VKBaS3srXe4itBGgEoh6V9DMHKH/uQXO/9bqmgJkVuLFTfI/shupxStniNgWTZjio DRWX1GxRVRd854QwMsvt09VPnRWp8SLQVAQz6fhAycupfOIKj16Ms6wbOZIyAckDqq1rne/0IU6D PcNYiMULzR//yUT7TmLSGaDo+FPnw3ubCoW7bobZbMCjDPURvMrgYxw9ocaFiYAdmbhXNhVD1apV rty8HGSF6jEKdteRBRLluuUBhDwaD3WhNx6RIQrIQhwN7j/FmCVWWqzjnFbrPE2yw+e5orpQW7q5 7/Y63ln87IiuCVpvn6yDpa7HrRLAjBj5SIcga0HfZGFo9uABzfF94O0BfZSkQ/zDuT1oZPG/P2bc Tg54wHrQCh7QTcVE8GEwTRztaj9dV1atCtInIOeQt5d/WBvGnV5cRqnUgspKZkb3GfPtWhLctJjc uqph/FpQ2PH1XX2/+typFkmRXKYw88es5VWeCYOA05OmgUW5ewHUDBaTwrgH4ZIg3p17bZjNyA62 v/JVRJZuPEDI7iv/dmxvJaYPUcd4JEnmiekXtRlQAwn2KDL8Y+8J8J4mhHVW2Za1alvlZuTSl5z8 1zT7dai9hBb9AYeUUvgSbLZn/wAmCkIOL6hAGMfYUsw8Uq01o38Kfh1Wj6tpLZAD8Q6BrvPyqdlq z1v9WaxikfYQmYPqIdoS89HUQru2iqlqTdCzto16aYWCqbYm6aL4DCb4VsOmd62jctmWtbVjmkcf 1CZQw1wX05krisdRz+if/yuPb7H5qMcognokqPD36hAa1kuR04c7N8wUtxrR2ct/fLM9mRIEfp1G cQ3N7VVch1TVi7VtTbcX11RDpanQE4usTI5GefocRP81MnhyUHyHwNbpJmfxBb1lNx3wekH1qowb fqMIyo+xf/qaondi4yQuvmu4/x1GNYHosxw69uSKjRGhFHU9i+FDxI8BJ348tzX8qOqEov1ZjGe3 PJqEVifM/1KoqvR8XsS1Wm2VgiI3aHsvPASYgWvfzxcMsBjlYjAynEUSrTjf2Ke0EeNltT6dF4BI lwjkmmG6jvfo5Rujo+vwTTByiJG4+uZZt8t3aXVShKKoxgtSlSkzT+a8zn+bAmimMLxoNUUKlysI X5oPmx5Bxxt8nsEr7JXd/RPblQCSQUjLMjwi48hA2+JD/100gG5bHunZYVydII+oqV/BV4BCLHPg pj33ObBM/dE8kH6JZMxBTn40VNrexL/54k0WJmVwbFBv2KosGwnr8B8xcwP/lPT1kF3SPI93Q0kX 2Yeohbu0jJFvvCv01Ay+ftr5SpgcRVgpEDqn8xKswQRFJF4AmO7NiKm66zMs8qtZyAtPFWutEAI3 pIogfQYQjc1f4azlmMdog1W3MC1JPkpcaRQA/uO4fCEYC/OyjSjtJ/9OcyL+RismwPHhaMFYkElU 8tyZWBWfIshhenLH2aWnV9p7Jg33ta7XVDOuBPu81YSfU/hrFo2H79vB9hqT1JbN9fUKgSX5pO4q CyWQAR7DsDYYdFKihxvtsgm0wZ9ws0V2P8RHgNzMJBfxzDu25h7w8ZDC701SpomNHPYmnnXpEc2g I8iTtl2RqSTR9zb5rT1vFqnpipll+YR0e2ElSwK0BHNBlwiv9GbarPMWHC3mWmzmVSJ0R8pdsRuS VOrdzYYBiibD1CHQdqQvAw8jEgQIQOofA9rfK9EuqrHFuxJVRwM11QYDWHqEMYVN9Wk008LzQtha m0u4/I+0PVc5zhHuE6yPyLaRs2zcSEGHqYKGLSSYRT24GQHhulZHW8Tu5saEJV4XVM1LLC6yfALn gaJmwfmV1CldZL9dgggMc/U2T2/wTI99LlMZZs25pb2DmcCiFZyrHtU7yV3EhEdt4eS6sqg1x/3r aDdfH7qZ7k59RNnnLZy+keYqtyEh2AA0PDZX8toSL2WpHNNBfMBtyVdPJ8ZV1+df4Wan7+cmwTsx AOcMO6unuA5ZweT0fNWWCd8iVfUmP6BtFXIMzQFmiGT7ZzOIFr62VJHMya/EbKhZuPT/fDlg8ONk SfdOegirvIfOMoPdoRwS9eLh/Vgod2n/QQlPJOSO0zbazk3CZKvOyFU6EFFUYeJDmSAYP7TTRl54 r+EzKe15FGpOp0JXJ4m0aQwiBKme/WNo3Y24zGE1nvetC3IqQfe/VaofvYAB0MoSsbAQntuT9BKy PftHS35Lb3e0LKJwxZ4ZlYgaT3Cqa94nlH4eUdJ7rj6YaIfH/4R51Tv//PGc99U4yyjAoQ0BCxZw Qm55H5POWXqkU2zDj/YXGX+rT/bFb1wd4DfYARS1r75ClwnQ1A4s2hNpsGpzBhCO8uZx1jNeSurg N2bkkVK1Sb698CbaDU2oGkTUKKL5Oe5nLmwdCpQbdZ3TmYK1HUVpPiJ3tLup78oibSCoqBFtOHCK XlvAlqvfsY+gGfUzNSuybG1nN8mze4JbmAe3VUJwbyX/t/QarD55X6wfm20icWGB2l+bad58y8m7 aaY6RIB6H6Inbo6B85nRsDJJnCQn7+I/g8zDDf/WgSHTT6dKxQqJthKpa4nTQMLyAnJnGDQ2Sagl 3cHrFPmAlzh7SsyLfvhufIP49Uejco6T7ty2B/kSbvbIUCEV3EJibmqGxnpsvGPGjXD4TsjdAoq+ Vz37NJV3hYhR3YVeBuHDu1Kzf1uzipktLlR1JdQjo3tL11dWHvrEQ631/Hi+OvBmHzLlfFh9ZNGd LTNfLHiadtglGRjDL5Q3GggdTFKTvl0JW+d7mdQHgtqfje9aPJQOCh9239jViN6Bb25FvVnSpiDv ukMc3yycvX7GdacBULTpjQy8RTxbvgYrKX334py5ZJJM6YzKd9tHps6bRVE1k0r+6GHd/1mhFvnJ EupEOZwxVWgTDTy4kXJvKVuKDbv8YcUzI1/07xkn818hy9YeBCKKRbbd0tHqTkz2wN23ZFEGVjIK DcVhpQwV3/m9Nozosz5SuNk1uO3Q7pVGUlzhYCLwgyeYxRsSvznhIzDRVaVi6rQKNWlsSSGr/r3G 7M+UQi9RATuGujLYoyCYvt5+sipWgiiXDmleG0647x645QKoI1XEVKphPFHhPKUZoD64zp4tOe9g kfhHBzaZbZb0BIhJ3htZYLIkkQlQ7RcBqDS8KPhBh1PNsgd3kJzczK7VBSgW7CKWvCk3gScc7P9W Y17YQkMHRlgjV9pLh16ox9GcYi5cSHrbw0LZLKxxbOT+M7vu5I6H8/5KTcAv2BP8LBSd1tJbmyyt vEBAuvlnaUfsyer4PA1c94kxOS5Vhu0LEJ0wqTgmh3/Q3xy/w4yVdFcDGb3gDWdpZgZj6nVDUmk8 TPmyk/JzGo5cYGvtozju+SfyMZ+ijFTY1Hgumo1nNEew/nlljFqrfPRHsJkocVHbZVN9dCoBCmHX s85p31TNauBvLil6uVCZVc9wsQr3YO7JmrlRuw7pKY/cz9epQT/GU+emF3YUmq4SVXmtArL0Uh4u UgxXiSn6nJ4mjZcOwEuw12o9vNMpehYYeWNa2zfvBPMTHzfZnU5v9zyVSi6YCD7csPRIjoo0mk8q 0x0br+KhjWD2j4xYsQYDP45kPxJHzYUe+7fyxGrT5AhF7vZN/M/NUSdr7MqLYO9YnzOwp0lSPUve mMtLeXtYrR6IudfgAkfK9Jr/kbX7L+1hKxjH1PdOY9mlNJgTvcmLiAC24NKy0x7XKTqDn+I21jQm OP1tUY9FfsP4IoUHZxV0ujogQpjmQEliEqlb6HvV/Un06R0UE9ohWA5+bb0/uDczGbqBiTgwd6iK 5WO5kaker3N0QoQx+0xdDRdZwpODSPjGe5PUHgGVlEeODvqurF5n8vDt/udxOYvZWuOpzW8kjOOC /5PyezXHrFzj8Umgx6kSAQLtfvvP5Lr2Rry7TQGqSh9I51rqN+R+pSrlM7jfmZwlSln852GrXzcv IN1f51sphQ4qS3VscnQ8vXHLJ20Ut5D/LoB+5xHP36lbMAYDJv4biC5b/8NDsSF2HHzB3A1oi8ky IyPlREc0BmFY6I/8v2rE6aMvdTMWdHLZ6vtWlC9Nqr+DGVZJdir5FX47dVqqplpGRBlZM9Z+XJBj 1ke6mxGEZw4nUyp6TGHU1P9gIQARnOjccWJ3LuXTRZksJANvxGggyFDtvbhZYqCJ1pOpe+XrLTje 2cVDlrVdN0xIZZV7qSqqjbxLmlcVvftpfSf18Krq8hx0B09UH1O1Agu1yhAf2pnsJ391FqbtFLAh TWbN/8I4JqDQgtnfyDvoKvR3mvrdO+LBfJdTP84pTMw7TwMvHkZxC645u8Z0GnDEZ8qbR81B5lS1 E4roneHnqET5dnwWhxpH0kWnU+E/Sxl6H4h0lBqYBy10yrZmpAcVUe3/YV9h6k3TcE0w1xTtR2no VH1FA3H0oko7ffE9wiHlmcgA4kjWIgGKAO7m68M6BP54FcWjGpFWccPhQwhq7TANBnUvGkXeS6KA A0czFDYyj4F9mv4JEkb0aO0BiaXuvf15K2CHgI79LCI3jvvTYWjcz/bPqCwWk4N9RxBJCJhoDYG+ S+5hwxdie91We4Oj1Z9a0rbFcguUGqDOQPQw+vTR5bLzYE9p9NCofy+bbO1O2317iDUAR0v7oD7G RM9Uiju+Ns6WSwBgP1+pX6cB00lK6d0kd+9uKiJjokw9wYkJ2j1iDgd2G/SpKPsQb+OVP9zeVa0E VkwlWtN+uO9xoGvuufFiDiDj8esVhYfMzLpYiDziIFw11sjHoNEBDUFYz2mmPRCj3cE0CTvV1Q06 c5UGWRh21RmYDyqndi4DrF6x5BOdpMcp8rw2XCNHHrwuiic6CmF7duNr0T2X2Z07VQr4l3KxTA6J zlp1FqlukLT9FYqXV8/Cnw8+OjeTTLpaCC/POyXIsO9l7L5IzK/F2VLsJu62AY9Oc8gmrYoutdIx 9eo0MEbHdpZrZ2QN/szChKd0GIK9ZqduD7E0cJPON4Uzmv2MgEpsYbAI15e9v0DERR3kWMdcptX8 CSeKL9OKuiSBN9VScnkxWzpHFd1FW0qtZ/U3WLGyy7Yu5RNxJU6o3WR4Gw/yuYrJ3aY4O8cz8e+n PR4E1dSaz2jdrg5au0f2eAn67h42QtUx/HMH/7oU9l48jOn34VPnlPqkawEdxlpguRNzw1JGoU6u lcxwl38o0Y+y/seP6CZhTPFVJun0n8oxbR2s+ZRzayFvbfDEH2WwtuI3C5eG/ZYRy5Hcx3MqLY4l WVEDnCa5KTfZ0JVuOULDLrVFhktJXONMblhcf+toMZOFjngEe6xw0UEqY7fOVT+fKuCCU0U1s0Gv Dh2zoh7kfS/LA+exHa6ChK6otrgq8PssQOOScDh2gPp+2PjPI0OZXpaoo39zRBT4DMOMzTdWYiBU WUK/VmUmysnvdhpINmIvLMGb0FSXLQShEySORe6xEGqM8a6j/jMNYHJJq+0ZkbQ25BKHT1k2Gt0M P3op6KmCHTV6/mDGq7uR2cED2yalzr+YM6HGfmUBSiU8zQbMJ1l84X3Wa8FZm76zUva9iaI9clMa EE6NNcbTthsaFbUblR076JVqZI+SZmlUmfd5SZRIJdUmcTjAAUyDTD6Ufp3j5lw8cIj/uJfoHHSe 3AR5L8WhmcUFJ8odnYl4GOnFFrmYlFm9pr0S0O2i/AEeN5FyOiNWYj9fwq4YAkzYLEwjtGcC08Xj PFgQIvzAnnqygD9l8+6W5rF9RsO+nAZJ1nwDlRKXaPOPCFOm5cRWaSCdxn8bF4ZKAv/JiEpVtRh/ ouHDIxkr4l8RJqfxa3EoxNOygo/QfZsURhXqro3BTZYusuUlakRXXr8qlIOjFrzuSIU/ARHat2Ob D7G9FEZPx3hE6zRTGF9gns87wQFyP8oqzXlfYcD5QQBxyJ0/zItfDL0GOaGy8KjtHnwtAJcTbzOJ TcHzje1tIrLJySztouf+ZNIj4vJeW3kKBGErM/G9yGUHmNhsYoYnvczlynszoG/V07EHz4qngzES 61Ml+1c/Q0jmLFnqYU12o10a89Eb3B6jurmTzjjK1rC2l9M75wcmk0CxIABDyxfqflFbvnMfeuic YZV6dc2l3fkzR3u536zb5cILzOZ/snJtneTyxMQiRWAGGZ5e2q+S/Hhul7IyhkkA/8MSKLA+OK03 yFrHIyHAA2nYJaFNcLq0Un1jZXHzswqvOD1aJOMkqqrJIkKnMQJSrxSWLO31R8aWFXpY7O9JvZbz xJwCP3TeEhRCYhyKs+gmLQLXOARgWXtrhCzsxKENnn4uV0Gg5s1e8Jd3c4zU6Gw9pNm2i0c8IjiX buk9unL1FXQEw+iPNMiBCU1cWcZWE2TDXcakNvlkMjf5d3lnfd0QD4SsUp14CjR7APQzVEVSTRQz M0qJ7EbcTzEE8eTVULFkpv9TVHRwzBB4JXDt2Nvep7Ei0NWGQ9eRM9F7ew9wwlEDHa02oyzf5jRt dNPQAb5kmehLiXQtya3Gtw8tzsj+ciX8zT0VrT8ErwSXFVBDP52n/EYDeQLY04nJ6u9v6V8T9LqE 0R3YRHDfPamZtvq376vpxWC/jy2EvBYmEzM3wdnU0+7wMqeXEcMMtlSGNLLR+f6X5OBjL7I0nhgT WkqRm6ZeaCZyCWKPFxD24ppcW9ME3BdaCB3/4o4A5tiMJIe4SQGpJaGeAVkmZZZtO8XwtGDbaAzf BXKBzOuzql3Muiqp31qkNIXMzSydO8FqOa+KMOwzAEkcKstNOMY2V4RkuD9V8dbcMJMNc/mWfLLU rmfXcNWccmWVeiawOI5NVndPpOoFeWONWSqCX4JxlkxTliyRdMJEpiN/UPwnMM4FEP5b2rqnIkgu tYGThg+yGBTOnpBUQoo+QA7uPJ257AjUNwXQHVEojIJa4cQwrUVKaDxB3eCTUFCBjQ4cSxiXKTnE laLFUloZkQSoMOw/ITJVRRPJ8v9nJZeNhkv85hquzmWd4x9e5sYguC2rNPrvAZ4Q1/5dC9JQEykq ib/tv/Hf9C6G4SKcgGOb0Ecb4ZaqpKZ/EkeUDiOBNKBlq4AXuqsT01PCojB9PQ6pppqUJp7IAoqT a12SZjd3PS/dukENhWN89pkA96ZOxGn4kD++kjP78BWUIaQoGDonyOjbTc3Yz8zmkg/bYRiLBCtx iGRkwWFC8Too9E9sDxnErADoBns7UWhA+fl6VRH2Mdbr5xRnT5k0pnT4XwRBdHSd6litQtcLwJr6 4zwbE2tzQrfws/M9bWhszuHjYCfseZjQf1ZdXWDL8LWO++BzcnKeBaZ0eP/HUhBaeXEEdtsqM5cc +SqU/U2siWAmuJTRwmSHs+olG437z9IQbn5HbWib20v9RkVWxK5qE0t2XFMgfmTUeqmZvvVIfEVU PPACedMvEMESwrFjQBwqiL2U6hxOmVVO+qtaoxdYtmaPh8gPaR9bsTcAgI50qG2UcMfRWpEKXM5E pGnTXw5FJp5jpsfcCtDwcZ++XEvKnhiHACKc8YxoIShoAyJVE4wmbh4+HNRM0I44+yibnDxRNShF vhOol0aLxOly69xzwFL1IWlg6dJ0Gix3lU64mSWOBptMOVGOwiRQBXQYqS9OotzOvLK4cb6u2Ec7 c+/mUIWHaJYNavHdtF3ize7GwRGzvGDi3v9M3S6G9dFkUZSAifRsjNDre/3kbcWpUgzXGkhH1Kv4 +D6gwYWSQS8e1TbpnZ5hDL0irqxdwRKs/lmozWqVNOvNbKZu2nay4pVOsikyQksEGlRlk+D6WIUJ g6EaA0Ev40ODXt6klYolEjRNfKcz+E4+mnp+B6SVor3tLgResU+1IqCUeUrePbN8/Kv8bciX2Hni qbJ/yMZ1312nTho9FQSeqVeRi68612Lqphmj1vvMqSN49k5lHvMtN3MAGfTY4t8o0vm5W0j+jHVc +qG3njEBL/MMkgvf+zJWWyhdnDR3rg788HjIeQesQnD1pJRqSSaf7EvletwxLnHFy8HWeXCrJGru CuDrvqJf3g2Q+0quxC3hp9IGXVwvpIRu0tnW3SfAIxtEusB1ug6Pf25P6zhTIKiCtqcDJufZH2Tw aGV1HwIR0J7GJuuvkN0efHnSS9tNqdA8Dj2QuM2L5BzFR0fVS9FRXQaKYqNbdLtO+K+H7Idm0kOS gazjSq8E/rG0rwHyDNF9uR2h1I38AxPVgls+tYvqYJTkkqmISsRnKfWkl4I457MMaB9RVAbfk7ja G+tbzyWsHhVY60vEZUGoJ3V+4tz9hxIwOCZYpY0Wn2/zFl7fHRE2OlND2970YVbB8uuABPaZreTq 2XEnf0NV0x9Oo2ehoAO6vo7nqOtz3UfI/q1TCfvp8vLgVN5rT2Lb+ljNHwmiTZzBsQIGqZz2xk8i RhGT7PPMxS1NYFQM/IAVmcMX9zHScoJ4XIUdcskDqaz+NOFSMsnoE+njvaw7xPKNhEG4UvQWmQaB qoqgsWqstbtMPyaIENs1Jht4exSmR0C62kHesO6WXtDuLG6R8NTD1b7+RvtrIelxsNL84kQ1DIi1 jInITb7NKxzxHNjt5A3FirRWs9zUz8gDL+rxgQx3GE5B9DxuM5E03BlNvZ79CX5ribviYWat81OU pj1wIOgBjPPE+HN8Zzt5iWAoOsJmsqW7dY3HwLGHpzAmnCESqUBoq8TGcc68LzJOLZA0T/tnhmA4 pjzasg5/txS32xTKkTV8PWcs/7wmRqFaV0S2cl01XZTyFnZZqTdmkdTvKVfvckX4XRwrYHuulORT km3QVHGPKGH0mmXQAbtvhwWxIZhzR3LjNAWv23p0ULZ/OTpcT+YwxvMYkARlHNG4jKDsXzaRlU7A QHagGgRDIx85bbVngjcsoa+VMgqhG87K3/nkV2xhXJgkqZVGhOzLTZqHNKD4REaQKL4RPCqG96Gv 9h0aKUIhW0FkAxXTZPXpWSB0BmDxqqshOOh+UcNGTnquafVjvBukzBjbFPuDQQYq4kezw30zaiq3 3rueYkp/Tl2cRbiJ79sv+3C5YDcysMttcfvFmnUIxOjJ3QkGbjX2qlutkYzuj8aN/ozqqiiwi3z8 GSqWaf0dLxCr0ITWvPg5KecdumlSgO9arewd7lVty26uufS3xzKJa1nk9JuaBSSYGRudkYB3sIcv 5VhyY1g9X2LRJj0ewFD8lzE/cvgm2h0o8ghfRhZms1GACx0fRipyjjEengQMz+haJqORMgXVgWaC LDQCkMf/1jAMVuztgAiCV1r8GxCXZffsHgS6VK9ubBtD125tLLxVVv3s2g9oRTwwJRS0n5natyOP QDl0KRCNTd/YbTwOn0E5O2uJGzaAj9gtHKmH/1A2Te3TF6C+Q7WcgXl7aekgOLnuXGht+ttkM1pD GNXi/syMIL3EJKCxqkyovV5/uDZ9Qv8GbJPJo8KITz6liRixSzQiXS88ll1Y3Tx9ikpbm6Y2K9iW fBSXSW+zuu8/clpHTJLbBbfoUBIH0NFQzqrEd55jJN6w8fcBdK+xRTWHgxTrK9Jb6t+vx7rPWH3n YkpSSl37FUKeNgcL9LesFAYFtjsTTAtmjL9bC083AprhcFFA0xyax1db5g4Ff35utNcC4XGDLntT FaeGa5zZ2H9gFGa5pODZaQIizn7ydwIdEuctpmcktn1JVzsuLqit7LTODk3toSGzek8ZkUc+hR1O 1YmNY8QlrHxfZv7w4B0n6SjQ2601Qf06midDgKa0Ph0E9yFKnR97HawYth7XNKS6PX9ZgdQlJjYK FC4S1ZJvhFPWDHcAvjpmZTeJbncwypClhzEEZFX94Iw36hNYdG2nhsi7RFsfBh+y5AhRPeqwFsFw 47fS5Hpt6acgbtXz/78U+HKt7oySAK9EDno9KYlHbCH0DCCJDPUF1JC1YxMSJvGXEV+GUCOnokOU V+EfPrf9a0BvLmWiS2fvE1y4HUWtmvc16JEp03gdw33d+34v3Zjm2OcIIilvXJ5eCwIkMWS11Ajo 0KLI5DcsDPpyARBjiMh91XOvvxQF32a49WUNafW8kpUMFkr6RNtHPmayeuE4wjIqSyfbcxVmRxHf 0LLaZKVzmMjYhHxWCSotK4oFV6cisV8e0pZBO+KvVLySdJOef0RdfwMx0d+aenfH1nhCzgNIVuDc hNF+C2VO6Akql+tmsjwwqMZW8Y9XJ1wLav3TeMLNJzHLRmAEkWrPVqo06h0+sAkcqT4GOFDM7tK7 V28+gOpmGzkGozUF1JKzuommSl+vNB4yIeXSyJut5CQUjsB1ecyC4YzpMeMW7+34/PO2JNFHxYve akRnImyRJlm5cXXM0gu+k5DQOmRlgdAAYLnlIk1qmyHI460ubl9egZiL2oqqsmCmORAzEAENbUJm QYujsxOKV3r6VZ+3xlVQhH21m21xxjFwDl3HXAQln4EVBu57keJD0sOsIpct+FUSRnkBdF/arCry 7PIYtKg8eid6ZKYqpvZUiIaGEclyzmNtrEi6d032F5pq9XirEhNqz54z3cQu6XjoAHVkEEBc9Lkh FnZ4bzmnwz9Jjw8o7ZHucihtagjraZRCz62ZvMuZMW1hJkvTG7t56Q1KJgg2iSj6dusvibZr0gkP sI2aNJaVjNSwINHrDFtdWXNU7gQj3QJGisPUfUd2i30xWMvqMfVDz/WIKf+i+XO3cAvVJgnrcYbv rkKbogcYwkImtd3cv8Q1xLqfddzkBQPmXDlbUl6hyxJQQN87EHkF/65PMx86KUKC9iiqsx0XExH3 Olju0BOpcS1VzwVfvuk6QyrFBDVHyWv02DZ6GcsOhQkKXQVLE1MF5IA9u30PV8eo/p5eYbLH+oD5 TN8ItZ3bEt/ZHdn32a3nHMJZTQ9qRiDPkBiycbmnJJEqkTJE0EWciznIQG+52WVIiYXonDPR/K2S 0zdteAxY814idDcDg0rC1Bf29kdQESY90qcCm6+2jZbjs6eN9B9cfA41K0yqNSS5NFC0mCMNYV9e M7rWEy7bZHVXCHoFC4irtO3ODgDg/BIUI6lLEPWiwi3ScGnP0rOUI0eegQutrTmXBLNpQQkZNjXs 2e5D6S3TFKUgOslf9oxv04U0/qJ05iwrYEGm3CNFt9n/0HrSrLPOarQATFhZZFUbE0fJiUj9tTwf B9DlnyLcfdB+na4grPud94ATZRdIxd+LwAnYbtELZUoeBU0U5+KrJyKmAz1xjzvESmwsKuYY2jvD OBBTDdyftyZCTcJe39wGSx8wfioinnt3WgdTw7/uH5y0W9IjLzg1uPgJE5PA+yOode7WA36mVLlE j1Lr1bGRlNP93hFHzMvN4fleBr99Z2qJkeKFCoHSDMor7pjdM7+pPJXxwp3pSEN/AgcceYn0wt6L HAudFjD4yhx3hn/qkA/nF+Iq3JJS62oYJAYFb7iQMatKPjxBHPr4AFGIvWYyFxtvTgdv8LYYwGeo zn++JZ6okaXfDXjtgozEu8xZVP73y9vSszyphfVU7u/LVx5oMp46+A8vnGHq+InSmB02ayvZovEV IBxASIBdnSMNwQqnLaSevDwtifgTXuI505J9q5mZsMjTSVoppV5zJl44Z69rgPbGMQl5QYrZNZaf jEU9+fDSpaL1tYnUgOByEg+c2+1Cko7TwP78zU64ypN/xBk0JSsW9K0zE3Ub2Sboofqgri+vPPW9 ggAhCm3cMzTt0w6EAb+LhLjgqZw7WoByri/cP00vezc+9mOCOVD7fY1Ah1oWLCMhCv7KyJqDnOPX Q54ztI46gkI7GLyyTJ/GcZbkuiozr2n4ZXSGnhdOxiZD++mEXOQxK4+07oJDO49/MmpT4AfZh9MG jK9F+56j1rWPbLwyyJfJ1XdrwiIEqUOyu8qQ+B7vG9O6EoMknP9e0MeoITLFOLb1GOeVhG5/dKbd uf7x3aONmeC63wAb8s/WY1NoSE4ak/q+7iVWRGpkuyaq/UXbG4run2HIdEVLjepIDXaT6Dh6et7K IM6b5rSER52zArlyl49kUBxXoC0CO5MUm2zKL78qaJmbZfFwmsMFSAlvfmLTUOyYskJhVbEnQMWN N9oozhDw62CYSg/fdA5ORZtfwBuFkgn6w7Gu6v1HCjkR3gC06sadQjoHAyk5DWRzAHCU6QrpZJTy aDvBYk04OoJdlHNUCu1ejJHi1l6Qpbw4eaWUVm4Ytodea5/tKr29WLsYJNilYR7HXqhx/7MCR8wH gY+jVHBgCL9BNsVeyHePSZ5UWgY+i2FGVCSRyilyoCtjj8dBV5oi4hreCSBrhJQGp5CYUF0L11fR iFl9eRQzYQ9JIbYBkezkXEcn/Z3FBfhnxRoA7DfHTvUKYbEM2S9xlFJVvEMSN1fErVlW3N7ohhNh 7zUR3Z5zvnoMmHcfRl/blhNzxKrEcnv6aseRg/JDB8tiOHIjKOaqD1lfWJ9yJkOeB5FiKYMHrOig pbpVtKdZk0Y0IoBKrehwIu76frd7FeKrgARU1sSlLcOjLMDrzKPz512Fk/TmYOIZp6Zp1O5uhIaT nABUTwUB8h1Y3RgCALGNKeo9h6oCt+RtvsPeYHR4oB7iScUwZUg84b+4Juvgq8ZJ/q4EPISY3cGT nuCqyaOGhEctHjzYBfDb+d1/kdKJxSiYa/hnzKo79QDemeVq6ETmKvq4TpdzXO5bVJRJMzEjUqJv gLE1UP2sL34y3vyA++9WGG1+BkGOoNCRhWLywgBmXyBNOUhO0CQuYRj76f7safVSXtNXVAFZnzz2 byT0wW3EMQbe4kchC7tAvZDBAhHjsfhME3Wa2k9mU57bOi4Y8ICafSkq3SKDreuXr+itERHJ4Ndz 97WpUE3fLmAKuqBkKRHf6wopscjcC2BpLCmskoUAzOzbGweipO9VzIDPMUFzwg3u+UFdOQ/UQ0zR RFZWTexfLK5zmWnO+IgH+pW7bZAWwZWZ5DRCNKjCCXaVmMnjUUr59UuOJTuoD1zH9nXLkBuC47Hw btRLRf4EGXP8hTB2K/NtOCt3rFo7dQccj21mfcotJv+0zygW6Ft9a4fFKYjaNC6taj4ubf1QfGS6 B+CtYyUpCxKaC9TR2bMw4ytkecxKpq1vXLMv4Dl4Az2PTOWI7uXcL9S7QROwT/TBC/6jFNB3Zs/5 DuGESQIHBcy74I+vfc7xDzBESckn6+GSwf7BWK2SidVVrEq1Ix7xyxDksM5S/q2m5pfWrNAn6LXr FJjKtwNE3jN3iHOIMF2zMLRyI3YKDdWqzmU+BrRXRe05VOxUoPcS/vZwoQ0nDuScUdQdhy0vS5hF ZAsDBNVtyZYA3Gexqfibc0vmlFj6o/mZFwz6qJoJN66nmwuk1t7kIMtQmcODglLibNEPV4+EhH3J NaGDYPVHsAxOqAbI6WDl9URgKu05Z2YipWsHyZncLTO2gHk5PzRdCVfWQJqdIvxlANVZmqiKnvLv 8PpsCB6RtQ5+FNKrhYp/VIVuRqeHBlWW5rsefV/QqZqSF0VanZ+R6AYEEYbOYkLDcu4mcg7MhIya M5wWLFQwY5Fnwm+/sFL1axc9b9VL08qq2N7+27G0MZ55rgGJ6qEbTVOYL5yvVr6g6x6lOKmPCTGX QKnhFwnHWCbcNhxAeKfhmt8A6HeNGi14/uWaNLBv49s6sqgaEaws+SAgbZSJVyW4zz5sb/yJlMpK epbQBKl9JZ4TkVdfbAmL3LAM6P1F+XJFskxs3W+KmxxaTa0xj6fC8UCP5pP2TDjRZEql/p0q3epi TPr9+zRx4TgS3krbXVn/YZlILQSxzKzUGaLakr7LBucO5psy2ncHlsZrTLB+G9aCja2vBE1ne/iR /VWRVsHJyzO5zE0oFuWYZjMp0RUa2mId6aduvU99X+VYK8jNW93efe/7s2XKsHrz8/ymlrdSIMdQ +8vV0dc3Bbzu+OiZItnJKMsBeE5B897go466fQHom5jlI4NSqqG+tPU1wsGnaKuEVuHzeV8xHMU0 8Dx26wZOkC/b/UE+VHDXqqP3cZyfk+6HBVAa54fsKzM0L/oILvudbB5n35gGR/nNXinZ/V6oLx1F KXsv1nCtiPxdCIpglFboyXRCWH6sP7Y+cXLXsGHQ19k2Lsj7iAlpDJpGV7JwYhGxxZLCEqcjs3yg kGsGcf4FlY0B6vLGy2Me9p2W4kE2g2WwHWOVgNOmrEvllBoR7GM4x8Kh2aEM0X0v8sWKACsXc3NF ihhHLC0/Pp+3GreUZdRpIkXei7givlIAthpv6LMESljmcULe8sBYhj0vUlkMxMM2Qcf1LDl+u3k2 iDdvnwPNfoYHsNuliAAxHGSKw5hqKg9DcDAEgfkKQvJlEM2dxG7UCVOKezGdMWGxyF8VvTxImHDI yBmvLzA9vUYNG2Z3Jt/72FBrJcfL/R7CvVN8HJp4feKDtSxACuamYmNB8zqjeT85j+yFCLz51y2M 8g95AFORzUK2zBJMBDaWU44Li9OsdXczLAIJUPIRBdBfY2WP+oW0YtiP4j5OB6fYeD+ThDoT+oG7 Q2jjZDbCJF17thGxhkmXzJM/TmTdXQVq7sE3DIfsBzOpEexTZJmF32wamUYlVuYIhrSKe7hLGet3 sxOPYDHpJbQVzMNy6Xx9CnQ23V2+0mOZ8QD+WDWWERBMShUIEPLyoIoKNDmOXWynuZVB5HzRMtP1 us9GfkYKeh+znO8LWWEe1zguGCfA1hTes/rbwTITmqKOb1EuFwf+fNI+mHZ3wqMefLIDImObeJEh kS5GQa2NBji6Xq/nlZRETLQ0eQvfRRuWEVh5+nnMalpgV3T7gmrf6OLq+uv4QJsSW9o12DwwcCns quUAnRi2wjPyGF5tUnNJdwc5SHQnX1M8tQYT2x9ho2dDuTSqfzlj6zYRPD0fz1dMwENyxW7R5SbN pmodHm0mV+k8vqEjh55bhUSss0zFeoWVT/Ykoe9B4bdyrUipXbSzgG3x4VNheHL458hQp8SYaN48 d/FcOcqvMt8FQC1mk3HdTFq0e8p6f84X6kCebuoERM0dP7PXbsgF+EDsTjCh0MwFpO7GtBIIfJOw nx76MiV9bGTp85tuyL6NtPvsVWjm7a+VMiw6dsFX03irYRF8MnjooHu+Qo3+9JD29Vid9NQ6d8EQ 6lZbv1NMhd1E6sOihiyMHvDwtc/KqYpgaZACpQpFYDH+3P64YpUp64Oh0ntzBFf+/ZUnoi3hvA7T aOrOPOrtYwahODguKUJ92ROnsKJQV21xUSsM803VeCVS6CZm+byZaEa9UZ6fqyI17RR/D51XWemg dBpFHSbG1z4XMpii7yTnyEEUCS5pzVdBQg37yvPsiKFRMHTbwuKBzdz7P/ePcVnpXMJkd+SL4spm POP3sRSaOIq9zkBQrg7s+Jq09dBq/YPlG+N5srcGtW7kOIHRVVkj3xrbir0gTLzCY85+f2h4qEJA JbcQ7uejZrvuOQLWbV3/KY1Ut7vmejs3GwkGGkKaaCI+oaMk7tszDmFP75d+8Ww5dJAPOt+nOgfc Z6DUbgebM+tb5TaK62+OGh9Bvaw6WjUxLbnqbvgctyoqM4PFRGh+6qfQFs3qc2rc7ChWLD+LmLsC 3EZDipT7a62qHQ7B3joVYR4XsNCB+eHNJCbeho116mJ9xUcQ9l3n3ukdcHZp2Ntv06GtKAqFYBKM 8kgAs572EEmxg2WkTqD9isByLkiYVDop3h/lvxkuSx+LrzE21zRr+w0rXTp3jW/K1h4idI9c5uzZ Kr21pVHZW7hAAb7qxU89Z4OL8jno79975gtFAXDsdTvaGbyOHmX4rOIkWoOYzpEY89HR74vHlxse BMcLRHMDvcPipKjfRCdWtnlBF1va9giLphZrGY3DbIL/OCa283MxtC3IAjAT6/H1FhJ+EC0OhyWe 4w7mn7IFopjimALwk8mRImcE2DiWmIydKca3bTwHjGsd+yBkWNE24U5obCxvCrKf3G16DCxdZDtV OVff6HH21KbO7Hlfe0ASCRsP9j+magtNDSORBKaLcA97tBXQ7u+zwIIl6nDxLsD3GR4MJChBGqTw hGfgLyceDXD0oDgPYefRrrV0djp6hcyy6ZGuLzeDl7maebpvpQlzJdFzJ9St7B1YlO4MpgYxUBDK 8UJ5BpyX0Zqq50EGVLLuPnnSaR7kFohxir9OZd6kBR1Rc0se4Vmhibyl8sMPEs3W8hAhBDn590xk SHfksPxDZw4V1r8ijSHMtrtMB3FTyBIPOBexFI3O8Zg/46XqknlFskUqxwrDLnVzux71pmjBfQSq q6SM8yMSTFGtRmm3f5q5p2khFDOzT0n9JfFFExUYAOtwZK2ta3Z6N9CFGVBeQGR1uIPale3RrqIP V0QNa8gFREX9PajLTBnilDMp0/xm/1wxeKzNLRnvv2reqS506OYkhbZ96g1aFyqLH/QpQRKiibPA DC/90Zbwe8bt6N9O8RvdltyYDFVmHL0oUmfn3YhzSoUevwoSkLpiZoxzA6E9YK32qJW7ZxIHx7Sf zRFNv3zK5X3IZ1pbJ0QceNeXginWCNTfOXg4QwEjYZImuqG9jEuTRoVXj0z6vzrtIcyHAMF1hI/x 7dj9+3MIcA2nysU/GHaaqf9JWNTTr1PUjM3em13hfatX4WqNxW87e6DOG3aZs8vF/o9zUo4JN7J+ bYPjCy80cc83FHsbdWw/ct/909Lnt1yhrHLL0dovybibo2P91hZYAebP/yJc1TyXS6hWk5uitLam s6k4r/hpz2dRu5Q+I++GpTMbHR2j9WO+XymZML+ycUd8TcOoLqt91xQy61v3j6oQk8RnTI9qvAoc rV0fTt90i/uxqF+IY285+O8SsM9L2yjxzfwa0bMRX+m84WeW0SMVHkTm7lpwQfOl7D6pp3PTZCdu LiihtrlmnN4Jmx3G4znrXGMvFrJ2Hkn3UxhEOqp04SYyG+gFv7r3ui1PPMI4Zdotu3VK8DbKrt47 qKAkLF0XKfbJ262ExwPEz7c9I5n0PotQyTxCSk/hWVQ4DnDjblq6KsevQ2ssEh0bmUptTV7hSoC8 YeOFY7K9McZlRtjLAFECUBTmlHse37vj8OdReTbLhwy03qvSx+hywTcIEBhHmPKm828OYZU/vxbA /Wr3OOgp4TKzRYBeE7eyhNdwXdcC4Ak18unMoK5bUTqRyt9v/MBFwMhbt2BBvazxsqhE0+eYIE60 JflW9rDPmFcrKMVgeSwoxGjy19T44cpqV7CiGcd/T1Io1+J2qiFwwXmBGJBfCCwl1CtSqYZR+yEj 92Oe5vSUx6e8dDL8W+7hAozOcPVKG+9Jg0MU2exWg79LPO1Qc38flf1E2b6Ek6Tat0Kk1gZFqV// 1CZCIBA/9BDgkOO0bxgT3J8F3qe5h6ikesSKiymvgixxxhbgUNZ7mF3LNrONO8vBdyiMSD5TpAit lN7Z07gFt8Kg+9Sx3rtpWf8Ug+UIspB7eeH+U52C80Fpe6x4eTWe6ZJKRJVU7TE/bA6ImHotq8ox ZtOMlPbINZX+jd4mPjAnXyBb7pS+GnxAUbMZCZ2Bw4u8RKCAuyipBNTdvZR+TLZIGZmEr1ZZLoCo GSg+V9v71em3y2F+dY59tn9vr7t5NezuznZEbvO2VvcP2p/I/DiE4cE80SLeWYR+wFnKwUVAdywD z5BT9ncRpyWBq3K3AEt382eQv95b5fw7nCpOOX7nBFCYtnzurh2SAQmq7yyYc6PeXXi84lLPk5BR pDgqOG2JQH6NOxJeargKZ1uqJ3XlNYB1/ZRD4A90PZV2ZK5baTBK2/nXxINahQMBmXMFZvouwHBg 9mKQq0nftAzVzwOsXMguRqCpLCe5EFv8xVFMLU86rag8yKNgUoKQ+1c/ieYjmpLivLgdNO6XoC9p QIIgaiSGrO53Q6KUpjYRmddROUqe6IFj6TBo6OawUeTVUdOngeB8WLxmcvALkfbUKqq4k+EuoFO3 Kn9M5RuDnbg/QvxsDCqZtEDGJQfb67PhWmIANFrBQupJ5/2gPmL6jC/xsfTGFV/YQZFS13qHrfyy yZeF69hwb45cElIkigQpvSDyWFazYWZuSbPNli5LBN1iOD1SnkPQP84Y7SYfrYccfHgyUobBqH/L R2FE7O6LtmEaa+OvgcUIbJbHVKEn1uHoTMJVNqoQkxjCDJ1ycW2n610A99HR0ASj+UUDsb7Wocmy kYpALR4aG1fanVo5o4l+tTBtsGlwLQxeYB6DpCZ74zDE89KyXxcv3tcAthLsNJZQulbCcYKpKYAJ POLBijx/c+wAiQNHadfzykNPMcrhDwIuhNdjrkuLvOcsjpw7NkGlJy5JZIZAhxJTaejpcKgDt69G KyW87HXmmyjG2Lbj1fmGBCaU1Bkn/uxOIBcXvKMW6wDCz9YaUYgAkYCOyJk+sQ6hlzwV5zfEuxeD ErIV5Xftl9rcKrJuqZVmhk8xTnG5fh1JcxsRm83ABWVNmxZQJdxeV5dc804neGrY/PXnOCIA+63I LEXcCTOCGAk1Mmm5MmT0+PMoOdaV/n+fDo1QiWO0tbDFBA7C20F60xp6Zahu43s+UZ5+JSchsro7 RS8BiQ0HxQf38vKkHTl3aYiikZAGvSjucO4WVpVAg+7qn13FeweQVFsKkRSUaXtfKv/BF/bRGFee kv/EQxR8LXfAaSYMXsDCsdjZjbC0SC9l7+co3KiZYsARjSb511MYo7o5nP/L4tUomg9VnpFeMb6I sqI3vlH2b5HeisjySN6f7RZLCFmPsWlThCcDBrRWW6ux5SZw1Y6P3Mc88auxtn1r3PkKk7lceq4j eXtZxF3vKut30cebBJdinNlUWXxSak1E+1wYS4HixNOXvP4t8ClQ4tBwUC0FnhB5FdPxeG2D9nuy suV5MhJtvhlEtqGp4MfRTO5RAjl6udt6DxI6/H5vkaHbNRxRIO/EgmzEFBPr5GPnLvq7M6DHPAWL fWAkNHfljR34f1XtdEoiB7OLQW+y3De5Zfb4pXtL4Aa2plwva+bTAYpBi/Ud1kX+U/qoynd3ipS3 2vf2SgP2VKTJWcvTIL1H8ytTGHu8cDQBFYiGWHXhgafcqDBuBFYBbe+GXM9ADyvmV1Fzk1o7Yua1 2Xa2b7uqul9kmNvSbh7SvM9XJLJVR9xKXWhcBUs6Zwma/L9rjtZaQxlgV64WJmJ83/v6ueam8ua3 E1JN4RaSzXNZma6YBKSBSSjTS+/D1Nm/sF6B0MXfjOH9j5z7DtB0J9bZoAK8OsLtizJG4ewe5R21 veLTlskbUgVS5QRc7k3KjNB1VHzklJLhI74xr+o4OtbWKgYNNWz0HRtQIRwTlVkKp3dz41ScsJ7T 5ihFJOk68vF8Zlj86HVFuqNU9bLZpmb1wuwfYtZzOcSDmtlq1420s2AZwEc6ZBhoMplm5bHdk8tn CZt3kVOTzLyzBnXwKL5H0bzsfcjgI+AINcwmwCtSgIW9V1I+s8rOqa6Ne1V8o+X6B1hbAH0RKgVQ kCIi46cruPJHcjGaur9DQ+pJn9z8fakBRz1w/VYC2aUi45KpUY9sY+1u2LXKIORPkjqEAcduFRZd anlstxZ/7PMhtTO5NUf6Kw/W5XyQiPoGEUzLXvdMq/SD3NShlIB+D3tyINTW+C8pr/f0vux3UtJR qSbqUJpTFvmwFZ8kmqmcsH0Uh3oA22/G+uqltGWX9KtobzuxFfKCxC8lMyfGjz8gAlalST+N3E+L W/O2Lhopkj0T3zk3eBspwphnspsYovQdjJT1rpslR2bCLP/sm3yR5ABlqlp9OeSMcNga9X8X9HGH BFEQ5yThtF0KacW+YM04cGGcUduPUQhJ6qj44wLjPpu84L0h99yleOEEq9Ntz3rrzkDaC1aEYNau iaSsrG0ONwU3KFRNZgq4+9qs7BCcJmmAcp2nE1hVIrweot2mGfSDppSu/96oNGOUKXprPlDycu3n n/34wP+NSB10epQ+CqNCj1B1oVL57mUsWZxPYBryacImdMLqzNo0tmQWgykyhU1X3gAZ+da6XM91 q6JZ7hr4pWO3AmmVv3YccFzkCpsqDFS71K3lDdUXnfp4CjYvR3f6JiOGS2B1PhLEnnmMrdqpMpZy JeWsnn5MJDB1ef0KUbrC0TIlpHrJNzqH+9Kx0WohdIUJumumlcOxNtuFyIT7aTYJf+Bb0X381Rw6 JuQQB0x4L3yGYt3uuKsJKgOa+6XRVk0lipmaTdMFD6aLcbTVzaz6xksw+LKogiqTXEW2qmNGXl6d NkaBQFi/8FEeWIyURmn1gyOKWKTH547NSOjj/ubUVmeoUKDHZAAjmjv4iEHAcGqq2gs0wC79lmQb c7i4VddkDcwgItaUyHvYAY4N9m1afO4oTdkGOApG7LDlDVnz5xTSwR9sqEhqAN5TGYuwx6mR20LP Br6artL3TnH3o1nAm9W9ywc/MxEUu3r5rp3XIuHwr4JDB7JLw+UCnMy+6+XjSJ3SKd4yOqyJI8z+ dh6ycWhDugMyJxYj+SzQoHJX/Wn4kYEswHSrPHb/h0ghgB2rkBv5LKYQ1aix4/5L1cZ7M6gMtLaF h4tB9Xg9BtQYkpGqFXgP6jAf15WdJJ173opRs+bHM6NzgJwCxthivvPz83FUPY+GmyN248K87gtb 5M7vihDTkMMljHQ8XAx6Vgat7Nza9g8/uy5CJs0HaoMSE48hlZYYzEAH4qvMA8tS4C8BbDW3DwkB cwsV8FPSdR6XnDcWIJrn/aSPgdxdZa5ki2NFQMiD/RbQMhQWDv3qTeYv5LPNySE5bReWTsWnvQYy 0XS38DBC/tfACPgjnlEiwDHKAcHYJkel7guNn9gkR5uWRr7eBQE2LOe/CLqI0Up7m4wb5m95UHT/ qu4BQs0z+sT8FzcI2dWaIdmDJHpbKwl8uwT5rMHkWjnu6LDld/2W2/p2muXSwUIms6gPty82a2wZ IFvcNKmsY3PDIxc+Qw1p/aHitckppRBJKniZ1uU8Vkjmy2rokC92+EATpa7AQPrih3MeStvEq9C3 prqNkE3/wSp/wsVOUMCwcz6+IcuKUpDnpSRR/JGeWjLz3Th+lRYOweJbjYAmCjNLzxM1qL/yRc1l dXtsEobwNWy8ZKWa7Kf9D+D/2MDmJeLcxUcoUevKpuI2O2TRpWhx5m0bZcHtJ158CscR4KZw0Hjx tMoBlTMVsZ4qO4rnr3ZFgIrrAZ75eMHnkZ+8G6Kxz7U8BAKB7G1MGQzPXrdi3ZKMH9aMEi/nNB85 FsZEvvvwoqSQY8Z0sdcC9hpIEZT6MF0MDv83QHtPXhBzP4fuTCIsg0TH4KnNxRO4z0nnQYSgWXfY 6I69bWzkC4BKo9nkCoGUURaC5zqNatmBncpUj2WJQpuISO8w2l6Ed7t7lc6UehAiuW6JbjfLKEGm hSHpuGT2mE6cNKBbymRWU4eI4fSZ9Nk3vQOc7DC26zGGs43CUZkz50v4XktyejDD2EvyXP23BoTa iFCMaAGkRTffv8WD77TxEul+RBG9O4jf/QeMedWEG6KcP0ltRWsm79rArGYQcL+sMrAvAEM1J6sp UACnVoXBn9asNXYNSfrEYXSGGrA3bE0nSx8r6MOV/ncDfDiWrYsJJiQNanFugtf+OMXfQasFSv+t 4pSpb/TP5IRRIT6HXZWFbFu06EH9zc2my5QQyFivqNWKWaPGw/nbLjJyXokp0k0zebNHrif3OiJi Xhvu+FG85cGg9zTRmnikCrnUV6SD60mFCJRHyQk6vBhZJ5DsrC+OZV98PHfhAwnfxjmP9dO2Po6W CMwrhEnzhS5vApVSa2ifMo4aICwP1SFlqMfdd7M4WjWLJtXhbg1icDlMdK9YrrsjZbGeb5rMiOjU gOsHM08IZt8W5tWUJeV1SSDiLsuddfXe9cMsi9P7aGMMoiTu70dTq2iDq26uO92713fbYfAcqLaY SKbMDOJRgz9unTBR/RG8gJMnDMzFSMQ6WoGnJ85jYnQhs9y0B6d101qHVZKSfn5fZoN43Ex+RCp6 nvscse7BMT4ssspKH1VLsfuIXn3PRleJ6FvzElWTmK/tlFOUwziUjKSPWxopdXeC6Pzlj77M4ZL3 jQovO2pq4lWM1jMKfmTDgNAkCc4lumT+DbD+tyd09KxwSW5g17AcSfDFicLyHamJWLqsKwzF38C1 vPuHo8zSxM+QDVGsJyjnOrkx6ZR1geidL59ESgkR02TVoszxiHaeCVrFEgEPIr9OMvFK4yP5Qt02 sgQyH0GtPSAED9/XWDVx0ajEhOkQYbNRL8BpexObFyAJvbdJmZHnuNbaIygjZPAnjs3C/2p9clrQ 5lSunzpFOHfEo/+Os70whmzzr/TR8M1nsLppiewm9bPvD5WL4WQMtrD6mIfysbnb5qN4NSKuFxwX Ey/KGUVxq0YU7wZsIz5ED5k8rAjREq6zvgVvcQ2ehNRthaFj3Ut5ueQMU8z4aolE5BC8ogd53SDL j49O4QWuvvrybo7wV7DwYZGia8oZVSQ3olluvpwsgTGcQxyzGV/FVNP8Syoav2U2TuHl7C1Diw8L dVCQTVeYSqAyDMljfSpgl89BLqpYHD5b3tNzPi2dMwlupJUkynd5sqH/b9CZiobTLgCnTnGyx932 20lRLXnXvUDKunKQO0MLr2Vp5KjN1niNDVEzRttodXh3YZ0ZAG948yMVm5jVRE9v6hUBQWivs2mV ENxm56si094HD1DOlzTmZaAD9LQ4y+96eju5/ePNmXVamgzRxTauAKMoKByVJiVpgcttUbCDPqdU sppG2YixI9jaCmohegeNVcngiYBSSff4x+yEe7lwgZh34Kl8gdCTq8Hqg96ofYJWl37uXSvO5bdB JbVWBu0NoUsWRc78IUYF5nyeUKqdBLsm0BcrnBxVXkLXyBMcKaMbpA5XA31tDIcu9bAcT2DBoEf0 3pzX8bkJgNOtWRiyHVGfrk9f2n9rswCHmBJb87gOeZ0kEcmjMivAoSR+xuxz7mEzoCUUYFxpTMp4 ua80dM4Gz507N235KyevNVbOaFrpL90ZAddwPd6Emo9cgA0+LG+A8wzwfpIlhlPtkM3PZFsiGqy/ rwAjJ7X5ggT5mG0hIapqRxOc2OVf/AP79WTOyVfnLv4w4D0gq+floNUA8lHFrdIeQmnzcLmBN7CH Lc11TcQxecFDYP8nXZmgnXdQepanTABe/dd4+9l/TU9eOM28khMi+Ib6ccazMgZ7taUYZZZadA+b wVIPspBDRSuVOWlznV/la1QNbkR2eD1LpUeyTEzwxzn1gctobKx0rD8Ujv7tPGW9EUNr4jhTkBAf WtPsKmFu2mppHVLpYBP+x6RjRmsu/JSf+UncPFpRogx7CqKmPFoyqw3pWAywWuGWajy0fZ/s6OAp MjoHjwiXlxTTfty4BDTFUplya/HYTUpXfJ4EeAYJUWFG3Kj6Z2sFwrHotIgJnP5RnjqY96twKjHL BaC5TQIheqmqPRthYYaRiCPenveogWT+FpkIgvc8zpYEcZww3MiAtVb8YzNkcxystTAFUweGNO2/ fdabFShkOMci05EuUNh76o+OFwGkRnZGQAjmeoziQ2RSo8pnceoQ3xW7rkjST40T9YeknCDS3XCp FzOtp/7tOMEIXoZ3HglhwK7ItEy9ewjOLu0owDFGwNP4WFqMSFoPxdERVLQXtxJVMRwmWXIHgHVR bPa8t5si1QoOe4EWiZbdukaZClkGf8JfqopyjtuWIRsRLt8bV1txmCXjElUj5WJQtRqjR2FlioK3 +/Qz63bsuIhf5LmVNtr8jQo/uHKvgVebhFX/vSxHY/Hm7F2BJIJLrXcN2oacqJhXymtQEhBx8aR0 v7IUctErprHKbyzzyPqWX3TxKP72nCGx3Nxv7JYD/aHp1kCxj5MdfPAp5gMgS3MXRrj/g/LadF4F 4RLzcHNbTJLjBXcWrFrIH0kAX0FSkSSanglIdIqr6Li/3o90PLtrh3RVSIPCDuxJ9QYEI9Ru+Ycv LsOH0EppEOPrKVvZNaTchBVeF+tMfUFOjWDT3XNG8ySF5WrQX90GkQtOYzel54gbV6/oDoDAc8sG P+I6Gy1TJZtx485nFQ2hKZI500/tWuo+grHYaNTk+QBRgIWCrgE1cvhEQfIWV7IyxGSSaTC+oHj6 RIVWABM6H+OeGVTV8f7RgNdyBiXr7S5gKsdBXgVsyGRxfd99C8ddhr6n1Pbr5IKufiXI4jAiRPx4 XyYOCE6He/rKkoMqvG5ukqVIKMLfF9lpLXujOJTpIu68H86vSGXu0F6WOPEbUXAC3GGJ31XiMMxJ qhbn3LgDMmD7wMRtGHYv5jo87p5eRw7q3eO8OEnGPwZUjr4lA21/z5mRgpo0E3a0dMhhH7yKQHmo N8aY1W8c4FAzUZJOsU72BMqChjKdCDXGAEUVxs58DTqp7bHlXZbJ9RPmR/qmhx87TV5c+W1wQLcK lLHQ1DJBW+qzap/qiiLtpjDfzX/ma9V7+QsTVlfJQ95vwn3HpTrGvYyYpf2h9u7mD46EmXUhwTGI 6gPcv+/hGen3ghwGWrAiNte+Z7r9Ev3ZtVTLIJDlb+mzOQU2PrbHB30n5tr8Zh1C2YZ9+q2eGqSb mxnUmYhWlxV9z3zv48xmVuRP/FCyFX6AGyhFY7wJUZIHFqAtfIamyHe95pnDRJqPMgUJFe8YGAWR 3ZWN37U1ftfwV0e/cJWhRVZBzC8QmNxaddx765SavzLG9gBVzJel0ox+umWKqPScNZZCwbgHotYa l0xV1EXeDklviZOtkPVhoLlirl+syBQu8Cpjtxypj9fn7t99oMJJ9niDaJ9chX3VLNPbqyvTNjdC 4QKWDdcWpxg1pvwJdROY/5PzoiQ+k9+4BEPE5+38DymBAI1RqYLsu9fdPyZg7uSwFUqTQzRZcGNO u4oZao2KnyYjI7Ey8Ih+hZGN0HdtvbvWCm0vjSHX/I6pR2ep4x6evo3xaAWg1cVrHViEkDORLDQM SsrjWgjZPgVL5BASqXv+IjndD5l/CQTuPrYhvEItNphLn1GMWuusBVDlHS2T0j9AufFT+ktlETLm ds2ziBh/wK076K7kKGOvCzcJKQ1ufQ0Ou6oJHc/rvw2tlhQ+/LVaIaWtOwswARozxqlUXnnbvufj 5nQmuFLERypyuYza4o603AJPxHlUET1gEOkcGZZaaIiZt916KpoSKueMlUww8+lIy/ktQ+cUNnxB zaveB0g7fgUa2VWaiRin3IDh5usVQ16337yqbru6KsdGr8tvrSNBkkPG7UCUEVHFE40c2tRqbLcv IXjvejYbg1GW25VTFNThy4O2ZA1aCygzEq3RmG8rneKPXaUXet42NaV54WE9YCZcMLFaEUXLFcCp KTuEpfEmcKqwaiq8NZKsoD7AhFI/OHk4DU9oEc63JDqXFtEcX/wGS7OB6vepVmH7bs10f4RLr5e/ TUOmMYKGuOJMtIojHGXdtti0rcKDaTn6f22/GbEU3Jm3vhC1xSdT5lneqfGdwoOQ3e5d3elATo+5 S1Zv1uQ9r09GQ5nE5KgxNIE4nq0vftBFtBhgwqZphxOoFHmAPeKexi5yX+Btv39QC6rV5kbUMWdY Eo6KR9Bisr6x/zQRHOAjYo3PBcQ9iLbXQ9MvA3/Qt03ytqRnS9RPfi5WcSKtq3mwxkfaSg2f7oi2 Gpr7L1rki2KlhvVzUL6LPPubtwbqiVt25hox5GKqQAPxuHY3zHIlIKx+FzNhfNwqvv6qzqsIetkY LD8AHlJeEdh+//xKIytLMPA5X9HL8FwDx4tDZHZ2sPYuae6kG2UgJdnrbmk1vvLN6shLvk0fpXAP 4vrplvfATuee2u2aSfioOhfmI4Gh7TSWbDoXeN6KXAeMVsUKMIEzpIP7MuY+iZwrrpmkF6fDY0+A b+ja8TMT+oYFaidy38NPXoplvHyniypOzqk89MN8SyjL0AeJ4RGlkf3i0KECL1iFh0Q152vrw0kp VJ3X8rjGWHHdzDa6dXJAz/5E7RIIvJjDSTRLVCPTlkP60gxWD6WRfpIf566mncJU4q2gEMnbfcH2 alMaYDFXRAV/sj5Z5LT5yzvT9hZWJgZ0ARhdXWgwmsk857cjMjPiYFtgg3wsTGeZvV1Ll6ngv776 +zU/H+V7CTsOzBlJTwMK4WSpKhQiOB8sutYJo5JRkXL/kEhM1eM2GW8hXwpTMC+6r8mlqMLPe0sR XfLTkdldMTj7DFY5JQC4eF8jOK0PdOt0O/lVgmTkaqlEDhiqiN/8aNpvwyX+t3Tp60/qpIzbrJ2/ 6tLyOiGJ/nzYjsq6LMINr8KTo439Vg0Gf9vypP2fx9S5yPlYQiELQRjdeiHpCV3/6fxSi7NY1HRi 0NxA84KO51XmG2+7GMRaXUM/RyfiebmgB856wRFx8HahvQiY6vwJoNNRmsPtoF9jm+Rd6Qhi1m62 8vRjCjSnWRqL4MlszCpXpGmi4Tx3qog+kMKsgkK7DhI0PqNmXdi316/rsINxiCKkNL5xB15JmBgQ HeT1Iam9bld83GSG4n5qsTO2/B5D8TsoRc3clkxXh1dmxilA8YA8CvykETcADoyw8j3jBTvnS8/c 75gs7dZUkmMoMgudHchWkhdosljkVK9Dw3w7RwVMRYyhjpt5pYhbS7qzgQ2oXLs0o9+p1dqOE4Je u6ktWIKfB7Mtupp1zCgEHem7YJDehj5hsczKECMC0dmZaqkwqYsbzG0W27+CsR0+LuJu7ZEYutS9 KgxRlIrOcCSfCWD5odEsZJc+r/vmMaN9HDxCG+Z3tjbogMvYgRI3UNuGqB6g987zb2GggnMEsZNd 66tLqk8ayzV2k4n7FJkUdjZ8/pNPmaNIhKXgGZeIlkoXapU8zysFufOhVNfSC0BdyDnSfY0Gamyd 0qb+rfTDv1l57jdSw9G1zHK9bm8RyygQEG6CpjcgyKurFLFjOlZml1GyWQOAZFtOFouRQlepKmXz TeGl4nRS5w3rMOXqT/gaaoFBk1BlPV7pJbKGrpQcnB8gYpSrokL6p7ps6SabvY9tiHzCYsEMprCK F3z4zh+bgyd0kuLR9t7j5Y+G90S3Sz+RgHySxomVu8+nQZlGqgFvnKvUqoSu9U8sA1sHO1i3H+yO 11mAEHpDjJqsKnaq2yYa1LUXx+E0WoKhVH5K134w84WeORz9T1OPL2Drn77C9qY6zoxlCdZnE9ia oIPz1IvEc7WSpK7lEFozunrtSstXMJJgD4HfvYMR0ZoiAwqe6EyV687wM5g4nA29EX0TNifwPHpI 6H6X+D3O2kXiUFxb+o+xT+Ko87mubH+kBl5lP68IIepS1liBGZt1nF1VwFuX7ylJvdLnrUpDenAD NxAk/WXJXqgP0RopCk+WoId0Iyr7Wd8tyF9C9dtsnyUYIb0KZtuuaAlOUelSMRDRYVELH/FvH4gS IrpRSJJPrWYirFyexmTJxawuIqATQXtE1nchelfMxFacbOg13N2QyFRMUIHEu/LLCiSQvLPHj3IK /sopz5Uf0AkZzXxungXaro8vZwtMYq6UxhCF+Dam6dnnIEnEL0qEOCTcfkOMrSKCUz1UtlvRVjVZ LXGf4d22LRhlqMsAsHhnYX+2zzsruTSHr680FoVo3Plo+b3POrc4Uf4L1cP2zpebCFLJVnu29w2f 6Rcc2zu5I8B7SaTJZxa0PAXIPwfKE7bZsMbejtycZSphSvExqdtoclzRdBUZDalYAiEItsutBwgP Ea9wiG9P4mxWl2GzB1g18lOdHlrNe2EJ11tL8ASqJrrceMC8062GW/G1tabKwGS73rF5yWA1Bf6s T2IUr8DR0sU8wZnrFVpKfBodCQtI5RhQMWK158FGTDXJsx1vw4MFRqn7733u2y9hbp8yJlJd4gkm dltZZwIxzun1C4cDT/U9Hs4iJKGUMYW63yd67Z9ui59g2HZ1IzAyXkI6e7r3Et/rRcEdblid/p+b zJ0f1WvyWdL5YcWCdkwWTTHkBA/fGICGBOwV/lDJZIFXZAHI54kx8P2Cd2C/oUPjmyy/0dDcSXXu 7gT2i8Yis6fOVUuanELkOitKILsumIakel/2VcFqIM/aFXrXtfF/u2Aca/bgBMr1GeyL0YY3ZIGS lALec1UAJLEpy3sjT0HKnj5llbr64wKD2+BjjV3Kc2tPZ87Bbh5op97PqMU6C//FVF637xSx9UYz 3TBY7VGrkgKVOtjwyFvDcLTkPRpKBzByun1lz53lPLxjXBKXD42hIzfPicKQ+eVP4uSUSvJnMXMt JLuhaGrC4vhQadDfTW6IUDR0pSJuTuC/CHLIkyg32Eavbkp/n+X6Nea4j15G5qlRH831G3Nk69WN 0+FaX7V0bGUSe/SMx0sE4/7Q87dBTnRX9nS6tNmm2A8BwT0eREqek92hNknfVIMvYRSDb+gv+r/7 jqtvJiStee6tZg8aGnh+EsxO0kSCxI9HRnHXh+sge3moLOweyNLI8JLoLjlLQEgwqU7Ac2zk50SX QKGccervRTlSfNwN4uJJMwDAOBYufOB0XLRoajwhxpHgngwy9Z2m67Ww/unKE3e17kTCN7G+QN+M 4yBiWLezggL2UOKBpsN4YudExtHtglw40IoAjUvIYuJ6em7l3q/4aCJkdcBiOvfPISWWGi86w9OC wUTV8vBA+wKdtGUIBXu1k8ReJ86jsRi+2nF4MD++lyQgt67QOYskNttQ3sn+pXsDGublK7Yj6MBM tYBOsgr4HyrGbTDzpUFT6dwM4oVc3tqYFSepvV3uYt5zHfX8sKEqtX8yj7GYBGlW+2pgB9A4bPWP fBpzjTmHXDVEoskEK5q9ZnvgmbloGgq/hk0NTl9UEHFANZZ5PrFLB0LoHZ1GX21QHrLj+Uw8y6Hf TVZfOPB51IuNpVTYWWq52jWxS7Bn30ImjodiAGL0rCvTVSQWtVsAlLxKrbN3HtukhKgcb9zSDsg+ byqQxPINFiRt52KRuW/2+L37QHjVEgk6IhI/4AiRjNyBTYBQePlCOO95Ycy7SpoXyjBZByp7dM7G +qsCGJRgXPhsjJwN8q/IOo3L7fLUcq9H3vBjF74AKRdtPmqOo5Ajy4c5ulW0YsWkxqjY5ru2bpl0 7y41dxp69GLop/hdj1zizz6UewVJXPblmX7k7mYC+hRwpKMjQmoU8FKXpxyWkAlgzcWjuH0DtqBd 8TzQ38Oq+P/nHhj7+SqZSYYk1nKrinU1oUsCuvDvR7jnx83aSUJdMzcvBrrJ0SiA0jS2vZbS0TIi yVmO8tXGkQURPzJfKmiCM06nVR6LP2v5msvjRzPdJ2YMqNtndrJiGG1tq/rNjccMyp46h/EwKvOi 4+el3uQMig/UasL7HjI8SuxbO5DUaFa6CJd3F/4poRa7fa3EE2VoMtafH3VZtzuWQpX5Uec5/7nw gmpxTic3YC1AT6rahhPbRXkxD3So0MT2fSsfgUM7Nj/20ErjImVJiiJ6NCwIb+bEFCYblvsqdglK mifBdkVRFFL80cUR+uz1r+Gi7gwcUO/vi+rCC/2WTKXCvJtfNn4pTgQx6RFfHB/tgb6F+hEPr07N GPy8n9ct8IOVDtmJt8fkAEFaAg3UQ6To7M0n9TSOA9k0fOtwg6wm0YeYnpPy+0yLGSS8vKgN8sRt JAdtvmGr4MQRUV5Lsv+5CswzL1bKQTPV9ILMv2sBooLiJKBfJ5mU7oH3cxYX2uxLbtJ01uNLYdnf I8Fptr0GfMcw8+o/xtNujYFFjbFMq6yr6pSgHyl3mpIHJaNvJqUFB8XrlkPUD3RK/CuJEFRS++bp 699t7m6sGZXULbJLo9SLGhCeCyPmLUbhViDsUQ0JIAytEEt0+0J/B1PA3wR58j+2FFur90XA8TO0 pJ9DC3Q2SlnXcuUMXoDPDhVfeNjPb1ueJgEf65KkFxGnkKLzloOVj7dSL8/l5MJGAH36NiTQza6G SBYPSLg10TxXrV18l+zscWWA7M/LO+DDNxbAdETgeayophjXUX3RkZ/k3bFlh91eS0axSjHcigzs s/F2jjsjQ9tg1bscq9vETNpL4d8cAd8CE/y9bxnNbu0A3eTe3V8jp7tc23WymYNeStiCmahkquDc gEzGzEes8kSaM3WQbuVIlB8jZ9IkTGZ0x2D5ifenalva7EzWuGcYBPMGt9D9iiFtZlTkCsrXZyc8 A7wO0ghrpXqORi+KF7n52i88Z6Jp4lhzbTnnXqtrJ9TjJOsK8R6hNKc66LQdM8UTFWOdganYLXR4 7/0MxobdVnpm0/KDVLQ/SO0/w01LD51u9LZSRGxEwI5+nNCTZvPysrefNWGZ2TJ/laofO38tAwc/ pXtLK8ACftq4NeaAV1/JXXFY7i1/BcY1x7q3OxEydtuex8wjr7dk0uoVvH/sqeomYHX1WxBN1olK rH396HDLnknWY/BRgy8u/y95gKbhnaaNmWFJLI2s0id4i3UxAVHbPBdSd8Bz0yHCD6P0CSQN/KI2 AAtUt8oJ9J6YUtjN747Y83G2ukmtKQUzxfER2TXkhMnTblItNCqQJ0Ub43eV70KC7bUxkzyrW8Eu glU/0LqAhx3c4Mt6ggwa7qL4w9P0xkYSmBLwLvAo/sOMX0EcacOnNCHUg7KK93ZRI455t3pxYF0f LvAd/esFsULtp8gQyLbaFKpgeHM3LIiQlLEN/CYSJJoMmmZXkMH/JIhbV7SHj14k8glUYk2eihlV NMZ8Td8vOp8pH5fmmK34bCyMWO91wpBytOwjq37Kf4oNISB4ccGqKYJspVVrjwT4Dru7J8qmoIgH E4XNHt/puR9v4QTYri4REEuVA+I3VtTNdeAbYoyCwfwsKQfB9OYtvO9dM1huLAFMw42ymIksNEsR mSez274oCaMHvI/iJj9Ss9Zy6QDFL9jjmHqGSymL7axdbM28IXm03zEpPOr40vNZI934wKvauKiz NfKGXKjukNVJc1u8UOBPToSnDOMSYRDJ9qWBvQkRfVof6D4IEaEgdiBdjaz+uj/uCmRq3j6Fy1tf iChEb6OFyF1vX0GvvPk3pFrHogsWDcsm5SIWapSa0IYU4rz4xlu+p8CpVHz5cHQC8nb4U2ziQK2H rkbQC8oqcgRvvocq3eTHPCQVipIjV3SVpepcrmmKgxWMytyxbctRbkWeD3jFgQYqQfXO89/Sohmc ONs1F13IOZoxn5mtZBXcLFB1ZlRlY+O9ibRYUyCOdwqyXeqZZ7HeH8lic13XLto+kOeC0nIdaUqw BMdDxgJQy020XBlaou/9dGmmBZlqL+1KtewrcnrQaS+09on0t3a2puTBAgz7a3cK/+v0YPL7UktB XMf6MeE2WoRGDsQXPebDNOBYW0QWx0YrizN6ZPFstKTK7dAJtHeEYNnYtGQ2OJmhTEZvfsHiyjCy YQYS1o2Gk/BR1VrZwM/D2t/6JI1N0cgprUL0fStzLLm+ACCgwrt6g47H4qkMRr/ryCuTaGRhQUoZ S0FCypDtb9GZjYPCQ9ZB5jB58ujdbKlo9E0i+831UrL+1OuuGb/OfL6gYbXNITETU0eDWlkCPYY+ Yz3CnwfOI3OJZZU5NPUiP/DKWZ2VkYwc+VQpnzpXkyTnCNVs0SY3qw+ndL6feIILridcyy5FrwKz 18L1u4wEmDb2b5JtViBIGnmawArdCcpsOMSHa0L09om69zZLSFfrBqqjqO+k5JNeE1WG3+mQ5tKp tEhya2wGKpw80JSthsVRPfYAxH4xWiudKl1n9nQ5N1wC6MJdCsp/cWxb3iLmd0YXmgnlZueYzYul c3K/++kZ3zs+3aioLticOtAHM7SyIiJBss6kPlROF1iOQM0lZxGfbHragnkPuXqsWicoFt8YbTFe AaO4rgNCNk+IlIxlVq4UTc61FX8sWFUTniOjgVnm/TwM7Mc9YqccsvtCUKCM80jgPLhpu9x5AHn1 4b7ZdcKDg/RPyOtfvDBU7g9Mm9VfCmippN4ybYGpTIHCrV+cvlu43/X1gUHt6oArGxA64iqdKIBo t3p1ZCCiBJZ5Z9LAg7H+e/qF7Z4afNRBDgdF5QAP2LCEGOr1L+cnIYN+00ouda/bskW7tHgQJQB/ ry64rw3o7Sn6E0dBm4c5khJgenuwQMU8oJ4rSU8v3CllE5iS1nhgpm2D0md9BpnxvNBIIXfBJBHY H3HSRS/IFEKkIctTMhcxJH4CuYiHZrC3LfYesrkde6HQ+I6hy1B7xWz71vNI9IlbI7X2ggeatU+A 1hRLqLbNlvHBGY7xT3tlAFc4B5R8itewAiKiCYyrOfST26yjNy61kvTzDyxxzfb3KajWV3WZyDm8 g4jEGgiRVQWMen+iwaNvVB4gvqPbd16Hc7V0wSfkh+GjJ0iTK3rwdlPGuI+nvSwPSGb9bBDIqcbJ LpmTvWHFYb/iYQiHPi1D3WwWZUrkrT9VKNMi44HNS87dD/xDdun/ShBrnlG1bRKy0RXvl2lziuGe mG6qduVm2KrsKOFh9gtoXb9EgwHH9PVK5UTILmLxtRwPgjaMgJxOCJKldrw0K/HX03sGeCak2eUi uw8UHs+5/UK4PakmhcuI0UlSSxBtfCsjf10QkwBE8wdDHut5maWlusx2rCCNxaFBw3Bktv5KNp/W XSwEa7tAxxTowMPY1UXQTmty9hwmKS5kNCd8qFx8T8mZpmAB6oViDkw9Z6WWLJA74Ei88zrhy+bw FXfGdlo+xY26flMQ71lV410yHt9eqBa2fi99SoJPJAh3oXHvsQAetyp4TNoBQK3pUjbkCQK2KZda Y4C8e8deFCws8DHyHa75A1sMAtm3ByZwcagxvXW0rbkrfm4CkT+SA7jeH1c8WJzHTZNW2uRmZh5T /2WrNvtobmfqESJxCHB9hvQLrNYvCKUujqtBq0ipNtZfDkx2ea9tw7KJkpScPvYgoqTeX3vJOKM4 l6nALoePKruGV7gGZSSIO3cx8nJ5xous6K8XPRWdBgC0vbptpSlcHr2WcVY1RSnh61nJQsvoaW6h QIvsPY8M2ytAqJeGhKMpK/4sg+5icNz/2k5ipHLDjLF/nR8Buo/S270ubOhHCnszohQwbBC5756b JrBnkGhALIV+xeQnw6+39/TgzczqP5TfPuqLoK+8RmgdNjJRbw44aplPCnsW5BmsAtdogwa980PS jUKmCXiVwphd0DSlBmZe439cthX+Bqr0f+nn/06yvJpHqXuEVL68oPLPBdHql8HpDYswyCwkuYo/ EsVoI2TKBF8MkqpxfzDWr0ZszhRJj0Ls1oeDytgPhicLUWjOAGuS9fDvnPOIRaIze/HIV2t95PfH UiP8AVEn3P9ZRjFj5HxtEZgqm35LpaCFAlvfDBc8Dr5EEHqQNx5vhBhkSQYw9JJnt/QmdVqaL+/0 FDnyZ040mxcyu+nZTYCXkTKzL8mItWKLSXIiMuCEQQZbKm+BvqsrMbrp5W5wifN+53lFYmB3YKha K0BHIAn3zEphnUrqaElhr5eQUc5uM4IFAzjgeerdKTVWcNoyzXfQyXX0xAAVwcNBEkj0R1/shgtN CrPrZTmq50EzKtJHGdmyZtaVq6B+q7HejG8i9o++kEK9805mR2UvtQ0AkB7EeC1zqWfpii/woGUX 96qa/ha1q+qYv30XBQkmc5rbM7xj8TT7UMeAYnnZxxeFtOd+EppSrewaUIgn5KUaxCBU4mCPAnT4 QOGtSWA0sCnDD1H+VUBNKGuWPaVJLAl9zw553S5oe97YSChcSu5wHUgGr1KITH+cbMUXmAjyxpX3 xaNJqxpDahco9gwyYtK+bvfmb5nSkHsLJHkQTebdGw4F0bE9BqxlT/yF8wARZ1BV4spZl7LvpGLC z9eOOlNO5sQkfH5BE1vGmU4RgX7QT/JDAL8BNLdb4rkFzCN9GW+oAMBBUeBdA/3FFggH+X+Xtbmv tc+RpYDNDdd3BvZ9zxYRO61jcNcM2rqx8vBPT+S6GrTI0HV1Gpsflu5Fu9we9dgzHKi5ts8C0BOi zEws4Gt8DB2o0bR2Okskb+KePBRLDM6N/f1Hos649t0ALRPA+fhzoRFPu9sA3iOmOykcHAYvGc+4 JjZ0MTzlkTQZMZE2deFS1kCqP2SDJakC5cyEo8y5BDPZrzUIETpZ9823KqNDQWUJViCot5dUJG5n VwCE8HtWInDSjvxYmCmhFt8fFab4HAmT/IbCZzkT0rkblHzDPbQMz2JpnQiWg7LUrZCzbxtuDHvV DqPh/EoLEseghsKLIxbzngE1HjgULXWAfXgPkggH+5MSnsVmABYzlpFnpg67/I/CYwrMTieoVvhz DROsdajwYQfOnIkn3zj7IkKCcKUncmIyt3GA+rKe6ZOChGhtZsyK1BhHdRdJxHtOjd9KdbYkoBVN FtWoKwm+Gj0OWRZ7+FMkMdkrVxuJCsNNidvW3plYBYiUroliBl/3kBIlhEzTEH7J+0mrJGGpaLXG T4BbtA0XoKx1krFtla3PAcQPmMHPafE/mMpOlfRqKjRxtigZWFNSuyKBpBXjcyMl/H4XSjVdWH8Z IgoJTc6HDHZ8cl4wZhYIIEMTjysJbcBPn1l/D+WWofRg/hOLsSf3iVcn4kmE+nBcg3RO5Ylsg2mB cb/2gcsxwxpS2x7/FLzYa0tDkukQ1taIozFwaDgRog4Vj6vp3hA6onaZfxS/tp/XwX63T3SzMZqq mlED+/B1zOUH1sexQN6ZhaemUlcB0iBwKZlVngtLg49oJCJFsRR6RNp1zaFPYd/M0lUrRUtbypSI sNZCVxG4JWMe206Sr1fZ1H9iMxyCTPvzDhDcsmRJGH/A8f6rX6HU9b9TSTIa1UHanqTOJbC+81H8 F8uxCut/PpcTZG2RlzZCh4bv7B+EXbJkXV60vbYoEMe9Gy5nLo4xQm3ZrWNPvJMdt+fK1+LJQoUe KdmCyjINeCdwxa00VAc171nalBMH9Y3Fh0taLFGOT3dB9JJ89U9+kfy1AAV2sVlkkzSQ32ifvFqb 6lsgyEasD4wAp5HFr7mux85nT1Iv0NY9wB69L8a+Bb5gWOAqfGGWijjyFp336KlgBzojMycxABk6 jZz3jLtekP59o0V+6fPeJuKZa6m/rpSq7mxnyJKcAAxPvnY1AaIRmpyNjlfiot+hxsgFCgg+mcV1 MODGYwJvAB+gV4xkNMCYQgiCC8JFyrR6ose1ftVjX0p8dFj/ftEuaOy6/aMxi+EjnZaG07610oST B1RqGOMO+x73yBnLf4ZvyXwYoP9KTmcVf3LNWT+2uI3lRTh2gwda1KUZqlt9kBrb/uUrrV0Xd2kd qMNwVgiG5PBBl/Vwxy4vTyHAQ776OEHo6TIxAKZcfWSPfxfUg5OlkLBlrKdvwNJY3Ll/zW7pPsbN F7CvHeSjUOBXiHX+yQQpcVO9XdRaMHmXOZWWXWBLqSk0xieUUmZkoOKfuMyByzSD5qXwoMa2HK2J HI+FnVyPj4lRwR+CuiGM2eSeUdc74vGNaKKRPVeIjw0QUumXMVjAECJHlnS/AoS1hHcQRFMiy4fw X9nqfcFS6BRlZKEzatjYtUGz1cswdB5HlkTz0j9YKrIALi819QPVVEEGdSTdAga4FxWfA4ZlHWpx 8i2T2O67+AKahCHSkxvyokhGYbuY+MOgYhUvY5c0QsUnrF6nfAZ3H6eRn6sjjqBAnO3yORUq9OAK kv3J0NeZbFtJaYz/QOnUxNmTTdql3rsaVElbzhSSzbk6u54n0qPoi1fRFPeuZQw12I6nBxqC90XW PxhYBTyl5YgBvX8+Fk6Be95Wyl8BTots1T1hK10Vb8kiznUUYncUuoxRV2ZORUzb8o6LtXlw7v7V 65aiqnNJZt4r22pispPXW46NKlfNMsIYUCiRjuZsgnbZZErgTWi92GFg2M+i/aY7RLqMbqMXcLOK kmcHOSqTRwYkmQeISAip5xg3OR9ehV/LnYlLCZsH/2uj4ay+piwVhsRrVRzI6zTGvnNqT9jJfcYn 6s0C62DDc3bGYBOEiqVyWKPzk2v8kP+o2xpM7IDB0t/ct4CJ1Gu57BW005iqHcbBncz/vog8/tdc OyGjT5E8xKfypBb4YZ4fzWF+AoaKAJBi7kPHr6Mwx+CUCBFLAKN2KTgz3dQzw9Z4KDX+E8fVa1pZ BRezba5EQ44gZ3WtsKceqf6mGN2YL06N7gEIYO0HeUIpFHcV/AypTF+txh/TdYt4Frpb3HoLCmRD KX6byAfcsZD+QJBMGYrUg6dwVr/wRF3YFR0gysLzhkuD5QHAqAZkRQUamWvh7F/7hmuFnOw4fdT8 V+/Xz8Qjssjum4KWupz0tebGQk/BhwdsRigLn3yumpxCvnIURsPo76fOgix0DmtRYgG1BMpPLf/x N2c/2+Y5FPJq+rwCsafeutUwU/7to3lZDqsGPrIQkIFtsx2GoUyqTpuBwR9X187vg/kw1TxbUgNo tp6RZR5tGTzf1sAyuWjfpI2slxh1PiGinHhBbOrY3m24V01sDPZIIXd1/kARLGOwjdm83IOji/Dt bgLx7Kb7DwxuEcobB5p3aEj+HRANiuuVIbDLgdSDTauT6LyQNsEKEuxQ5E04LNji7FcuX8/WM2/u UBLHYXuAMbszMeWKjV9zHTXk8XXZyI4bkWdj/MSdwd5IIu9Dy/DX/uVQcqNfG2WvsjP166l3lNL5 sdcOZ21CE3eSDAvpDlv8buxWrk7lNuferRqFTJo5Wy7eKE0eG731kSCS812p9irk2c0So2NRrs6P 0TNw+4kI/6Mm9N9/S5vzM/AlaPv3nJ2z1b4wTH3YxB1kE8cbJoUz2uEwWH7tTIuQDL1jQ+2C06sq MA17ki6fDQapOZ8mts0XU4En3yR5EEk5IQyKF8ldU0ERPwI/CPVDFgprqU+TbYLRwFq78PDfy0DQ hwNyzRU273RiBEML7wV+LfQQognzQ2uPvbyymK3pxHIruX4xQdtR7RNgUvenKV6ogR4FgbqLEORg 6jbi9VEnEHPEVwxMHHFH2M002jsPoaNVBVdNXP2aFvYlXvn3CbPqCNDEiewQ/9uGeJ3MP+4bLQca 1LQLaZGt5ObAiwHMToXF9ImsOCw4YWtFtpNOcm19JeTN6JjR171E752hmhTeuu+kf14ylQyIHcSi kjFMIhIWuTFZ94l4c7YXG6IYHXNUN+MrHYfzhmrdzYvUR1N22dhtbNuT9UqY9VCR7f7jMKDLqheo 39Ph4up58ptscd0BwBGvRe0e4WluHbczot5qeGi8JsQCfy8VMqgrPebDr5UJXBAWKnh7zHpdA1nF ZkZb7na0008kPvJR6iuoE+y29wWjhWjxNl5cqamULM7fHrn/jUmLpz9JpYZU3mne+nA35b4xI9jP yYlgB/uq9/LsMKyJJ4BktiIuwHjAQ1WO0Pm3WZF8KBs3fM26t7YL1Ix8s2bKlJkGytfUQCgoUc4c 5mhd9pnSHkkq9Nk4BuzzZrrGv9I0SSjKDN+XocruexvHR6hziQHpMEgP1Lt98MCyPx/pSWMrJKCp Z2tYtrdiENmL9XVso+52RHChzcs1kpJUkFLhBGSApetQBUC+zZYRxg9sYHZtgd+mWy13xXI8hCuB ncQgZtAggjsujR181FdmBSIxuegzT3pLQsi9MCrvkpwaKNL93hYm/M4S7IS0PHA2AjLMcFB+8qIe 7KVpI1w0b4CFrB6S7rGmpAVdveijYCAMhPmPr7JrH6R4B1RQDaGPJRlEAliqm0LiUYAfhreHMoNS RTlwCo4dlYTsrmsKrDRkzYiiEf46U0znLi5Sz1BeOc2Wo/iIenlqL74MR6hgUOd3errE0lWKT+x9 Phg8fl6eK+ekRFrQjvSiBWTScMYFKjtZ/HbybY8b5kmtYqb7dBntGNabRK5uYM6CmP4bOLPd5CFM ybJeL3Eki4l14ky3EJzeZ2jCR3kmsqxkvPzkdhOmGnhSnEaUF3t9PvuJS4dsN3mBbUPObA0pY2id A2nmObddj4mWvlXBM1vHp8pK8r7zXLok3ws+UpGMn5y6xzx8fvEFvHVNW0G8tcfJD/+ijbe1B5q7 bwJjf4tz91M8UOhazDdFXR0QFjADkW0LWUUSiL5UYmv73jG4JXhrG3ko5wJbYYW8afkLhbGOu/ON 9/ovRtKJfTQCnT0enHt+17gSCGBIzJVEaBY9wSQ1nBgaD/0buR4wSt6FkHOWekRX6qaaBrZMurKv 3SDs7Yq7pDuaCl/dtYw5qc1bKqNToMbvvo+JJCX7SOVJ+d167HTjI4kcsAv5/oNCqqZoCGmbrpxw j0u+QXJbCj3PDW0T75bSzkJtKGo17i7/g0IAJmW2sSFtZn1tdO6MM1eM8Wnxknah1tIQAX2GoYiU XKLI6EfGYxD9R3osEE1+JeFMoKF8kFqWbroonpOntGOup+jb5TRcCVq2IBPj00Jw4DtXuJlWbBuZ 6dAFrV9s5dHieH8iaMHdVhPDPEFmC+T0R9WGJiS+MWytKXK9fissnT+fnyXwkRhvGVA4cqW7jjWl sn38oPYgfbR+mSdUJVPaAeUVFsW3hme9T3QTBTMJQZZVinI3Kzt3IJkSg0v87MlXlgGZQBPi0NOx iBZBmNSr6YhXQP3vdEPO1FCq9FiPjMqOi0ysj6g9YmFOv1OloDEkCDHUbkZRNVVVFCGgDNvYvxUM QwoNy8B51GD7LJxT7t6pQnVgRSzi6eiyqRbWlha5LbUeEfHHtMFiVLlQL4cyVshWpbrmJGCZfiwi GBxcwmiE/8dUvVWcg8TZBor7ESa+BNWa9gc8dCOrEKWmXueNimFWi9+GTObF7ReFiy22OAVoVSy5 SY3X1KYh9XKb7ALe6PBiVPSFgq76+213FOwGQswgKo0k6U99C6Knh/cDeGAV9LnaUiVxUNgBPfX+ XDNSzKYJTGOszEYT7KJPlTFK3qZ8fA0C/hSqUwQIRPeIgt8qoxJ7dytoE18JcFA/lJvKCZOOezvW LIAG/rV7d6jKGEpvGwhuJUCIi5DXah1Na4xh68H5cszdiuY0yuQj+hVbzQLMrtLMo3wVv6MNOAmS crpL7I/cm/Phxill7S8wVwgwkZ/i4SzSlR1b54nQhBVUiMkdUqhCeknnr52Rox4EN3nwisR7lvhz pj7/WRxgqGnfFgL+KPgCxxpHgUcP0LhNb5Um4yw0aWc9N8akbNX/OTM4UZ59d73dn/1Q53ww0apl mhzX3rCUxHsSG8XQx91Wla78Bz1ELMzqPp9LYV6KM7lSsNKeuHsGrIQiJNvDdRpVQ1xqNJB7sygN D1Cq6f0csEf80rHqb4kQyEaNahtVvlcnJ2+VGgYmyMaPKeCEtsBYI84YY3csTJb0BratWxA5CCqb Rr8MIcxfjPvHKL5HmQcXTpgFAwXL1qHSwJQCOJwspxK8XZ0F9USS9a9ToW0edCBczG9Mlj4flmZk GQSlkePkAcdonASIaC8hPFdVvrCxpqvN8BYgHq7TxTGvV+EcbzB26A3jqrIJe3t1+kUNVUoyGzvW 0BBfL0zIVzEYyeJedv+oQB9S5G12de1YS7Efh7QHBn02J3g8FgbhWwu7bmIxAxvq/6/Rj0NNLV5z 9b1maAfTIFpi6i7lcFyGzl6lcDEs4+iMUZ5qhK1SMPeqar5jlRq91VhNa+MloPCbd2Wip5wv5GAY IjsExob5jCX9kc7yLk6E0rgGowjIxhOh/z/ddZenBpQrjvj8hw4Yp0+KMfrmhFVOre2mDVf8877P EzLG5JRcVu2550udjXlYAKA26pxxOE6crbTSkeCAr3PAp3Rbupr4buL+UAHJsRnB3U6N9v0tnG0C eARnglkOljPPdc0G1WGQISjGldeHttZdELxrk4E6DJcg5tbW00HOaRaW3fiZMypmWjx9WRu3tgql GiO2eu1++kcfoeqffFT18eIyQUGugPWxkpZvWPVqT5LCN9GitgnwpG3VOSlxsDwpItHA19ZhNCjs s4sJGZ6A4YfLP6upbbP5EKbGupw5+uLRuN6aFn6uMAfw9CXpRL+Yr5G30wX0M3uV/UYiAGWBMJCb uGukwQ1eiJ4jpE0hV4d5/gj8tYz6RjdAsLNjFM5WozSKbkDfpNg6OaLnmAaDYUXvnsmgLJ8vwCSu 70vzqC/7OHlUAgL38jPxIlamOvsiCnFrFO0VBWan94yZaghYWbfoBppSIEU5eOCCpKC8EHgw1cC/ R52KoldotJS3rDbo8/7Tr6VjWUZDQUBLfje90CbNSTHNG1o9N9DGwDBiEdQdq2it59rpfSWComnt VRZlQ4pFy9r5l9fIjlNaQoq6dqlVsr+gFqgnCo2xURmcclHJCpEdEaBbK75IgWAvRPMTLSOhIdiN 9s4as+Xjgti5VkkRgsDHlIMA4bCUo4IFc0MtjPM218ntlTlfnLRk+QaA9azV6socVfvx7ifnX4JB 0kRA4DWDD7avsngqEOgH947AjgclJHJRJISmlxd/AFqmws/dnUvePOtaXu5343fEy7cBmBPm2uxV CkXmVm4hHtZTTuClX0fv9gsOQN5pcL/xrYqfJl9LiPByFAaLr4PTFULzlOCvpDZ/6U75SUg3UJxw nYz2NSWKWJhY2Uzekp4edckuQ2GttUyaIzaq48rlmWkoIcv+uXvaPf4qj7ZH1t53BZopPVX0vBZr oAUErR0D8IXL87y2asrya+EceM1bY89ACfNzT/07xDiPlX6dpxvvTZk6c5ywWqtLESwXA14a72WT bAjHQ2xPHZDR3kHiZ79BTZEZ0eqZGIIXFsjWZ2tCQyCWyB+ZBap3jkkzptpH6uBdgSn8VCZNRe0L 0RHjPQh8vzptfeE9SQjEX2586NEKHeHWJ7p7NY3jZ0QdCqhhkIiZw1Iax3oRBwPsBYqM1rx2uK8j PojqPyRCg7RHG2/mXF4gsB25V1y4NlcJRGgYAR+fm5izJXS8PdQ3WvTdw5vVCj2lN1O+xrpOcgsK WN2UGyrJc4/F/FLksdEvaVFN+ckoPr8eaq/sSMGt2k1Vf7HMPa9HUaijXzDTw2AQYXjpWwAr2dbu Aa/QxhlUThHgXEe4tEOuF+0iO3mcgawqb9GOcDO3yu0K+Nds+O5xADU6MIF6gMIkqUBWEJqq9tuB 58ljU8FHuScfHcjJ+7bsz3Afr6bKv4qAOUgIWDAXSHw/npzVintoQc+0FRgjz2T3O31N25JpR35C idvHYT9a7t/nbJRIqTFEnRXRJuMp1i+NfQDWe630Rp6vDxWlZ9+/eGOzBwLTx+u2hmxvBSRLHBOF QdAMdbW+uxWj4JLXswcvWeI4CAxz0MqBqt+aTQL9X0nCp7Uzk8m3edScGprNUDDUNFsTwAb2xdHi IlGhYVNWWzKSVMeWlwiWsReN8Ahl5TvASfSuLRtkMBQxY12yEKhKkAPQvpPasxzhhJSJa4dGrrVY nUG1JAiFDD8Snkk++h1KXW2VAUB4XpwNs8gaTflCUeG3NgdPqc9xJT5QbLIOw6GgBItaoMXZkMYV vpwA23xxj82dq+kRfVg30QKPQOgM7ddKTTvLEtv94OMsMnaVrt5TYwVSLG35OiXZr1YiIMeZWKIj bbIDolpWJN1EGiPp+UfjVnUu4ytrkfJrrTV5kgdeRGkwDSu9CDLlA+9oGqHo5T6INeq7CfM7hXJc A9E5LRHoU/AAfF+/LDCbErMtEFUPgJ4dZ0SY0OtSpAJGhQhWmJUL0JtcLbepNQVlzRdUMZituU9g xZwu3oY7w58WXJLbSk7irjUG5MGu4LctwufaHeHWWLCZwq7CtFFCnp4wQ1gOsP7mGmRaqx0vNQBl z2S4Ru/slErRS4q8Ql8Yjy12dYZxSFHwa0LXjXEIcnu+hhw6ejhtqygaPhWj4s6R5Bc5Nv68ewP4 pTAWp4L+RILPGZLscI/PaME+GOEWm8yEf6t/dQZu6hOfy1DHaANhn8rM6X85TZcc7ht308LuObpG SSP80C7VjnOmKz7xc5Wewao4smkxEexH3cRmQ6r//OqAs/xpaiRvtyxs8NVL7AC5RKaUQFOqNaCs Fd+ltsU3OCIy/11Z3F+GEgf0X+vWnAYSl2m7He+ZsfbaKa8m7jgTiC/09Oww2gM5HHe5QdhvenVg mNEb6poyZr1qB3NRlRzH+barrgkE1uhbcnJtM1vyjaBNln3XWbAYljcpDrHHdJJCn7j1UPyKo9Rs vaFk4IzznMqL9QQ+yhZZ2DET/TdNdskta15DRfCk8coegLCYMg4OoYK2Wi7wsCYil+cC1y00l895 +WiFMZySaiOt9V+jjGpTT9cOl0J4pl8G4N/624Z6rE1d326PiX4z2QFCuBhuU5DEm9bpcoxvegAL qrRRW/ejlafrwUt9A6FyujLXuPgLagWl9uL8UNS6/v7kyjJb5hwhgUg1df29SX/xXg4ewY6OEJJU vRkoTjEYcMHKHNXggzqTphUGQ5c0/EjOSP3smnSU0r5GD5Zl2PGvvbBtIJ79iV3JkdBTM1UCIfDG 3bmZIqG9a23VSrK4zEJS6fiqfFIK9wJ/H6v6eTdQ91B/Z+N9SXeHdX9IoutSvABf57/8IGm5nGK0 WrwTWdoJnZuRxKeY62CRMN69dJ8WlEGjzMdfrZYmJA3pTG7Eha+CCneOzntT91I5cthji8qvl/Fo M5ZvRMsCZAVZ+9VyVQ/DtLe45rKYcH/d26p2N7DEnU8mDQgjvqIMjAZczgNR8lzWTcNq4WmVv8qe t2vLUtwpr16649Vni/ptQR3IflJhtiM1BuDu8yFxoOKK+FtlF4YDBpSTj99z6Vf4KuZyleswJfly 8FweMWdIe5b6SV6a6TGidF26z/DaX5vUBmQ55jhP7S6/q08Gnc9rhHT3vBDh8J4ec+UaozqqoMLd IansHhIY18tdhfRP0zH0x+VAa6+9lMitBYoBgGYIoCLPyPjpyA8Vi4tgyRfOjjmj1szw8R1q/nzZ Guns1VpNjDoqoUwiPg9lMws2zFwkxGHQtVe5L1eDW1dlbDz+uSDpdX2LPWfCq+6/4QPkRD6A880o KjDGlhRmDza6lV+BoiwWGC0SGx/0iGMlcGvD9N4RhlOnoAl3YgmyxVO6XOfKzKnR1yjHTyyREklN pp0z39skC79nNBc+vHJldzMxZmUXjQD5xSErkfBgiXy9M9lef31D9WpugqolNrAiEX2Yp0xyfLb5 47d6bYYWm7MSJgpXLUCAugL8/hsecrRiPUbZ6lznyjCrLJL45JWsxX8L5fcqi+kRFeRxVtr3khVh aE3N6PyzCA6oIV7JnjM0aDb5PN8kb9epN2hKd8fEtr573rjqyfGANaAUdQGxmgVAZYRF+nD+XQyT iQuv9LlFAZ6pQrwJjNEgAy/1qxedHnBwzwKLPswXjeNhoL4qVNTTMVPgDx6Z73Pe4LslW0BOo37C jl4whxQ4XmJ5BlvmYJkZcZD0PjTiQHaHy0SWwHnP4IHmo+U6ElNkKtgcJj0XWyGIvUYeGenqkIb5 MLKntCjOpEyJy/gru1UdnsI7su4YwXTztAxe8PHsgBV+ju7vYpVzg+lJti4O+ba1xHtomFK3agLo Tg1y2ZSHUgkIL21RJcTuHeyrCLDVx1xfXD7Dk9nViM82ESc3mVlv4ahDK4YoXVFFIP/jXiqdVwq2 YDfQRqniBscPLmNlox6IW4rBiUGfXR57jGmdu7UIcc4tb22e34ECIoeEf/epqL/A7nJA3yUlD+5s iuP2UJeJodQT2zOSWZFFtW0WQfIY0HDYchwDLwtX6Acj6KEjaTht1E9RLVCoLKUtTtMC7N8+S1Yk UgeV7JmG46r62qoIWUahOMadzoTsLkdvxp2qXQbM7ITR/2VwH5NvBQeBgO4vSaBaG6iU8fntgQca xWhePGv8DHoPOyBATWgyFtSD8ytwyPkSC6OCiNXy6RSNc5lGypoTK9dg1qfVDixKd1hFMesjspKV 17GcIt0UbvPRFYKuGid4KIQ1866LFfIuR2OO7Y1+8dWnfmzPffEObvIhFvS0i10xf2j8wA7ZznV2 yv1SXEQHvLnKKJxDxFU8qZoHnD9CzLSeAzHwfrMZg3giGw28P8QmjThRMrnEj82wcmg640Lv1Hv5 cKfxQe4A0JrOrSKceySYOQ/XhUSoAW8zXgOnaJtwmkoMla/kUjWKEBgc7jXcr031vA7/y9wNgDM4 AxnwQDV2KLExyalQcOhl+PaCmlJtY+gupDz2wMJL9xA/R4/nT172zTFvUT7xIXBbpzZzMkOjqpmW POIY5o0JyEshwg3FEcrj3917DnqlGIxyDsE6FTIRXOvl0qsk71hZvczPqgnTEXsoXuzcyZ7AX9FR QWNDQ77tWwxj1Ni4W1y+j79SyO1nJZgnQPBEUYdO8eQ6r6N7vRTiF4As5N24C+V/uzGH2s2ko6wQ To5qAzOEqoufGsRy0EQ8kZWIrsMvxliLtZ0R5GJVmjWihBmroRJY136OcZc5SdZDz6+gbC5FatKV R24SeVxZ5I2b5EkQxKChgcLgr/etZ6VGV8ckAykOLNQU4ypOJAlF3aKPTofzK7Nh4AOszCx70voY spUyUBGZ8dWFo5RPPUkQMMSB1A4BcdoVCJps8wTvJHnrRdRwGfAsAjLiWizmwC05cehCo3FZ8Mxi KjZjpfrJLAQjh1XAkWuX/F2W8E7AQ/6cCusQx+jakK2uMyniB2/z9xMB/zyWCKT2ZRbIpUvwULBD m/gD5MXKY2IlghTUK4Y/APiItgJhkgzS0IGLPINGVxoWC1EEZOu4EZhHaIJdoOrVtbXH8NUpL7E2 d5Ob22a+CleDQjau02xbO0nbYvv4Q+F6vX7wv4mdNugIVW4Y25t7zD9Rw05P6SWkfM1KI8ptAFJa hn1KsqHbdYIL5ul9JsHeR5hncwN6MQNQ260Z0mRZ9ONwW++nO3LEfrt8IC5eyOzpVOkOnhx8xHSa xhfv6Q2A2XGyxUi+Oh5cwRG2++aJX+dWQy1dq86I7IjrmD++NwtGmQiyZJ43EvEL4oQUbzkgDUHw 4ILM6yN8l+w+22etVbiRLvDFJEMezNAEkgDPE5YDvkZg7PUDuFEesGPddw1B1x/+QHlVMcXLTh4H gZYNHewJWgYZVkpcRx2+GlfdSRwZeYdERLoR7hbBLuyPdCtDsdi6gVJqMp5ZivGXN+OymyVhlOJ6 YP7sJ+MuRQk2FP0e5EOqtxwYZeTwwWPQvKWk9utzQLIK/KdU9US2Bj5hIzX7ZktWKazqBfd6dZ7M QLcnifnAREKxTK/Qn0A8hds4nrMXW8WP2T/ka6H7+8gBTSM735aBhmE1ZlbRGT1fJewDrjHwtSMY BYPAJB3ceKTTC37aTk4QEUsI7iav+nciEZgL6FWp0C9ER+eLMXIz4lxwd9F3u2i2fAjIhgD1EMWL IB+T9X6A3K3zJIFCsNSwzZm84vhCYp8eEubWWXzivvR3maRGFiHY1T9JAatYdisvgA6XI/ndmJln qiwe3ivntWSoBlG+cIsQAwMSOj+dlTQ9mbiJr80t/UvI0DoAu9zEhSh1jFpud7ejI3Pi13ZSVa2T 4qawDHX8RhKJza/OsJ6FgzE2ZBRtuxax7H3+CIo/xJ9xIyhAmrqU4gxzlZmaXu1po0Bk+TF/BepM woluTGZwsRhqVrRnvflMKJZxAsJ2pGkOBLma0tiNDA5ePdIV4tD8DXEqMoI68ng0542EWrAJFF7W g+94tu9hcf4E43ITwBnm77qqht8eTwoSmduPjdQUOvyFcaDsSAmWa1ag11EUtVQ8ivoA3oRGxJ/I nT1/WsoSxET421xRclAMsZ7lgWwjNlsWu4mG/zkH+tUM15wzCx3SLEkqAflOgkWkvMchppkLHYvv mx5rxIC/hymgz3nSVV+fBzevbyDTYaQIRuX0lHi6XrcfN8wCWfkeeVsRr1tqwv4nl2hIP5ZtLI1r nNWaJoz612qwsPX+ZUHDIXNlk4LLVgTcML/MEHpdQVfyxXV2faKt8cXrA1sjxlXKXtmwVRAfM7/f tJPyVs1d70JK1VuFCCMDVLG6Zzg1RfnpArNJR3T/v55tF958h/4ct5LXIM3UlmofujDVwqjqWIJ5 puG/CtTDH63v2g389T9FkC8Imh8f6OKWzH1KteU7KUxzVb0m4IuL+FzuwmUcTNsNhE63Rkqb7trZ cleYJr5xxloTT94AD0m4IWjMaj9dnV3A9JcfZmY+pLcBRTOwgDbYIxDkNmzkWqzxew0BEdvvJYP5 3I1LWPHifpU7Ut2OTDaygRaK3vE4X0gJWZV93nyBbfM3A/DSDXAPgrHo59qzSD2cxmHHn0uZKZuH XzUTf+BjMw6GxjxVziWToewf0JAag9yQRUqr6ow34FXg8XwqPcTaalhhCn+wlWkQZA4m71nb8zUg Ztr0QUVqo5rNjSSXPQChS+VFRQ7TnalKzetIP/DBzS6uYqRZX9hYQN5Ru8LoEXwhHtUzdLWYdtCy VkytFxtrhbA9OYqFc5ICdZfP78N/nPOiYkxr9tGqFpOec21CfYyhX/b+l74NS8bIwNBeoo8enSSS QHEKAxCHxNZf5wWLILfBsRAFI6xMIek5XTq5lGgSvhE08ISioBHQKLpn12V6+H4i0fT4rToyQ1s5 nnmZF1UGsIkrJ7g4QBfaNJKJSmNWicIEkXB2KnatppsjKvRGgUoh+rXGJ1HUqGqIO2PQrY25uK8Y fO9lO5j6fwYYIAsPtxDE70DUeG9NcGwoYi2Y4ltjHsPmIwk89u0JSmhLXN93xVVuyjb18ZkpHnxO OkSfh75w6DogF/DmGpx36m5kKalfiS2s2w4UZQc3W/oHUbPxyUgg/TATXjAOvqwpnq2tpN81mrvg jDK+gKA0ZjdvPd+bZwF0VCJWRQD2GaBmj074OV6aftneQJ6gM7aFfZdhuj9ruu8qz3OiaylkDhpb uk4a2iAHM/QO0mm5lltEe4kwyEIEOJ64lNQeABTWbPUjngQTFDmUGOoKTY10+nLRVPg+GaGtEApt xS7728wAnSWeMm/JTvP6vSYI2xL5nQO6qlehZXQjDYV+60Pdi1tFJjl1NCvQoYc6AMklKtKHyPqb PpAXm4ePNd27E+J55pZ1TozoxY8H3zrlhn8zXIx4S3whGQVOoXEpkg1g0aDzGvl6cWZ/q1w6fSJS IQMBrlS54sZ0bhKxl00IB8/cApAdI6CFq4AHdAslt62lBD03KQhUuSxCJ5L0FhcvaNUqHq1iZhPU G7DpAnpy7OYA2L2zJZAo/CX2gDA/L700mdKe4tUFLm25F4Q1KT6TR0hPQlhWrYJf5XKEt5iTVDLN ZO7eVecE7FIorNBzReVabGznfd2Qz2ZKzriKS7Difp6M2QRKwAqFmzIgkOwlfqipqbd0i0fTzCzl UchFrNrlLrDMEudl4Al1j6z32OXMb65Hg8OWjE9kisASz2qVAbggQ/Fv8AWvncfXknyi63/czLi5 nuzUJ50DINscZfDuM201leuuKPaLNUZXvPjr4jFgpm/zMo35A9D/HrnFluxHwQsfkfOI4rVodcu7 OMDvkuLaXjYR1QQxi3x2QSVCwyo98KdLns3/oq3vSeThuCCZhH2JpiFPMX/p5XwwtHa1g3sBvrFQ usSe8TYF0/LlyEqmueECpdNdoubKAMurbaLk9+KROxV6GjD0XBeCZtrBZSPukl73H4XHNX5mAwkB vCd9uw9le+uxPbIj74EU7juECJM6iYa1t+znyH14GKxPWvBNkkWUTwk8SOiO+OrTXtXzAUU7bqvG ji+6WBOUql6dyyIdxY1amvqAGMZvVdrYnuL8IR3IR644AQgBuXRF5Lh/KyqzA5kA8PHuFwwHLzpp rAjzMqjHcF9MsqSaRJXBBseyD4HUlYjrvLjuk4BArOxwsi313phFhGAf/M56dsEkK4um/cEEZm0D vfeC1Qc0AqLJTCq658/h8vioQrGXzABrPpCTqBPf/AVQxIY/Ao9M3oLTDae3qvYjiauaJ+t/uCwE 7hDUBCuBpu+XB3Yo6JCx08EuTCI+YTSUp3hroOdetz8U+V9MzUO7SbEvsWkC3N7tXUVuKh6b4NcS bl603wfyhOQhYiCtjwZbRqylY3bd69Jcka5JQzZPZ5iGitciNzmcRQOg/CCBhMSPeaQzC6ousfMQ LHw/fh2oIxBugt/QwRt2ig0BSJHh+yNLUnxAPif9yL/tRiZYIXloT5MM3DTtainPKop0pYURCUFl E2Gn1AutoXUrzwj/icV2uHMC8pmf+J2CNEii9z+G+Wd4zDjaMS9vw74JKKEYpHBrwOBNhnFIphFW kGtQ0bOeI5HAmf6jShkmXaNByEA6K5d4Dp27ssIWLcP5FQHKnUe9KyzZ9enyfim9t4bhYH879Qbk QGbqG9pZlII7PxxUr6H8PT2oIE0d644wYea4yrMaDS62vz7KJKAbWQyjHuKjBI3mHHtbnJsm6nKl nmm+K8HNkDFVyIHMhsJgUNSNSXmkL8UwznrWnRr/1NBHj2nVYVCc4A4i7GLjUYMU+LWttsCLFIht NjadHJviDLJgcWhI92OibTfm3z3p5NvIKtbHmhQRrsSVMhUwYc8xE3VDaXPXyzeX7iBcEuoZJzc8 PbeUO+Rz0IEThKnXlgtBqM6B+iXkIXxDwyII73SMZLXu+bpKKogemusENnuvlcPaCy3vSkihKtYH R+jzWZe3C6lEbem8XrklH8UPlE1zClPS8iPpWgTPGysZFK8kXPUVVcjMN4Ub3TLZu1MMnnlo8g6q f6mrPBANaMwwMBevF1EP6IGjmv03EB5GmhJUMX74eSHdRnd+48aIezA1gxd7xFw6b6BGMGUjQOug eKv/1vzHc+Ws4K6X43f8x3Bf3VBKTwL0rcQSpiq0c11AtM85qhjnN1WhM5o/ZXyh82qxBmOKI/eq yzugiCmqPUnjURe9qh78arF06f6b2FlHNzauPjB07RTD1awtIZbC9tcIXRTB0cg3juhWM6XKfupr frdIDmTQOys9wslTwAG7gxe8jkJDVYtTS40wHZPX/FYtRaYjov7BQIvzX9SM4Tignp0FwgJmlZ0U buah9AOXksFkWoim2bEzI3be8bUu9rnBC7Abw1EjtBVs6iai4M1ifJfO+ctW3vC/I2tSCMYdq3Fe W35JlwqibXWocZb+ZSHH2Taq+uBj8edUe7YkUa14n6TzGnz3kDPVowslCTljMViYbQsfk/PpfBSC pjYrD9BxgdCiwNk4SAxCPaZzwr50iNykOlXXFEZ/q44qjusKFtezMjtehA0F8XxblHMSlbH/eAwe pZUo4GwzUXwZ7+PTcHZjlrWILjKwZ/rdDTswrl3N1vEadgSQ3z6yZNT/00xkoXUlQGY08tsPLC2U kvpjsU0szLDO/UpOiOEZ9pQtLO1V+yWxAHZTARueuOckY6ObfOIMPxdO3psbgVRs8ek2Lwa/fwLl ANhnoWZ2ALT2qvYnMxNdcLWBApnWBm4bcRkjTnC2+4ao3o1xwdTwo5PKKAkR5f4o1xNE4fzzsgGd t3U0gP5JbgQabX/1z4rR0GmkT8FGUf40lcvxmU72N77V7dAJeGgTj6oUh2H5TzKjYEGJxK9txRs/ PwIAH31BBuILdKF+Y46T9s83F1O1oMy53gVKvy8RzRwZZ5Cgd9pep6ym5/9j0UTXoveq2bfiqutg qpm3uMaZX4YPy2HE9iD4dmpmXPUqDFFWwOfwIAThKgpf1nceEQMBenX26exnXq/yR7zIsop/ZqE3 nNriFRz1CFmcRXdDBkqPRBI6nMy7vf8B7YEnqNBtwJyU7pUWwnpvjpIKMjGGyh/EyCYna0dSMXJX oQYQAys9eaAuAzmpQhHA6T6XQotVi841McC4PZQAWW+qq7O4WfwfOPpvkvrPtgUBZ9qKde5gaBZR 3HUqUphMPAIY2z3D9SG2TC9WAghIZhQhnKNZnB/VLKFPY66lR+YnY0/wS0LLKEbBrXIGsqE5rqbU VEJZXrO6KcBUAIJzILRCLJvCk3MWTuo/HtLbTGvVeQZ5DVnN/vUDCUJ9Ff28fhHwwujwxRDx25tD GaH6XJbfuK+aKsEKOdINv/Xj2LkeddwgmqWDo+RURXiFzonXYUWEHi6O/IBUaGVweHPXW46/8pLS 6DaL+PaWaXLcfqlL/+HZWQWTXSopZnuV0RkkKwD86EAIa5XhBf+FBxbCd49QYggxlIgaprcmtaN+ f1QZ0YoUg/QUSEGLtXsiMoDoc72kazuw+tp3+fRBFtpAXi8WVyFZpJws7UZtyCuxvxAAAjFUdlfJ /ku2+OBaysTMXmaFa+g8RteXqWDoCir4nhhtjrmEINGh0rLmeDs3kC8xI8TNdPinxVZfpPLKhJRx RZL00GRk9MsdWqNI3jxa74nk/uS8dMexVJRB0HUAVcEL7Bew4Obl4RdjmfYYApZYD+54TlwnHMRV oa3iAGNsGy4QpSbqfGRsLMcGYu3rME3PfW9poJn1kdVN0QHYn2wlMrmc5O6Au2ay/qPY247LdujZ nNTG0lL8H+M1PoEeQEgFT8nxZZ1a+DK5qt9cCd2B1lPB2zPJAMoOIrITEpQDZAjzAZJ3cib02LRx ULxooRs/lRthdh8XGEsLBf2Xuy4AEe/k+JsvAcUHjshG4GVJPuutAzXxJnvkBUkrhPL+RgZoraq/ zV/tWD5ogbfFmcLh6VMY4TFOusKmBe5l/Lu+9yPzoglugneM05sovgx/BDGptiZCDG1p7lw9qO8x F2oNhI9owE44BJOPm7M8WDk8ljRso4+vG5j1L0pbb2ovWU3NIXgJDdjixLZt5zGPB/KGjmzgnybc vDhR4EufX0EOilBGGh1yqKxRysTKMU8ijztc5os/B2u6Ns4KegN5rbHzRxZr8+iBBwRwTWkeQ7Nm rk9NzRah7oasx9MnqkMApHJkeCfPJdtaf5ZOZ7zBlVcJfAQhJxYY64AR3+jwyThxzzt3QVOuBBLH pxgWb+IugvcQykt9cqj9sw0k9LfUCAOQTf7OV7opAH9ttNnZPVyxLHp/Qq63G1TcyAIYXYxEh5lN aFYQiOG/FGkKel6H4y+GiYUjuF9mmUF6LvKcaN8lrY8gsiua5O1WUpmtpPQ9zwV6a/sCvwdxYn5q OLso4MJJVxnHmq6LUaDgU98Ayq8uCMOaGKGlxXU3sW/u9wJivVaV+d3NHQz0omuS1aoFi/hy+Mhb lZQZ0xjgg7ofqWsXG6zbvCK3zApL3i83Mho0DIKsBj3IpTnKskLB2SQohTUhh9owD3vOwENeisA8 y53HVa/m3Va86xI5Q9xcDQwnE1ikd3CcAgyB9SpEywoC/mGltrkV3JdNCKcVAUMsajrLLubnj9BY z03ZJCu1iICQf+RAWwDRsBUNksJANuqfMzbB9OEQCcr628cnHglDZ7Sp4N5Knx8WyY1cffjF4BNY YB/fBECiCd2+/bOPnjWZmq2Pm0NPgAyWuoc/evKOJsYvGbwu184EfyIKrqZJ+0j4790CnYyKXbG0 yJ0ykyCtqLK4fLmWEF1k0yYL4oOMBmtG0RC6S7+TOlY7BogVKS2Qht7L5P/CfaHHNBf4sB9Tl9vO aYZsPIiDAk1uxoEth40zLAZ2l0N9gCR+fco+GnEMnDBRjgsxHWmXk/DIzdc9FgmxdUTMh/bYMtRa OGVoSQ6vU+hw4qiTl8gfk1b8QkWJ/XJaYP9HYx1Syq+wvPYYzabfpTrFcNkq8lvyMg+Djp1oRJX7 6xIFjK2PdhFYc20jF6O+THZmvq03lxHOC/fP3wELNpaB7IQd/lcBBpY19360OaadxOrg2MGwZX6X 50eupP59BAPZQICWNfU3qEzqKCvBMy8mxTfcDQnbczk3rLGFB7J4UE59ODFNGWOL5D4tr2bmJ8fH 0DJSQAaXj3Ohm1rm9lzV5uev+pm9grLyd+oAMVmuUAAim2VsjUmh+iXJswj8jIbJ5Ih9/ybbhS+L yDH6bJtTg4Y1wA0pyuXnTmosCxa7qxMziUJvAPm0uaTMWAgqK3tp31bqlhAxukDiMIk9Zdj5Zjj7 2g+q5rKrgIcVlzdEZ39CiKcD17wGexMFLy0XYWUP/G5MT3KQyz1sK+PkqYzi0wOlR6PxcT7UOlcI 571p1Mj/FkZ7N82kjflLqQ1HR4THY+c5osK4wI6Os+5j4BZwEVi94RFgKsEoDJXKcGwLHthxJO3G ieI9uvsghzWia8sMIEcWNGkYW3pKhbisiB8pkqC6oPtsE9sKsDctYsZlyKVrhZb7kDdiWrI4vwag aMRI+C1+llotIBJ16XA1fLAZMurVG3/yAQ9Q482oSoF3sRu4FMlKNH+lTlmHrxf2YS5aaEolgf+i ftAezmRk3zc9kduMpXPRDyxpGGBKQdejcEOxPJoPDtZtZl2AXSYnaPnHsJEC0q7A/a4ZRCd7os/s Dx1qvOVgbWHvGetBF3kYDCz4LDKxstDBi2kKIEYi7g0sSfwOp+SsiPhhpFWQ91QVaC3F+epIacVU rn6mew+FA3SGNVZtHZT5LkVnfvvJzW/iaB3RxL8/AvM0PBT/1AcJ/fHVWiIs5ORdl0R2KYbncxuY J1GTr312ZdTpWP9IwJ/dwvM/maxE6K5ATJHQowFIgluJ9rUsjCfUZ0Xn4g5fFO2BpwqpW0h3vyBz Wutt0AmBuWpw4Gns/10ICaDLt21d4iuj5J1ckqv6AgnGtmcdf4Dxj8ZCGgvZeqnaQsCQBNlvWxY3 oahg1/oHUZxtkO8iid5zDCHjSNfvUH//VaBK8lySj3eGwChp1snBh4u/4V9KCArUMVIINxBucgEX Txy6Dp3Fr/CtdtXsd8YXSf33IEzLsnnbh6WuF6FL5WYpoHSGIXnrcGeK36pJYlr4JwXY7CLmyLdP 83rcy94Vfjs+IeeXcGdc1+f+ZispIP3R2hfYA9FN5rs/AXiGF+sGBU+7S6FgC/SeLLIrKXn8T9js KcSplYtM9I4yOjNzWKSaz/Q4ueHjGX/YMKvVKhL9zUYmf4TI7tC4xh4+ctLyaJ1zrZRqu43ndkwu Oq8JsYHuandysVkzB3W7HkSau3B0e1J2UIDLB3N+ilEUiuMKo312dVIQbawlIENBAB05gyy+xqCI bfl6yvCnek/bz+UtGikEU78wu77iRYU3y+e8XJ+m10GpU7KK3d4DV/zpptpRdcaB21Dm2lgO4CFO pdEEeRtMy/Aae7n7+j6xGloXKZvOhtPOZ0hIP5QnOYp+LAD8QrdKDqAwrxkILzGWj76gruENZGdW nRucImeMavzMxxN2CFZmfi6420HMnXrFDZcuHtetyaPT2Rf84E4E7jD3i+7fRRe8zfbklF5BwL0E AFdUEMCnx1niYrcbVdnz9PbyO0tJiclZ+rYToMvwa3hHSSCtz6TYhdCwMWVYZKIVnWRqt2pjvXjH UtP1y1ZsYB2fcBWp4y56gSObMDVL3q4eMOcA2b2ZyDOxh0nAFGofvNd/4ZaZDypJGdGET08Kbh1u DVaz/3JeeeYhCpGIp677PI/280pTVpy3wumSkMpcaYD7Id+ZpTSpIJEpftGk4RESOmbE41Vz1Oao phz9DuJZIsB8Au7M/xNRG05ktmQ/VQVnDXyjqWa/T51jo8oa1EHgAcEe1GEzcNNKvqcpyNeGyNKJ F0QA6O9HbgedA+xywh/dl6pB3JKz7GXBcfYAkuW7sgU/fhIXTW7YYJxoZFe2PMsytP90ttdt1hc8 XngVjd31FFowVLTlOxi3GBn9YuVjJX44hOTodHO2rR/ypz53KZhIcmQyrfmDksTwEc/zI7G2RaIz gnh0sPA29yFjo+gdolbtkJJ+uZVkVR/MXTtqqhlljdD4t1AsKEI90r+yCr1IYaL0ACDBbUf4esfn CRHVnERqeihQai23D5OKzmDYa092BnG6T/lL6KJx63/lrZasl+VqzWduraAs2Ip9bCbKj20FU/aC Lz3IAPC60m1+vTCJYlvwRKaoHAKlUktTr6uCn4zwIiOlB1N334qbkVeXxCkEhBfsZid4vLPz6jSw UBUkGVfUMvs1BjDow9e9bXQdRxA8u5uZD4aIdUk2bQbu6HHYOcFsZ+AJ8f95WalwInGPhCxD1Qiu rwD925DAmlVGPIe9lQIiZRlKYxDyDzqMKr8D8aloN7/PaOnSDTsb6Lqt0rkD8h0QDr5LY/MVOclz 2EcwtRHBgyjXcYxhJFarvBa/O7QN3q6Hm+Bl2L8liBb1YUSvtZXDZoK49smyexzgCPUVcBIGtIxk d3mZImYBAPBHLnrVCrm8r+9S9mnr5FnpQj90KTR3VzBktJCW89dDFjO/ddZFYQ9mJlhvrnX+qyMs 4rY2z4x6LKo9zus8n198/6HrBkzzfed2sGwlu7NE24L7mcBes8LG7nv8h0uboOW5GwfpL0LrC+ME QU5ESoD+P8N7riw/wxPyx5p0HsWVJ8Huj+w5YM22BH/npjAV5dNir7N19OabBRdrHblliY91DF8U Fj1uMVPDmbSKKCc15Ui6TOEzQS1ItCZZQ3h4kvwtDDpq7BwJdcr4m4Kf4gq50BnQ84e+qCmReHCX 0asCjo0WoCsdd66mksJMx/kQDA5cRuXmIzEPiTRYAkRk9c5S+jTkxQIIN2VCr3X0YP8qIqdy+pxu 46gGdX9jzEQnTBXZvtlFbzTvs3BwxlP+ZtrvAfCQDw4ndKvJHb+yws4hgcQjpM8u9nFxLiqIFHtU baLVio7E+g8/3kl27hs8G+qTweYP5m9VsdFBWRarasJYTJXk7pfjTIpofzm+vZx/SLrg9wft5S3Y JvVL2SJ9tsDfeXsfntJ117p7nNo/fvQr3OcLB7QN8xoq3pvoUXe1AE22rb1203g/wLPrDV3/yv/b NXLm/eJimHhWeRJ5ytn1g3YwGWtT934DFtCB1QqbJwe0RKwdqUFesaLcv7BQ0L7QlzBNRv0QcEDO H0hm1pD9y9vscXBCIbUImXTZmGj84E7xFk0kb6WfQYjmfUsVGv8Wpcfc4HcJHUqwgO1umFeJ4uhX QoKo7WCJcBYE/WsKQCneoTT8+T2Kgjou22ljC9TzzymvsJi38Zm9ojtRqq/N0Kop2X6/JQCmdXO3 TcaHTqX6Y1psMjJKnTt7t5EVKSibehvRSriC7ZT3qn+Y1P7J224m4wgfHU7ihLwI3XsHGlqpffet eSmhBxMXg8eQ0JRxoRY7LLkDW6Tub5lyjNgSM4x0phn0jKDYEc62DFC4C8/boBhojAc9oPUFDgQK mf+poEtlBQGAzHpbS8tSAohTc0axpi+bhRagMtFZ3qIjntHs/oVihuHlYBDgbhSPJGoGRZOi8gk0 qBscXGHIq1VJy/00zXhTzrD+EeiMOH2TqnMTofnzBkX1IxuQnwIXjIlo7LLgZVhHXDHPdevp+sRb x1ChYJzcnfZ7JTligJEJsOylvndhC7lhcn6DvY8fvNnZZnibWrQ/dCvSp5EOakC6UY7pmzFU9ZEz uxwc22ojeDKUexUStVaorb7PM9jMs/9d8yo4YMpGa9Bxzh9Wu/LqtYNl9sKakSayzdJsLfPAxxsq rFD8WkDz52afl+pT5tB4iISL709RNr+lHfqzCdmcixH3mEAkHD26LqnE02Yc2Sr5EaE3pPDFBYps NImvrvXRWkq/x/NH6nkRnmlxhyekEODlwbgeRrgHLSrPRBbcq4DdfdFFcQPOhmopWMmceL9bA950 Zb7AS3uQ3n1StsVgbkF/P9uNEoAeNE6oxmaPWpSr1yLwNlefiMdJ80B5YY/Ql7gCoDA686j6/f5x etN5ByK/+YmDyh0nvj3TOL8GDdJPDsj4I+ugcb366VKQCmI3Cc27HMdtH4+vftfpxLcenmHnBqnP +u7Akgr5z76Ep0PaVA58FGWMS/adcGfWcuTOjBa27CamMRLmJblmfoyyBjJeJlAeHOVUA2a+G3C+ zwOGAb9NWopoapi9mL3eCEDnE7AzuTc770mV/gKHRanoLmu9tDxd1EKHmk/SLtrFo9jMj6nxDIHj 2Oot+PGn0dfH/FxYoz1oEO7KFhcBcwFAX2hKOk7u/nYZyQP7K/aCBCSxDMOYYJtMOchnIFd/TIH1 eOFKwwKspaPQ+vwMfiJvfIHbQXv+hPrmkloU079vAQIR+C1axnw2dSQyzOhLsQbvnDRrvVdvYSjR K1wwRnXzLBnvmGzrKXyD/oFnY0pC5pJpCV8ng8yKUr6sXNa+8BtyaI3aLmvu93kbAxAE+YN7/Op5 uTiYoNWVpHwvh/KUeI7Z9FTMOkRCWxU9VvPriYPTaIKZKBfFNwJ6g3CAGpow0y5J5S8PHfIF8VOc 7BegnUhLOcgFk0gu07TBqkyDNE239w26RiS7PvM7sVWZzDraQO2ezHUWjn2+58u5HMUYHDhQ9Tpm xfUWdkG7FzHxnfSOCYEbJpvV9hEyQYKVOaRWw+1MISZFHhI6PuJXRDTVRv8DKgAV36Vjik2ATHwI O6OPJQvGFq9a+t73h1SqjVe83gtnSYJQ/3hNNR3MDi6amUQNt+ktC6Ir0k6JJ97u9lun6fmYPeEu X9di/lgxCc2W+1sk5/BmgIMJMEPEGnDleKEAsv73UZJDmCdlbeEDYIAus3US79Gc6/Xn71BpeXxx 0vIfa4otIp9tkjTMbfWANpUJNNKE4ffRLIJpZXaqrrRnC7cNOpLAHXhVRAE4NwwLETUgdIx2/PJb Fikq8oVAbBGywT5mm0Nwlcy4JvVn+U1uWE8uDe9LBMPM6xESXU+AnaE4O3sjc7m8mpFYh4TXgNq4 d9aYV8ooMTla/HBWDNIS5U23/Hnho4UteX7PBDNSXh/u4QOv2I7iLoZJm2hW/XzS6HZEzfHxEdmH UvZqNKTzxdyutLZb2W2Ekj1iegpDdmo7lvMdkhK0Dl5zgDl4SmMaOUlrPzZyYvTcAEjyABVOzztw AdCdqyrwEQA/ShebzlLK1USw2QeU/mJlH21alnMIkKI7y4LnvvNJK2t4zQ8SUuIwwqWL5wT2d3xK C3LOGQsVCwgBOJBGVrkpMEll+8uIO9+fUC8ENbjgFxJV2wAjnnTl0YY6yHu/ivVamiV4qf+yNeWd 7DUclq8EpY3T1ALyzRYnRRwJd2YzAnzBCNNiQqOfZ1emKflCm+wv4simQmpaDlGmj5cxelYg8MlI UJBVWvLBULof0j7UXgKKOx/PKM8Wj24ujE8cvjrxrPjuHAGpSCbicimvneA0Lx2DIPoWq+4SlMNI mujG6swdar0S5Obn970TAMPo49PEIm3EQ1/96NeaCqv73TYN/IjCjUW8GqhYkyYGTgs+lHVrDsbH J8q5vkgS7LmcipBvp+OujM/ZSmvafnU+aP/yO6x3GfOAijv8ZOvVFZVMNuIWHUV5gEffQOUpFFCN /Rf6ixgisKDka5IHyEkp58f+LE08T9dNmEq4ZO6dzYLWRUhzu8bnrZzaWLeqmcbgCSLOJLD/wrKR GNRDzWonxxGuhjeyGY2NjUVaNF/YVTzQu/BAQmoeyuFpIkxNFsQbAQRA4jnjKyZ8iwLdVxlS1rXH gZjCd5DPdVOg26uhYUBxzO8pZAJtZzvWLEb1qhl+F6GEkZJ0u9g2px0UIKmYfSh293LoWj1gx1N2 ZeCH1RBsLPwJLW6n6/ZonY6rfkXTo2moHgJPlffI4aokXsV1YaXW/igpBc/TTvjC58FgViSwQgiI 9UPkeoMcPt5qtwq1M2TSloABPEaodWWCE670kZpqn7dbza1QO8ntdyMlFKF1bK8Je0964VixmrG+ 0cmNkado+eV7HXSJMBIsHm6gWaSFTyS9G5tnMKcrDkWod0y7B0K/s4Ile3LO6h00mdUzDMEYd55e DHId6TjaEC6PuH4gCmJTbZeKl8BEaBULJHuaO3cNooTGal0sVgSEQ4vInz/3wVJoh5/duE2eH5Sh zZlDgjE5IybHnDzL+ZbGYhunIi1laAQY9+1GZBdq+hYuTlHru7MrWO5ZhLBwPp4eGlDPCIhLOLGz ArNGdlAMUUBgUew5U3kkS1WjiEVvX1ym32GtJSSBSD9Vk+dZxK9ls/Op6S757OG3/ZVUl9jqNHuz zkyLLAswv55Pco81ll97fysM9QthUFfoQ4hXl3vaWEvKsaaKfOm3dJYRfjjILYbNF8CP9VcBzUmF MvPgCZiXskOvKfJqvedM5vuNaylnW0Qq2k9JvPTBp5YeLzFXYEiBZzEodUi/gqmFhVEMejNqvDni NsyxkT7GNUnFsVfP+AA9q45LF+vMkT7hk6fOwbZirLqm8c+C7dZPJ0h8MOpEi9GM8lSInxyQTTZ4 W0l4Kt2Bnjt0uTpyuG4mlTqkF9phCowSfGZ9bjovuOgRwFqwh6trUBjuQNsEPLegy0kOMrn0Snan zXKkNgYdkMf2C/NnDNtHgCg1FOWMnfkgP2aFwNCcrwmPDD/nUSVAzmeycD73MweL06shVYAUJMVX DK4FvHAf3aZZlhsFFkJjZ91ck5v0FAa9Vhrt9nsBx13Ctrp6WVJZBd5iVVGKUTsAmIkjbjksxGT8 2o/qYto/vA4mAX9PE/7NofgqQAnNMDJV7CcGHCbH/3+72eKhRJShFxcIHxX9I6qf8X5kwRasfWyZ IHc0x6HLd/AWDR16FZ+MYe1OXLhmS1JwYEaUhdlYvS0fcn3QkYYZq2cfDcyNlE4MemekNhnaYQbc 6Y3L8bv2W87gQR7WLUwE6hbI8t9kUBjXAwZd1AH9W/hrhg56BhA6evaYBIItAXoLoOHcsGtmO7mB 27CyMExoUeh4BGcILpYVDsmaw+BAhurrFLyS726Mp8obevHWl6QNep5+Qu7KcUB8ldJJ6rA2HD7M 85+Q1Yt2WA2qf9SyRn45IRwx5dFjD+wyLFkTgHkt4iAvE0LoUTpV8pqtz1nmahbBeRNsKLFfQWos CTeEdV0BhsxNZ4xdQaMrHVd1ng+4NS7zp7KjZkox07YtmkyPnF56gn0KnGCOHX1BkfX50hbL8hHX xvoPM44ocE3smaMbY+fO7w65qetPXIj8R5zStMmWIkVmYrmcXnpa4SjGgcg6HYgyz6IX3pCR2FIH 9OosZchkteguYPXNo0hGk5mZ9ucpWG0FofzoPJtox1Vk/cBhJ3tu9f8EHXRpxc+5PN1AFUtrk1/4 IYh08Sz5eTBfTA+fbz+y7gnHJLZq8m1WltGmKdTovzdZ5Y588IVAmv1OOK9XnXc4Ax1ySagYOodW 3iRfoTP/w5HiJBXFsksmD1kuMVLMfEvSZPhNvsOou6ZlzvbdEW5a+R76xI8kJ8sk4MbexoXbpckx BJrScPLEMOuUGoF0elx7oLCT0iFPUisMZJJMT8CpbUo16Cs76fC/huFocOjcVTc3w+THUXyHWUju xvYf/cci14ylzpLFGJHgt/t8YNeFvJ2qvH9W/7lha7eRIbOU3OC72+bZ5ncCs4lZi3MA5bZMzmBa Eo/Obm/jKUNSaZyTgoVmIdlpU9VITB9fWzuyS4wqhwWJMuud388JSSR/eeSp1VAw0jq3pKOV1rLL cw+S27nN8Woln5c7/fpSMs35VEjz6AaqDqO1TaH7fFd0eYuzTDoOF4SoFS+6oxUw1pk4TOVyuD11 QO9MYKt5O1aByvQym4J5oii6J+I77T+p2ZC2esUsgd7J/b+Q2tXYSSINLQBHMJyaQ3fxd75v2qBk t6icl6z4m2q/NII/HJEI5Idi2j2jBRYpkH4cmciW1Vt2bH5YoU3KFwR24gTOmbLRBnXPR7hv3C9b IbDf94jmTPHmk8gpNLy0bwdMgR7MtAKU23KpA0LbWqbPHEiHNtgFbne88pKyj9OgvL1fy9Mo1/13 TDGHxYHavg3wGHwD36znNNabfjg0QsZ2NwCVJY7BBjS5YAM6Vz1rKi2IUzzTsIkFiCMgu06JfyR8 90S4NCzHBxlKFQS910nzkilVbU1J8CewdVYYvwY31mrjtzBQx42jDYbATtMk0EekymzQLJx+//EV YrJTAJfQnAeaJmX3bvyKnU3A8VKxMbcqiOM+ttfYia9UtsN5ofVyf+dhy0uj/683r7/EPCJx6Srj N4D9fIjjrU7MbmRC6T8CHZ8SuxiZsrmUgoXzEBUbQHAGlohOoxkUjNeXgh1DuoJenpF7U7CqOCDI ZlgOb/e+xtgjT0bm/oO05XDFvovtdGEZ6v3ndiFPODhF1LQ5I+amW2tcPX/kRNhcJcKKgZZcPAhz OX+DyKtqJlH/sixmcTCbQc59YIH8rxOgWQhngnEDYlzL9iorX1d5rZfjoa3g2+gZQnakTsl/Zcmv 6WWgOnTb+fNfYArJzQ28pw3aiIZPspbBKu2DRQ2L+M3E/Pvoleot1Wb9A9AG7RX/BYcW2Icu9GJE GjMHM6UdbfNxHym5A4YkBi5KDbhhyD13Kt4M2+GcNDGxdhZPAzJimHU26A4OMCgF6CdMp76Ce7lr +wXt8jpxb7zlf/GdWxvzTNsDleboMjDsYzCfvlbyvXyysFLG2gRCCbR3AGzf6uWEPlONFqCPEFmc 8iLvH1uvyL6N81NqAWDgiGxowVg5M4alKrMDoI2uFaUxwVPHWpRdYOJI8p8Tn/mmKdyEFBhivdig RTM1ndutxt4CNYX3HZvy/ZQKehBIsQi01m/zb+G7B5IAq1mTRZHh2czHwPY7gvFs5AvweAI4+Ig/ dVYIceDx3xS6Xo27yfLj1iifjGiKnh1GODTUQSubPUJXy9mCS8VLA1TPUBxZK8xBDw0kgTEQiOb/ Feih2dhPRVfRipR7qsBZB28P+hTWif2dFDtiK8+dTdvypYQHAOXbCzS9Efr1kY+DfCrMeYXAGcTW uPhcg4ZLuPY6Xi//WbnzLAuVV/YaH6l+nvew0GWBvinh4WWfWVLjWAfRG/ykDmoecK7LqtnDrd5O beGUe8l4WnrX65ck7R6X8SZZEinQaKEUTwDuxXDjE02VxAR9R3xEqWiunCh4dC6EroroDaGFoKUQ gjsqeIKMn/sgg6Kfq2IAbZ6KZvyHV1nwMk+n9lqGxLz2MP5bZXYjCCfq/BJjV/Id/0IvbGSzK7Ov qJr0KeWZr2NKkWbuQVs5/IkDI6zL4wLT/4gFvezohElLaz+bDq6v7D72/oFZEspsTpxUxr+36jZo /3o6fQjVfxet3BfhrP9bBuIlwBV8Eya7v0h4Iu9lbEkXFgs1Iu0bTcvj3E8lQ4a2Xo0RcY8F5g6/ nwlCrrgwQsDaqwBgmCEheSr9nr1hB67ziBE0W4goes7wg7FJ6y3bEByrXJ7LVD8cTO3VF3nY/oPD NxN7HAd9XIs1Nj+pxKA+NO6MvY/OOkFH2skL1mVRKjsz2njb+fx8vKpGDxfM3fBmYs0OsTRr+sHS FE0fnsITPgIOhjnC6PZi2IsISei0WRoe1B7ZqnHDfYz0n71UzN1h6si/5G/x4dKzMU0n++SnIOqM 6YHk5fWxpxqb876NH6Jo/aVgKxq9Hd7LiDjP5ArYjVlu+f89pOZyAGL/shN7ZTAh6P5xyY8Rp8S3 GKfCRVwhjssv88z4pQxD5v6N3nR8uCtOSP9t4YbpEIjYm89h8DmTttp6e2V0TnXyufpHUFKYmy+N rU7njsWhE/HEmtFZpRtjc9nyAyIRdWXqvKvz1QkbL/tV5XPeRG5cX6dDDiWILqayzWkq2j5Rqf17 YrPXSUxmKCI1RfW0cnESR+C/qoZDFQWIiSzaKfRVP7H/AUaNIBIhzmz2Y4tHVrmKXH10I0Fw0/Tb TSDpol+0d+ZPz7qpFvGKT6Dcq9RNHmcWyiYLhWBvZ9TugK9Vcyrk6q7xlhrN/f4vZphTO9OVClIn zZLl9sPzxM8+raVfJvDO+rvBjjjuwKcyrvYaZu8JcVqLaUBqKjbfdiu7Cp/z79plvvfuGWZwa8Rg NFYWDhu1OsyofhNyV8NR9Ds2isGuUZCK5cPJfE0qRI/E26kKLtdRDOOH9IGjV7lH0Q+O73KuE4GN vSceOggjBqcCVDtcHJQQH5ArdsroVm2xZ1UhiY0v7X++CqQ6h/5uaXOKu5Ks3M3bN1bL8qaTep0D /SsGexZAtkASy4ssJRPt4mbZbIdjAMVmJ0sRjFdxUmxFLrW8mwkUUJYS95Q4rMjLWAOafzx0aO4L mQ9S9rokU3dNdffw+SzChbB2GrIBjyEJxF8ZQkg6+kot7bMWqnPLUxZBacbZc0BARP3qujCawAtu pZGuP3azvnQn+EqjTuNx1eYIeg2j1iyc2pGAc1fAmxw4OSI4N0vRzEmGiWmUPf9Xf1LuHQDfQluH 7zoAHnvbS13ctZw7NPJFLojTpeZYNrKdMO8KwuPNmbaARh0mBtat9zCaQyQSdjIMQmyHzydhIz0K wqrqAsX6+zCtievnIkAGH46MElwclGYU2YBXLWn5TYkDTIPcCcH0Xcttl+0GnbDvtolm2IxYFVca 7sIfRfSTdXLBlSozFR5HmR/CsrgC6FT9Qoif4Ns5ZJ5jA4/PblKfAD4cZSLchUNXCR6CjnbJtOs2 +U7QkM7O9TBbl/99KKbMGuzETmn3VVXif7vBVYPBW9wZ8zmvT903MdJFe0xOjDm9L+rMX5QHn0gx RN0d4+IeVtw1e+c6HFf0XpxA3Yi+03rwTOi2mEEFwQkmXcaAHKT8fdMjMpwpuRZcRksiw+WedDq7 lqSqtv6b353qesRKXwTMv3c2uA9Ul7O67wc4R/TJ4zcUYFC9DoplXsJQanNup1JWfBcsqG2Xo3SA Lqkg+WhF5A9K7LLaqjrYJNHZl4dTNQHr0NDFmqdEwAAYXhq7H+RcZ3IugB3e8IFOnvJc0AM4aOfI StBCtyQeklGYHJ4NlI0NcvLHYc424MfntLdck+w1e8Sz+XkhtysG0qFWCrzZa3rRUvqz1przBtr6 T6bClV7waBgv0ClrDARHOAwjPOZffhOkybR1c4SLQeY5FDRWgy+N+dk13NGIY0mOJdpty4HJh6S1 JHpVMOuamv54NPytKhuwlmtO6WCswGg8e/Mg+9nFrjl0XoVYfDLbUZhOwnOXFsBqA4lBmA3zb1ll CfMsnkHfuE4wNHMeNoXhNIMf8TdeG/RBM3Jyi8LXYUA8Jy6wbU3DD4VlVIG3OahSMeoKHfw44CDC ABTxT+NeSHU/5vv/lffXF0aHsgKj6nS+VtROddGwERSYh/LiASO5BAPj39hHCgtEPba0JekQLrWh e2NYUnJb05kpvlOvJQcfs5pLxnEJfQG1anYLFV+MINDbCyCG5C4cGdUvPDsZyYCpBtQY7c1wtGO1 DEi1B7W7oe1Qi/xXChNZnSLs22tpVfmBz/Zivwr/2qKRhYPoeG0ppJ/do6y2+B61+tLHFybCnubY H0v4ny1mbMJown9hGOgJ0ZZKIeD4604SIaTzcXevOllltdTiJOiO3RbOefWClDTj9Z/0pWUyb8mH yft24SguiSJ1vdUgFSm/xDethCxszkrUjLALjjjjn/wOlRwrfcYWXVcdVH3JNo1iAOH6rVlZkvWK 9B7B0IxMMOIRuEf7LOb7Djob6rWc07FZyN+/bII21+NCQFDTJ4M4uOr0oCLj9rv/wInq0MBhOEQJ SKBrK52qyWIxzlmSoT/dVZr1huE0Nav/PRvgmxdHwqw0r2R/n0S8P8jp+FBui+deY3SLkj80EL9F EkJ7xQYGAuydHGxuLrTFKwItJk8J/qcxdYkEfzXUCUs7UsDIsoai7adKKRULOBrud/7Udw+a7bFH Vk2Vlfk5riXZpG6Nk7avsI6DwtDbOeZnto5rpuwdaZmtn0RcuV12P2rMFFaynIF8joW2gUiB4htr jf7WczIknPikbsCBgyjHF1vZpt80rgmVXU85NV7lo3SMOIvNoldjz5CuYULqCatszyj8BJN97+tl IlnlJsEvAKOGD9Lq7IGzLwtqUWPJcAetdMVoDmw34Xkznvd+/ya7jl3WQTLzb8Mvog9yWdJoNxqu fQ8UEU45KsqgdjizK5+fz02UvPrhpNE4Uw0UJD/L18BXYLXCRPXTQpgN7EwlRVn2xms3en9L6mqK qSQrULPjUdf1Wd6UnsTT4gxcRqF7Izky5JKp7tvASOlywHEkgBnFbr22/pGIAua93L5K0USmY5BM tfgwY1AlJ2kDkjc52uYEkssGHlpawfxQau5wsDtCv9C87mvGWxsq38NCPlcGQNaj2jiEXo65vcLQ T05s9PLpH+B+ZzJdbJSLzHZpCHUkHIGyRwlzVit3b6dN9/toV7UdtHzsYDgS9qZQWvQRsiNCZcYz KahZhfbDvVQU0Mlb0V1nfswwEGTEtXHB+V3VYs/+0/sr7z32+dDYR1fZ7w4y6Jg4GWTMYYcVENHE uFevJLrfSNGSImCpp7rc249R9bVgayoaGp1dy8nV+ajBY6yyiuKRB9UR99+Esoqn3sxCuRVRZWRI UqeepizuRyCv/a9dx5ja+3Is4d2bG6Qb0/wy2LE4NmKsBDu9thYGxa48V9bpDvJHWOQm0uC+rit+ ihIQFUoes6fAUmrjXKCTPtWyFSuqv4q4vy/tt1TX2FbLHLfCtcq6yipMZaS/zYlnDEq6ldHLl3JL R+qlifbVGpfvdqUZQ8LsoB3KMNew26kAZ9lyzqGI8FhjrJfo+FP3fN/oahfZ85KcYedQL4+7pFDJ 7ziKfsTCgan971NfG+uMfCo/rdnfBfoL6r+PKKGbc33LGbZlSOfQrjdXwvpZIkGVn/NjXf5lOjw5 shJjQrGnc0euUPVwuunKCqUA7RsMqGF9A0ngiNh8D/ZT0gAco7mMBBCJtKvj57hlYstCLPD8ceVQ OYprT6swna4LEMf8Dliwb9GPMTaAuqpGo/VlQSxyZpKCp5hXWlYRX/UF7fvuBNVuEYb9IHkz3sWa cL1sFsfXWTVx92/0ixPk8EX4l9vGZ2ujbghxXioY02DnioPfVX18gllOk++PYlUpUXUUvgiIoQ/+ +YSoUE1gFenMCJMIoL69xnJR7lmidVnMVeLVA/vUiSz73rxW3WI8t1cntd+jAjnUAL3B0ycnQQQv XakZXADJN1g+U8qUlXe0YGJuuUWh4cMc9wrsamdgyWQ7decZCp/17wK3vHX4hxL3vstpfZqa5fPg xJf2eUGoJ3ytiFnRrdxSrbqAJuLSEZB9u81znoLaL1DbpDVZlYT3Gp0Lgfsr4T4Y3i+Gl0mzr7n2 X+xQMrjHXO05wjriEhjRsQ1rMZ3mmzjNFmpy9EmqumzmxdeUVs9d6iXV/3DDA55//5LwMjILnYsB B+VXpgeIacOZiHEW1JPt5VIMS0KeTjUNxo4Digja09/BROfUCh0rIJxJPbRXzftoC5PZagd0ZDhf DzmAgFTfP/KQsw4jcRbkSiq5BVzb0FgVLZZDgPTFaP6P2SQU2RmAOnWOXGCNakQY3pBXEMXrzxgi lfFQBYSpHp8H0YnNIBZjlfQ80KGDWYS82wTNin7AnAdcmiZHlS8Iy9T9imu75hOaIzB/WIGkGQ6Y KaS2nvYY9t+PmGu2iKjebGJKCTtsKMU4ziYzpOpzobNLJE1kNThmBYIRkT7PVbBhe90LAbZkauS/ m+vaN9JeQ8Cd8ABVNWVqza7OFSR8HueKmxVDjjAZjCcUmqMCJjcBYTwUM1SakRds0iPwIjdaYAFt zKvXZVmirftWsYHq4jMrc3XYmRUwbMlx8n6RJCV+eRvw1XsMiB9EPCgNCXOu6dYGEQfrZw4P8Bw/ BJaEgapqYzs+AWUjYN5i9HJ3HmBC6zirb6L1cjORxFMYedzZlyJEfDQ90OZKg3ZmfVYF9/kjgBdb W3AXTOgl0s/u8zDrQj+D8TUTrJm80ljL1UWyvyyehY68PZUbo1okyNtEc8hU4q0DNZLdWkV4eC8j it4QeKFKCWdhfjizy6yADJuKNBo57vaXV075gp9UMI6Rst6fA+IQK+f5l8TUpIqXyAdkhp1f/K/6 KhwBMXhIhRZw4oL9QGVCCHyFeZbM6iaXsswOoOT3H10d89/dgGl31q3yqnwX4ZYMItRDpD/i/yhw 9sX4/9Iw1DhiSV0YR/3KtWaS/jFR0Iluu3UiDTmK7q+Fq03EcqariAyR9Le005aQC+53sXZMMnSY 1M8WhMoxD663vY1vxY5AuhF5LRpIBrDSKdW6BgHGqvaC+JOdVibnkJ54qZMZNZP8djMo4P8oaIgI Fwm8GcAThjb75y0XF3kl4dmzqO9MkvaVWVHvvCzthnlQ643TOb1qSi4bKAUFQUeUCfWmU0WTNG0+ E66CXFJyuO2HHuiA4AsxA4EfjuK/bCS1zkAvCAoQxnejCKknKVxWHHLLF2vORW2Gp0GMCOpImcJ9 th2ZZ/LTyDZOVqri/tuKmUPqYlqfqdJ446xTtg0svSUmaNuR/P/rdGHsLB2ZWcPG6dMtcuGVv9Nx k8+rSULPvecfxnoawt8AoGRNUEac7SoTFwCOP7yoivooLbYPKrUdXhKzEW0k1AfWiqYteRQhi30k UEfsG2yI3s2kPfqrEy7v3zNxjXi45WUxVnx4UGLs77+b4be2TetYM8kotX9cY9i7vWfduio6OZHK jH9k9lhP5pkwv52nA0Gbck6qBBcDT216Maw4ssc0Vd/RXlCWnGXEQKkTvR3r07ngA4uuUGDEYW56 7+cRGl2WaknklZFVI8R3IQ7Hj2pBmCtbOmZGrZdKhCBaOQMJT1tIq3UTmJIRcBRnX5HDoBQZGGFz kQDpE6rRYsuAyo1EM45OGkChQ5V+UsksppmkJbLVruedcHICr/B2yNpfUdWQJ8rRgq9yZydelWYL DCXF/eOgM28jVPCfeKM8vxwO13eTdGm0MynjsxFqdqEY0weY1dke8s1Q6gL0cuZI4IyyLnMqA3WN p4gEzumCQTtYbxu/rbrccDwZREKp9TjbJBGl35nJ6kHN/Xuy6jV2NJce0rxQHFJX9eQW19cvBGWU pQ1TavUsPd9tKmA8oyRbpXMjrr3cADsTTYrotAsDQCSdGV6Vv5sF0y57vJwPBFkCupbxqnqH/96f zPiuAYKSAvpMCfJ8HIjTKZkm4GSfBSqpCYIOAUZPEG06P5os0kt1ajgf6lxugi8h0G/yZfPpseNf T25TwN+Yp+UIht/kSGY8YI2mkDKrkQvKH+di/XcwVOSLUSkK0AlcqOXJNK2dI0xUJmWXaVCQ6akH f1dROorLYCpzgGAafd8gnDBfMj1vqYrA2ngu3Bfpt5xWC+wPjbafIMzC4l/cs0SOkiRgBEZp+AmB RvhwQdLncqXhuAtr+N/YxF77FJl5FhOK4acmlKsP64tgiFw9z1uIpiA/Yy0w1UuUtKqWhXDi6X6k ECUKUtpBBojjLo4muhQ+1WN1alUc7xShsl1MicFAipsqJjjgsT4ziqNPPqhcZym6l8RupFB1Uuun g7OZVPaCyV+VjAYfKme7emTE8AaSaM09k/Qi3CXsUsGmh8lS4LCF8EER7OZkhYAbkkAhOTMDbHlX u6wiZ2GH/AILtDr+xoxHz1MvVUI+vuxqDbENZbLfZ7fnKAU985D3DU7cj5/y/mbcRjDOQ6XrgtKh OhvD0j9QzyWmQDmryIgeRT0c5jadlp4iCJAoLeGew6MT7CMpVUR1eImzA4FXb8af8WbOFGbx6hZD eC+THWR138iRtkgWKwGSTyptPNgFFVANtGVPZzw3X8jCuZuVB8b8e7BDYoLFBSvPr9CqObH+mXqv mhJhEJwotuP2L+p6sJLeMwokuxzjtC+3YUmKydbhnXOySLDcYEpUq/jcIEYK1T1AlnF8gcjPrffU lHw7yvz4QvQwp1p1uooNmwDvTSTnXBRJLfLfqQ1ML95L7KLqtv9TMCCHz9ZbPorbAF8Y0vWzSvgV 69cWtoGREFa02V0/d84GBY6feRVJuSDFBABHL9N4sWov4BiWt2e+8l2+tv4Vc0MzQ3DWhvZ/zacN vmYqQHgagI/mhoDJgbr0QRlpcEE05suW8Vt7QEKt+5pu4vpleu/58z4Rhli6v0szVHXlzHwoki4Y 2vKO6fNnquGzGYm9sU7Fxe4zA1S8TqhP3+T7py1bk79GUVRSXBpeqk4UQkGS8M2O1hJpXhETJ4NL uTx8RqoKFzSBAYyieEYrcp3JM1pnL3EUMedAoCxkbUZoP6wqBiLM/PxWstxgZdjNJKhzYqLHTZUp B/zjPvfTUOQzB7WWEcF4XRUpZbRIHdvO2BfgRoKMngo2YZ7K90jc2cc3D1y7rlz+Xb5MbJ1aiUdg ij+4SAi1XEbxgFV5SvCi2ryDVpgC38xEyYCRBfIggdVRPKzdt/Ya+ZwpDVvMNvwOIsP52nB9g7ee 9Y33IPlVdLrBNmrdgFeYptRR7JgfRHiDl+mSexIawnqSpnaq7eKjWW5LrjU04sUjlsUrvMPfPzYd MLbf+ZF7vdjXTbjpjqJuO5lM/jF2XTu2VF4NJE16PU2Zj6fxKpnC197HeLpoNZXE6gBSGjqyQyCX DLP7Tpxg0T45W0kYX002WiYa52Rx+QQ+j1nu52fTBC3L5hbZuE1AeHZbKbD+pBRQA7ebUs8xRCgu qljy3pyXLMSHEA4ZbLzz7/mb9zEgBCHe7oRDsYhqhFgG0FR8R2VrjHZUBD/F5FskBaO30sNpJxJE QoZ3WZwNrpUkyMVUcv5l9k2c/gHbuStVseKvp/cib3l4x6zijr0UbBwjhtMiqopaCGFC3Rr9Rip5 eBP5ORGPTsPj/3kuD2cXnOiDWvBCoV4mAlHn0ZoZ5ZJGj7drchVev3Me10Q8OIcR8FpoH6iWZOqw sZRT0HZd6QEvzti66Kz4xfjUDiuFbEqnuJQ6KVXm8EjzOk+i1oh5mHgTeEOZmstLMjblq+37YsNT +ROI6iRpvlIG9D9RpbRWM3aDHEPHLXhcWRV9i3pLLSjVJy9JgqzWvsHw+8Wle4cUj4kcE27BzRPj pTYfrNkUkpeeEA4e3Zya0qX79KVg7xLlY6pGSrhpCMmz7SyDGbwDSGCxBkd29H6H3R4tezM6hqKM HpUAmdvI/PAlCyUcmOw0aG2nwRx6a5bWvQZnQ/2bJ64eq5PvGEx17qAL8aKtemFgR3bhalgtuLQm 2QKf59dA3lY1BZD5YFXP2kHIOXWCZWqWzgBddz7sb6KZsGXbnUH/fBsrh4qFZgeURg0G4SnAQDyZ ky6NESw3KOxhIg/jrG/TSjBpUoB2kjMKbUh37slwiC21EGo4VUsO8ci220auvPJeXoHdp4OnPU7r ZqYGnqLjT3hz/kNNY40ZYpylWRo/kGiqyHCBC6WCkSjNqv3oXb5PDUToy+207xj6Djh0OlUIo1Bx hzS/iD1rPHkEauX6gGAPrBMxtBOPsuqcURYP4/PX0G88wT+Kdx36ruCVyUkijQsWtSep52NcBczG XTNrBhUnMcdJYqbQAVG2MkPXGHmYqAt5tDo/GzcnR5SUar/ZvtieKBnCddqeCSFJEoP/nxR2LqMH Y4RlqxMUFhxuWaTexOKMlO+YkQpWaqT/uWkowbZO7+zzVPsHY8Jfld3+Gv5oLoREG6WNpH/MT1sQ 6HIeYscCVoz5fjIdJEp6727/cxUmKQ0XdPsx079GsEOfP4ybF/mOhflZ10qG6VacHJ7hCb2EeWWo ceTvLjg1dNu7cmjIdEzovFQ+f5IzPNfsgrorFZtifO0109GLhx6fhkZY00jWWO1DXTobeMakDRhK buEFwZLwd5+By1XgMnvnsz1uGUSSGYkSph44UUjOG987G8ADd5F8+6NH4jrndMr5++zNoFLfpbiF pYm0t8zxwOTHv0CFF10lAw79s+bZMnwD/BPk9jV6BEaJzXV68PrMTgtJSsR9OvMvNBCYdbGVlfM9 2xgCYWZw7y20i/Gw87Ci0ed7b5xenkqdgGM5gxPg0YoEBYHbTi8F6FJD+Sza9YnMgV4nxfCGOh57 J8E44S1X0hGuggzrnGPzquJotsLJbnomXZikM2IQqH20Rru8/2YVzhqkR+0tFuQ9mrtSgjToae5/ JlHyrrZ3mzJ/3Elp+uYPe5uU2LAprecU68FFaWDY/8oDlHg9TDeRTUcmtXz1jbU2emhPv+VHO3TJ 0wGNf5yfijcI6/xGEsv+2c0JSFlNnsRzOe78G1uM37nP7248xj1vkZAWZi+gTzSNiBhu7xKmaJq9 0NE8sjWDIUiK1UrYyJUWPE9K3k+jOk56+IFdSGM8WrTaUk1Ug8u0Z0U8eXQf7bn1WR+3gUE0PX6z 3w2SKUYWAg1Y2nSfUFM5REq4wwJXb+44zXqDjmUVm0wHF9fJ4ELw6AZhdAz55MY8BBlXef2+jlV/ DYEj2xd5hUkUA5iF+PABbyCWL+yAf76CT5LiyT7gA9dz/L5SEH3YUfi/iljK/pBgMEnU9AapV6/5 kGCjidbt98MZ2c+mbT98xgrRbuSzOiRNXqQeTBcus+nyos/GU5GxhtoXt3XH0d5JdPlAjzApX6Kv 9Tb4Rpz3Q3HyFn4hhiV3cgg80P3Mtgmfzo2bfciNRJVmVwHMY+OwHA44yHS0QrQy1t8aqGix5VUc ugsgS5rJA0mpy/UP2wR+1m6l5CeFSy+5LqZ3VJ4hQOpFMqpV9WElWJ1A7KlmeQOyttt+XsJMYvdM HDZk0hA5iwzSy5Qc871as679Wtg0DK5gYvxXmAKbZjSEVYRmlQHrpXajLfmA4m3ewN4gqOx4r2Ca RZWO/frZ4fWxiCV1xwN/kLzoVT9gEHms4CT79CNN0BivBwNPXrO9hfNo0j4w1qdnSUS4TUw5/0+8 RU4Ks89ZIv/Pixbd5Rtcpcp0o1Z5sBY1ekFf3uWxN03w/AAyXQ59YU9eFfI6wnpuIeyjVsrbXQBw LOG8HBXiSSQ8IAlnHCUJp5coUW9dWcrqaVN3lKgu/0qw8e5ZwfHsubvhxBu9bFj9ns+HjhVGonfT krDTNuN9qJH8HYfD5MBF5iuvdoCVu9Vq3sMsA1ryiA4eTTpNznA2qQ0pkyen38w9OLs/6/5JBS/S Qy7D7NanKUC1ruZeWotYoE1CkvkRyM26DxGOQyLT3YxInLVv+OnJiLLF43VscjRMZtvM1PZy+FAW YKnkZl7CqiRgJl5YVC6PtGK93zV+eiDF+jBj8us/zrlFJik7fm7/tyWZwbVBm7XI2t9RAhf2CzT1 UCjqhVlt17klc6gRJGfH1bocd35BiopwoFJv+2t4K1k14qaqa3zoovOmQL+f7XIP9RNiZ8PPBiGG IdQ33dZUMTwinAYI9vRBg80VL10OyQT97RjFy18ox86vB1g6L0bAdPfzf/NIRml1JHNcFmHCK9Lv M5S4A7+3Q3XbbbXnps3YKD3zJtW46tOX0zYWEYC7f5CAEC7ifpScX+pcY2ud2M92w5CwjTHA8JFn rUl33283sNs0QPJO5ODHy6jNWX2oLTIBpw0McAyz94MXoE19algTxpEdxnqAo0kEpWY0TQM4kWag lEMntLGx/laRcOWAAoIkuY62SuV8oKtWIAINXdgIMmokqvo98KWAAEPJ+MYrTLBshA+rAwvVcvVl BioCttbe8c3aMRFKIbjiibBd5nJSSOPrDb61d4RVS+hkZpQYDF/vWMq2BDjoyTeni1N5RzwEIhxs NTQcwFAlhNSudRSxFJV8mgQWmUOS3ui2G4rgDNqu2k0PqijiTJHtkCMgdR32TqinuLMUglfa9sFN UyXhLyqZR3E035PfU5czvWW6Ix7ilEq/EzLxxpEoAB55NAhUwo5bS90vfE+YKhWBm+RF8+DT4ggW ddYh3IJRmebuGGqMAOcX2rdmuFzRSbrrvPzGtg5LFrVz0zT0y+lak5h4vs2rLfpC6wAGx2NhHwI2 gwjpfdahp1twR4i/lrvtbSFQw2OyZuULBbBECNSNOwW/KW2KxivCWrmYgRXMFZ7pzehZ5S5qjPbq UYH5QIsNQXkquxjyE18ZBaLnVp9e76DD3QpSC0UUSauqgzBXPllQzTu9jQ3azw81FFR807uskphb 4FgQfW6Wup2uAVggO82AG6a06a/riZZJn93yiSli9Gr2gQKtl71GWhscOnSu4RaZvgn6ZT8XIQ46 5ytVmMwCQ3K04WEtR+l9hacHywpt6mb8+MbLxh+flpmk0aJ4yBzDoOMqLte04SjCSGAAxOn3Ss2c iN6WbRK8w/2x8vdsA/WtuDLei9q+B7hHKBINb9ztmOXr0S2LgZgJjfJkK09t0+cppnKur23Tv8YD EeKZJ6zFxEuohtYJirQZ3tqr0JU5MkULzLeJs4Mu63FZ/Kszorai0SuKEf9o7h4XJj7bWu4UfSFD l87iJ/3t+MlkSYYocRTKiH/BUx3+Zz1vugFm8iywk9FgBUbiY/N29xTF3g9rlBsMrA7Pix0T5owd 5s1cQcS1Dgm3H0Y7toxvoy7quEpTWCDATUWESN46Tx93+O45W6UnNP0s89H2ZWMBlV/q5qXJBOtw eH8pzz8lBj3Rt4eKqhDW0Rh4Ius9B+sj718jjKFQ4ka1v/kgKYfqTl3TmwY9hljkikBN86B7fr2u cGGHCfVBBvYkmDZj+fug7rxnJcI80FiMo0mfBFVTIULEUL+YhBmcWHBG7tTUeYK0fZi5+WSe/FY8 qdwxSboaFk87kmso91f+7iopTFLE5inscEE01lBgrpnL03Qzeeza/9PrnmtBa4ZBdk1KBoXgY3QZ 44GUDuqRAPNzYkpU2CNsD8FaU3Z4qsRMBqsJciHgEdlY704P/ikLFp5qdLFIdg1XBzRUa3NwDhMj 4DbPz89bpmUPM42FwkYFEWhbMOs58oTla80y7c2KY943FhKilSaYB8o36wpPT9Rxa7uUGS5RzK9O uPN7hmjI3xKbyKCwvhb/CvovPzEed6dYKA1WyQTd4XmlgRYFEQlAODCmyvcoctpuxY5dq4XDFEgI 1ZHTbWGd3E7LGnZnWlRyXR0K0vPOxgvEqkmX/yjzz2zD7q8G2zYBDKxTBB0XaytjUttWcn2ERQ7g ODRe1oKbm5qk4yQYkPhjOPjJ73D/lXDKO+qszEKDK7k6SCN0YypjeUlZL588hvxamDPMy3avhVMG 1Ham8s494jSRVFHucSQBv2oxcsNGtcVFNgBy429hTmbXJB3K1cpsOH/rs2BKkZI62e3Uia18pGOd OEVvbo6zu/s5eFEIUZC+EkOka2Jzy/ZxrDM2Fevjvzq3py9rk0WM++3KL8K8IvtjRek+Ab0R6cuo 8z+InKiB3dZaBnmBeushfAcqDRXSOrmCbSiQs+s9ci+berCveUOxDF+l9euPReEeHfIXawafkB/e vc+e3ThBYZtJhlPaxYSV2a9M/jLcfd/PdqDC8B7A3Sv9/+K2ydvaXvxDw14LUOvkv7W0eVaMvAwI bJG4mO2qR0bTEI7Yh5NEHPuaTocHi9SUXy0OGqzxFfogSMGoWCJ7QJJmDRbP9t+bvncQ2xCpIOmy I8UrRgB721OqcVgTEWt2+cHPtZM6eICqq2VFm//qYRjLaoWxP9SIWGZq8HHrmeHnqslwdauY95Gd 4Su2xtDB138IiwNUWN7lBzQHF9Cw0t9ij+p3m2GIxQHGhSVsFAgFT0q9az2rW2XpeoLCma3j9Xio XZOINmJLCR4Rqtc0Xpy0yvu/bpgZN6xhE111vN4WTeHPbnalYfKamw1Y1DpdeSNCIsaNbn+U0D5p R6x0uCuZ7zug4FomTIsYo+q0yGiX6B6bgl1Qo51NrfNE2XTkGv8xjjQZ7JvCd88pQ0XgQhZxHgBL XIWCKxfnZ/z52FIR3ouAeL7aYNXdYCcXEs3ZECMR34VB+bBR30Rz0V2K3/W9GdNFCxesk3TdMOUg G9oRQyCxLSqGXGBNnxqe2FlqfN4jKNQ5QClyqgVmds6Jl+1yijqn7omRzsojm817rdcGzaWM+iWG LOJ8PeQtXiIZmIRK5EAZ+JDBn0eQivd7EJlFehtU44piPypPryekZmiGMf00IIeIbU99QjfsaASo zOXV4QuVbdTD8hN0Wd3DppDzOLtTAkdg8G3PXfEjvMcHRqTjI+vYIL/DuMcTHc134yTleAc21Pve E/XGjlIXXNBO2l66iTo5b3EeFYsfpjw2iFWV5doYizO6yYnPts0gUTmuvTU9hRJJeWuv5b9OPdfZ wj9CqYHqMr7HvqlyQCVcG5bY0sErym9hmAB4W/rONN0a9+45fKPmpkFG4Rmx3E8pzKkQJY5H+r5V 2ja+W7pBJa4KemYbS9rBTRYeUzrGaSctriWIZL80HxoZI+uybYqMiULLRdEYtxLyuQjbDra2OE1T CHrv2pboGRBjlffy6Ga5PI8qgLBKDkNyV3L4U8PKiGRPPKQJ40IyJOZBuhJUfGuxKpL047c9xKcx TkaM6vcb5zp47QtZ5MPMCq+srZvC5cv7rwlI/3uc41WoxIuNj1NXWl6x8/gdYDx+SkJp2TrGKZR/ B20cVO2XAWqRalegHtKrdsq/RDujevNA122adLiuckbIR9cSqaP8/BbmpWWr+PHOr9UwGoBeSQBL vzTsubq/U/jWmknlUtZdVkvGTE12Dqec1mVeIOlbmog7IE8dAd1QQG+pX8fche/Rfuzf5sA3N05C lD2X7gO83YhSWZ/kY4fTSe8Ucym/ggQbJuCAjDqZ75+BsstYfCKHdt+82fuEL2FYPjSlS2YNoUDC BH10OCjTxx9A2mITY4h9HmTtsef6hw2jAXowqVa5EbN1G7j5D62RfFUYc/wwrZ9oYAmQqhCtV7h5 PsiSEyf67vLRcKr0+nhCqTlfrtnucfkSYn7xUrBOuXPP4LNo7SmaJpNyyCEKjtav1q8an6l0P77w 6pMnm9xcyqFaOxW+ElS7SPZ+vUt5lm3j0kD4OewWBkkx7MJO+8o1NlS2+HaohpgcGpdDSCvHa5ry FB0VPWioxQIjxmKBAATvyiifT2ufjqw+kZEO6goFAorpCbBrkDePsc95Nn/reY+7FKr1NBFVoNKh NI7a+6uJp8ijfKpyNdsKRy//XVFh7HQyoxhPP2DJtcdGApVLVrxISSXr9Y9/us+dSeI54kiuaATz gvfRg4wPkIgueaVBGqFev9NW2RaJKh4kDgpvjF58bGi3nyBnVJ90RidYMOlA/5gWoN/xD0J5OG0/ BIcr8198etKqHUMrSDCLVI8FNhLFyQ9Drps+vfapW5yyG35ZRpASLhcTjxUG8R/841uRpm2gfX7b 8S1AVba7Y6h5CcelChTqxIo+KIT8NvE3Nwz9uzBse2hHLnVjNWbgWO2dp2qhwC3fy0tnuUZxt6PY KQ20kYQlocQX0EwmLub7SwpkxMtfXy3Q1b8B8yd1fx/f3TKqjpPa+5vOXllkmOYONyWueieGIDZN sahTi4qyLGUVPn07ojPhdYVu9ZrK8uO7xXPGg0lfQ6AVCo+Qqq8/OnlBAFt84ZZ/X8q9C3D+6Uy6 YGakYrrPO4fREfhSCPvjutG53DPyHHJ8guOxs8u9SLPNXhHOFNxERQgCCmDL5ZyvZlZbEg3F009r UXWqt4ossKnzt0WIw+CQlSjh4Wm3LKy6sCBnl5j7DCzMDtEN0MSNU2xUnchPjgQ+ghE0/7eYFBRk F0DJPjEM9rsva6DynjqIlYUl0WqkoWErELcOeDirAhbSoQ58IQM1QvjC6I2s1mj6ZMX0ZcCyD9xG ETs0CnClodI88TeCA2Y1Tvyzcrk8j7ZpPhYdDw07dejZG4E38RkKrTYGcNmVckdaHH9TPWGxzYS8 QOUA0cORRapRjt51l9MQn35VqKkob744n1pREsA9q4atiQzOYosGuaG8ZWFRjSyFFMc225rfWSGL 4WvBy12dbxNKMUvx0wJnfuYw2iSfDeVtuEajrJCqfEJd+ysj3mhWfDMlFkrwDfyvZ+uBi7Xxqfjf 3BBeAcMCJ5aALq79Vj2zA+J8ZURFiHWlj5xqtHQNQ9G89JSchwx9/gQ2Mm0pKJ1TX5sOoizyRe4W NklLwwrDfbnDSeK3YjjwBSkvdqo4Lw52JxVuMwBznDOGHmEtBvEm0XEIJzNM3/geqCMlZiYrczMG IfIf5i926NyTc6nOfUWncm5DiKu5iXI6c9q7oozxreFd9RYyFbQlKTgE+2xR49EPx2RhkVTZPcGB c1WOILB5kIa9k1uGoLzIubzJ3InGFBpPU7NVRQKW0vvGCHchSOyZ3meY2F/u5BAYTfZ0o+VpWFXc fRBHOnTbbYKAsugWJ1DCqnlWosfYOEEzysEoki3nzA7gwLIxLl9+l/jHOnCJ7e52zBnnnHCjaZeR t63cSya1PqNhGYNPx5NJv8ZFzA4qqrHJFIE7sCPebzfRBvf+dhGOyBMt5+amgBLxJzrGfunxWV+I qlk8ZNRnLxjgp2ZxgrsfRVgv5HKbtTZZPZMC1yJ1uBAk453o+gNVdYDNcmRCA844k4T3FNZyrME7 OrBpl7j5kSEwuhHpnKvCEKJInYYw4KCmQ3tITp4N2kTWtAwudk/LlrKzkFowJlMg9MTCjgaWWTIh RUtBWf/2nkTn148FrX+BDZqxMe6h54c+atEFJyat+odnG/RlI0KRZ20CNchgKWZHreBsI662h+y+ f44IprwuHdVQ+tqu5DP+/XWjYO9urre1PMCE2huhjHvVZo6t75P4wyyGqx4ODjNvq++CjolgEZiT D+jTWqaebEhx0a3SX65oqFfQaH+EGefMulLYF1O/hMk4VytRcoh7f3p26rsvYHD8A189qKHqQ1QR 9wRwzC1wNGdvw/raReakA2xCbuSqVkhDo10QKTxtY0QeqOGV8GKP5Dn1DOy8gStW/6nKZap02RXR C3mXSopAFL9QRIJjxtxo4cXWVdD9L4n3ffjc3B9+3gWujIJuxga90uiJMgqYwTGcui+6vXb1ao7x 9PtYg3at0+Zi1nn31MM3ibigFNzzq5V0JCT2u/iR5+M4DAcYqhE5yPF/RH2RnLsJAK3f5UfXwfKB L58nDY9P71RbvXDCa/uJcsJ6nAK3Rgt6j/59olGF/9jDD4CsF2CjOq9JyJYfPOpYOv/XaIpKeFpr yQEShloPP264scOxuXo+bwLH08onOkV4fXpp5lhbhqOfFJOfbFp63Tl4je3pERKe5MyLTPRqfYhA FTGE8eUdzdVPExQgdSXcmQhcCxHPO4oWSV6sscIw/gW7Zdg3SlEuZTmTbUllhLu8dzOeKGyAvVQt vaUZn3fCyST98Jlr1T6OLZX7bpSqBz66hJHsj2FQnqiEPxm0nN8S4CyzBUOowZ40sLBb6XLbR9tk VOeJWmOQbeKMMo0RJ1mx3YGUKNL3tFtDnZCoXRsKMD7idIyjmAKUbiEXAJZs9YM8yaIXP90DSqWc nWxfCdjrwWpOKvvZJWNGsqLyyhcOzIaIVzjRHFN4iwXhkrOqChn059QXncTlWo2AYm0/2mwz1+Xv ENLB3we9nguKuBzV9/Ua6uu8f0J0XbsCgFFIQ685KJt2dltv4epUW3kPiY4Q551Q8LYke8dm2m8b 5Lz5014Gl0/zEHj7knukBQe6a4vlE6aF7iyXtoMIiOIP/bbJZ2uqgSkI5Q5m6irFWmC7kbTK4Ms+ I4WgXcgMAblT/P3GvlFTuoljOXWwYm4If1ly9aJ0i0qmtR5pyeH7nVmZ5lq2rtxCbfedV8pwquz4 j/C3lFyKgFVOTbRq5P3hh1N5UMu+7I+bsV565OeBpdEuwZOzDo5cLipwVo76i8n/d+n9XXZUn+qw aZ3O/BwekS2IUDZPv6vGAaJP6pZSXzpRS+PYERnUaist5FG1Ho7YUPgKfwna/DsP6qvq8Wa6UeHf jTD7kaT0iGm7m2DczGgSx7A66XnVNstGhOtr1Ijj3BHllNNl6JUDl6k0MZp6aVw8ZhZVF2lmBSTT LJXvFtfh+QHFrOe1tSrzZm1Gm9KnsX6cMWGAp43tNAc3E7CROcXVjFekKnKzpbgvu7Za53v8AYns eBobzPacr5p1Pp0Ag+QA40cWKI4U/J1T1LUdgWPtF4RizD6n4jd2Bl6XSYN3huFEoPyHLog/BmTi K8UfG9yED40cPf+IIhMM9V2wyUi0G1lqkaY3jatEz6LsfCz/gHHPs+vgoUktLrRdfXrZ2NEHUjC9 3cauJPAWXGw6IOGlcpdnn6UAkTj9aR7Xh8KHxV/froVZZP6A2EOD3t3M4pBrzPX/J+an0QDzitOO Ty0IeiNq4g1ICih921gpW91+3LrNkbq7zek+pmqgP9zx8XMeExKVUKh3VOYstQ80FcQfutRraeum VJxPrB5sbPyfLY+U69SliXVI6sF2scLmcayE4lPs0odMJXwkrOcvUqgFA+9nbbTc7vXZfxH5ajOP 7L1kdwGWTY+4OOZJH48kA1jvExs4OcrwScDydTBji5lcHxdbiaTHS9+pz9vZbcAZk9RigEX5DcnO +QbQ2BhCCH1kalie2WrzgY/NCh8QD30LHsuj3ZeX6Uou10YCJWOUTwOmTbQ0okwNeSKXpdXQUqqs iU3rK6g37caA8VAPi6PV5bNKWoyCxsEvTRmR/BX02dFW0qiiwbF9FInRBkE+uw7c03yayyELiapJ JmRuHJfThvdzVNMNoXYjnGMX+Eavoa61I4ZfK9Dl/p+KcCNtwBoU9dxrkgnRuFBkIr5bLnAu4cwF u++6RjKB5vsgENB1GHXkCjY3eIasyvYc8CYbxejIeRZRCDmWQMg6UaIFFcCKxAUrnB1GAT4OY6xc a98K7USaRzX3QUNHE6faXM7Ks//UjPxHETDtZuDz8bpzosVui4kGcC8NolbXmham0ha8veit4DYT g/ThAeTdiDRcCRpDTDj2+XIYnArjzx1NaIQuJ7RZA4jSZ+K6mDOxsKEV1xSBXzX6nAg1CYmgLgd5 wZwfrVVSP7Jss980CzgISTh1lF+OqRWeVGnsXJf2FxawfsLdHCYmUdetLjwk9yXJQZBccS/8rRMk P0Lk42UoluQ8r7NhY4CiYQu11C5pOPdpXGOgibuoDzpJ7+LPX0dbedXV8Jrxpo7nTcsBhAeKthDi XHIawow6uT16/k6WcA8hIc6uvDMHQAyEoL2NIh7TnPcp75TcB7mutDNiJxA52LPmfWHwMog8AMBZ tJS4ZqY4ge3jbtBYbiVKRibpGTxpD1JoaEFrGKhMXcJzIqmMp/0gHMNlvafUkTmIv35+ARYgbo1J GG9MYE4FIFSf1fBcJTzekWYgKmH/V2FSqwrBP4//H/MbJWqXcvBeft3nmjwUUqRj8uun5cJwvRzM HiX8rNpHQ7cj29r8FJy3m2x69RB7tbEogKa6NaR1/S2mZajPZYwHUowhVmrIy37mlcIs0xidWIE+ Uc0rXXN/PxIIGGzg2H1Cx7Y49H5Pbvv1/1BjXE19Nhfa/8d7oMayFnSrNvE9vFZWsk5RYVEVnfWV 2TwgD6DPtc/GWGmRPfTpw1/2AIrCe3LHKYvW94Tgn7Ph7MUak+xuO6a4fcxTx/ThC/SPQyuRM3Qo MBjzbo39ZOYyPOLa2Vla/h/apvlwYA7aHq2W0Oii+Rm3SR9ovwAdeMC/EAtj+r0ryqFOk85Qonfk BBwh/Uh3wd/51SX+7B8pW9ww1sbkIgVQDp/My/NVrneB0p0Su4WBrUebTRldtHbNyQysFnzJWWr5 duzxVltGwVm9wdhCyf+0GOl9Nb9phr9HPo9Hv9V3mQ/I9df9FEWf2LXukUJN3NZ8cU6mOCqAgBlD QkLAh70tULRUT9+tlpr11EEONKnn4xV9CJPzpVBoM/nwDvQ8wMI2Oyuy2ofvrn2yCRargxn+sweR 2mo9y6FFLw5AARq89+SVtW5DjmD3QM6oqzfDeruoP01YerFxor5CwSTJecb6kbfgwmTPd5mWRDQ1 96giNCPYigx1ekfjb6hlqxiQE3dJyhL/Z8o7WZvTPQCjBjjncRugXQwrhShn44UTH3rQGOSqIY4i zpsKZp32QV1CDSw9wwFPnIGGj8wOVkbRk7yocCJ9aPg/uYzz7HrUjztwwYlVabDTORTCz5nmG7su +FabL7yz0m3SoArog8SKC30oVuTxiYrG6u4Qz8u/HayEBIJBjoolv8bVs6/+JE1gI3xbMZiQEmFf 5rky91m2PeJgLKvV/riYzWiaMvBoibfmo42xrTe6LckAG4NuKrjgufZhNCDnMXKyJ4g5pgfgsJG7 4jPQhQ8mAGWp7Lq+e7er6oLwrZkXtIpelu+X5TFrDkp60W3osyHiCtSGPc2y0EMgjPB54ooMTYYv G+fKFnAL2IFB5iFIlrDEF3r8f/RIMqvbM9kNjQVdGW39aIDfmh/1J5XGeGFyCn0nY7p6DJTKORGM LGnajPPulRXxL2x6gC1yMeg6Vwt7OM/hN6DwQkYCPmRelq9JZTcWVPTcNRizzZiO/PAmlzZ19aC7 NhcHVYsz1Orel4gYDUxAycRB0Fd4pdVtLPdGFkSJ6LyKeQ3dWVdG331QuBxuDoiqyYm2UgEIoFA0 rLRLMuj6pKrOy5BH/Dl+ktECB+bytHZ8V9hmIg2zaW6+38Rfl4AbnXL+SCawFnLtdK1G5gn3//Cd HszChkLVitn3r5YX+7xOYbas21dTXSLpgsJZF3sgUB1R91kKKdjHsa/G9pnLkPwF0SRZPSYHatAE nbfksKjo1m+he91PgFdEousplfyfSyaGw7P26NeV1BUb0V3jv9tYsAeG+cF8WfX0puQXQ9WDzMGY Ig9N0cq+fH6wReC0wiWqa8L4pQ6uK/4mqnsa6A6dpq0U3Vg3/tHln4pWVOuGD4IidwV8+mZTXLN2 tG2M6p29fn9jSWmCLvVNGqI2FPdPmrfOUTOReYnD00hq4ItYlhvDDwFAtIUGNOh7ztPDjoc/egIw 7LboAV++TT83tVDia0zkR5HJNgIBUcY/5nVyOVr2XZpV4K0flDZ61YfLiM675t5mDLC80/chBmp7 NsTblJLc2bO1pOxtZ7jbvFjV69VYp5KUV1DylYFVjDbsNCLVtQVdth34j99yWrBLfmqOw2jHpKBZ 0hLwtPY/qC9+OdQ1xp255uogRxJosQGuPNdde5zY5VYL595GX0b9NLXIgGuMDC8fo5GsC76ckfab 7KcGsV3/CHQ124pPDdC3w4YdnCcNP1FENHwkqrgYmbVU8AuvR0sBzooS2ZdscsN0XcLu10zNoMHK 0PsXBLn2dLv4XKyHry97j1u08ydVhgYYM/GXMC+x5XsinT5aafJwHHkpPL34cDffbDE0dFQzP4Ww F8O7ZvJjzdrJdoL/dFT4W0xu3dc+1dC0hq5cqVbQNm+W0DZLPKs3LomPDrlPeKb0VTphcq7S2CF9 4x2HMO8Lys7cJe4JRA4GpOLvtstc9xqqtiGqodJdp6pHKC8ujVyQ/nGBzHeJxrBbXAMiWIuMBox0 ykY/wGNDMV1lCBVI7WeWu81YfLkeZO6bvOhhY9ekyKw2UIX3blS4N1bRynD0rPYvC8I3KbUQCoRN 6HfiF66k2pWi/9wDEOzaKjT3tETe4BQQgP3MQbVreLfKjiZtbN/lfDabUmk2J7GqPKLaWfbvY6ju lkPsmTPITRY1s8kWVroRZLAPt/HU3HQQBHCavWLmoTyyPQ2MUsk3Vb/mpWqmiv1hgKU9OcMveH3V gHYxzqLpTvGnTkppk+lIod9VNM46iOYSjabhYzRn4Px/F02Ousz6ANdxsNb1+vzo1DghtiZAwGp4 StriUweTPEbp+t8IqvoqOK0LMDx7C6y+93NO/YrexXtwi9k+QEhLZRr5x2Uwj5l7Sy/6HGpZwbSj e8psgLZI1U0E16GoFbeKSeVOMAgB78F6zDv0ldnQL2AENIzplxs+7aELGT0lhgDt1rQmSYihpMRp IY8rhO6TqcmcgfHG+9lsdbk6haPXP7cCn7u3POok+MS/r6VAIzHLTUsGyP8wrGVLPtNGjpJk2rUl Q8JB6Nf9iDNnMQ0rmMBj1cS4d3LyBvgLfCavBz8FBk/tATFj+OVogYIjZ9yOAyRFFFnfTRFAZyur spQZu+BV2S4e58zGSaNNB3/DtH0fSnY7WF0r+EkqkEMb5q7JL3ZOJxTHtxRcmztMeZiUjY6y1649 2uBLIMY/0/J3tDo4SiZgnK4fSkNHtkeog4WDB88HDhMmUAY/u1u59dd5wzLpmAsdaV0jkQA80vhA 0MsN9vs7PHDXKS1yw0+RtesYUVYBLDCq7giZng+RT9/H0M+LUdlPS+XlwGx1UhH4D5+t7dVZeiBE JH3lMcmwW+s5Cyj1SfxzOvZ/wY1SYs9gqdebbDOQDsoeIpwzTe5nBTPaeS6tANKx6iT4SpCYqUGz JqFto+DwZlruOJtMRfuC6Ta+yH/PBZX46YCfkhTZ2WS0vcz+WfcrrLBW6ktlC3bUl+OZMNcJ2XYL a7zglk/n7+Fsl+kEzjBcW5f96byOzCiJc8HFYO61XCBQmU6JV9dcXOSz0FRQIFgLeFP9kgiSzClQ sYgzYWuYwoALwK45HIQDwC7rwwvlw/2yBVUgBGPOZPVmEdk065nV8rxE6K7iM9AVPHcClGkcEoyX K3pvXkRjX4zhlXL0j3jp+XjiUSWlF9bIAAhfBSC2DK9FZXZ2TiHnUP7MtQuXiJ4wNA47+wy5hu6l eAND7eqf06ru33dGPUErPZSfIEef38bm/TvR+ua90dzw/jDW/bAix256s5UYnSoV96g9ViUk4HiN AK3+knALkFKYBt+KVfzsyofZUDspKAWLZ/ygJ8+J3LoDluZ2GLD9HICMmRj3oGQWgme2uy4mQ080 w3oEF0Eh7yVEMJqoTuKmGFJXNftMk+7d1VNJbfJCB5Vuipy2HVUEqPEGqPfwkE323D6CiK3ASU/9 P4F4Rfnb8c0FZiCgguwSF2KWeGv9UOzt2J6Op5IvNkagXieOR7o2nF96+ArE/cbeDWgIjehRVd4r ITa6TNUvissChTVEWDKxu6GiC1S2R/hJNAZdX2CBPhgrb/HIx2/KVNKq7m2xxfgbYKD5PdAEK/H7 6TW6tnVBD7VI+nvo7qLlHJ4YAxyRt0YBnOP06Eq9rEl+we1TyJkSlkc3SOcFlPGltTBexEq++jpQ xjr0CSzHZTAsVwV2W2gBiqSRlN2EhNoyvKNd4bQ7JCd3onEcLAceSQz9iLCjGdsRymLhTSUUIO2k hKzvMnUaFzFl74wqXHBJtiu5ILvdEzoCQlszervLejgNpiHtClEk/dhxibC+9cF6INPKr2Zg9F5C ClWNwl3yMgE9PMHuEKyb80jhCSFK1R+WT9ZPyB17r6Y/d3tSncaRu7L093ln49LAhJe+jFvUnrzF 4qPhT64eBZOkif4mZUxqaeWkyS+yXsjTqb5WZatzsh4CvXlOpcHLAXtmT5MALCfcjV1OvQEmcz6T hqPNEVeTeTX9ua3tssJxob3iiH8UbNuUCifL7yzAPTtXaI0O9+JkNIH/LcUuTEgKVyTUqsA1135Z YocpFQh1qVLgnB3m+rD5U3J/Kd3PZPngv3zoiF96AuTzAGbKih1g/NOTNT1Qp2L0KnuT6aPmieYB 16+qv++PVlWgNuXQj4t1UkeclQOrZbMYbccS/whisQMl1pgAtHkJ7pvivZGoA6OdhAGV9aeEPSJs U3EoET4cxAQdzi0urfV1Q3pDEZuCnWUZH2hwzdxvpFd7SG97KQNcBEdmu/d3PVxdzfZB7X90jqAc eRAW8mPlMhcoZYTBmHbV/LPPze1Qo20opNG9uSXSTGf5tFnUjPJPzATaiNcmDgwTcnfp9tJaauw1 X3ZBfYrOIYIoeWeWb0rW+pfjYXpbzeM1Ioo8w5qguakJ5ud6OEymgelE8QB12gtC7w08luMB29A+ RwNAl/F6+s12h6Qc3aB3xvG+yWyI5FM7Y9DYZ9oKXFH27QOM8eMdniGMoEQVMHxJba5fReD+z3vW RjreCQkhewBbz03aPn4cn9KZr6cVpkwwzO4nIqUFTCuWsIzG/DkEzirbHQJwSmpwefLmuTUZDIZX dzQlh0tuazzfVygEp6AjREM1t+FljApvAMBnJTh/BfheVo8XjLYn0+j4ElvDzBeUaUhJgSyLKMnA ZYAfEHQf7ImWAdATD3/MI8/LbZzqI1LDR+vd6x7ZtKMxqFjhUUrq1ncK9u+t/9ST/grhe0md2M0k TDvofFp33K4R7WSAs/6MHSmyaqT5LK4lSgQBMu8ZifScWr4eCO+uxJjDhrLoTihDLzYOe5bOVzry Zr7amDmMT7VDev3i2RUPL/isRC3Z/3wRxYhtCcxkSnlvfererGWGaZtH6ijUsavY26Mo/wUQ8HNB 44YpSAnKIVVOs2HsHFwOBWHKKCztcT/NLWHsjGAMCTgQ7ICTWb9y1ObDupdC/r7FkiCE/BYWga2K oIwIvdHkHZ0ZIWQIS2sm/PJJdzBbwJKK6SQkrodpyhWTxyRMOvPb4qHllYIktXSHo9O7ox3WCKjT ZZS6RtuWB6VwzoxomUGjQPJqo1x08QJEzq9jwuqSQkbztlPIvczBzLvx1jYYXuNt9DEzluFeMIXn 16n4LWQip6z3qKznHvDCxWXN8nVNlxvEyDPg0XegVnqYoeYR1J9SLM3yvM9Ku8rXCbUvtmICA97X O5RxuA0Z/nsqABVXrS+Ap/VlSXoHydfNPBPsGPyE9rrZZ7za1sTl6UL3APliR/cXAA1VJr1g34Ka KUuC+FcCptLoFUziMTuMTs8mSI4cz6H3nwKbK7wflJB52F00ba6Yiev61FW8mXAsWjMSj3ACpJf/ nhgwWp25sbS0wWTJLdcdYXjGvlAROAl5FEwbCxEiLu3wn9Rx9T/G3SRvhCcd7xH7lpnRBTXQ+aUM 6+fvVoG8kUgSdy5MnJp+GfnsgeCUGDJ52n9LbOr0lgNk247lzu1T2+ajqq6J4rq+J5Bx36GA0lwn zyngpJTH7GLoqMEIjQL8mZFQRXXc/z3J5doJ8zeAODGbm24z57EDm/mzMgBOU0cvG2Tvd7deMHFk JNup4KXfPQ6YTudcgW067b9THHKb1uUj6YzGlFj/inhMVweQPdRoK3p5js/ELYRnFJvn7IMkWK4i E/Y/3IyEYIi/JbggBxAHHIHeb3QlpQkHFOVhxU5DlyNZJD78pteNNvzrw5CyH1Og1Ki26qZWM+W5 vDV8pkfYeeTx/qM8OAFAtsc0b5SDGN50vYbzTftEPi9RRAc6YHRg1w7P+UWFNvYYgQosnyzUb1sC IutU2peP4lyBlqGgH0VpeO1k93EWVBU2Csjtx4hlMX1kv2MBALg9QkJziZpmAIiK7fiL4uzP9q1y /eZ7PApPv1exT7P6hPNp9zDZyHTVF//arC5kuksfRjTRYNwAZ1/NbO0J3olX8swpI2QzvpDDwTMF v8ociSrJCyFgB8cSTqi4Qd/1Cci6xG3D77cLK21x2lwwVOXtt3p/HyRu9sjiHMV2xq7UBXeuJklH oEpLxRwpb0Y2MvBTrlL5n6Pi9G+VugMcW5cZainu+dCz+paxbH1Jt2P3nzD0j8fTnY5li4epN5pb 1FhQgFyfsd0j1O27KqQFqEOWbN2FCpGFMk1FosBLFElpvYsBYOShm9id6Bs7JzYF27gZleRnRjqB zocIwUSN/4a0lC61gbHe/3dp6ttJoXd3sJhsCX33NWJRQQdwgKR4YNtQLH6B8icmjNAcUfhUoT8b tuuN+VaIeuw2DhGOB7a+FAvjmMpsas8wB9esOh57rKevWLAtvTWp34csJ8kynay9Rch2M7CvrUgO 7Za9CfP4/oGv0rUPQZefOMEKIy3FfY6eDceALiFsfarhSDY/rGY+UXAtcma9AD9fAQiVK4nQyJ5W kh2821jyReeZJY4gpFymAm9A6s7JuJVGL8oFQH9c8uTyRE9M348qo7QwI6oLvmMTOmFSAgHzB33q 63RRl7ZHvzlniYDO5rGMr4rrhZRfMDd7WwSTfb3yLYGqdn01WZQ55t7IvNg3F186Kd1xenB1324T o0I+YZXBnEnrwJgQiJFlxzUAYsF0vlYs9n9Nu39/iUw9suAxfI6tsnsbzIbYnCNc0kcey/NjvsZu vgoQbPR5PbkAoTV76AQynGI2KjxsgWIc6y1dZYkOdMqoWEBiBH8R7FTSths+3BW0ZJkNQAsVmpLw OhMwrWXqWioZYF/uLZ36WrDwpNiOi0JgeVg+iA6dseF8i09VLh5Zki4xJ+qoBw4MRNCaieFpSR8T RhO6EQnSj6dFw11ooprmZEfuXIrGQZyz42eQz2wchkPJzPxC0jlmYie5quYQoR3cptDygwHfI8aN +17lP0oWJsbU7BzzumGglVQoUuUnUEBNiewMyBAuTB8JDcnAFh0xEielzrfoRCXunXCXFQoSF9ye dZZa4+88B6llMxAjMhTN5O2fH7iU6YVAgcmTfdVGYJdYpazi4Ys6cOFN18DoxlDpjhACUgPwDJRh 72TvPQkQVJ/Ih769OC7B2pc4ZPmvJH/Ix/0cmGLdz8oeZLAtiFfqnL7uK/Z0SHDZ7YuAUelwdHdo B8APRf35XbcuvlKpmCkLgDTP4ubMpjaIbtIM7E5qyUSoRvpz7lHZiKyOlza65WFP431WX2z4J1vC pewqsMejxcVt+/Ru+k+OEXEc5JlvBRTQHRwUKDnxEUByLoc9rVW/vinm0I3wtkxX6ehvHw5CRUh9 qhjcCYI9Z9vF2ca0VaC4ffEBVoeuckmyLflCHx67OxPTFTBoIiQ8zm/chZ38plw1D8msgxGCOQdF wzNzRoRvcI6h1nu4Ql7JSSgDXWKoTT/balQ+TyuCgKRB3V4lJ3QblN7cVUdmKIWXFbLQtqeUQ8Yk C8P28eFRXuTZCFKbDmQpr0Gi53BMEKW1onFEfKeG7YvVrmM0EbRHOtN29PxIlxkYxVgXxI+UAshK IrwHxnjwbFyFEVMPqL6z2K5k+fUiUVPnfM0pXKzfM+8QUwCV2K5Q8QNYrrHS0g3JvNK1/AGT8nsv d8sih51TIUAguuuon965ht9ETnbTziLwY6V/k/OEbWzV47Yi1uaaOxvEOcTu7n48dXuMQWvlvvYu dfcPbsn9M01nUAD0vpI933UKhXqpvSiV109VSllj6Yej5mIO+bhE6KemmX1FrkdO5WXz0vpV8ERL +M7qvkRJxqaPz74zdIb/gxJwOxlyGdPqWtI2netoJaPhViDVPgs5ETX7s80J6MxiMP5RxLTp77JU xAOEdbMeRqEPMt906BI9sCMj9s5xmaXHkmFq83tXy/S4syG/yqG6u/pFhM6vfduN04u6vQ0X4X9T 57oxa7bFWOaEqYK9wpWAR5rHYFZPscyKNgnxjBegHgYTJx7NXEsZkU7kzyQaAUY3V+STQiQPC8lY EXRdaJ5Jx4qNicOPy1qv/Qujm27sL98Bq7JXt5oQ+lmRwOGkcTAEdX9OeoAWTA3s5m3DxOCNqep3 hONLvQ5LTVXVKx+g/zLHE2wnZzQpZ/4c5P6Cn58Q82gfMEp18SlctKeojB3hg0E9TV2WkkP6ZhZM UFqfmrg3iMmm5DJ55O+cgXLiK9dZ+V34Vaq1DNrpHRSo5t28eTSpsq+U+3r6QcBFYXopS4heu2NI T3aAFA2qNRDJ9zCGpo4951ODiSxGMQ/8OCmuzLqjuK072YmHtmHLxL0EPQnaLN4QMMR/b8eIYDnY UmLPqLzmoF3wjXIRKwc6cFI5Rr+RQTQ3fjG2X5GLLzURIIHJXNa5C2ay56rac8HPSpnooQ99+7Rd ry4+BRumuZ1cOCTPTPRGB2oGdZwjY6NokyDZHAGTLI+sd+8HxpL/nNmfk20+FDT79WW0xrvwnDKl kkTlXIfmgwqEtz28ReSkmB1EmqKlz7c+nWR3s3aoCBI6loTIjznGB5hXxq2b7AzhstltUO8spp64 sAXAdcSIuLFls4I+AKTIXkUb04nCiqwP+mv5x/GvC1mPCD3uzGosow+Jt3kRS7C2FQfJ8KkLoTmI M68IhSVfMZUni+pVtF1vmV+igt/9JjstdrDJjajhIuRsqLbjDsuAJAgQOi/CauBKMlp85C0NsskT HvkWgm9/xvM8pI1YzW6wXAriJLclyI2bseisjYluXBUTyzh6kpoc/iMuLakuDiHm0uou2DmXAZ2C vRAqbZiV0JZqbrRJkknRIVEWKIsiCCo2eufc8ReLEQI3FFU9dRfySGalsM9UY9xnKNTajdDD1hZv SS0XjlEY021G8FdvgE3+bj6g38S4cJDctFFuR6qs9CHyzdIxNDumOjBarf+ko6nAGUWw/OOHXQh0 zYpjnb3/usukvtDVEgGIXcPQ66VsOQshbsG30nb+5cW5lDbSOTtJf8YDXXBqLAsH6RxuvC+h8c74 GzgngvJRf5AYCGEflpnMlffexlFFI08EEvT0AcIvXpCl668gYtWghu/6Pb0fof0iBcx0c8/g3ZUj dqq8snf6pFANSuBtSwrkMxRM/50IXRLLtxwTQdHewEsUcrTtKKXuufVS7hHB+D48eli4a9vvzSkh YXBWbA9n2Bhp+sXh9fvTKJ3Ik85pwZsLZiIBFgw6BVTsFIQdp/oEgPI6odVWCZpMU3K7kThx7nrM rAIUuFzRpj8Wv017zX7bB8neyXnU1SyNVIJ3WvImD0Z0ZE4OrK6twug/SZlp+D6vPVuDi7xXCMHz sqHT5V7Oc2glFyPwthZiNeR5/YaIwWS6EkVH7mkmWVm/Je0L7/SnKu3hWq1jmGMEbYZWcobPPmJp ke+1XHHgQavLgb6WLtOlnvWV0CtuUVnMb3fXr0inTbzk4idWEIIIH8heCfGZgPKhysa4KTFykIrM F9xe4E2LhuMzRvhES38Dp3gQpv/NkTLwtJZPBiMU7FFgQye1/Bavs/ODbKP8rLLzWz2Zfdzx7HoR ieby+DCkNbPGf8oRXY7bVJbw8i6inhd1AQMMBDq6YwYuJXWCIS63ozkfQBav6sZzkuY2ZDQACdcp mp9o0tlr7VmH1ihjDGpC7PMT9YNhv/uPS8fWR7pYgpDirCO6Q10NyKB+OjtoxN1NYxeJqVYKtFeB LdyEepukJw9lD244XcWagMOQPgFKltj9OuJJGcvMecqXoJ+NaqhNTmtVqnx8SLku0/h1SuYsr9zd PXeXu14PFgBojofciN0m79JH+X41P4PlMe+BXLfAq9jwLqlSjvcqq7clOcrlo6xTe7I4jGVL/0gQ XeF4WWUEvKD9UV9sXf0rAmlrxEEf7gfyHecbrpx8FXNEEDY/twsP0ys5yUOsZ7t+/4rfs+UNJmuj 561AQepy0fk0Kk446cbfWfu/+N/yi+SbGvCSR6p9aZtgbshRhOntK/xSnWiGavk/mt3CTsl704sz Lde07m05zbq5/8Jy/RUeGsnYrdzzvTnojEUNQ1UTOfBtgn7wzTVznGX3T80uU+JPAYajtUgC4wvU MZtIMRELvW0XkRYhPlfnQzNMSv0+0cHcnkmjL2qWumnocZ/ekwVFWto1QR6y97fz/Xu1bLe8nany cNvVPlq80wmnRU/13px4F7wCcKBoINcYsa+dg/gHOld19NvZztXI17OFZFRB4ofZuALIj0bCAjfC SHTs+hC09KkVD4/MdmlAm5uZfcWtAkGU1mIhnO2ceNu5WS3vUVCmLVwOmO+lMNIfgCS+FFpcTdb8 T87yZQCy4LWFgoPOkpWpAeUKJtElkiMvoMrWeSeG1fLcWh/6cTjRNwj4f7zq+hlIww5fl+XWrrMe TjhaL/GwOTWv/DyafBSfkTnB6rs0eX0QBILQkR1c6xYRvEC/HPm8WBMZ3WiKl6fxHxRT/MebIkWf raDv0ASUFtJYIQj0uNtSsqlXZsiG8h36Gr+PiP0GFevW2ZejdkxYpO0ZtO7JGANdWSqsiITFfAj4 43sfnwDT/VmT5f423kali9ZIyCV+m0ns4chhocIYI2HFBzZ1DuBJMihxROJunTG3gn4pVbTUuv4y bToklX2z+9wlpGIwnydDUzekuuTBdQfABgcCLnG+BGELeMWQ+nkf5WHh6CfRMXOd4YtSgI+AiTDy 7/p06SLsfSLHQedAQfW6wzfcBdwhNSR2dY9qiqs+StHpw7LEC2BBwzr08tVP9Q+zpBm9Z5oixTB2 5XnB4/B9qOzPKNoz8ruXRtKahKYlRMcqr4kmyX39psColjHyw6ibqNeEL/O4HEriDvfUKwubv7S5 /O7SYvCAThzIpoPMSMQCi4oPMWP0WCdLjt7Gs/+wMGmZHnxRE652F7BIqp74X3RLz7TTpPQABSLc /q4lR/pjR1W4ueX9HIH7CtuOzB82WLBPq7zz0ZOn4867j2pKqV3hdn/dK7V3yH8K34VJgmRzGvRz lQHuwg1n3ReczndcM8E9nYGVg1DnER8t3Va1PU9nwRKt4cHrZNYwrL5w6DlkBXI+FLmu9PDlp7Pp ixG5IShHSErVju/3GiRouAWq1+TTGCCjML0vln3LDVFvMyxogB2FI/Z0FAEAikGQDJqvg1EmcmaN z9M55zWnzmRirtwU9MOCg4+cymPjIzF40OyxWSo1rYoTH9gU0bDuHwH8F1PZJI/s0N7lTrIhkolK B12nGH0JgA5OSLQwetvz/ocZ7qIZFv0m7iebuB94s+eRGkGIxO+eUtu3M9IOrqOU7/CNkSEWzd0U X9mkB/e1jxISSKqu040oVfrBfWB6VeTk+pCahxUJjeofzwhofNVsljPo8QJ8fP9DeKHxPrZNBRFt kqZ5uoHBjDo//wTKEcYekzS/Vu3LVty8GZ9Wufs1C0Q3WQ8cFQiHOsWjCLPKq9qyJe1Dm1VESDII GzXbTmaTOA+2lg8GBdEBypXTi2KLdKhlcOkHMn2bhFeImRT/qJpi6Z6Oho94cMh3aew+1a2Rm0W0 3Mm249QGzp6glJDEArzD7CKC/c2ndsRv5Ylff6bb3Oq7YtVhrhARxvjU4XHusH8hvuSbCkNiPDym Xz20ZTKrpPHNdTsGsMScxhrnga5M87XnBXAtlsM/IG4469YJ4aGyUOls67fdaz4em36o/qyPpxdO lDWedQATmqJqEoSwikfasmAHEyD/XVvUEWmnI48cxzB3Y7vKfkEBneg72Hj4N6iWXerSg4gEWvV8 JSjWfZgZg55PhFW/W2gX85qsI6/SbTE2Sfujebgvd5rkXsoQ5VXGPfOVVHZpkpd1eUYhcABIvEXj HmdBUPWkkJ+H9qMIE4C2QgHF298TkpmFaolovGzfeGIASIxwHIVaDZfcoTaNuHQkQ3WulQ7hxkAh ceyRSgIOINgAI9iTFI2v3OP5St/BK2qOIIMWCqKf6ouyLWIh18z8ZAit4xAUtzdtmUJaJVvxIHiQ OfCGPGYFM3IrScmo8x0zWE8ynrTDtFBZbjr+1ZOC7mP11aDJYPJEKZWVq1tcR1517R/rhSW5l6ls cshtyh1Hw70cbgnSjBhgFo+xPoRkLur4bGGZZyLQnADj4MHJU04pbc69VQ6/dJ1I2ZWHBasE81Mu k4RX9OKUkBKsllr3TAmYcszKnNx6CwN9Fr8pnTSZyIjAl2WI/m+M3M5u1w5CRdTxcTgEdQcY7IH3 XKPtXW/wvrW9qfDwnnBZ4zoA/XZhZhcXy717G58vsu1tUxXKKsxHrK3jXcpMgPp1XZxCeQPDoout LLSHjUcBx1OMiXZTQxmwr3J24Aw9inc4mxZTY2nAfVMAfu5lO0RhKI1CW7S+jlw1u04lPSB33+wi 0k/LpKok0Wa25MR3KwbXmwZWyb1zAX9ltvD1RfBXN2FmBluABClyHnwOsBg5q7RtNHd/S4gAJRvc BXK2ysqgp+GQyoMD+lj1vJ9OZYfOEdFu9lboXcTcLnrjNFWn1zs7acUKTILgdesKCowkvzvk3hJ2 3PT8K2pSRyH7NVEssqsxYPJZayx0ojmStAlNIxkW6h3YumAdVdS6J+j1yoOmxcRuoIkANDtGXMFp tflTWYsAXH5SluwV7qbTllqb7gAB7fMU3JRjLCH/EyMtKeokD9hIThyHiS66igQGCGqrE4Q9tyDq fuPlqAVrqa1fQiNcgRNSZlM69dgtyMi/km0vigzP4UPTSfrSCKUcHzByeaAVyDECxmRJ7BTS6tP6 S066D8huagz0l9J6oPHIqGXjRvEW6gDZ3lVL6qGccN8IKhqfC4m6+vYOM1W4UuIhUoeRmmKRzVBq f89Ru81auR5Lk4oQYp17Qk6cUYfC1/y851TYOJUNb0MFeU4oCU3yxWyajdGtuu005D8T7/dYHmFJ 9ZnBy1cnINyURUYEZ6k3Dr8/Q/qIurPHJXmCHiItzyFDGu2a6NU+SSJg5A3yprnDMoggrP2cGTP8 O+ARABeyI0GKyNeHOSuRZShHF4ox4HkQzeom4TfZ01qAYk6rkFeKcnTLC01dK7eQRcbIOhiEeIEY QSCh2IPewaX2iCtmh6lhkc6iHcxEkWE10AOC+h7ri7MJhJZWgHzDYWfWT+3V1EvRFVhsc1ULo3qw UICS72IyjNPL+ENvc2U7JVK9L8thSQ9VYmiTpUTo89Yv8JOHNe9XOBDyEm4Wzmn20R10xZuqlPV8 Usw8ApsbZ9NJgaFVTpRruIRTmZE7imFx90itkgi9zko3/MLufSjkUyIQ1eFcWCkTzF2JfFsIBcIP tBdJed58gLz3FNrbnS5DepJCY3peIBxYqXdtWNRADHvotflsYQQtO7POJAAsWKHhgxbmk2qST7Hc t2JLrIsk8IDpmJzTwglVX4uIArr6nr/k4NvUQAwCjwlkLaZ2qI36kp8OqXMWlfBIJ0ftem5UUfIG oC3dtKdB/ljR0WJZJya5xDOcinz80p/VT2CMTAshVCIhhEQjf2U2hsn6GHX5o2ybgP4syTGFVzjW cVU5VQ4o464gbHskN5CR9mMVUc+HlfpLlKuuOP6JW/ellGwkVuVoyHiGXFCBovJvhY2BWph8J/w/ XZ+qJryNZoIw3pHKwbKvVUHlJE2h2gO0MG9O9RXj5f0OvbV3OOJCIBYDropNVcAKzWR6sFc4hVq/ RhCqM3CgghnP1/6UgaHmSaToNXnc23Cf5VcaKfiyH/RZfb50wg4wqBzdXMOF6xLPKDY3B0EfDt1N 3JjN9wodFaF4/2WkO3hFGJeHXyj5BRfqTt6cVgvw9fdHWcpRhTYeL61Rj0ul5iRBjaN6A6+9GR8+ 0Nk6KbNGWwEoE/PVjX4k0WSefSd38G5LD9M1FJe5zIyzQuU+HjmHVvsXNQhf2KM4HuzSEv+aBqWR nCbATbkkvP1slzkQLE+uDFVQZhDBR/VFVja1gkalluXvg3wT00DzY6bVxfqgzyEPteubgFsTkxzw waJV31PScUbvE3xJCazBV0KgposDQmGmaduhZB63pzXI+thOLDbrBl9ipEOVcE2BWlKTMQg2dN4W R1sNiEg9Z+eIRbM9uppExxDKvM7FM7z/mzhhuQZ5SqtCDLjX0Gn/riRTVqaZwh5+dUof0qaC92v5 nK3i49O5CLiXcLIEKkqGeTUKNcanE0vRUzYVot9NuqpMbe/cTYSeiMlsZQsevf4LtcAarc7HYpcs UGzwzuFWxi+tJqunWNFwUuN41jwhXRVskxKOmPUF/JCgN5yX+jS9LmHkzkdv26FlDaoEscopEWZZ 51cEQt0/qdIYfyo898efc9Q7PFqWkK0CeNdewEpT7H7Ac/86PhdsX4km+rt3jsrVb77nM8o12j6i rZt/CgEaxwmruM97+6J38JK+CjPERD2a9jWDDqeQjp/CaYiPkpucMtSkj6xrP/D34x33ogOj2gwK cVAmWyyK2cisfy4ElRM+Av+edcskzWOZcWhNTdpeY/0hckqMcR0WJoPCuiHWo9HOpPXHVsKiJQQY G6yQN55En9lDeht5Jr1ku1UhqnBRD8HqSfTe39NqeNK2ocXAqNPbxYNTgoGbbyjY7/ghDrrsc6DK qZrSBhPPSBIpRor/ERJmFfiV/nBb1AzHVqUKYOu3K53Ceia/b1iB7qSiHgrTVdhvM40GukV3lCNi NSC9rSq7e9NS/hShTZV06H+LiVa30FY1qAnEZ8L9eYyFWs+NDOXKuUCMK+S2s6PDobWpc/mm6Gpv ydI79VbqDF4pmgqCrhBmJGTzCM2yZtIE1gsOEZcmRKCCUN+/6PDVxprO+ZGAe55WtxWwnVUHiXtw I6wENw76ZdADHrod353wiLm5crrYcjS9nLOZqsNWtJCtLSjb0Zns51b/COzfDK3wQ5vYrEOD/guq WLC2d47+zYgW5/BHIvt8u4bCezsgeIiRtise6Moh55UXZMzNF5nfKN9Vsykv9k99jvOAwT5nhJmi u3e3uN7sWMkUPhRRLoSfZNDzshkHEbBH1VnqQURxTPIzZMBQNpqufMIQoOUEHPdQBS4i8jLEwtAk 4VwdMP1C56gnGvRnlTTW0wE6bHJ7ZJu0HQCReXSCaw4d1ojFiEgwFgaic+UtuoGmN10lGDqCRgvi VFg4q6kAe/xLwuOZdp4t4X4Ax8byc/DZZ0ojJ3IQln6NrZIKYEGS7Mm2K2ROaoWv6LasvrY4lGbL whhEPgxdDPRi8E7Idi/XZhppT3bZC6Sy0NYME1Gjr/7W4t/rT/y4O73OZh/ueJXwD20lNMmRER6t o0W1h8MA0l4BWuN1R1g3izClHfM0Byda8hux12Qbr4dw+CrIt7nAkmuLGVvR4+ca1iYY9p/HrNmD NUR0nybM7IQSpD5S7Su/jYlgXOG8d1hDOrgh1Xw3As8kK+FQ/2KxQMAMcM1N87f5XgrRCM51JavF KgLaaR4vg3yMblOF8pIqom9z9LfRuYoDbqPuzA9GxeSoFOmSkRU61RC8yEo+O+/pi/V7CUrCIgWr PbZ5MCrZbOXy8Sf49+zFEPk56XOIVefsSLoxTZ+DPLikQljb93CcClBntMlVSlHRTXg2uYZUljLw CoqdrTQ8rjeUC+tNssWZClT9csihKdbN0xUcJK2sqVGjMd6MrkMhzfKzTP4XH1sWxchPFtSE0h5x S/mixpU+aiPH/zi0uOsH37awilZ7BqGkevYzVadzfDVNmpBMUYWBJ60gNdOiIfNqscSiljZ5hyti iT32i6lNgdEDTD7+N3y9Nm4W5p7+GAMpfwlz7eQIUA3Mbz9g6uR0P01dVb+8w3bBHEI1Uv7fejZ0 MP2ioba6LJyxErrX8DJM4W25lqm6ivKpC6/Hvm7UB+C4vOIac0LjMfLuDitRuFLpcno4XRQvzs2F fU4c7W0sxnQi52UipyC4btS2Kus3C0n+juBhTz/jqm3M+94fS62RuUV0IGtA7SaeyX8K1C0FsTuO Sa7mhSbczQZzTQMKY35WIWSqnE3IKFybclmfj5g7NtAf4LfoSUI+hhvbcpUuSIS1xmTqtHXG9tz8 DcldVLo97YgY9WSJ6o7fyXUJefYEPzzbGU1d4vQ9AEeMr8M9UZxYSEBtYoEJkjAoUPUZSWvNVC96 KIz/6DI7ayV1e1DdifcVvJCsXBY6wZyVYgJEkvrDADAZnNl0/zCsHXz05j5u1Mi2VRs5M7YuqmUb n5YWc5ewTXkcaroh3sPncprjARouFDhmCJhf50pdRa+xM3/jr2S07HhrzYUTokZtjYOK7LqcaA4c qdiCkRdlSobj/VFenbKM1Poj+KHZ0da5k293tb1Os4Uf2W+V7876uCWjuFXuMtQboZFZ25h4SHjd QHvfK8BCvFzXFDqDhOJsqwgFrkJfSjXIQj4XWPMOnwNuVXl3qDCi3B87wVJLZT020jYF7/7Um259 Nk8CGGLHsZTVNf6Cm3hk7XD6+UTPRhCszANzU6FDPYoT8GI4SHbwlamL528ECKONPSOYZGWfefYH y8NqQr8DuVhfszsV+vPWpSiA35+78jaEsOZhE+dEF9+NqoEP68WTe4R7frheNtRhi9J4HN7KcIvi LsVMWv+QzjnbMNvVOdL/1Qw6KRT+bInyQGHqu518gOl2JI1/6KtPs9ZzTuty7BzYuICJz/8k1sRf 9cOnBLLuKiM1cZgfmAD6tMu23nGnVCEXfoFQyzSU8/vb9EpqCj9PHeX7tp4lZgQmm3LS17PK0Hkw qnvkAwj2oI84C0G0yJEO7Bl3HjUsmlVbGfUCDC0wDR/NP+N5WupKBXNkmMpn5TjziAEcpngOdKFE OA3u5Ew8TtattQy/6JcJKmMnYO9XB8kAK2FQNxfA4alg58B8eR4Am6rWjztQQgIrLvEA3RB+HZiD WslAMtUfN20bPeBWrZqWweJuYzeAQXKWcAOQEQqIYb6sibWghwj3r+MEfr51P8iba5LWOOx1Ez4h CPAKYdANn6dWLobG+yk9IflhJ0XMX1ExofYYOjU3iykU3fAnOpo1bpb2gFHXV6P/eFCw8wlIUnf5 7VJXpZCrM0OX3XCDlwJCz0lP4wyF+lzWoX/3b4AE0qq3xgsp4o46SWQ7gsCcJClNnnu2UTEdXnIl ezLH6DrNTD2Kf4o/3euMuJWzWFEug8mwvFdolOcpZg+Jv2hvAO9NOMSeRs+45Tb7g4ZY84wdYRIT oAp47A/ncVnXmlwY/p+oxNW81wvoaS/7Vz73b4f5+YZjFPsB5cSTcUF+8cPv78CiT2tDFQUIoFaI hva2UiE0yQTaifYt9r/9+Kqw2HSOIrnh98sUU1IzarXy5cVoaktkyO3KuFtCKgcBsqqn99HA8UkT opfr2/FwYLsceB/AOJvLoJ0BI85sGnzBISt/XN5b0oJmExr1QqNB1jEbhyDrbooyQOGqYDGjquEI kWHoihz0U638hnNPcjOkswVWeBNZqfQ3TCbko+yG19U5XM/BB2bP2bpQyOkK7t5gb6dDyGVfQOb+ SdikswYF7Z93V0tr3gb8bdTbUTLAHdBVQe0Ws1YS6nPXyxLRGZpIwXiNp3EqaJKPt13YrW/73XV0 S1Orotw/HhfXcM+H+XfbRlP7K/6rxdpzK3m1cA1LeCRk8k2Q3nkZgSo/4FRcnLAhdGta8D2Iu31/ AtFqQb3DkYacGRdOvF8EAQiGvSMzFKOdTRzDWYlSIn+ZnP/bVcq+5zPlToKSTVI1jOIxjdFlTAM8 VmB4ZVBX36m9yUAKox9Rr5KeoEprfz5cLfXRpcxYHxyX9b2wiH75slfNpI5lQ9Rs08T8lcyZ7buP 3JXNLV0foid2ch6iU2i6+gYub1UrA059MwyzdE3wz+5wYcu9s+yD7iFbksXULDQm+/L42+QWi0U/ 7GQgoWr4EHIGX/mRp85MD6yCJpSyjc13eQtUndgxeo1TZkip4k/VbVxvn/DwkuLavCO8S8bIeSlG Grs+eJaQT2KIhUSXajZvtA7ZhWayO0MZSTO+UOI8JZ86dLwYe8w22zjkC0CfWk/CKWnvG/z06QTO P3BVN3fsLUd8SB0fV1ldIXmiVExYjpicc1i0LKhoy1N2yXZP7DsovJ7X8dMfHxlDNZdhAbg9jdeK KXh7MqyKKHDiMZr8Xbqzmu8Z/U239UlmfxPzaW9Okk3xBB3quR2JGG9oeTe4EPZg8jDgbEMyM1hw 7JWWPc12/hYdGTSG4f5+8cjinxGCWlI5VEmRuIsuYSQRk7psSp9wZ6KD/zEyxV14Vf8JXZoxjFbK aKFMGvPDYbXOfRKYBwzRdUqHa7q71pffUgPh8qTihlHTffuCQaaBRm0Ou/BhGXyySHiofd2QofGD gdBRLJWSjQS0Td14Jr2PgWI/RW1S/NlvS+2KYkDjs44rs3BOw1aOF43bCnCtYpw595krQ2JE+LeL r7Xc8nrTA66jnTp2pzxIhRUYSco9Dq7qr58tmiKlklokpZ1zpotSOdJUQ+xeElUcCQPmACsP2eN0 mCSMoph+llX0uNivVb913cBKLkk5ooVKweuzSb0ISCilcQjSliXH+RSqL01mvTqb/e6vELxGjLln PNprZcGrmhmhGKnVOdXj595A4uFNML8cwUFVQnWXgIzaMXU7MVXjcHb6dv5R0lsTWE/JgGs3CyvA Bvxcz6Pny0VdPL+TK5YI0ZHaP46utPeFet7BF3qjV/fvTs2wo4a8HA66hBPISo+DR5OcM06GvTkv 0lkwh/FDGUXNpGWcy7UjSMO0U9yNM7vi9b7vmpwnWXyu2lxi9GVGk4vogxq1mMiYisXPalWGzxz/ q77ZPBnZ1rhi6FHCZEwJEEa/GXYtj3snrNLhzoGnnrV7fC08CmlRcjMFn2FCwhPR0HPmxcLf/Aiq /wIN8GqWS/e6j9exwzKbfc5BkVUnk/OHWYh26hVWp2h2kQVKDsrtncwPeYN0hr1EJ9F2oirF4s5U 0GEwz+K80o01wVLixGZW9S8EfEF6hpkCSHZ2AUDfMsEBydNrrcL37x3lpBfRztLl6J/GZE7HxrGT 8kxgnvEGONTCMAz4kw3lH8Sbt/B8cuR+42b4PbxMju1CAELjs8amYdpb7kstposwN9t2T+JUNrqE JGp4lHsRryh6GqFgdGOvNPtfnE0r3IalYDRVfSdSupvIFvlW/pkdXjGQPnfR3RUU6n4X+gBzoNgG 2coGUtmPt7rpMpbD9TR2bz5CF4IB8aAO2r0VM8XE48lzgCTGC5foZC+NuV7iExeycLWLPeGnNS7T zloUB3In5QIkM4Y4iK2nBkxCP6BJ576pSVEML3BEJia6fMfh/6LV7e1xJDUMFkyDxeFdzCtakYEU hwoU2SS6QkKJeI04h/54u/PXAvXwt5HpzMZEuim4aojZBczZFRiBRnBAOF14ppQMAeluT6j4ybAM p8QD48nCLGcGUyPlMzuyypNNvJJ6djzJ7OP660ZrCSU4W497tVoYM5RDi9sih1r07igvNOBI54Xr SK5W84+7adx1oYV6eXPIVG17tUqLeIYvj5aZqOpq++sovCkon0U5SsHjj/OESeXpqTPHPvmkWkvB iVa+bbL9iPxLplMEKbxhe5HsjvSFRnXa5v5m/lDelYDlzX5Syj1DGKUUni/FiuO4eYCE42t95jni ZstvrrEM9fvB8Q+hGElLaYulfqupQ1XflzFMINEsSusJ//iHDyZfk6KrK40nuGagi+7GskunVHTU ZmMxgB/mhO6g9JY2vjwJn9u5ZySjpWOKqN9ywoeF2lZqgZsYGX2V0aUNJR9CE2ogkNP8jUy3sYLS MGAF6iE6VODM1cKIPr3CCxUyrEBQn301rXFuaMx3fOCTg9dReTGbzhPDRcWmw1zvRWFKi2n+k2Tx VxrY2d+ozOMH8vAOwDbqi9zq6ymgIUDH9OT8U43kMt2RfsOxsd9yo22l52tqYNlFHm6zfKUqOaN3 yujE2VvmOuwluZbmZ2Da0bX7Ja/jGqNyHM5tZnarYUMZnR+29IMMhTneKpWZbume8KU+7A6tjuDx eCNchHgnQSj9kxBfuuQTkPHvToyyvqUm0iw22kwylHX5m8371AqxPnKL2xSINBiHaRXTTBHk7aLc uCcR7Fd9dqf1WY8BDxcvMtlh/jbxWDOeT71XkXmJVFcXt7Sd21rJZfHGN6BtCwH0VhXuYlN/Oj+o BHDVKY+nZUR/BFOgcHTACEFG27kSXI+gfaYGe6DC31bCAniyhwLHXwVtLQrmimzRxWTuRuv6kO2A wvRbRDkpPsFn0hmDVZKGJNnRKJlQFv6CZfvVlNp1oVHGrfV6k/KSbeBV1xO490aZkhM7QVsCpUaj XmlpPBpalA5ki0Q5ye1caHVZNAHoq73neudPB6MzmUgQesbhbaNV68z9g0SlkXSyBzyh6kaGpTaj Bt97scS4EdBxES7oNmZiP/ccjyKLj9GdTRvujLWKgB31xxwfcvexZI6LqbhiBpNMUxD/92v8edEv +HqpZ8XUKZHk3jmHiAQ8L9OUXSjZl7wbqoZjR/kSvIPWjAOhJ4292DjG+g+QtktD1B2j8H0fQlX7 4rwVYjwWeOJDPmQnlh0/jcCjcpXcrJC+O1jadjLZ/40tbDR7l9dtcOv+C+89O0LjR4EH27Q8rjy/ N4wZch5QY2mXcSOHZpWjKrNpOEwDIxBHhxEyt+IfcddEBI5BMdGP1j39eLKR0dg01uU9iP4VpHbh lVYb8yvnjHWPiAGCmo3ZgmmYDHiGpuvOpoN9/DeQaYyizrrnB3PHC0RJyT/GMTtK+5H7+yqLZs6F ks8R5+Emxw6CKVDrJuQHD6cTHi/EEd7eUmUz888367hKTNJv452B/cZ4nKf30c81Pajm5S/3sZXF 3oZnsO37M15mUbHLuyWe8j24jQNqZ9Eq+WEtcnrg2LkUh6vwcjBqAyj2bEXWYNxU9Wq79Cuxl2DM +jmPH2Iz8GEoZjWorgjvyJlDMgM/gMRC+CPrVEWhlyp8zCDCUzvILXlGoasErLsr5yKI11G+KXAh B31iyFcVU9dbcuPHfXQdsfiDqxHkH7q4lYP8fmgcUNP2zCUZeohPiCRB0u8E4FhRwGLPka1V+Um+ CAuWdocVhtgJMj7M+SaDwajc/HKGdzdFwmpF8IrhQAso+/BTgs24Rm2htXQA+mk5vfqoUYz+7qUV 3/ezHu2cPPXJHpFWA4+XmVVQvmco8S2baU7Zq7uE4HTmow0cwjAX8Cm8nUTdHIE6gtpJA3mEFxFW xzA/TB9qjHglncLBc4CDv94u/Q63IVvQGVoouimxd2wkcxXDkWk80tzBaE4GMjWMzBL7/EGx/mcV +yqXDOnfRl/Q8tTx0YtNUkFZaB0lOdp/XlsbvpyEVESVZFd5Ge6NOOc5UHmhFjVuDeczbItBna00 LLZ1wuWV/Be2ut/T6cMrrsjN8Y6og+51tqBSN4GtEUJg2axN4DhW9bemgd6FROggEmaJeo3MiIz7 TpI1RtM+hJgMat+8zU/GoAEU+FVChSvmz5N6RH8EqQIRYXX1AcZZqDBp+9BD+JC5PJIWB+k7DsVk xBUJIWhzP7xrcffQoBwNi8RF780uxX07a1b09MlGF5kcNnIRYH0Z+6c3Y01peDPGoPPRpVbrMG78 HDHyMBkDZWInN2hfGSgK8LhUW2oKokgmyRCCjx1SCHFPnSYjqR7eKeQiH8RPIu4ILS7nmKTSSJZQ YaZNa71H4/PqLXcGGnVNvm2k3e1LOB2MLICajruLgVdfvZqrhijxIw8WiuLKcZb9oNdj8TKFhvLP dAAMoMxGeuMtiwc9Ka4gX0l1PHvU3BrWS+udWYEtDHDEhKWnqUIwDbnWzcAGVty1cVjOaL0GKxAZ GQ90KTnx5yyEb1tkmmTmNJYi9ObPwEMZy33q51MPMQ+a6SThERGAPb2lfroNQRlYToId3tdPN2t9 J2/y6l3cAx7PrYc2iUePvjRo+THhqOLwApAXIYJsBMWEOC93QSWga35rRRKzf0NzUuR1BwU5o2eU fXSVGH9DicnaeOp6tiKfC6+Grwc9E0KmDRW2MGwOAhG+4NsDGHhJlq/D2sIGW4FNQ1NH8bvJUBsr l5Ts54YtgMg/KMX1LMunUs/Ey69aMBNPkwiEqwGwIslzjOsx8acfHyX20Q49LmqjAq/fn/MJbCR5 bh4fptNgRZiEmYkNuSGVNB4GXfHlzXyg2bEikirHV6tRw8z5z6qzUEzaZSFayeIYETRCsKM5qEdC gnGyieXpOOywJ+9QPogYCXoMdPVB/Cr0XzHDF73OEoOd6u/BlnAFLpnWFB3h5qAILFEvZYihqtJ7 z8I/ECVAJYkvRFCO566hVRA+x0PQhVXEbEjon3SIKaS1GWIa70iZEh4cz5uAdlU51u31tpjDk1nn s76h8TUjwbQ3LPFUmsZI+MZ4ImiQasAIoJpU+/T+8d8RMW5kfeZv9VbqZ/te+gF25N60fM6mE96U trX4f29eQCRlzLYgDqn0VEZghfxhYLp9q2wh2XzgGklCX2f15EylIQz44uMa/dLubTiLouOk/QK0 s8Ma764vswg2MIB3trQ8xTTiqfYjmxaanOTIzFYqlgmdgHy1nb9cNkeE2V+VRT8xQ8xtPWEh+Ux7 ywyTOkjs/hvpqXTdncI5OoYgd6kH15s23+IFfyt3C7HWry9wVikRL8dENTa7ut7njW0VGPk4MNhj 23Z1yy6z0Qsl6ZPL9t3dCu44ERXbF9MWl4kFsNfYXaVTa0DxerwLYKZ6BQyjvYEm9UrigehdN3R3 9FCye5tvwExEiB6Q2KmGTJeUnKNcEtBmdQ6yILqrGcfqxSIvM2T0q9JOrYQxQQQbkMYA6cdMbixg DSG7YBGrL92M600axxrO23KntZfYhwEsLW/NQC4DyWEkL/RtsADFhRuFRuGoPRcI5XEpdTq88suG +iCQNyPFoezaCdgCSNGek2zb+3rPjecIRvB8Mz3OGsoxBMEIR1lihw1RQKXCLaz/PJafZdyZPitD hmZZO/WGMTvmbBVhmBrlr2KIex6XlzTIkkI8tI7+Ilv4RrfjRYjQSnyQsVCIbf3639lwLkQyyZt3 5u66f70AnOrE/EzMN+of1twy/chCWb7rXMgYexAaAwo2DrG9xo6oiwXx1EDQrTAC0C80OQyDrtI7 JXA37f7cag5BvBLccNvw4sk0Rq0boGWlUtOPT57IzrqrJPcD5kciuxqSt1zb5kdKyEymnS3aI+4q 0JZo9vNwZWvaAo/yaTbsspiDbU7VWwzxybZtc4Et1NkJFH4qb0V2s4IfYpvYq3de1V8P8oTjkVRD IBJk2QGwdsfXzEjoG379zZIWEBMd852SgJO5LzTgcsD326wJm31mgzR54RMpNMnLQfGnBs9mfNxI SU0+/t8YK16H4PpSHylOpTsrzywQLPMCBqIMsYa/5C24mYYOzusphQ1xskVhescvg0mocg1BHRfj AOI+G5uh6ABWoTOd5keIf/2Ik54qnCDO3eRFwwsYZKJ+gA3/WMOgaH6hYSDdgIVDh2TP6RGvn5Wf Rky8BMcrCOWaLJSfz36pEJAUl6LBP9qnrLNuUnjELYEKnKvE2UTKLGHgC5OEIG68YsMsukbmOZiU uEUBHV8jXsAprSufM50hR/JRCHW+J8vR4kAIIxadsM3dLAv71t4rtsn5Pb/h5/0nUvIz848fmFRA dSxz01YtI8DjISYHBObXRxa096XcvRdJXlHyweoEnhGvqUumpTLoPMgGR9M2IdRA6r+r9UE+Mkgn BDOdFhWaznX9MnjY7D0ORKHy2AevK9n+BHb+jcMd9OhwSMKinUnmF/MHc+pICPvvojQ+KbizCDF5 GT0HyZHin4AV90tNCsrWyU3LkSJSBJOFdnNZoxsl3KL/bpp8AbhoeNqgZdPUU+cnWt5eNnKta74a eIAZ6MowUq6CArLHmLmw/Mg3z7r3c7id5omSCgGkVI/Sy2JFjStV/8H+l9BUB91qnANPOfGlrwN2 NvXz7y0f9GaXrMzHCwtAjMzHtMZrBW3VAS7cYuN2dKcyCP7Wkb74AP9zFftBxhh1v1HRXzWJ32sR 7BBPKUxuEqRDaiOFPdbB++FQyTsODOkByl33JuDE2eGdculy+8kkz5SNjW8pRZ6VepQqvpNJJdLA cOImIWJpVll9R5swiimMoxRVTk0m5mgDHcH8/uVpgaxj7JVOxSvSlb2VZV/FRbabaerVSNmnBJAi ropPL605zQREs/mzs1qbSxLW3SqCWsSi4j0U8LMRlZaqPUXOVW0TXzItWVM0tDTt05CRdoyiMXpt 4F/Yj8mzogpzjNNjGst8m5TL5IyF8jdqBAPtyocZJrKCDGyIF/HNn5rhPXTuCVM4kNuqWKcJmRh9 01rx8Ps1fk6es5s7vW/nZFV67sDFQjM753VLIgSwRXZ0g6jM8KSDouBYo6cUHrZbfGKQEjgOYtyU mjWGBLARs8CmGrutBUxZOCMDMf9PowsC0HllCQ4OGmff+Qqji3CziEwGLLh5TpXLiUFeHkhqycEY G1+vZlA0inq0HkRysRGsXYp4YQtfVy56WEYZdQs5Mq1r+BJEBhJOKw2lBIUuPA1PzoS0s+HtVFA6 sUKvYBXiBI+ZPYpnNipfBByLesB2YGr4cWViFu5B8YupNwZas8kXUj9t9nRdavZiWyYpo81/69id +QF15EGrfK2QRAAfzK0G7AUQ3WtrNLzIlm71n624ASMBl47+wm09iQ8IlvM66iZq71WsN3P58eVh 2jK5NO4KI7iZGSTL4CDyOkfA6NH/Q4WyvgKC8zK2VoezoDx/ZDxazn0joQq84vgEQBLClVbHLU1G Foi/d7IINGt3MOgz44qMgBhNfP3njfORrzfDjTqdQJ35i7STQFcrY9wEQJgzzMi16S3ejf02AlFH SDfn0mF9tESwNd4mHR02MAYkY96ORBueblQT1F6X9ZHcQU0CrKD6BhH+dJ4QpTCYnduiMuCk4fXp tSM2IwcxFrEc4f2WQ/IKZ9WYodxyuNif+mvZuFJ0aFz2K3gnsrbnL+kBJA4HfQnKnQ4PLcKtS1uo g1TD3/FK3HWDDtQYao8EQfcelHQc3qvFZ0h9GfJGtiwvgy7tHKQvMPHhNr0y/TW90zgM63iYR6PM ycK8LMEa9F1KVFn/aAAT5QOlVqz2QtP/Yc3VKBIIwQv+aTOOxK7ADyGu92y2b11ll2JxvzNjlSOx yHkon/RITlhinlAZCWLonMKQnsarhVDF3aSvVHAWxOPO6OSWAh3aIncKKDTCaZtolZ/ZnXtknnhY nbJHKOvKGdsrNb0lLj2Pxt5tlK/E5Mbeac79JTJd6/WclcH5+pPl7rdsgJK5GUtPAOb+SthCZ/kG k4RkMh8ND7dMwvT0JI33f/MKQfu316rh0eLcEVDUwG+kKoxOGS363VFX5rNlcem9ZYcuKeuIZS78 Ofz/R6P1wQ4ZS3wIVpMVeBiKarLrgwa5kLLgW8eh94CnJdxU5hhnpXGilmyz6OM9brYkVrx/z/f7 Gz7Rmelcdwi6Ii+AEa86rOWFdtY9pHJnYb8TX+Y47LgmodKi1JDhURyctiJasJyYSmueT6RjZ12W 4fPNLmYvW6ZPDy4V58dZZaiWk/tQrDsCJ/Ask41T1B7+q1k9cm8+ZQlxgRvMgNV4ldMszWyb92KK hmvMoGCCzBn2nB/5gjXi/E+4Xg1lwaPJHdmqtHbiWTYEBnqat5AxvtRLXrIRbTgdtK71tKLxMTBq PmmaAjhKshriANe4iu9eidveaYw1BTkVK6D7bgNz6LjfRK35vocpHNs+MpgHt00/qRr8NOOoCPNn Wpw8SlzMA/r49/WuxPUtH+H0UKZE6sJY57/UJZgPGMCdyf3c3GcQ+KAsrgR2FkFn4ReyJPSUqvm+ +ZSHISlHsxuAzoF0Ssr0O9Y4X2RTvtKIqsONFRnXtBCYi6+2Rbpm0YHnRkDEuRHGKeNJgvB7ifWb xMn018t+6YB6/DPkXwv0gEWuC8aOPDmfFZIAdmu5Pd8LQxEOnkDNp9CxsPu3jjXRr7Od7xVmjpNG 9PWLQa0FsXqYnmxTdvI1k8LcMu9P+Zly7KyCj3gOa1Pew8rtDgzG+TQvVbbcT5bvvyYWBDm4l3ca I3OApVY3NAwVOgukYgUF879xIQGRUVtxfmoEIb8W2gmoNvqBrsucUabH7K3EZB9IJG73Do21tOTN 7BIC+U0cfEMT6ZlS/ckyLmOGo8r75QvKYf6UCkLSPFrIxhacmIz/DyHlLleAekF6kAMWqpi8mcZa UCHcyyB5leP5thA0S4LT1q+jwD0745hlxi5gqSC136I+tIiSJxQbsbsRXWP816B9fgoqTe5UucS8 /CwEuRpjZpRL4Ukx5teKhlHrXTgQsY+K3823X8zj0C5WWrzNZ/qNLeH3F6XsDmcgqOEKpXZ6PC/H CIQlXvuYCPpMOgQxF6xqMYVRf8v0jQ4gtoEWzpVWvQFUFF+LC3bCvpgSn6LVaN4jYKIRJaV+ko3L 76doNJfL/gTBC+py0QICWXLX7Hci5XBbYVmdQzJ+K054SZwHw7/B5oqiVO1MZCaGxWHtrH/gpYhS PnPq77Tj0O5rNaSh9nIYzP4iR1BsjHpFplLsimJXuZwvtRBJhF+Ts1c58qsrikgkTBo9reY34hRT mkSrHrS3dZesaQNHJEpGlFI3S6xFMSgSHJ4ky+9ZF+vf5P+V03ZIFNuukEX5IjJIaS832AAGyPuc Y89OTQChat2NUoBxpYVgcn6MxFzg1vcrf4Rcmx7uJVw4lmVtb+pwVwd0SC3iixJ3zp8zgG8YEy7g QBoftUjbnStXHLj3WsGTdzW0cayzVL4llrSIbAp8IMXYRhQOTLCRBSnjK7EOCDDkMv5NS0hiEYMX Y5FfNbL7R53RatvPz8mzXv8LPTUXliCWtETuj/xdJhyGkSoHUijeoNbLrYTJmT/YsREyBwhvUcrS Z9AndJlYbXV8Z0Y/j97z8EMbcxBwy+vCDzagemRkJIT6NUqIx0UOd20r/uyf6NJ5gyWEtYrWNROA e0hSR/pYpRjIZL+Z8A8hYoG6Iw1UMJTATBygkfgJpd9uZKy4OTShoqqQ/Q2ku6d9NldoosMG7mdJ eAujT6N6lmvacwdnKJXTdbFg01svfrkV17VH/YyyqpXTCdNQPm3quDRVmLDHRNHlj0bO6Ut1kDYg mOTEBa1Z1aWk6Xqtl8b79B0MnqOid7EjMQm0nPpeys2PCEfPtNO8yNXR22wfT1/bRGhEvaq1qz7+ b73qLi2MAG/bAYlPU7Z6g5x9eSKuHZYCUmd6YpZND+3xOz0h2RIoiu81r4WX27CaQXryzwpAHx4O SVq1i2jKGfNJgq5LnTUXxETtIlVWpEqU0S/4mYDL0xA2Eid7OWca2TufU+OPMTIBHnio2YB1TYk+ es2a4QN3S6aF0mJqtRzhRtVMw2e9Hl0VzaELhtWjI0eaWfFxxsDi6ADCD/mzgh2DKGryR/e+5U8E 9FGwQ38vsgzKRmo8W+4+osR59qaSxUJl1mqn/lDJIqk6V/0KiXsOqDYjqRTGtA8iKyTahxrFY09z syhcdnPwfBxD4yhzu0TmQusY1IgBhicvT6GCXjNtKxaqFdjAAjBD/gSwVFpP59IKngT//1I6m7Pw YymzGaaIQrgp7U4lUp0liIbu+itHb9HWR/DQpKVwlxRlqdt7GAm76IYybvTitQmDRhxy1tBeKhLO PjKBkeH609te/X3kvUjyCStMd+G44FVllxLym4wbSusvZq9Rx1Ht5FuQgcXNaD9hq49MIAfZSVbI WfdZH4TlF532Rxr3wARUUgTazAQHS6jN6z4Cu7D06PvApIFVyBWcKmFV196+bQ+uWH2zr+y7E9Pf VJ/DQP9yDRyebG1eGgZDncURC/4Ua14QH07Gu8W2kbVG/4hSPqvu02vnpnGji7TAU3d1VDrB3F7V pCyKqTXJjV2cDdSXyi6L+xgwlBvtjmwyckgrEw3+x6AhIy5QB+C7EOdlUnWC82VK1EBfMksUI2d5 GtxOMcGuCFmRjVeAdGW7EmyLoR3GbENKQA3SY03KZvOhVA48UrO6vChUEvGdOejBCmyg7mnoSttd 5GqiL5KoB5unwczJ/I1ZpfPrtj6phLbpyH/pMudx1vFHq3t5VqP+zs7r7U6uQLeWkiNFmFxjsSy4 jMzSOh6uljUEdKKMPcnys4Upfc6bwpPveEJlWqSi9wBjcBdYAdJy0Y/NQeNceNSENqYP4JAfGS1t Wztm1JaNAtSLmM7vXcSCnLP/IS6Yr3oDDT2H3Ocjty6bNEEhGd/1bBAYwWqxlOCUC3qr7BxoQSp2 f+shhpIh/gsurxevj5lSl7hmXyZV6uhMIKCugEAi0vUzsce6FFQTsPW/9bSyLvFMiobLiOh75VaZ iNlzWcOG90wgDUOiJGoQbapWOZxu2BPrR6O+g+o4HWTq92LjRrbNrdqVCmZQqLJ54/E+htpbCuvG PGZ3WX9S9cC2GCWAtIIsCC5VNN1v62eaZs/Dgy9wR4QJNF2YjhIxsnMh22wLqbFGt+oVXbCiR4S3 7nyUSZZt/jDJD0vk/QW2fQAlGEfzc221rjw0fuOQLcgwaGISstkBLYrHpK1Vj8EX1yeuXdpZYa8T VRrHBOOdmusTPecCqkNgiH4op0YkbeOy4Qg+r8ouNDuUfQ15sXYoIiRbvTuP8DSQl2gW/AKrEskN BSF5MMqLE0V0WPkg+jD3B/Aw6FJo8NS4ichCDjnqmfcVlqIcCsu5zvWh0Ea2hYHRmSiUAhupUVsa 06E0t2QLuHtUSsX8y30yvQf1jzwBenEebc9F/PkjI5lCkzGFV6hTdKQbkkIjMTpfTKnd11MIv06s VB5D6+3iemQB3P9deD2Vyo3vmcIR+muznHd6xZlK3Ih7IrUI5KA9u11kSlDxEi+8NzY5gQnYfR2u I+ruZjYlWQltZA8VqSlQyg6NB6MUudyUicr3nbYm6sFoDiITFwk+qPPw0rCZqGkeItuXOqaxmF2o QIDLvfdibvP38bZ3arFU9tyjh5lOYbpGYFZZYLOPwTG6EnQ2KGheVA+peRlVE5leEId62GZuTJnl AVB7gg2/PP1V5LDsijEGvcnLh0a1bEwxwspFQYO/KMjM5yOsdX7C3wrYxjTEEnFnew47J2lel8mF +PsPmwv5wQrIvpqS0Hp735Gh2MQDSYBBMTpEoNi+EUr4CyTJ57CmecC+7gKJndL6+Oo7D5j5oZlf E0wKOZt3MBMOWzjrwnIgCcXhwKJJXMiZ9qy5fsStgAYL0SDvG4Y7btRboa+4BZcn49ENw6f+Akky lENGEvVcS1eFva8bOxA5qpmi/fgOZ+tTDB52KmqmIT2iWx7kaB9loy8323deyleoPWWcnyRoSiae cVHp30jHxwVObndxghFQkr5J8mbqDa1BS5zZ3JyUvt8+TO403uU/OLteQO9AEKIawsbpTwLuK34a 85/EYiGZxlxqfkSjr2AN7xJWE345nWDQtnjAVpc/Bk1+IJaD7m/KTdF3NpNIH5kF3thJic/af8Ss jfskgFObTlh6JBrzRwrRqOKRP0Fk5ZssZF3Dae2atNHJCh9gyC0g2kOkL1CMnrasNQRGnHzU2dMk zjOiGJX0P4AJHlWW2Kfy2QiW8NfkpblW/AO4zxXyJoVfHHPat5GXteop2MWbdyLW8BOAiUwuMcz9 YEL+Sg6ljsTvHremwzJ70AzUkEaFclsu8qMkrOUiuOIagw8kCR7uEiPh6S3meQH4mhmxYBN4ptx1 44OGgD3rIHrRFliRF+ywceUyh1i6P4o1MXGnUBRajETZwQpqDPtUIFHtIWdn80xuRvspYXfYrJqa B9KqL/AeD/6v2fAVvvZcLadcZ9q4kv4GQonCci624k10jMXPy/+1pmyCk8Wf6akfgr2WBEQtC3Ok Xrvn7aP0ZOly1FnYpacQ2OHIRo4ATiOh0tBatrGMR4VgVpKvf/Zhn8GSjoK8hS0p1whzqABW52B8 mnKgTfYQ06bNyAjKnASnar5W5gtrzCdh88hGXzAxD3XTorz3P1PcCuXd73nj5ij3aUu4tTzF3XBE 2DM1hl1KEuMQQCZ5Fv6EmB68WO5ce5IKLpY7BW6vtz8rMPUT+jyZifZVWYdJM6jI0PVek5uTxXGg cvWQiKVqONgR0f2KquweVf3TtdZCTgo2e2HooNW5HZKBLVmiAR/Ig186z79xy3hMrWazFi9YlM27 45e+njRHeXizr5PeeKhfty7QWzMHLO3oItutPwx604vsScPoOY7jELDTxVm8OJ2lxnVJ5JLW4ZQM b0L21PyLcokOmmL7Q27Al1/2W3oIuwkv9v6EDDEgqtnJxQ0v5pEK0qjNaNIUnQN7cYP0UOBSGrGy yHrJpv1XAWHb0OXTB7rHjZpoOzkP6B9SIAN7jVNvAbnFQd2d3wcw3XJsIzAk9zybxnxDnmVWm0G3 bD7n7BH4eMPMYoEDp0y2WRgiIvDKazwmebKjHz1Xd7enclnX4GAEnkavQJJebF1HsciRAhdknPj9 OgZOq4QwMeXqnMmdspX8qPEnwaVmQRufAHruNaptLDKk5yLf3dJJAaK1rwhLWSgeIO0iPKK14idj /ggCtt/VbI8/8ND5UfWjez/sCQR11HPfWYlUr1uEh4havM28DDfj9eQEP6G+K/053qVWZs1rSs9d bAjPascHcJF1ATEzuZ/8tNU3phK8jKhZSiB1Q6jJG602D1Jbrb5dEDVNlf9JQvUC5nZL5PRkKV3N ed85JTqk+JWqE7pwKOxHRECfppG/WlgDP+J1p1y87I3WvJHh4m3CAdDXk+zqHZYW2u8nOcAoCRP7 yVo7FCaCJgrscgChrx77cZPmke+oWKRDihTHD0X3FcJ3s2BU+T2+BmgZdmcWbA8aOcEiWjaxPxFM cRSkvh7LoteOyZdx8puN7MB+LviPh0Mh/T3J0Lfqlic5a+9pb5f0hKFbaU6NRBND1QoymKsRtxqY zLHUZ/pBJU9Bf8ubZb2zfgT65JfLTY187PP03Ikk46ZCIqOT8PowHb66PI1jzW3bRlB2hLuiDGZk T7gGczz/LjzT9OY207DNTzYTGM4n9gP+DK7sXv4d7W7SmdXRf3q9WSNwtnAdeKWVoXGazexoB2yF blitTPN9bDz7zTQcHklTrJ4WMSYBLIMc2759IxXFoyFvamwaAH8ek3fySkxaD8Xs8Pcg+lapw4nM YoAcsM79JL7Zb9pwkjQYTrmnn24bngkyvfYu1BVJKeTnChe3n1hvo8vGdKo23a/PSSGVgn9Ufd9+ 8+5N7LzEKxuQl8JbiWkT89oPEO5Nk8EVgh0Shr3FThGGZtg6efCqHtVS+TfCxOEsKry8C123na3d itBIQXObRM52rJ25yceugaDnysL5uqMQ4l2UWUGqEpYw2s+dozkq6VRWR64hfawXRcQ6K21N8vI8 HHHDDmM2DS1UoesSKqc8NUqVsfo6egbzHHdrmiKQ3kk4U2sPWYl7Lq30/WECvRv/v6Bx1dT6KVGW DoEfZ9fWVihJiLs8gpEFGuDaA899gMIiVyPweHELKdR4rSI6eBxmZiiOEYiqWppkX7OIWCyA9wZg 2IMWBQwPVPIn186YQHfbU2OoCq5Nhop9NYFC4e0+WYwARFWna5CAQF5vlg5BOwq2hD31Gdl8Y2x1 yvTxC13DvAr7V7JPTaoe2NUQwYmANWDq5ZaspTHGuVgxqdLoJ/vOVefGjgdZPHipNFnMyoytchix NubxWGWQq/yD+fXpMKBhxGtD3x6JoZs7C2262ocyqOO0P1J2XIGG7CjEeIsAkHA87ziCeI3pcQpe H2YGQeyyeauH9YFZ1EextUTiuQfv1GUzFGhP++z3sdPLPD0RdaskeusDifLtttRQTSpcI4FcyvBB 4OAPxQjC0GzHRZDeVJ17qEl01rfPw7/qGljfyIXuelsFu3vuyzLPKtVc0xRTGdX3b771r9yjmB8j uA2ocNiOPCPWg3Jklc7nM6LbqV+jjehe9XR12W8AlvNwFYQ43iWN/RnsTKcU44h2v3ULZA6PQyLu t5BeRryGcEqhIa+07JeRxcni4nN69GeGp4Z68X79Rbv8fMNOjPRVHfSpB/WzI9AS9xWNX+lVtgj9 ZlHC7YTnUO5x2n0OsbdYXDYeN9+QENnXONKLqwSkfS/pdAn0cDhVc1J8muASokftxlI7ZB1ZkpqJ F/ZlVc/H6NBsIigu5Y1gAz9dPcY1xqZyaQqG7LRb75exoKQ+vxPqZka2ugH4UIR8xvUcUPiFf3RI lpOuTR8xSxdFQu5GC+fZyY4LOuwc/wO1qsM2+acZMa4JCfhjWWT5qiv22E5H7K6aeVWgcmnr5LWV NIf9RvdXKrh7ncYY+plLWzD1JKFGvFBnZqUAneY9XNR2SCfY7tILecjU7o6YimNE1cd0vkZaQ445 IN3+MtZWGpM+SqXcBRAgTpnT/cM9d9i4dcv83ZHnUlnVHqzOncI69z4wR+b2Q+YuHpTe2qBZ55LG ScTBU5xvKelRWnYwNKGN2JxHFm2DXjfzo6cXoz1bXsWe85uBSgpofNwi2vPueAg4kOgLK9ng8i/E u3zqdVDYhFSr6FL26ToFrLTyieIWnckXMPY2t5TUxXNwvIZvecXrSwVlb4EWVtNNz4LgDIFIwy4p tLL/x+iRZaC3z2TDvWa1NDuX4vupCziZ93RVyKgXhKdoL0HsE5jEmDdRr6TP05Ja2+LMErIWSwNO dAcZwrErBVQCLY5C93niHNijxmqwXoxD83bzji4ppeMyU5WS8bCR443l0Ep5aNh5AMptqDi+p9LV pBykdqHxXNFQ+op6+Ps0jYt/Nh7LweZ4TL72nKVV28bAYCsWhouew75Hm7vVGhEkcOlBWJCOQSHY epysbMQtrfDqDO5cOQ0l4d8evLr6u3zx0uJjApF8QNXRct6KImeKzzlyVbVlPyj1eESA2Ff1rVAx qAqxOEctoqIFQH4RZjKYK5+BYdznjXlOQZC1P7faC7gaa9T00+ZoGkD3texDWocT9+vpSvEa4KC7 EvgmX2ZTguOeYnsoNLrLhT0IRTrWSiz/CRVCox9BxiM8GupAjUbGw4KySU3Q5Jg2zcLz+ZbnkNeZ OJxaH9JfNVlF+0/1OAxaubJMaEGvqWf4U8s539CH6+rBaEGGCn6eNlV3BEqBuKpi6fa188QeKq2+ 6osfqnjoSrNTYcnVfE1E1i4pNnKoMj/LSmkAmYtLt/7gojKXTRFkRFWNmbXtvZgA9CrHDy77aLJc lGdvex0TJo4+vX99BUMJYtKqr6htP/Y/ZsTHwQeFQi4pSKhVGz4udOymj9ER4TMhynLStrcQAkjR kCv94IGNZiM44+UrlbrOKmlTcvK6GveYK9eAu/qYNaaMRB9/l5e/u7lUwNaWSCnIfe5l8YAbEE3h te0ZyMMQLks9cu4SMJEBkiFrUzWDKgn0NDAFipPoPtIJBybmOQxrGLHeysa7+4j8NjC7s22fq7zh q8y7y97KRw7oY4dKz7gsSIOyV/Y3O/XVPncL2dgUa2ujwFMTwqtPfc/deRRxquUshbVp/YBruIzW c4EhFpoRdgr6HFyaiFkQY9uLePpHjeG7gFV/4jC1OU8tRP6Qrw+zvKDyjFcV5o9uOAq9FoRkRM9B qbmZZy9UcF2eLFlYWpFOSB0qIutNLU4CzZvcG89bJRIkzg+rePmAm5dAmMcU2gCgkydHdz3K72Mr l/Rc/nWR1mR9WUTwS7c4gX3NY0QdsxdWfaO7kk6oVjGjvK31d4qaGXIBKJ2eyabWqLTZrrc7LFjs rXbf72/24lZ+OZM9BQnI5oiT8OoIjZPL/RsoaI9l2belheJO9K1/59IQHzE1kz7/lSuBa+r1rTrZ iNtNgryG1wcnnThqKwyDHFUBSZMdd2OjnsKkU8yMtERUDg8JAGp81lmL0sd+w+oc/Z006iBnQhS6 QP3DhyMYAQf3tFTjMD2lBf8ozg9iD2wuE8+m4FO5O31PY4lfu7QpP7QllTxJamNtTk0hZUGBsMyD sxBJHw8DUm4TDsHiCGdlx4tGLOY1wD3RPrzzw94l+dAMoBMY3MKDiJO9V86w0jdqKhatd4BA7LXz IY61+o5/P1v6QkUsPTW/qoEYwDn4G2EsYHO2FwksiziuTCm5EYnuohqCc4tJ9o83799JkYQzjMjH gRQDvdZvLBdJaIdwB/MBBcU5m4792A4s9H/ZqpVY24/bHhrglAOErnHyXp6cpUAfFyeUjbXZeQ8k a/uWboVRYjDkC1JeaYo3D2i5JU/PRCHNsMUr8PTu9ZDpKwfNHVt71agUkGwubzIzR+dU3a/s7LbE o4RBR/r20CP0d+Vc8HyQI/hv9cVkazqwgShksSQLE6EhcIsDT92ZVegkjY4l6gxLYpZeNzbvzi9A 0bNcYeIdtF9+T3UFUAl5z1LbNcMJ40AdAs2cH5ld+BXrOU8xelERrdztlP3FNUKzp3XW1f1Hq5bB EzlcLrEvQ9VBZFYqZ/7stJXxj3Z4ORqb/EsXY/92phsQn6dRnBoAVYk/xNcxgjmTpwkbC/ryDkqh YIBTj8Xvr6ue0KqX7NAPaw01ocOjYG4EflBuP4T0j+csFFTHqsr4S/SSgoTMumYQ5OiiJNjmRsZp cj687iWBcewasxKE7Ujjl7gF6tdVkpUQKCzuIWZB1Y/oYNatK9ZVgwrAfKcCLA/UacF2TIlXoSAQ lHwxLCW7SVbjS5z1+92OCZpGCYkaTDL8OjbyDGQTdoxJrUoa0Sm+JVCoht2LfGy7wu3paJ20nx4V hVh00eWqh9vB9e2udQVbqxwo7MypORPBee7FXQd3Osj8rrIFyTt6BLaW+nkl4fGDmVCvbJIeTkF3 qhczrQ7tqo61OWiDhOaTex/KeNwapD/qg5OZXTpBpKKpGTDxWIL2Y5FQTcKAWTImZoLA9KPBoIAu egFUdRc3z8hFkuQIX2KAeEwRubJhdwqNWpSLVrnFtbSCLwQ2+UiQ4SnXdqVzeUQ+7Sy0K3sB0wk2 wxd6f6boXWVgf5+mQgMQJwSbCD9Z34acE4pu4fnNfLzV1/+S2nImKmXt+N9QFTEv9Gzrm+PL1RiU WlnwVj/nlMkvnnuxYzN71R9iv4gJxeInPG2qH+iKzeJUxDbeqWey7HAR9vhG1DiN9YL7Y2YKFv5D TJD2GZkFxLZbLWyH6xKZ7A5THdOzpQa0i9WvgJJXHmpgTkVcBe1EO+L0h9U4akEDvfbnjr05Zlse cnE6zQo/pG/nj6J0zV5ONBKkSixQ8tHhrQXikxglKRvmthT0m9hnrcjeNfl4NK4y9sXc6U4paz0W RuirGl5GhWhMOFnVQVjP4W2ArQ9opyO3OjSje9KuzTnnbKF1/W44uxr//g7qJaZ6lGoeMJJj0mq/ npDUKCXvwL9/6r/ec8ovvf2A5eNQ1ITYZaxzhognOhBDt6h5HGygfiwDPI41M+hHEcG5bsUhXi30 d/QFGu08mm0zcqfTfzA83Jf+Ox3MmjRDMdKNyZlVeR5XdH7iZ46MuzhC6S+wbcQZp7wtWiRTLESq 0jtJ+vPKDxzTrjq6IQBx7e30BuCz7mvBEAnGb9+Yqr+7FxbtEV3mn8/jmIquVSZ0E44jn2U9ILYF TeTEM1Ft2b79wmcLkN3TNP5QK2ehZZB6Urg3B5SFIT3kBxNB2ibcFmP4IeHOH8ZjrIDjZyN50lQK zM6nkb6gvuHDywOh4+aefmxG+KRGfRJVZz2re5QMpH53oJwANZZ+g279IkqYqvhrtT2QxRPLM0SE yg+wZynQRMCSblWrmgvDUs2HvZd9D79YUX09hRkbL0XLKJXO904RC2R9SDr33Ip/QwlXLI6hWc9z VhOutlGDZMBBxmCzIPyR8epnlOiSBSAPHYnwcIsmj09SBiT8NlJb8KR3YWmk7bBO3kr8UV3ikysy sJlrQ4UkQXCOEqEwO+pnRwihXE9KVY6Znh/4PsExIm3CB0IsCoq+ucXEvTQrmx9T4O0ZT5JFOTMG HdEojaVoUZ04aprbJc+BkfZo6nxfAC9O5R9DhqPKtqGYDdU/kFl87IYK8txEUVACP181hE8g3zfB jSVle1e55sjGt0dPwS7Im3rYCBVdhX4l0OVQIw/iuc72Zj/k3WobpnGGgq7Q/GYFzSLgolxJaRCr Bsu1BtFm6j/TgtFOLetafCQ+kSzqgDgqyeaIM7Vt8PcVCRUDKq0GUb/BcTHM1fcZsjvP9xZVWna8 2IH3qR68nVXfcs+LueA1ELqF7tonZ5gKHg7SIGgzHa/wQqRmlt0hckRWGA6bhGR7vOmPaRpdKDfp eUtz/0kepIar0KdaF7jOLAAmdIQcre8lxrzv2PdsWI+Cgk7vrvHTul3RiV0oE/bUOKEH6Lxd/dRW 4H876Mn1WygsBTDuR0moBBSqj+giB5hC/TMxSRNddzVLG1hSgv5y0vG9MPluGYAlPdOPSIJepSqg FSiJssKSl+F6ap6xpn/cCG32tj6XAW23ak9fT7mvAF+rSy0MVqyyj9MF5XDnka4d95A9nTfW/mB2 McNFkA+JIHGlLju4DNHO+mYFQ6z8pcTfopiFgssd5qt9H7JQOhIksVb1xuDDf7agpQ2pVHI7bHr/ dbuU9oRWFXS2fzMzTzTMUoXvWPG/ABhHDvdjjPqhJ2OfZRwh1HZxIi75ENwRqWClbshAIaZMCXOY 3PRWHJnkEIutizlbYKwf8gDkwSUMJJv/sOEFo4XvAc0CvK2vpwzd5IzHUmVODgnIekqKBKIhN3yL 6rIqDCq5JDBfg8k11jhjk4dt+id3AyO6xqbllHXCNyEvJsEm9Q6dS3OtWNN3ug69eRpnIOkZpr+I yC8YVB9t0c0eVb3gEmdovKjjf+Efeq9Oc/pugSM+6hkLtirPXOXbbLwVK7Imud9KlOBb6kYaGrSZ 8fTE8/PqyWkJ+Mpt57FxoUrIMt2NpGZ4/3H70gZ0ZKdChBi37xVd6tcuR+susj1CJvVLRZqvG1tW 6O/IATL8YFu60EVdyZCu0r5PXAGfw9Mes0s4HwFIvF5Y6w1QrsZVNVgPj1/C2NAOuQpxIFQeozvD 5SxuErdIi+PQCyf6aV5VAQ8aXnyO5Y8clCTp1jpPjl8O9EftQhGisyJ9NAsRnhKLl6S0Iwyj7cDk J5XUuvm7QryRKc7TdtXmcnrkLhaimAdKXHRa3Lr87HXoSQOVIAfeHnsb/UwboN7oLgvfWtJTaNr0 kE9OL3IGWMK1UBsCePegi2j1Ft8+Nm7qIQ2fO0rxMTu5mr7OCGG2CUYbc/dgENBCVjIvNV38ZhB7 Zv38PpcKOoZA8H+vFJt4cSLJZRNEAR59L/gRN5+KbgFkYfP2gLsJRW2vJqWFXTF5JkxAgy/1xsxs E4O8EUrxZn4R5b13MCL101VzDSVvHpNKHR8Ccdq91LCXMvg/cvWoURazuSIE8Zra0YUUlMBOfui2 EmqHGoIFI+LGyzL0xRAkHmFLdoTrEcyWLln7w7f4iYtSZBuyeWESCWEKOHB0McKM9/gTlpTRvGSX UTyy5HLFP93Ec3NULAnGU5KP0V+abAm7xYvsd6P7g8lBG6QJn7706QT9lfQ+dy0mU/3Ci5I+seiT egrP0SMFGCFHqNEdvQ0ZLHa7agblJwzizED78agszg6wLBOBbc5BPmG1J2RXFn2DpQeNnTPRF3kH 9HYHYil4xBr+EhP/Iza0CzkPv4uWPAqYOQwbNf4RO3MGsN1HVVi04Q2gb8jwtflWD0qXMnOUtkxb Ilq1wZVPNQDaQVW0C11LcDkZbazbFK4VpT4FlSusJZKt6wqGl2VFC1RfQ12X8rlzhfdvir3Gvdrn ywrKUr/Jxm8G2oq3lS10BrfxdwuXKRqWqF+/8zj4+0u57aUFMvVpFaVMxMEdsr7WL2oP/1T6f0uZ YpJz+0YArbvAD7Lqha3ulOWnzJuAhKiZf2ggRcpqPVldfrG1jQMUBFwtXHTCK89yJG64NHDBoU28 kSt5gz/j86dUUkRMrUjehtCFY78Dr0iknwUkKBBm1/RfawO+T/tj4rhUNFTOwNrT4E8+cSrgN1qx bWnG7nXAa+eArow5wCl830SCo7251S+m4rrlUDjv2Vn8cpPbiYMaV+vWXLo7X66VSxdqABwxJv9e ZF+irU/1Pnz9GuEcFa8Sfxsxl/iTYn34QU/o2V+B9qKXJzsa+36xq3IdNAaf3rexNX+CFh19xT9g 1AkdKFZIryXqnnn5wi8k2Na+0SXlaX5duKIRTmLKbCkWFXV/NQ+fvAMT/kxaUrYQvuXCRDULTvHz IphOovz1s6ms9ZXiluDF2pThL/ti+GhIMi8MY36Ta+Pn6Cn3umsv0hGV/e0qUr4aq6k2IPvqB3xo Wy4I2PO/1XUAg7KUUNcLN/KxbwN7PirHI61SxfXHKtjvcc92XYZp7ROA+zzZnEXXJhfV54OA1P31 QlM3Eq9XdYlUxQeJSGajavf74BSYGaimhO2/p8zRJqbDzB6ByxOW0lfi4Ggl4YmHdjAf+h9O2KBs Jpt6kevoFTUaI3y4hW0Q+aX46VrJdK909yAr+TqDkbAONKvxKeWHhONrc8WDctnMyPLJ9aANLB5c ew50bKmn0km3bwvtXl6waY6h5V2i7TxmsNKPaNMLGzu5thx3Tkln/9toJEmZ2o/76lf+9j7FD4oY CrGWYIZFzWDSgLPiYPpa+P/3UoRctyIv6dzgOOaqTYoGjXOY8cU/AbKx5A/uSVTljkL0Xv7daoiB SmcnwjAp+u+ubZ7mnm+g/WcF+qUlzpdm0MvQ1OlGpmsKQrIlUsY6nZTgDhllrUaA1PTtiNUdZOmR 1enQugGrWmk1+JW90eEDX/2SUuvrk8vwLwijJ2Y/n1AP6648aJYeKT12PI1sjxfKpFaW0sYYrmcv eGlrBO5lKaiXhlSxNihfVT+JFlBFwnc8A+xIKUWaZ1mLW0ucz1ZfDzpfdQHRI3xdjdqPIY6pVN/J E1N2mpkrx6VIr+8NlIxne1SQVpW+YNy23yfyVbnN+sQvt32EMl2u9WsFTFToSJtxHnGL+fAcQiDq ehIUC8hRw6GxS9pgPsCBEiZPYvnu2ppQ+ewdVPzCKCV2crzA4H8ylkj5br/vSgLW8UTcTi/Ik7iX KM/xy/64/I88wWhm8eCLXMEPa5iFZbGa6YkzhidubcsfXhp/LGsfPDN051xpWjyv8jch2wuyBtUb m1lAoR4yKBcPSzhuv4fgT7PNMBsFmBpRPk2X6rqSiJyihQN10MQ2EYvjT+O6xyu7ETTpo7YqyDqV iWN3mGoqJwHuyLeRwTrHxNwI0X3t9V9Rg9tyTKR7o7wF1xoxpvZQFTluoqlqoujbWbSAHzUcJ/8Y fw9lwpIOTabVnvgjmoKCL8L1whWf8pJOaCwgMVF3dQKEePsnKuBhE2zguAJVZbMiK63h8Cq9vw0X OGIg7HuLSMpefxH+TKypOqjYVl8ZAnEs2QUpeN3hj0W+MjbRZ9RLwhPg12iR8S6ZxGuMo9IyErnY 8hRAPID5FOa3ZHdL4VWck1jxsLrjRvaDsYH9tU4GvQhjA8msSfacwowhCZLNvgXsNDsrqI4xWZ1z RHKPVTestkwN1NUZLBF0NDmxRh/jpVXva3aJW6qczm1Lm/IaKvxACCkAan0HIatowUEXiNFerSEH 5g6fNVvQb5s+Y642valbK8mwb0h9uqy+vQGBzShZkZVCejstGYtdYfP5AF5HCmozNsRjTcJejcWG qe5AufjEyEuYEs/Z3HERY3ih5T5B0uLYNpvzeBQIrsccEdlyBkNd0oOzoqEFGGmAQ/BxDj0dz3IB ovgMDaxwOF/VDJC3BRVLseTvADm3DVsGSZ5WpmT7fcbuLDvv7QBw6GHWH1aJOJa4epBllK32Iua2 5YCuudZLWZHHIUKP1/RDj8/FfReCpMGCT8ubUeFPadWmDvF0ZFPCy12Rqo1wDxTedaCZ5yWWKGje RUrERbRBS+SG0JbjXKJDOEI6pUgBTeVcuVgrM8G7/xi7pliIZIbhiMLhmb2s/LCxtQh8b5UcW+yr fJo1LRejTqvlg+3VMvj4s7x8RPYgZv3jPhz7aenFRvzCduso0I8hZ5/p1IoPm1P0SOd1qgTiY03m WlBCBhprjNIgCJSHKreg+4SIUGx3kFLLYgYw9SlSugY4RnNo7Fu9AxM79U+1OZC1j4ONJ89MHla8 Hd8PJqlv880lps1jO5k3zsHRkW4HHFHS6Gjog+YZGjvxHz7Z1JjhyspXGGpSBHq77RPmW9Re0y4/ HOP46OG+mPmfN1Aqi0eE5ZVnek8jTTbmQEKFh4PUqH8gBcpua+lfbMLtKZQ/X9gzMuzVToYHJ4GP hWoQximIAl1zCLjhCasEFCpK+ahsABpp+WwhaAvj1kEd9ZEsPzNUPOQG2czH4kWpX4nWF4Fkb73N m6/4hDF7HOjYhBxWv34WlfOEMkU4PeQgzdhknivIOtByTXhX7IQG+ao9WrNL0Dz3di5/cMRnwxqN 7GYbmnnVDz2N1Bs97byjAetaV9p4tVkthJRbdoXybpkOSXZNPy4TmxkhQ55A3qPsKSBYQJpa7LUR E67+CfYqT6m40tP7F3BcKKVKlbgzTKcyGakWfg0JtvmqZ8yu/5dKpFBsCIHM863Z9qrM/GVhOWWf Zg6flIWGtgeIhN5jFdptxYdCnlS+gp+YpBXmgekS5g1tI8TiO+CRVeR1iMY52p1CaozbBcLD+tX+ Q/zubXGAUHCckuDfR0yGT04b0xQSgtCpr4PwYE1xQhALkumpS2o8i2IexI7MeKJaU/v9fV14fi+U J1lKJurUpVFmPvbxD2W1qnc1wEyuDZVM2zdlGGZG89tf1pkC2RRUrTSrHQJKQpjrFPiKcIQ2w5Le cAwS1Zd0Va8uCKiDUWfgu61d7uTbYQ9YBHqtJKgSJFR3VwDpb0lj1KgXS82pLoLEzsH7AwxcFRwj fYxsv2zRbeaqwcK10sO7DCoEq+O4hVG5q+4tur9xeKy1DN/2Lbb267miyjuzDS5p2d4WBJVsWNRy Q3R9ZXe/LBXJbVBNmTken1FlRal+4afl+mkdI+tYxCicF14FWEq3TKf6V5YFO7iPfIYfAhvPokBv 6FwJehIF8YyQ+rxQ+oA0BhzG3fSn6/Hi8UGZbCtUKIaXUdReTyX2eLMddRkHB69l+ajW58kqgyGL WLTDNtqPLJrSiq/TMb8G8H2qXBnxzlGZHJD7RDf0f2pPJOR3aVAa5NepSY3x+NE5CyflQP7hgA+/ QANav/MOITsEUA8EXsa7sAvoU7ze7HNGAEZbQ1rW4tzenkniphtdZB15c27fHvkiD791E+0exnMv 1XX5IAgL3n7L+7IZ6qH7W+6E+GBzj/6XeIFtT8OO5jZd1pUHt84+zT/r4Ga9XRcK/w3qeKVn4Tg5 I0WxEjnEvj3RJDs+H0djYlIXYA7XURjv6wHixHlwccVI9OseIQaslbEPzWmu71jCZUQSxVYNgeI9 AWT6N8vpwooCfsED8X7WocKuOQaogPGkPNAXfJIMMeoNqqSe0YD8sLnaoYbcg75FahamIO7WBm+d MX7WsBeAx7dw1w6FmfVPf1XRmj0EewCHJxkz4hEMYk8e5NtGZsUxNNyyB8WPSeSL6sv32SVd06KY NnrryU5HVArtQANy4THf/ZAFnl3g8U0zikj9xC8CObTDVog+pz1jHL8ce66+EL8sLIUf4r3CNBH2 +mjN9HAg6D5yV4i5hV9r4VmPO1701q5nHbFLzt/Z3iHef8NNzuFz15CwBgC1ZJcI4SeZRfuU1BMX GmSfqRcYaHS28wqAwGaIyG2Eu4yls4cqS78hbudTqaH0kcm8Jgp7IMNHpF/4ZzAD6xJT7b3YpNxv Vj7pNYfqrdNh5BR7nCZu2ui3m1ZCsNxjgw745fTHOMr2kUMJP1heVY28Z4T/alUSrzTfnOIlnB7d AHjH/ZxGyOCQF66lHmrhVdmnEzz8/0hoh6HmVH8O75ButXpmFocZwtDclwyMNGgQfk3QUhOAaVjA luHa6ktnKBkZ/7v2q887ODAIohHl0r6EsBcKbSlaJj95riPfgpU1/q02JHO07uyy7DbkWZsq1spi Oq1f+lLffPgQ130MqXfAljh38JTKJ5XWa/g4/v3tjQkEl2H+A/lJegffdJOIbMlp3v+nHgaJK8mJ F53TmavxMKrR4+xAtpeUqYKked0JOz9JfTlRgYxDB7v5GedeyR9QHlhiE2MlkZnoIP2gVETJS4JP 9KdCzjKBqNmPaJuNw6SYT1DKAf0OCq+Yx0EqtRCkKU0AbSyUtGQAOnHQrt4NwMl5zt8ElniYQQuz a+Yq0jRIqAPtQ+Zc5QY/s0l7yRQT5ONaZvlpW7rzm1qp199wPhlA4a9TqZ9CJKiblAp/1KAfcU8M EVe/GAxkXm0+cE/Mwp0gEE52bMxqyzsD8CENIZ3JI6/ApbOzGF5NmSi8oQmlMOhgjUMitUnM5yRm AMOgplroIZ6Kc8GUNCUPmgaIP9yN43vrqPR6fjWsO2Bw+qEo5H58kSZfyI7mplXDmGCxkVyqL/Qn AB4D3H/YlALz0zlIzYNylqaf3zujxk+fbBCfYB/NF+QjYJ0lkRzgPKWxsp0s1lW6yCeSEn9Tw0I4 OsSWXcsNDQyexPo+ml2rrHc1BYSmIRC4BZQOHMDhuDdO2t3AD0lHmHQ8VLlkEal088GtYIVXgQ+N o8A/UsIXwxWwUz+Bq/h6f/m4i9bc4x68/zVip6kBm5ZLVptx4ksIkuRTFNDR5hRypK0rjp7dK/0F UAY71lA0l+tepyXkmCz3WafiyIFJRxzsvV3CRbv14hclerK0aKTDvncoscm0NTIFcqRMJxXjj5yj uAFmTb34opEIl5EicPfksYSyaB66zd5asvKRhQHOGZnAuD0SxHNi1P3lJurbDUZjgro8MPqD1/fi ISZRbwfgjBiHoY3gbVaSE6W1qytiaeCkFCbTRa5zzXAbVHOh+abx6bqMOW1GCInArHhQL7jPN5tg n4rorYVQdG+Ruje4OtvvgmDRXEtRZFp4Z2n0/xUREvhwOS2HnjZOEos+3QrB0XQPHid80K8r/kxJ j+P7JRidRFURV/krCdvDccstxRVFU/0BDaR+ZpIrWoIREBIKsjfYJvi91q4E+4u1Iw+IC5Xty4y+ VjCle7k8qxUc72NekPHMI8kckGu9xv6Fya386pOrRE5j/8iD9Pvcwx3lK1XrI+iCU5Z0UhXBeaE0 ssx4o9d7IMCGJb5tyVtV/wVTjwwGkrIV08rSiZD3bOoGOY5aEhFPKkAMhwU5l0fDP62owmKlmt6l LFw8ErZt0qgUApH635y26L5bv7elupRHfs1Rm1KKGDesQ3fbw3kuO5nwf3qeYTaFYfvSnvgbHSrb IE4QNiW1zuXL8b5wE0RMHplkyll6jCStXzVTK7souIIlrigDbYiruSsr9MGo43YSVdqYc4TA5KmM tVSQ2/MJpycf3BLfElGqRQGdtiTOQ0TBiugzu4faw5lANSK+b9Iutv4g5mEf11Nbhg02ZdVqv/Fw og19YwhSC0ibqiG2BnrWQdqsaSJ+m3nwf70YV9mVurqx9UI2ZnVvGEDf8I6CsfzXhm5z31lk5UGH NpOocg0F9TYs5HZFbR5QBT+TcWB634DF4pSH7ysWXT1MCS0vEA4UrpbQIF80gfGFFDCkavGccIyL S1zGu8kqlF3g+3HIkROu5s18fCLzQ2qUR21NwEQZH8K2lAu0ep3isdbRgqxsSa9sI0G3+fn+3l1D ZV5imBmQsjiVdTp+G2Lpi0dIDSM8JOEOKwAQcetFd6MfnaDDpnRnkXfy0t5PQeDaCUFuX5r63f1e bDNlN3qcEGWGHSs7HZH9iyKfHzbMk8z6LSSS2h7nf3yt5KuHtGR5fcBQSyf/p/20gzYTUFV9p8zu 0Xlu59wj1vGWCGpRzlsiB23+BCbx9CB0xjBJZiKk7pEQIpEBIi335q6mBaJaT+OljfJtdMV+VkGm gtNaMpIufPZ3fIII1FGkUluAGmCbZULTsb4nbAPQcPj1Fi9v7f6Pel7nGzCnnCXNOwKd4OGERfcU dmuX+u5XQeToxqi46JprkgepI55+tPdOybLiDn6o+jF8KSPXnST8q52JPy3O3q0FZQ/ubugga9UQ 7W/LDBQmUh/91ZpWVFhynpLwrkNGvA4Z2kpZ8NOL34F6S9IgFX23/BdBaaug2lXPQ7cTqiTQTdIj 7WfLrWD+sFMhlOn9SHK4vSm0GldCXIkhxBYVrrjYpsczSvZDOzjubWO6bUJ1FNZuDP4LlDBjpHFe 11RHxB8p+ZUazVVIvRsE2+MzsMR55xZbw5IJOwU5+HRzwOmrCp0rzPT+hPckjLN4yBwTltfTdbXU uPUR3PcU546MSV3m3XbYY0pia6LlSQCUpwvCFg8ejASmnvJdwHNjxP5uCLJwi+xhkhYgfitqhx6P pHX5hD7QEDAkU2PfxErOkhZwPp7ZBmw7gPAI0genTmy3r4tW7O3NQ2OMpLx2tSxl66S0JP5H9PwW uuFL9qMoEgX6GGZcv7cEcJo7e/Byf50mC25FE1JAA+mtXEDg93u9huCS/gPX+VvbQVAfqyXIJ63l 6DyDUSuoIA4tTNOVE0QGzVDK7pFHHC5fL3wtFQnLbS+fdpJmg4H1uzFEwHYEFYQYQ3iOoBhGKkDu j20MhELpv0xm61fkZirS091zlStMbxpSXkh+xH3x2AEbYg+Q9mTaTY0x6KgCN9sqtJKZNVa6Vk9i NNSEz/Q6H+mkWdsgnhKGbhJOSZl+96q2Q+Dr9+Yx3Fj5YUpWYAqCSHEjYp4Nn06BlWDIkrlG+vBS 8kO2PIUrTdLgTnqc9Z8JOGH86TWw9A5S5aZxoMALX1cwoNpXpFvlvMM49z3dLkClfDNz2+d2SSUT yBCVc9+QpTKFYvwbc7MSctjZ/ZowqQ6h0Foiziaxmx2UetY0ayUsTMb8cWTfpPvVGnTCpFMeb3mi EjBkPpFjgRqM9BxSicgw3TkRnYm9ilN17LbU9jwncAt3CNUYtn/tYHtxoCOYD99uVMYYI+fN0ece m5uHnZV0kmx2sY+hUtWe7Bm+Y1f3uPkpvpAp9p2caDFMbXlg9H2DUt6Olxfm20zgGOa7ptOWeT4Z a3GxvMtPIq/B1LPX2g8SSk8yR0I8SbMafG5zhvjCXnWbt5MG2WXhquqszmTsMR8tnwmlVPLC1VeQ gbkyfu45PKguvSELvatq1ZjQmkFtyErNkqswWsMGwJLhx2rnxDelF8g0uaEMP09MZOPTOA9b9cE/ HcxCeHIv/WtRaJJg5NXM2gBGfOYum0W36o3X0fLkIQ9fPtJkMhDs94oop4bCwUs0htJe8k9w0p9/ mGKXl6/XKvkIj+XbC68Vwg5SscZY2dFMP12wBlxvxggaY4KB7q6E0DhbI/kfsV7ynko0YUFtQYzw mSfv5AkP8vsiag/4vb23MaYMYH488u6xPKp1e6q9eTkNofHft0ncO8Fn77eISfZGLE6p1iC1RwKs BVH1GhMmqWKkZRxokbs2XrfuM+QSB+i9L/IGFuCCMx2eunctc/3OYS7WFBFzW/BNhgHRovwupOkF ECumYF8wF/08DUe6Oir4MZFpPPkS09q+jCS5HIKstUfUyM78hKMChtzF2/XZIRSYPYIJuIlyZ6SL f0tK3mfmSWbZQpNiYtaiTAJod4YFU60ZFwaJuw/z3UFVpkxP5nLILDbtb03icRWV/J8sBcrusI2k /eYybUu/LIFAN/MuxWWRwpOEVOD80SakQpxKzHb2/FPh/dKX/+mR7Lfd6CfwL3ToC1jmnHJ6cGPy shd07JoVCzsfWYo7SfLiJSi7o2NuHTg2eeQ6aQy6/RF8NJf5TXyuHUVQ6kVkjhNr1q+eMMZsp7Xt rfC0aE5uVyrPQNhlfKkoc+BG3BhwR2rTrLIiHlEGf3SOe9V6tZBfpZ1rTSof5b8NS5AODwlxNgKg QQbZAZMemO3AUiEMSfeWLKtDeXjjv7crSPAALHL6tZO+H5pj7aG7UGQ8YgKZ/AWrwC1sAO2+1Rg1 pLRxNXW8OOMOYKfIXgqJualpKJh83KaZXqwmwV6Q+bq7pDofTtacfYKyQxlZAWezboYDcPnGRY9r neQFc5/mI4hgqVFNpD9CDA61OQ6Gg0Y6KQt8y4PzpB3YXrN9gLL/Lh6zwo2nhy7koaq5xnxcMTZO w9AGXqkewcWKx5uxJd4odrD4X1oPTo6DD2nn7TsK1hJVnp85ZRldXI4pz4ppmOh5uzuPtrVmeNBD C3gMwAqdz6yJOSo9cpSiNmZZilAXB67BPVKgz7HpRfSSDyG9FruT0zcJhsk85jDtpNEpISRcMOE7 zNi5/IV4PxwKfxlMlDEIoiuaQMGqv5q02SCLBi0XFac6O6Ejz1h2H++RZ6axnDitZwZxADxS0odD oZvEI4WkstwFgTY9qaNbs4ySgVvQcWRAWCx0ZUChgQu0cOtIcGsichphivwTCM3p0uJX9suCe8GK 4tmt5oR6Qqi3VqHpF/g8YoaGdOPd5P9dZ8S/S8Vae7cMV5ZKXlpixXYglRJQal+Kcu5jhTJnfry7 dTX2VBK05fGv+wiuFs3JjcpyfEdfF3IIBYo/qgztDgO2AcpGxD+4N0J4MPaGykivR7bwRy025Sjk 8YRb+DIlLSfVlCIFW8Mr5XHFvilKgachzYp/MPvjfzwp50CKtiU6uJewgkwk6DONfvvlTmpOJYVt BOaJ8RwtWViGk+IZ+V6xU4KB++dbfjOXc3duFO/r4XoWdpOf+AaEZol0FVHexhHIiD6BudunOvGI GdRofSZTmF0YDPu9CHj4UNnlUIbJHpHhoNaZPCYIN6JZvWTKrTDNnvqceaXuIJYSFq8t0wp0pLx2 P8FEZvC47nVt1Fcv0kWxkJN8ryF9pYoWa2l2YmAXL/6QpSR3PG5bZZGFgZkIuzbo5ZfmJqqoHH3k Cm8Om64kREjjhjhkhMThQXms2dPrZq22rmm/0yb7eleMLBan9QL3+4Ypw1I0DEyEMJyLGD4Xem0X RxE3Q8WhercPVofJPv+A2x+sXTMRMZkxgoGlMscZkRxh3rW4jRJg+M4Om8MPnRfwS1k6JLAYHsRs O8YRwTrW7ztq/3u3O5guqU18up/dFeJVk8Po8OBqWfbAYGl51AvY/7eahKwMoS1kwT2TnlS71v1a Ta22BOS2v/jq/KXSP/1SrihFSEZc39M+k/AK3hJZI8ZBmtbRmubKCq42EBQiRnqEnYgAxGx34ntC gdjfmF8iq5D4guAafb183QpwRnIEMurR1tMgLifTwLPhgG2uX4gmVy5Zho37QydlkXF+4J+Qvsr4 2fX7un5JtBqnV1tg1sBsUZuiLfK3aG4nLltUKCz2meiyiwfxbj1C3QTlP6Fg/kavD7EkhOp/MypG 5ERk/GbDTbsiK+5sjOcvPZ1BrD3paP/eFSPuJS6js6DkBYujzHtdq4QS9O7DaTctWsoWHopDdoSZ KxRYBdCio2P4RsSBmssXlQsfK3yikftHowHcAfLZVKVFO5xnHZ1BpuEbf7DSHuI7Bx/PY899jFHm lTL+muiyKvEJf/nvzGYMbKDAQJARw85BuNzp83DXOXI437UKBnqG+fKDCpg6LS/KmZLlFlO4+al8 M4B2Nws5paIPaZ9TLfrSmU5rLMEtTYGTcggmWeKUn2e8mdTiV6j63STREP2A5CdnBvwGodBwUIh/ Y+BiWKU3NCmaiFaeYHFTyPbwGH0hpw58ch5L+vSSFB1N68Uzt3dqnCT2ip0BPGxP2kBspIGP5dsW UWgWUkByxUUw0aiZ6N7FRDFl8X01EsSgG/0J8ZX//TA3MEBJwS4P11pr3RCNZth/PilXOmkdJxBz 9jbmf1abhsBUNI6icQwDdieHZS0aFLK7sndR8cac9DWLOi9dZ8OJaeaM7Qqn4YyWqCZuzu+DaGFP Uc11ab4Oqe8wYV7rpn8tiDXAYnnEHdOgECFA2DUMhTz2K7vzZ42cCSCbCK6QAUaWs7M+I9TH1s/M ZvRe1d+/3Z39EwBUADqj0T/H+VMKtbzUhqdH4wDoGnbSqHYeLpVJv28m83N6g9zdLVRnjD1sJ8Xo KxudK/P0Eu3VM6cMh79+/QjpFOoRta9xz/+leu6o0S3TVIeaSpO3LFYfyQBXdwI3MerE5mASZbV7 qRbCI0lgYtAKg6S9/8MCArxlVQXfdhvft6YSlZCNZuil0/ft/nFdp41n3BprBJzCqAtJHQvYfIcX djrwbwR5GTr/GU+Mhbu1mIoendkP2hCpLEaFfhx8jSDwREgBVlocQ+VkruChrmrx6QWHL6MsZbqg S1JjHv3XvwbwUho/KBQLVtyUKobA1TdVfJjrx11AHPzOvRMQLMwYhJHlLoy1s7/37uTNBTcBNywn LKV119S9xRv/vEaJDd/0HKZIIHybS4rmTt07T65La7knFbUrpusQ9vrfwQt5W0+1ftb8UixH+baN vJzlWPha7IDCZp72s32SYe4c4XHl19N0Z0OlsZOoMC6s7RcI/SNvuqYI02ak9vDmlq+qpB3JsDoQ +/mRoB2gk8agqary9GOc1Z4QlDGuWbO5mmvM0jj7pmKxN+H8ZtiMDdPRqq7cfAoGFNrIITy8kXUb rw+lR21w9YW+U/6STe56TsJJI+QpSRcoxY9SUzoTbreILNpN9x7JCMm9UHgUjhgOV3E8bmNu00yb bbuJw5q+qe++xIO+qfDqxZXrYrp38SjK+MU0mF0nkryuitLpTPVBiP0LUzEljb7F9LniVoINrf1F byMFB0PoyMgqdQ0K2WyFB/b+Qiso+H6rjQp6mMb2vUhnU8bEu/GxX5PpI9ErFsouKsxpKwbBP/jn rhutQGMas0Tb7ncM7ie4OKnnLfFUXRRCw+CWsSPY1JNfwfbaRn2JjuKACwJMMSflOCURoH9nCWiY BSgTrPwBeW5CGL2TKJnYABmzzHod7m10kc5Is8LHkVpAhV+YYwW7a7PKhtN2v3otWemKgkfXge0U wGLG8IYHRb4Ir893T9AmrKDUpkLbaYf9Gmjf5CsKkQDBMuQOS0t0JZoivtqCQ2ltZRvNi0B8Dn5y abIWFXQ98I3lpkTTsbNVSfu0HZuhEw4FMJ/0L5JzUtQTWasuzrFLlPOnFQrirNNjrsQi6v9LD29W fRF/zYLwWURDu/qLM+FRbaXfhgGE3gfMsw2RpEgBq7CEY/78NzH1GDXj1jnTHCJsqMveKOK7iGpC 1UmZY9u8rLuULPvZXnwHcmLspjY8A71M2QvbIXtws3wy+hAEnSe/fSrHkglzPi2++4hWsRUeT+Pt Gvy3XAV48kh2qNQ4QBaN9GuEP+XrClO/kYyGrVnc0AqsfDedOeoG+X8AHn1wQ0Vw5uSgqfPAvBhY 2uGnZSX8Kxq/WgVqZNkv6UTI5JNYgjuW6Z5toNGv3uDUAPXMnvxY+IjRUq31lzqObswP/LAVBVNa 0S6mGDfIrcmxxdoWr9Ok+r6PZoA1Y+8gZcWJrg/1zQ9AgMMFYU7DjWz6YJUJXG8pQXK5vDg+BVxC eHvxRReTeqwWxmRi43+N+6uwk2Q8W999g8V7T/KzA2XJbN1mvppWB28k4TH+hiCERPyb3/7wDw41 LDUk+rjKeDf5ImuiSEddAJLOnxpKtD0cSPOraIwqtDUrX56AI9frm+V5rTPyakznRXbt5GfF9eYA TbferQMX02F8q6PEgy/FZz/UkiehQSmOwf1iAuCn+VfgFPWnVyGt5LFrm486vtwnhfAJ15Skyny5 GcHd1UyewLetrpteiwaQ+ZUmQB5rrRXXzfjofZK9ZER5YEWZP9uU4PXxj2r5QBqk/TJJpnCMMaGn CRrXLuCyDJK0M5PWTHIkq+vWRuFNT0jEvELnhCia06H+D1hgPNMMZVayFFuaixt0uRJhqNBqhSfI /f59Iqmk6+DqEDegOLo9wyVgsiihA9QVkg0kVERGA9shPB1QUesfrvQqMnkvM/t/x2o9T4qGm3Xc AdBrF53Pg4KqFS4EG88Mb8Bd6LAVf/MjSMwzC75VnIK0a0ajHI/RjBd6lwQTXa+83jug2ljBmDIg E6ZTAQf5MMVxIh3z+rmnblkUJ6vFAFgTyvN9OWGjQuQc8fkm92swNlXGUv5T6kscMu4iXIPf7r5C bWxEMWPVjznQ5ArZP6Gc6VVcu7ncS/4ccUYkQY48dImhF1x3YU7RPzKi+vT+izFu89f+btjrrrMg ce62YR51+0kixazwjpNlfMCPkQmuzKOzby2rWEASinfJe8PdFIrEpSzo1mwtTBujltcZR1Oc1yiL eWt6AVtErwExrR2EVgZiAHXqPB2ewRaolMUAkhZ7lnTIbDgsTMatievOLgR8lbcfV8t5q2s+vY2e yqY47GUAAtg6XIrus+Ya9Cu9Gr8TZS8wTQOwWJl+I8y6MOHgsIsk4ZR3ucDauPBNtfB5+n2DxWkg GaV+y9kJYFMppIVE+WOu7MxoyoSG7FLE452yHhzqW/P4aa3m0GQVqstu958IeJ6woar5x/7j93ZU 4028X6FX9s63lXPUcNxYK76YEXjHJRqMPRjzjJZ9Ui4Vm24j6cc4YSfNao6dgUuqNph1aCsQu+lU MBh0JmaNZuf1+UlZeEDcL+L2a0Adn0bOLvyA4rrYqfaIXPb5WQfzxiuZEK9pLDBhsnRQTvkmzixZ AG+aVdtFk/yOjBfyIHrpYQmpkk3KbIxw/nvutWq1rcwRbzlLBtoU8/clWYc+pSpf5FfArzvKy/MW 5gl2K/s6Kl2FFF2CWpvFMgfNHZWJ9DUFb+8BfRa92a7856K00/uKGogXDUtnsBp7S/2B7ZWsiWNx dWUpso/TWk9892lAco1npKSO1u5v3TGIp8KVK8Au1agS2OdwM7j7A0z4INbj7Fk1fcZWyo78gxDL qNUHtb4uL70MWJRtFZk9Jv5RI6EuQJDDSDhGPEomRNYMQk0lc/73JCml12tsaLXZ4qiO9axYgfRI 35reogCHrYIF4AZeXJuahRAhHM5xfl+GRz6Ove6tGeuOYdAFRWL0rr06RiiJKEEPwc29br1XGe2J BFktsKkGsJm6dvoH5Fqw7MvRIn583EPLSQmgSUAIHljcYXqKGuTAB6IIKHZlMcf90UsfO4IV5TvR INvLAO3UTAQBHzh9JVC/Co16UFliCP7bDWddHNbINBILbh9XMCig7EZNKB7wvUnaR2pQ7k3fDh8K OMNfenpVOLvoGi0QTAIEgp7PFoK7TEliRC8R15tv2Ukoxqr9z9KKtETpzVN023DWs6KIhg/YKiH/ 0b9a/+hS/cGzL0IghM3SABB53ETYWxaSwUm1SS31zi2w10UpCCUc3wlQyk4Gz139pefkdp7ZW3v9 5vHpByuFho8duQo+6P2MCe817kXOvMdvX2pWnl7bCQd2AmhzgJIQvI6zUMclQu+gb0NVtyTt/vch 3wsaUdT9tg/99VWwugslIXHLBsDqmZhg7bSx9zkNyVgYnzQxul64Mvztb/k3LV0P280AlP7n6pdr N3Sc7ow+tsWdcKiV5hO+lOW+rAqYbE7HixrVCzTZuM9qvjDcXsIc+UJX/hwMYjpk275qYU9S8pUF jg1vmfqyknmerEPJOBFdpM+2wki4a9UADibukz+kMj4w21cF1W/YJGljvHD4FPuZjwgWIZCkVywH TaEm/GTBsjDli/hEWM7fY+BKJy5iu84wJiQFtKC9mo6POOo9JTiWd22wpIaocIRAbo6VMaAIyJKN NZYbJ7FAs0P7bL5MOE/jhzfIlcONnMBUACAT5SbAcdT/gP4GWcKvXbtQGjcjW3ef1SrzOgqbYhgp 7hSIBPIsX2K4HazW2X2UMJULV8GHbc2Lnp6+UO4XCSp++I82vthchboj6ACz+AEMa+PSHX7i9iHp 7qGLK3MSIyK7KxOrFD3Zbtua5+ir8kkgeFkw5TFfJm8SN/USQ65vU3Id4LPv19hAZCtWi/EzWpGi NvFqjwCysfU6X7rCXpuIJf1j8PEzXiHdreVG4VNI0hoSiFqK6Q4zPIlILTSME1i1nmybXVrOxdc4 92WrzPS1zEEhQ9dHm6RpOzH6TTFX7+mBSW/S3EXPNxdcohy/QIy/Tb9UqDweLmhPUmRfSDicI9G+ yCk84rNdY7wGLZiyCxK2v3dKJkO04mS1G0kXvWDHqQI3vXipkn9kkZH/1JS5q57pxHwEKEqZAYja JmwewYv5M7kiSOcn6rpJgQPX7UxgvL5J8xXg7B4vRcwByacR6bQxvzEQskU+Z68XH9UadCDeCqxY IDquHGnaVxMmGX3sEgDpA5U394TYuhBiwoaC5ssLTn/68QaC8KswKLWtFm2yqkMT5f3boPKfcB0D tMONZwW7CNoFLjvx5Ii3r1TBIJzV41M6ruozO5ntLNVXvtHTbndy/i+AHz9V/g4gX7banOEWov8m KDhHA2sJhLStEKcMcqeOU1tXuDsAA6KgIernzmEK11Rb1BnjVJLPrQqtW5kRXBXuSvCQLLsx9uHn mf8ob88/dLqvqxakTL8ZqwJglaIE7+wjGwI5/JAvqkd/tdf0wKjP0BsbwVidX9cnJ0QsjkN9HbsL cTz4OnqzuzHHSulDELvDsBEjGpcO4udJ3J9JXTn4refRkoGHWa9Y1Zyx1d5ytQylFVc70BWVE5az qgsOOwvbCMIPS/5JhyWrzPq2QXaftQ707uUB8hIpqrLo9qxRRVRMh2Ao2CcVh67mGvWSHG9aZ5gI w3qru7D0C63ZnwPMr5VnU8gelP1l5oazqfGv4eXEHQUQfx4NqJEwY2vPHtrw4+Pw6jO39Jzp2sNP V9ic8Vtd8jzMUf8JNPnpXky2QSsND49JKyMdKCzgMeat0myAp2jwkXNXrD0OyiAz1RphL6jSLzD6 UOzujJ/6DcAx64X6xZABCgP9CstYjb2oBmrwEErG5Bts5sbkIpn6s2h6mtrlKOcLBny2F4M+5ZMu gAfCTnue1vIMzUFjiR8e2tux4x5Bn6M8XtXGfVFrwusWN9MAnLLkBJh55mA5DRCscWFmDLOOeSAC OrueCouorP8zCynSQA4NqGCLY/dsJS451BtvjOZphTyqx5fEayU7nuoGWGlE78q+IHS+VDAZHDq4 FbOmQPMwxX16LjRhx8+uQARQ1lp/ern+fm5WPDvRGp1+kGchTDDlcWoqVwl3451TkRFrRustEBo5 9qcZvLbwvT/ROQBiTQYgY4dSaRNPiFeAS40vuLbWWwBbnTcElmTSM1bip9KDpOtLHmAQ5XlzamRy T653BzlhmOSLdHxjvED6KjjLYDiA3s+CnSTChyMPC4D51ywCV9U455IyUwHSI5QiunNELe1ttHiA qrC6cqImYEYA4MR94pcl3k4LK+JbOQuPGaYIxpUUj/oRKxIwu0gogry6cuQOQNIJVJ4Lg5i33Dy/ nf7fUk9DDJejofX2DvfSO3O+K6vZ01oRLeFJ/HEMvQI8zRVP4gGwcw6Md3ZI7W/tjJADNJ2nhiKr f2rreOVBIsr0YupZs1o7ZOXcQvG7b2Oo3xsE8OyqnAqaxYqYCBS3p5X9Ghy+BV4/85/2ZJpTj5K/ FkUPemL6aikmDja5/4xbrhBxW+X++RdvW+xOPFzYWzSz5CiNZ/lOnyY0XL6V3XC6X2HnPHCk1I7e buNNCDy1GPofmu/uzR/PyJIEwvnp6H08cZo5GoQo9Yhwa9FcoS7zQEfvessR38Xgazgr76zM+a4I qsetVDmBUGqsqLKcKc6ZXdWVY/EgTDZbBSm3iNVk1WRpxJpsnuo1EHBWU47CAuyoK8u0c7V2r5yg Db0dWand6TYwOXogB3dCikp4mBQBJ1Cn4zdWK7tzdgCByzQxw4tmJ5uOc2bt4tGPgstl32p1xwAr TZep6qs5gZyPLeN+hf5N5Mnk/u3Sa4wmxLh7/aeXbk02T9DTVHKXixtBT9/ze0PX2FLd6psawA0O Xw/xMexUbEpXkijMDxeq/3cIy7fNCS6YFRIOnt/o7HWsgnIg7CIzQf/euzKt0XKTcmq/14f541RX 5A7Xa75sEMeSnepH3RGrbioCjosM9vlHAScuNaPUp2OupQMSIjkAzgVmWQt30RtMQZB1GEhTBCQ4 leA/lzC5VJ2f3nnwVti+ezlprZVZfmfXuKZMKs5WUEMm8hXFgg0L5FPJDOCZldUbNgK6PvzlPo6x I8KZla4jNEm3BQZOWci7DyinhOHbZYHnJUOLzDHOXgeiGPUTSAf51r0jYYOce8E/0Mii4csjy3v5 T10hZlLPiJhVbCfT6DfWVQV7VRDoNQhphA3Jgrh9c4c7OlwoOvAADxbtxrhg6qefzd0xAEKSk6DK mTYFw3/7vqjKYx8IIxViDgvHDi+mDgI6K1X/chXAzhP3jShwKWrQUCFL50Mu592XLeR5pgI5lPoX 7v1gQ+/0dM8UDNphakvP9pb2ndwI06OzMfW6qWeU9ouxDbKfIaK0o5qIfX6vipuplHqQr1aA5f8z tITy0BfdJHV1YVAJoxuT1ZHfkdpTcMob5SYEYfpFa0KcuwVJgYr87Sj6sCoF5rYAO+ZeqI68fF/p n8IXMq1ePPu3mv5Nx6yMT4L55hejMo5eG81y0fq0VZc0oHXvh2RCNZvCprWjFhFhzG0eqdBEoH2R oXsof+favRdl01nfgqrGL/sKF6SMlfTUFJMk7NrsP7BNccHCIm2L3HE9xsCPYk56IkAIuX5qfI/A gLXAgwx5ytGNnGKM+psHY9SGEu3qIXZmC1DSZmDl9PylJ8TOoZr7lxHsYuZ8W0zN15q542NwYcu7 Cmf63b2p3zPgn4NACEbTuOZc7+vY94qMPsnkmPkQikOREkI/5XsqPHdufkEVAIAbF8EtaWK4vYBW Qlaj+2yMuq/5nk9Qc//SiTVAeBiMNePKqTRD8gwlfab7gBGLNAI5YJKHVxa7Ghr7WJRxhLAJ/AEW AsyvOYVwA7LJnll7kUZo4EDAQUCfr+04oCFxT10Eve/EI4V9w0mBoiHJki3+6bnSEuvitXHk1YYj 4jKfDtfCV6Xk5b0Mz81W+hueUEcqG3NqKw/vdOOMPxeuIWCFlN8tHAO08L1M/qTrgkB6bk/KerUa EAFmhyzPN5GZIeAyJ04HHsnH37vD+C15Wx0q597jk/TSvsJ5+h3LnlLZ3f4KYUC12Ea65TubuSDL 2B1aLZkb/1xjytoua4NjNIPIjlINs3vhkR4KW8bpQ9i7dU3IByUMjZb6BbZU2R5GI8NGOJrFGbAB hylIzQhYt4KV+HixmzivFoB5Tosb5FfUSXu+3Rd9SUoC07zkeYIJwprLlFLeINJ7uf6NhdCxuGql NEOvcuaHxAofrOigMTF7CaeCXNnMiaZU6UDN1avm89x226ArbLcY6XD1DQej20tkmqA+xMonqu64 VZL089j2At2yGiTwkcvC8d6S4v2bmLgQCB2a0ATfgE/PuzZMNkxSZcEnlMLxgSPakCWWzl4gRe4a WTq/oT/gmUnRD6kiUMaeD8uXcosncdlft/v2iD8obTxwaGcfTI8DCcNzDWUMYHIs4sWSK7fg7z5s UwaciOht/K/trSGMal4te0UOo/FVtB6D69aQdCbrZToersRlr/Nnj8vzo4qJAm/1Mc9ydw/HH6+i cbEINkj5Seibh8eFMKoiknej2P7G7HQkabUx15uG5l1SPf77FMJACfG5hElVFwFLNQ71kf4yd/Eg Ohxb+bCNGAoruSZDxctdjGNi2W6oozlZTkf5Bm8fKIC3eHNpx+Gtl2fQo0zICMiZL6LhnSkGUjSs lAVb2I8gYyAhVZKH2K/a/wFhrHPPD6x3hBLCGGFMxLiEbGElQAhRCKgixfIYyvHqCpBUV67rNY11 2PWe2Z3qciEzK6rGGG5vPscDX8r85uw2oUOCbIRdQkAaWXqoc/C8/5WRYARQcLgDeGVu7Te5phGr SlByDUGKNDsCRnt/B6IOa9gquvgXLb7ZczWsNSs3yRjTkJYkukjH7GhZMZTahNtOW+NCYigw4Nf5 gLicvhQ8XAeInb49D5Te0uySxkCA8G48yVw8cu5LtviSlned7sADunprPx+ZllO3KTaUpAbv75p4 5WBUXF7oiVj6N0670F/c3zWmbZ3tC+5ue4WgervkFXGMuBIyaqvi8uxg72BzW8Y+QlX2BLSPQQ2O bugXfw/HOAfkKatwkX0pz0XJ7pmfZzCu7zZEWAxM6aKrYxvDRzb5JppL6mZCom0KlZ/c6YCGujBh MruWT3WY9+U4vgV/nwHO7JATlyQSBCkfjJelBzht2i3ZK0w0pFsZDgmlMkiNxreW6eGcWUCt+077 GUxHRNBufEHGn/K5x/NMOVNMf8MJIXX7ggVl/UaNzsDhVpPPa10xInWRYOl5EJqugyawiqD5AD9r Ifv7UzbrTU3ZcGa+6qsr6WpRW7qFzP62s1QqobCoVPrCmAlNAUzPDf0XwE27VmPspFj8f3rPlnno atfV/YFrh/nOLXILaeBMgYVCNcPKAWkomdVIXhUN8KrOJgbpxkwuBFqoznvQL2pP2Qua1KKCzxjB Yl/LySXd6llOdXxpFhId0gN57WfHmqirvsorfAjZNkpvs0YpXczg64QeTByOjY3yklzOZYeNXUR4 lN2WxBqp7DjH+mfwHqRplGu34pPI9wfXEFdL84aCwWRXXrv4nuZLOpuX7RqMWbUyyICguYR06Qo7 cX24vDHMDHLZVZIJxuiQqMXbpQKJHGzysTK75zrxd4LgbDzpUKYDZMjd84A0YekWGIlNFep5Z7Ab URDoeUbvsioM/f7xbP8M+Z1quNIR1C8PI62u3402s3fY9/hN8+RRcA5BceQVBYwnQlIcOn9xk9Lb qbZWIfQuI+MXzuBA+n4NTow+K8ABokWzCvIPTKveLeEqQWhBc8/IX0PeE+cS2DaKTg5EDxMH2cqw yhqwRypfx8dQFLCbVQdTx47el3BCVK3a/pXfW8EoDMNXzk2Zecv8v3funRFIBZE2oLjHQcb7rvjC ftDy7OPGxhrigjldJj9VBGCMs+3MPcabC1YUrsXrEDO+R15KX8/dnrhqV2sUA3qGNt8PvPS7TwlE ENWJ9cm0DbsYV2KhAghG4OvqTGUJjUFMmc2kTae5tLymLtF5yilOPuOpV1lEHH26dlTSEjv7CM9N TzL1ZMjkPFRHTeY3zIPxXOr5V27im2AfMoo8tYUtv6h3BE50n+phPwREiJI9OVF2brN/wMI96jlI uN/XmCByDweDtfJleTLCSbj/OtQ/Qu2aUj9s86hUBU4oCqMzXJS9raThZVvPeiAsocBLFwTViVdn Pj+yaxFhb4HQJGRoq7NfG7iaP6i0ks726CF+6j+XpAJvXQ8OoQ6NFnLkWqVjGRRUpJfn4YPqUb+u i2zKwrQKExxQqyFOhwL4NVvocd2COX9CIYUkTM+QZBsid7uBM/SYYEkgRtWAR7dS3wQS878Gn69q I8KSDSpEF4yKp8tqa9YlGi7qselummVQoSfrnsHAhRF0RZK+GpEVEya+Jd7ENdq453wAeLvZ9OdT DxF1ssg6SuZamiQSHZB7WfZCkhIZ+Lps8gTwgSRG02CkLuZpgbELkM6Tdd9rpy8/zo7MzwXexjk5 g8I2jvqyrrxXcFYHDqA5G4n7gSXLzAZnYilOU9Gb6Gv2xyz9Py43kDKhaAzQrOwGR2QL52vJ3d8Z pVnnky4X6a6p+W2lbyM0buEN55qlM+GGgtvrv8xoYqjx2sK4lGRI3aaIFGf/NzP3bLlhqCPHL5gB AV9HlWFqb0mJt7UPVJs0K8KJEx1t77iMM5SZfuLZh4z50FvKDj9mYjNFCmY7hKWW2XtRlmX97YEB 8ey3Adt1ch0m1xnFZ3zv6ztkUtpNrJVxtFdDxiJsekb2SkTlTG4jVNLm7JlZWA01zHaWw3RHa/Z5 F35MnB86XSAIxCm6WSoY9gxHmsob9ahlypC98ak7siIktGV9+YwjOzT4Uao7m+dHXy6WzciDbbvc ZSRe2QgjlRSJVrO18g685oW6EQZl7iWu0x8uHThdmxx3GaF5CAqcEusw8dSJfKtzfCAWtLNFlSjw uCJnZYT4xXgj9qsMB8LJi+2El3Y4GCd4QAdikEPMmFHR9Qkt9N/1qzulJYCxmQ/zVUsVbx+f2pKy tXj4teQRriRwxWX6vL54gTnhHeDl7LG43PkXvETpe+LIxgIHEyWHfM1vYqZcfIBWzc9yV0XKwHV3 SBOaFBdAp3gZFOijK/6MlcLMMIesyj2LvRWtr86oPGNm4sUOIxHMQNsOMkfBAlCAU7UYDQdDNQ5H 8Y/gexOuPNZqkKWwEkaRVXPQbx7uUQIuCcInbdUFeSZebzuZOnj3iOGxIhxyYbEJjTnimfEpIp/O o0NF3zpZ6cA8W3w8HR0raGkQZmQmsEkjLLbxPhVNRs2e1nK+EKMeuViM6bWSipUZwa+iMlRvPRGK 0B3LgWegi17ik2NqKCqfAhHJTxeKpstHh23a7+Ybl82yvBSjY3tzA5QZLbM0CBuczvunXA1lzQpk HpCAxOjMJB+PAZrZSuonDFAykaQF3A3TfpQSCnWyHykXdE0yvNMLzAfGUmW499S+JZ/nEv7g8QQq FIdiiw/yITFwZRBJKjQnhHSTk7RUtSgITRmQuRBG2KKAsOBto0al5FT193eYYwTh3LEpKpGFj5JV NlluqdbeHX3kI0P+TevE/1VSv/iCpTUTG0x3uawmxXNKJeqcppL3c127UHfWIASWQRUNqaYdBgHO RtmTX5Xd+/BxgMEkWEG9ds95+GErpUZ1hY4I1aXv6VjAf8V9DD5YTQER8HjCqFQx77NDCbxJWxYF V8kwfBhIWuw6JyC0b+THgIskpLkljVK6qq6ts3WPwhMv2nCMYHEdsUfE5nXinWTiaBmMKnEmDUmK ROD8IY+cTLSnyHT7OypZRd7EvgR+5vXDsc0Q8Js0/wxrhpSWvt/itrJJckn8v2Q9950xAfFGAdtc zfxcZKTMTkNTggUOH06KxaCcxciAx/yQv1+FhXKaV58sPdgzMt+4Kq1ugKswHOZ8zU7tC1TQzLqr aTFYevt8uRuBDkT7SB8vy88ZfchM9RHrgFpbsVFcrObw6cCDNnijYdKSGuiP0GL0hW4qdpwgN9Q7 ItKx6qv5fsle0w0PqKDsz6HgExjSa8log4njPk78SckJl0ELHVUes3GiVxE7oaxVLGeYulQK7AM0 6zLh9O/ASDtmo1zlOiqIoOqd2jTAU1HlRLEsrgtzQeTDYwqOKd3u1HSd8tGkhSdxoBIp9q4YyTUl uOhB5EoqarqpstKdE+d60hzfKRp69AasYbO354wWi7Ih8KbhmZJ3KehZsbUgt8BRrB9UDgr1mqrC rxeNIH6LbQauWh67tU+uPeeWWZ/Pmwmu1cR2T5WWobOxyuj/0bFtAu/iE8TW4feOgi5cb8zbGVAh ZtHJnymmiya7gzd6Bmumt1rIiLDUhdw48TS7LKY1ScNnmlC5fQoAlQyPc1a7L+w+KZxqzcxqhhG6 o+VvdaqfeQMI0AJniJi4eYeWAyL/h2CIQkjDXzM5t1ube6XvRmtqkJb6ptQcWrldXt4Dhbc3BpXU yV0BKP3jxXs+QIQFO2xCImWgT63WAiZrYKaFuuAXt40mTTPplpYYRW51ZEeB2BvziY+MnZfMKYOV gw4Jl5zBMr9UzTUy9EujXxRfbts9DyZztbFlaUuIlxAhrdqwawciyZ7iaCZUPD0MDJXefCrLqktA tI2ToI5p2tKE5R6IYG1wVLGjpizDg0tms1xPHITEJKpE5DUIRwbXFYw27lvcmvFUYTrJpKYmPMov fSJe0t8z5lCXFRJn8Ycc4dm4zbsJ4y5FGlKI56GBoo6FnfaEueQmvrcd76hqGPEZ+0+C8iRwkNpK TtlATXol/V9XnbkL4S/8rrGHTLaTpXJHdWGdsE3PO3pcGtDvt/boLB7SQfCWeW9YIIddpxhQ3u7h LngZulZodMhp0P2AqLOKkn+8g2nHrlKfsX1h+fT53x7az5Lq1vAKcDIT2x+isCt1SPOFbq98LKx/ qxUu6DiPYwZtyIqvNlb134FYorw9BONBt45LtC0j1nh4HixjN6QGu8okmpE+qteCiiy2TtamaLVQ 7580Lv3nsneVWdx0aI0ysV4z3ES3U+ZcSS2Yv68WlBWlJ9aJlO/a2RY0GZ5mAbX4RJw+2GmH9xZS xitnkaZClTrANJiJmRq318KpjlBj5HJg+O71vNuH7jbJDd8+cmomWHUYJBs7Au1iNLeM/S9dEXPp Epj6gyD21O0rCyrzEkXD9oKvGnyked8ERGKKwf7vxvnIy/u176efBQ8O1bUxoS45tb0HyOvSuXsW LvuIJwX+YBe+UmqfsNqcGej+lI97lbd4CUaLrFxKrz46buqf4/w8jAUDoAR5oanfP7H2qcfO7AT0 sCe9xJWtHdT4q+2rEbgMspPelOpZvsmwUCzSkt9b8htWHKuDRfSZhQAZm2BUzyRcn6eukRQiXdUw +KpcXVVR8axjVpiH5ya1RzVMJUq5fxgghaVUHZEL1oMQT3ckwWKMHI4b4VpGX/aj3UGc/m3qowtM 9XRf24pv316s/qX3r7D/akau/kbsAoje4kBv6MWuFfx7ou9dc2+9yF5HHKEPUH8KfMFkmfzzS48S lLEVdVD76L3ztT+J5PO4v3RF9ymZiyMCFln7CIFadpbfTQBo2t9ZMfgOkJ4ifskdrFfDULxbpJeQ bfWkDEV6rTBRmCxQH9d5LmszkjgijChysSvanvFRtOhEw8C5c7bVb9EBlw+h+ug7IZ+rMrOeRIcj yAaV8zA+kUexaDBYBdO1oE6ReeDASd2Qtzt2WW834GoMzrkqLrUIQwWUFHWl41fPXTwy/6GXA2Bk JT7ya1AFMK6bd5WkAxM4GDXVy5lrQ5GhBi3mMnUCticGmD0Qx4fvdqySYBL7yqR5ZzqCDW5IWkXp HZZkkPYfVxx9wDddPB7N2kNO4B6fUXnxnDNhUbE/RMUZyC4AixCH3mQa6DqIFEdzmn2GywM3mX2J wWuX6z7YBHaHk5TYwa3UIiYnF0Ebaxayvd1E0XIWpMkD1mlbcrF/KQpuDR/jIlTUy39kmqud5KrV pM24szLfSg0W0roJ2SijzGkDYbN4v6bMgYaZeO0KIri4cFRHIG7kLzZzTwhao6OLSymTAm2+jOwE bCU1sPwmCVrUn6S9CxwtZXUpNasv6g/y5PLVKLzfZlue4Jc+vcqkBzU/s+sU5oP/U/s4sEM01woZ xsDIS4C2Cw4wIzMjVEppT3ngIk5xRl7LW+gf+OFTKF9/qPsrGB6JXjBYd+qIyX+GccwauRLo3JfR 21y8eA+z7hZ9r7hRzm7701oEcKB+P3OD8B0bgliadUAekpZDZM9YspHJQXoEL5KywlJKqj/QBiVr U5gK0odR1KL2hs8PCclXk3HvfgBuxLKczA2SgogB/Zs+Vg9mTnhK2HuYldlwG9Bsh/z6FKB06dEQ Bng+68gY3VXnbqghrPmr8QMgjmd63rJ3KYB6n+KoFNAm7NzCOYhG57ZhMbSb/0XCgrZ4ySJea3wY b01Q90kh6INfiM/XyBt8EprwHdfy4LrxfYCaEwNF6EpYKSOY+U4ThF14surPUpS/rOBpze+3B8pB MBlBuU+TUqF6/EZp3riIa8rWfE8KCTo+hxcBkWjpvgm7b3ittpM4Cpys+qMFJmT5RUXjv4CGBhH3 Rc1FuVQZ7KokkFo0YXI1TsV6/MVW18xcWsx3C+QJ0DX8yLaYh8ygzHNB1OWcZ0ceU2y2RGm7zSFU Gb4e0F6EEKBpLak5lET/zKTaubXKGWpoDNA2ln1JqaCnK6N96ZRCxgILIOtKl0VsEjz1JeM1V/mj 711/TLqobGh27Hsu3jq0766t72JDNDjwyRklAF2TTW11sVwdYO1oKYe9cfVhiiGkbk7OrTkdCnBS k28ebR29YObBq8UUG4M16vvf08hKqZjsCXJ7VgISyeDSJVFv3P8GqGT8GiYTPHSNnKqajVDO7Qr/ 3MB8PaL80FBYrSThnT3PUQE7WqB/6oqoXa0D+zyUciVsijG+99hBKiPXS6cPUhyDiRQZCM4M0Twd S6VhejHNJktYxoTiPGgO/RgozPkAmM2yTaOFVsYSzVdMMsXstKWQce4ocmWp4Ah3a+ycPXAGxsAa 4DNkHGW9+uVQQL2jtyI21rD3pZ6QGcJvQWLbpyxrd4AHjnFm+O2reA0mVjlMaXzLwjwW8B5W5j9W sDGNDGGiekuXeBlHqoDBJmxV+tuTjTKrUrckOSqxFQEb3dF/K4petaGOPcAIh7IWFkxJtgfrNByE NgEYJV/kadsvKwlQcv3iV+Y4c/oZN+Kd4dKmXjTbrCx/v8+RgRCgFZ0c/96wmh/emfajSA11Wekv t1bca79CHAaZGQT+y+I6Ve1Eg9utphepqq9yoYS2NS9hxQ7YqzAojD8y2cU0/9Wke5Ztuik5LANC +8cfzVUT87sLV/nKdoyhqY7htVG8dJDm9u+HYc7FstTmDzOaMNuPtw9mK6gN7tLAsCvRbC4IP48q R+4ybMkXd1Sxe9fam8BdiQD8go00gu93uO+nP1lvpMBGubde+kl/Unu53OzkyH7ujFstrdoWSRXA W030BZETG7aRoJ0vHqThbPI6l+j7sOKzLALQLnqdMoHQifYz9SH6Hphfb/N6ik6+xlZN6616g+dS SsNABfaBJqUim2B2hpBs06y71OfTQ2jd7PnNTglxkjE4NQHdjNER382E9Dh8WjyJjTxHGANtstvY 5kbjj/l4qL5XqqUmfaUZd/Z5BQV7vOVqPqeGzOGt9IllCH/gJIZqX3GdwLLT/HXLt2SphsPsHaiO MUWqh1mgc1MBMZsf7aRVxkvdGjG6GSQyxELe+KXAEGewZ9gsVqVmsrdgrm2qv/2iggfge37fhOaA ashNTp7yVZX2Om7c2+G9uhiAadUMQHm0okcl3NkFiMOzKILimuoVm/qw2JFN8hJJSTHuZqaBGdF6 ZoICDM4XAxROuERJt5M95sAzG5PKRAbgWdWMvCpuU77Bzl2PCSpdaBfa8wkxNY/E471gp4xRTcRB evKztte0QEpoc7arG/lOxWkh48RsxTQGOMR3bHlnA4PvUZ7nEh71l/UYA14MMflYy5uKS6OEJtI6 Z8K3wLcZhDtq/KRCAvWLHZpspDv/I9NVemhCwhzOUm3ws325W6bROMeCspnhAGVw3l80vscLgVTr NG24za+KTFw4jHZWLLDed2h+JIz3R3N/jmejjkxi0DFvj2ZLILeDipYuZry/ApzwiDrqx5MpykZl xdg09VbSk+zXs4ZS/8GxqV5Vb2zKsFfK/rdj2+fPDqMLQHGDrQ+mPCP4bD+rQEBtD+AjTx4rtJ6p tbPw5A5SCForfU5SAdGxhI0QTmjDmHlqvKEhC3MCgGyQRuKQ7EqnjbG0gNK6NDKAeXSHQVozt+y4 KNU/wAPU2+SaU3TGVNKqh8Ga0NzTFj2OaavH5QJ4GrRjyFcxY5dnahlLfO8XIW+S+mOKUPnUdBRU F8JZBBY8Lw29myc5EiK2MC2tectfE1ERuyozepyDQsKkuHTDIyFu7jBVy2Dwf8yKyH7DDANRkBGv nC8GRg+JWtni3IDYIJwEtc88nQ2xs6ZRGA640fZBbv31Er5QRNDbMG8vP0FAAkO0+gzldXTOQsPl pmqorwOQRS/r586Z5U54wSY1vHZJm88G889OL9GThB4vYYFc5Ump0zxIhy3QoZIHR++0dT7vOf99 XBD83aU1BPdDqSbfUgo2msrBHsvEQtoosVEoWMQCQaNRqEznL3UWDI0VSVthQ6o29dyRxvWrwgFn OgWG/fbCJV0T12N/aX3TLW0vWK8DzVahbeGnn2Qq7B7RW2281AoGKRWNcUJmps2NyPllQDG3Qm2D V8bM1F/kdGOMPLg6xuC6V2eS/3gWTYhKfh65P/5d397iHgOKcO0jtWcpJEp+eAbxh1DyubEiC5px 1MpJhnEr5OUNqT+6hroST1EtzlMm4L8GLwtqnNdCjnXxawe+fpokBu3BYbEe0zu/x065mGBhg6/z lMOHDBZM5g+kQFA7u9vJFwvAHXz8A2j87qgLKL4WPXP+OTaOE8OO2RpN896NUk73gplNcZQjqdhZ 0FpCbgFTsZvkaTVeDK/JKjYkcqd5oxnSEnBY0eRuBh3KzkjHTV072nxA5Se6d5yTb6KgvokRhrxX /M3nJLBqMQpKk67u9uMqrhBTtkzv3ls+3V5rWkdh6ieB4l7eH5WTcSiWYx+Iac7WVdwO0xV+b7PN LTJUevFCpCCWef8n9rzWYgWxpvEbGFZkB4EAq0f6/HRQRCKgySF6L03Bv5zPSf3jEj+ITVUCY8EO NzgANVJA+a3rndw20RKTmblYqyjZHHMHnVjRSJxna68yzhOEPAz8C8owSA9YWjl0NykbOXUyQsJ5 Iy9EGyjUWMgyVo9MI5O8UFNDduITD4713Ga/HhZbERzpRZ2rVvEBDsd+Q5gJwSNbhXr4LmJkG3ku /G/lE9gKasgHBjRyRH7w1fnN2RUJXYq9mXKPGIBfQdWwcLN3aeyM+b9k/x9QJRrsim16R+HIbHy+ ndJxVldQ04/Bgr1d5E391Ad7t9sozvl4XiQRRAVr1NsP31xnoaiUGB0VMbV+W27huYXzWXj0zFZy GM2tkhbeS2AjFWwopK517N+mT+bVRmLR9FtVm5G8ighbZy6/vJcGysLomDzjHBwX/FOMH95J7lg0 w+7yQ2WEmVuZTPhea+PX0ra6X58EdBM6WWlx8NGU6lvVQjQbCV9KG9Uor+GfA5PsFsLo9KctKkB2 aNhiBBZvGvwXJjIGeqA+Vs+pEU5rvVJNHia0QC7aBXcklaeLWIX/k/Vn1REAV5nwjKKa5XU1kMwR BxT5MazBkt7QKQ/95wH8D0RvdVL92pp68h44aJi+ljFNu1GZJd+rDOi5LCu9tMJvLHYmhG7Z/ABF FxS5tm4CUYCvr5X8sLa59vLXQFP8dk67MRgNu273vKz76h8GwvJxW3Lv3rno6soA/G/Z9D7FVWnI 1cVLpolp4IqPJSHFOrgdvepj4BkHFx2kCZes0VTaJ916HulAK4f21yBPPeFz6FeSV0AxlBQ8igyB A3pvN2hFBuM09+COorwWVqJi7ztn9UdF/rjPnKNpWXiXCAYoX1lqSQeOCT29009b/fkfadgYvBVH 7XIL+vuEZzkHjEDt9MzVrAo1e6nhu9TuEVDb9uexoYn2B1rAe4B0UpMqCDvvt/kJ/9KtpCJXkyIO 1tDYaISQY2Ck5V8nJDGK+CnBUFAlHyoEgCLvdeTx/K+pAzsiUPtXMc6Ado/1eWqay/GPs9m9P8XX GO0pUhIbJt4yJVhSasAgi0HCjliaC8JVYxxhijS6U2D7jrKIzGrpdCAGZ3WWBPf+XLb+73yL9IBj OOaNFw3NnVucytT995swDetWD4wN1O/1YUBhm2ypihyfadlZftSMqcHwbz4tNQ8zDHpsv8BcFIG6 mnsmrERtfwxabAaP/N805cmPSwy8Dw3e/S5xbizs8kLQlBLFxV2K6MqPKMe7uuE3CfIxcy5GxQZ2 49UKOCvsjQ+l5qe/QT4sfC8K9+BGT5uHXWsSgI/OlHwW6EN35qOGgMVlifdwpdDMqv+qD6s4f1kt 7zZ+59I/vBihBQz89ZHc5wHItjB+cA7L5Z9ajTIQIXWeXjt7rlYqNOvjHSoIA2U3Dygk2LkTMksK sUTaFucyNB/fmFT3331Vv3JrAhWEO+XiwG6Ao3mCavzAtl9veSuQB/sIT1IBVQLRdmhZLFcFerY7 JcA0dxPPAv/3rXzuCRdpEUGazDgYwu9/36O47Q+WWExkrU6i4q3OHAOkW3sqtatuB2hcFf5S5bqn sQRWCRqmowWumIhfbdHWVgJoQu4ZW22JVCeDshL3tkegulv9lnSobpdVQRywJgYyRmE/tTeS3HHo dPdbvmNlSWFMr0uCzFbOOqbJwewfM4CgqWzXxWBYC/d/6QwOL4pNRehPBlN4ToI4vTb6sEfp7XZD V56Vg9M3xcLtJspmLcSNgdh2T6m1avsZ7E/+LS+rfEPY/wkJt8tHluQfI86NMZKKPkdg7J708Lm4 c9H+TFrobC6DOZLR09FPoA04tP8JdOSStjmByLVTT3YBPpRNsnGn/C2EV8/TiRyo4JpG3VeFBrgC xENGjwI9meA3Bpx2pY2UGxG3dZmZpEfds+zl+oJQPOGWbZocuvsnr4Yu6432Nn7nijm4S3TYi2dL Kgueq2fVYLrOz72ydaa+rU3S8FCrKUg9Lzjl8kfISNRdn1tOysFlAlIaKJvEGUXJA+xNaGxDpTHE z/4Mfs0zslY7Hp7C8mO4sSa3qrQPsYxFPhNmT2pEX74T538rcd6HOed0OgKjs1+RbWWuwW2A9fXL 9g3g/VD4jcWSsxyfTdY+41AP9u7XQYK5HtVcepsOyEoTMhQRLVkciUxtPqLsVlZdMGmZTp1g2S/f kIMXbKnjfOFObCYojEYJ6UqGsg3sN+GZR51OhOQy7ddMP7mTXww/51PwMGQfPcUGUsZiPJPXHbN6 z9bAuhqJt9IacsMNg8q1no0hQpOuhVh5EC0M26OoRjIJjKBsM/E3dXSLlXLLSUoa8MLJStgYqDZn 8XStDhjbUaGdRAUDdYFpEhVL8naW43S0THJJBwYb3vvb06v4pYBwholDYYM+m+8R58Pp6h9Bcf1Z SfEXejTOruC8zzrvPa5BL6OGYea2LoZ56QDy1eYvDpjzMFk8MFN0GciWH0TLdN3SaVJpjDVUGFaV VMW6uXb5X7qzSBOBCMcDQUzChg2lmvXT3L4FJ9X9WEFvw5dhwOBeCAmFzx66iNTJkcm9XDaqC5l6 Epukj3+m2AKr045q+mwFbXWm/tRGNdHY/EWknZrdAnbF2fEArb2Wuf5cstOqerovH4aWWLkHVxvR hOzHCADmm4yyejNtkY/4GBu4n7cDcbv2Cj8VL4gFvDZw1wzwZk9JYAxy1983J8kwU0r7/2ku+Wae pvlQybbKI/F4qeCJovcpopU6qjIJYrxTtR83aLnoSKYKtwPSsUO/ZeuqOtWJRJazH0XSQzSFTb+F Bj/svkxQGNmmy1wCI1m0zE5SX/HzB80uoXCN5wW/N+IbtZCOmD4tLahV6wy5bzs6vjhUTYxA3rv6 nC25zwJugtiwvO0iXXcykwC+/1tvUQBWB8wWj48v2Gu8nR4f+PS9O4B8rF/MacnvpQgiVvlKczN9 0gnR+f7/agoBjHYUXfY7UvWvCKepKD2I9zTKt+VrDl9LYYimQvu/EuFf4fd+4ajFkeGg/diJOWNh HMD33336NrxGfzGFkGrtSA2vEmc4No0Iz73G91Km5jlIsaC9L9gqFNJrBECh4xglT4/VXIggROAs FdwWpRxQ9CHzPCw5l5+fJfdw+IU+e+CT7Vus7emYifLjlK1eQ+G0HiDvJT43z+Dwiei/UEBpfq2K svQRhIvW4nu+GIohLJGfk0g8rXcnQD30Kfc0Un2iyu14JJGR3hEMWr/U7vfF4JPqH7SR6otWN86k JE7t4JKaVpI+Ydw6TGL55tsQMJxNUJ1RHtTWIAP8H2h7zscRKZyx00GLIHno6PRF1gNQLECXgJxL YGUQ9y8C/X1M8m6H3DHRJGdiLxfMzeWScj0L1S2c2GnOZt93Aghw9Sjhrk32jdFxB6XEo3WfwwGm Rb9wekX5n0XwyjSLuuIznDUWOoc8NEUI9CZq4lB3zeg5zkDnrCVW9KuZ0+x7Dp6n1Hw/58x6kLz5 ZOCAh1VZbFS3JBIAx/ZXDLKkF8hK4COudT9+8VUgw7ilPQNCTJXy33XqsgYFuM6r+6cBpn2x0VUB itHg38QhTkV0eevcKun6PuqWpvJPEZtI/l5G+o/yjU2xEROFYwdlumTg0XsH7DJHm/ESszoG9hIU RJTEVNdXdh42RSecxCIsJOur1nBLzgTnpXZby0o7u8Osb8WSLrzlbbdjftZ0V4LFykXsMhjmzepz p2uQF+0hNoxW0KIvPaVerfAR3VBP7qlsgGs/x411YXRGbueXHeA1Cyj4FI2fVgt7nuGaKNIKisu9 wYMCGAPWbDOnZxsftDDaL7BciHg5tyqcXC7DEATcVG6GYFYz6+X52G4np0IKAgkFxAqD342U1oOc o26GHOiYCJtJeQ8FZBCY4hAt63nTHTLmQbD/H6KjktRCXhr1EkrLRBiLK10+sgkCGTHiMhvf3+TU QRv6j7yy2OTf/K8vLCcfxtlAYYpGUekmkF2xz1BVlXqOgaXOw6Y0P5fO3TuoJrs2l+gL7ECnSeOS N31nNFP83sqAxq/gpBXpmFZKmmXXpZD3+Iiz215ZHUFQoteWU4/6I6nvgF9LSjwsg4McVXR/uM6e l3h0W31g5qOjdtf8lVL83M+lFKEszlUIE2XQuxyUJZna2YfNqz3TrkKOTIidX2hlOwPYp330Lc+R zK9RV5E58GrRKjhByHMfwgduAAyC4gqNhFMCWOX7lUHHV1wjTIVGJLrMFf9VrDFgd3XcWYON7Dqv KvPD+Cig5JSPs5Ylhu7t40DYzLCrQYDHUbz02/de8nHj6j5SIH8AsT9UQ9pGbv5pVpGTldggvfwI VqBFWJ5c9udw69OzqB9R/aFbQBHyS6h80bXLGwNp44C4TEUEwJhp2oV+I0J0TTUZZLLVL7nyEjG9 VV8OfFSNulm1lsz2kzWLoBko5T9XG4pNfKhqKt6Bi6rCjcdQHy3Arp9GZF71cqMenZKOOYk76p/C tznK7cS1hSkO2GTH0cXmX1xKyuh16eULaA3j765emvv+uG6U9uVQoyqphs7LJwAl6CaMaciCB3HS Q+84DZcMo33YpXiq5r5eu4O8C0fghcrswmjPFW0h7+kENQwrWb0dozoruUgg08Li6PF31gmWm5Mt lPrg93w34gaWOc1PpivjLs+7JBZuTDZq3LmTudUTM/rgQdcNwGQvgrRtIMVtdiDTXN94tlQqtSzk k9WOS2+78n6H/c8dNes0fKsEfrws6g6hq2MoZnufoeOEJwb8J9Zm3aI+nNvWNB/lvu1dZSK0zT7o 9wzsFHEicoql+BSQ1k4Xa/VNyaJCd2SQbs6qMm9GZlytAT7WvSs+B2g5eiS/Gc1dRBysrsnuBDAw HJesJ3LO7pzDEu91X4vaaZDIXhjLCPYH0+90dC7EgK6ZG+usO0bbFKAlBQcUk29f8OyxBd5HGwPy F0oZdITJ3of7llMO3zK28pB9iTEdXLpPojhCb9gLOAANT9W1UzsJVOIlcgf28tdCwvd2GBqiBWEM PwsMPxT4JvAxqdK7KciXhgnTPHz+8SouoVYyYs6VzwN8PncoQp+HjDI7ST2wP1KkEcHl/eWSNP1m Ras6L5aiUnoDKenUQIQRLNM9jpBH5oMFOpVAbhfCzjpbxoJyIA3eP3l/eOvQ5XowGPrAerCnyJhb dLMpJxD4zvF5wnFh/mfbTULz1w5NY2l0976/Npu240eViTTbvhHMr8CpbdoBZA5sZE8NHbezLsI7 9Qy7INiJkAePXMb5Pw8ktwFAMZ/RwOGTmm6GdIH3hxa7kg2uNxrlp7kx1aJLqgkBi+l8J73ApxC2 VuoDUBeWBqQfnn+lsGX7Qs4/aq2rJOiHeWnN2mCZpE3VvWp6okivOUFuoWmH/bsgaDRyTr2G8t23 fYdf8Ze45OveYEYvUwqNtSDYfVjmErDbXLIuTJm0hQ/pXF9YR8oMz4d/uodV30VdNHLxeabuJFhT QmrktDuv8DeRHaWVagwepka0Q08fK9IPFy7YYJ4pigjMh3GQTYLhhHeWLda/zuIIKxtQAW7OX0Uz +l+UxCA1R4s0ahB+SQ8v0l2hbIjgFJ1kA6IizX3yFVV8UtncpG4CgzAawEJPFjDokiN2BUILzgsr YmINxHnmfvcfn/jfZyjs/YcijtBIkRn+ri0N0+Gq15j/vnhUEArbkTZiq5vhSAoPNSdFlfbHNAnY ExuSdWjV92rbeGNdB8dZwsF3KqHikQQniFqKou/LGTXjSpDU9GHDfKOaqoyGBOBcOJATpDy3dQ4l BrYm0UmDvG6dE3qeqk1gl6ZtNYPYn2GlYPFGvYoQ+k907aQmPckHz211XqI6HJAXpW2VDpPZ0Ubj O5EHu1PV3YC5JTVsrkU/2Fzc4KyhZQXX5Gl/zBltFL3142Bw4HoiQkUyiUBp7IccUR56++9fzN1o G8fRbLY0plURBRslcNPTYYc3CVW0lwB+Dm11dRzxdOzmBoxGUYdOhkS6TfivszUan4wob6IPN4fV /ocjqqm2u1/uhlCah5U3olWxeBKSaoIUuAx81rrRagOIR7zQnxOTZE+Nivrtf3aIEleiPwo5rS4M T7VZx3O03bBETTlCEhuNef9ywON46IArBeQv45xN2vSgcpPM7sw3T1FwIEujrvj0DApRwyzvqyvA 3Jav/kicquop4qx/J0sSeCpPX/Nx9FiR+qFhnR/euCsey95PIfnW/Op3qfqYes/YOAbBok9ok23I cGA2/ZJ96+8CUasvGmgPbfNuu2EouCpzcg0s83oqPyuYXkcdKs9PxLIj1Pc1pGMzgPTzZfJ6v6xJ 1VIxGs2uLom1NgllA4I+sPNZq6+CQbZgFCjhn9TSrE+QU6fllqicodiou1R3EDyIgd5mf0QzNWli Beu1nU/B5vEH9GT+WjLOcWgpayj1Og6S1aOJDnQaB+IoPhCqr/mFJPdkYd6TqzbqfX4DrpROEeZU zooOMcpTi5GfO1ZLot7lhv100lOr/s5fM4DFUihRObPL2JrFhk7ipTtFY66ngGx9JjdM/7bthfjJ AiSPo+fKX8rOQCYoDY/GEYBIR4HXQ2ExRXWLCLVzluKGdMXlEcqmK4R0NQBSLmxHB0HDivNx5GEo xtX5HhjAjsayxbemE+YKiXS7sjMcP4TnKQxNC4NtpgVthNH8C5GySyypwWvhZjiP/oLGigzOswxn Nt7UpZeLUwAmTy8zdkKXGl7A2NJVN7dbjbEBEsEymmh9a2FKsI3DbK0FFHzQrc47rRnZMH8+dlf4 v6Okx0Y42p2rdgR6qNB67rkEMzVz9IBP/nld+DjvF4lz7eRs9+dS7q6DD83sse6NGUKVjeqyZaJz LRlEGyVXEcKw0iayNpN42bVTJi9qLpssz5ORm1Bu6BAtTBn+sM6sm1NPoirnAac6YTo6JYdXZAUL xOqZ5Bw6RBQUy4rmDgcOFxC0wpiGornAQc9oi19RUCS1NhofnkdvYKvRe7rXWvWC3SQMapdheLAx QjrFXLOKdXETJuFKlupBT/PpS82ymMPQ9YIGPK6vyF5O2qfI4AMw8SgAeETKRmYAoBWOReH0o/OK N8TtD2XGVBET6G/g8OmTc8WuwmIo8aQy+mZri/2y2PVhZwWzF7nc4x0oRFn9hUmbxYV79St+GveL AHAJRjablLUS2QGX1jvWJChUsdXM240+8oksC4lsLcdANiN4YLeJ1iByW08kwLvEeT7XE2vM7IQs HPzmSA3tP/kqRmH/nHAwS9JQniUqvIylNiKnw5id6liN6WIW0M4YjugD5nzY8Hp+cVmh6DfCSnPJ N2Nn/lZxWtEY7/ZXOS5wV7UlyXlO9oAkunh572JPO9lsafNaGvxOzI3deoFFJLGNS4tVs/jr+va+ NTcv82T8JbkYX8y8plYGRKqDF07BgwNavDhByCVi1chsmL8LuGE4IWI8uU/OAUCIGPOU307clvzv yrSxj360qcrPKENeAALtK0cavvIecPJsKO4hqPj8uN4vtNDocp5zZQE3aPGeluONnL/VEN/GAwN3 eFZ/eushjeEG7LrX6OTuPuPMjl8pzMXihJzs7KRmsIK/XUPt7b9EI8gSSQgfGeaKFtjju3YauUOs 2HuNSk8NVRr2j2bsD6LN28ovZcj+p9j2CGPUtC+/45o74k4gV637J0cVpWKffBpn3c404qAUH4qT HQ1Kj0TgOALJEdHVNBE4CBXKlWmkCr3cmhPsUcRTns1pbfk48SQwPnF3Jm5eht/qThi/xuHN/DQw M9yb1y1E1aiZIiB7e3D6rVhCOViGAEg+kQ+2WKYzPsFUjR2h3AWCVDuT+eRxDcT0RXp0hblNrSA1 EvvJHPyKTFBwK+70DSqUhShUsm3WWNKeONU3dyW5gs5zQa5m/yTCDXqB1+87fMWwOTGqHxLCWofG mgEt5ktMZCngZw/m5YGNx1SmhxWeCMnM0cKjmqC8PjHYUZ2gGdfQG9KRy1HSBAbqhgmOu256W9aS gppD3Rgh6ZxJjRYXe+Wo1KeMUDBrY3am1RuJqoj9uQ1mr47VF9Tw5kV9y0Se4eGrefM82duoN6jX 6OvodHsH5zLCaII4s7weDjSyFkTG625/NirRtg8NxEcBtwNk3ZMKH2lu9oKD89TWYsV3uTMsTDdw Y7iBCkCAqCvfE451v0F7W+8t/fTOVbZxcjXKQaJbDDiz3cu3ZSpiND1EeFeywLRBSYcCJwRDcyR2 aVAgaSrdC1OuuOC3IbxJLqpkUmZt8ECiT54W2H6poEtHovUTdyWvAmsn1WKbFYi9j7dA31vU+Jr+ d/GaGbxt2f5DFF8zogz6yl+cUt0SuS1G4/d7DwzKkfX07hVftDN3zcwAdb7J8j2zgvaPRqwneDOE 3Zkuoy12HNYtiLt4idUonbsjmU+mA3lqVy7oSTJcj38hPE4Sy2yWa9+inYUr0eoLqYt3jNGuMm9d I+nl9XV4z31qQ0mCqZpRBH48CPDwL5cruQGQDVbPR6kP3+kuU4g8w4OGKHQuXp1bxfE185tIt4r9 WQLZHd0vtJCokWKJnodIe7ST7Pfh93UfFTd4jGTwgVRHpsbOih7oNWK0IpX6sPjKsTPEdxzfsLvu n7tD3gvrhY01DcEyWOuMWyQ08w6OCz0E/oGnOV0I0ncDk3wxGRkDp2QGaXShUbRV8m4oHWiox7jG 1/uKl5PDTugArudAy0nLkJCO72PEn/l0KnY4Qo6bSYk+U21MHuqAo9P+bpE8/ESvzfY0Rn7koKE2 SH4lbN4169OtZyfK8ALHvTIli4p1ucQL3Iz80+9SpeGyTCV2U+DWJ7V6LzUcyJzSRXBorBs4wTj0 unbGCg8YSk4EZIqeUxA4+kXEFfSCizAUI2bN/YSruzynbUsxA5Tvfz/W4np532c+psXMgSMzLPgR VpOX5kO0DyRzYYkO+Wb6DOLuLp+MrzJzlJ2D4UjXbzKGG7eS8521XO1h+ESZ6BZCcd0v5nPfCixC 2EX1Inhbe3CaxJT/xYr1LXRdEy0JU0bJujcGxADqvOZfhNiZQ5dy9YiREpbQTFyQVFsUzGvBt/kh Xh8D8qt7R3EcxIFhFC/mNGavcRTMEu3uhlQowBcxDJpC8c/V1/Dj1YzKyH3M1fyQhrvTQa+YoI1D VwLZtghe26TC2k7RIo9j43HVbuXz/j6WfFUkBjzK7jLCZ+jAp9E/sNfgDJrSfeeRmbQZHgwlVVro Amxvr3+Uz72mj63OGi1owF3BhccPemmuNDsTZ6FZwslWJ+X8GIA2vv6fv1t4nrFgn5yjVl6kkLYv hAryqEHeQ2NNpDAUVGsQwWBLRn82Xww1r5hdbkKc8AwnGjPNe1+HvisVAJDhenQ5HGcokr7JGpmh ulrYFzziQTN33EedmdMqFvGwbZtf9WhTLJnjomVOSBqf5Ja83vxk8I/HypmgWEk+VL1Yf8G/mxaj stUAY/Sn+mVDv0c9Hl8foXbG0bK7URpFCrlz/ClNSiljcXMx8pY2xdoc4B0UwjbR9+LXmqp3ulI1 q2YdAhCrzScemRGSMEJO9qVpeQgZZGkz+7SeF3u9m8s50Z6yt3Yi7SfLVt3MsLZOwrB7RU71UUDd WX6Or35fwRdmz+lII8o1SeNJUEDyBFrMg2My2JTS/AGoz5/Yc5UhH4FU5KfByXdDNRhnHqvHtxuE v1O36NJ9x3KWX30ywGZbeSs8U834yELOF7M/K4UVmHsxfmUkJ1x90ms76BrGoQjuot3iwN+Q5M6e mQS3qg7kv38pIuCy1o9VyBqjO1Ec1pf29jBO2RkmPKR/MYX7uQSecwkpfjWz56GEoq2KJ8zHC+qZ jKV+KrI9Sh/5D59bV8u6VtLj0OvDEzRJsD4LVCh1iVOD3zk69cbrmFPRlWqboXIKp/3rV6fNK0Xf 9oaWmfdHlShJbIebYDIcS+HeIVCxFZ+Uv2wjlQEtgd3pCxPxwbbn3ybLg/n+wJb406vuqiilaOgb rkWiBAvioss9zRZVP21Lsi6+8zDXh5NXNiDVhY3npND3gfFgVxwVcDJ8fMoekXR39utMVeLYd5J/ uMpzbDIfsUr2q3y98UrQKjgx765Io+Dut8cU4FR/EzT3VFZVDvU3BhrAUfdXVvB8mh57RXEnQnTy xWf5+O78G3JfAnBSeBBzIc3TFLXzfXgwYjJ3ckj/hjI5DGT2mwIPns34uTtKTQbHKndSiycYn8l3 5XkHDvBemomw+2Neob9oBSkLSds7alz9xnxgMIX7Jd7SB7q5lPN8mALBjTXgIc9+mFNOBsI1ZG0g rjB94chByZ9S5VpiSyAnasibwJeRanPfy1KWiBTCwZEqIkbQlAio1RmQVbl5XP3pfoTvUkeQ5jdQ eTI21x7zZiPpd6i7mq3U9axgWNsd8A52uoNEjWFTcJTbjk8ZXGD+WECqjaXnezrr6gbM8riSJRhN O+HXY0/0RyBOwqqspaW0WDb4Xq2tcKeIYORrtheeO6SFFYlvQUx8Jqynt34eTCBYxy8iAtNZfntf RFGDD8v5wKMoZxKudAHNJ50RW7DVN91k895Alk/1FbPKJrosMRxw/GBV2wz2CEmPvuOwzw+lkYvk Fm39NtEj9lPcWzpyKDfAe3MFwk0Jwq2zXINQ9A18tWco0d0JEsqZ7JX3iheJYJxHRjSUuw/HZhgp sH2xslD+BhkCMvbW3LFO7463Uvf1qTdWjYfpPiXT424S/fAQoT3kwZPCPy3Aou/wD7LOqTsZP90C SwzcFSwdaQ9DUYCZQmZtVP8iaHLLPdlvSCLS5ZGCBXmgsAwIzrakxYIF78f9H2fpBdVpzsWM5fzR wKNGZKN28frzp4r87PLjLT4Mxae4PbP4l6IQs9Q60f+LBQnPtCnyNrjJZfOgBxqMo4AQCnycEd/I Fb13zaXk0KPEImVdF+mE3SfMktUIWw2TT+jhDLeYdrgXMO2QRR9Otr6yAMaVDCedGZiCwf5+X9FT c2P/iNEi15wKfxjAClo42sGD7XnfwBTiOzdK5IwAhzq5ViKtiXuEY+WY4kmS7k/KFDEcvq8dFYTt IvKjIkrPs77OzQuHW4Knfo1dnoTC6Kx42/dqVIETOp9x8Q5fvIQLVirejGRXgqt9ui12eXA4/La3 mHysRbLkOotZvq2htnPI0+ikP26Hhwf3mqd2s1XWLoEM7VzWdCTKpoGXe5Fvj940tZOHRbr1kEzT GbYRBOWdoZAwB2FKv4ZKqMKhunbtS7q3QqpNXTsRiVYet9/CmXv84IrVGi87+P6kdAM0Ne5zbRkG nM3C3YshmZ8i9WG0ok8cprPVGkxTReqEdVzbkiy72DDDrYvER9dM/oCev6jdyhk+q/wJjOzshTds Gj6eWI32s3HtniahVocGXelhP/XW4iB0MgLg5nkPNjcqPKtJm1Wyk3078+sOrNo2OkbM9ftE3r41 wCn3FO4JFldafev6y/qw0Hr3Cr/rKgQatH+r/DqGt7dVF+hCui0RqiWy3jdywwQrieOacDpLVq8I tPd6gEa+ZUnyJgcl/CpRwseru3yigv7++xoRSBQRvv3+n8NGlAsf9gGZjTUShKVZiJzi4mPc2kI1 08utIdxhlLxcz4jXFdbT8LhCFOOjeWiHM7cvlb9oAivyYzMW9ENIdD+XJI1xRWyHiWLFXxR7LJAS Sl7GYw4x97C3ePoWONA7rxzbNEPIhbkuGdmciD/rWmr6jAOcqIcsSGaAJuQbfVFa1tEFKoAvkit+ /oN+fgtdtoudFrsmTsnScbIl3GKcmnkPgCSY+/EELsrWxKtSaRcI5zRNp8xN6JilbHiPO24DIKqR qnd7LpxakX5ftvYFrOJHpasq2PeiPbd1zbVuZDKl+bX06pxV0FXM6FpdhRG25cue96+NhEBBdEab 4lFr7p0EQJEOBIBPsHn4kUqDXiwayVdFtdCWbT/l3yBp5INuCh0M+oMFrgoEBRPOwxwiUISiatHG MkhC/3f7tBhP3sZ0WCoBtVA0BfPoEgI0Fpfi1ZHzhvNHBzj0MF0oNWmf8ZC2anGLVTIDYXjC/Wy3 YAic7mIflvvGtDyvTgaKqyyLQjfGa+GRNvP5m2O7S7ktkt0y6gD9l75r5gVde9xMLwcnhZj2LKn+ 4eHJQuI9ZAM/UGtMfbHGJWAj2lgqi2GGc2zPDfuxkJzD63xlYtP0QNZspjXiMtvndmy5i+B4I5yP FHMuQgrHziI3UP25ME/h/O6SrTqQKWg0dDDzKaUqNhLhLIB4Ex/K4z5KhDM2iJVo+qMaA01yATVP KPQELYiSBsKSCNy7AghqI/eOUyMOeqOL5n4j8FrGRy/Nf3R/Avl/45XgFV2o5eVXR9p7tscW15LX C8RLhh4kJ4GW0BOClZpOIX746BLLH47+STiIhmWCZv/tKzecYVHX+6+o/AwgRRtQNfseGMa8J4D2 TiLG2N5Uevg3m9Jqp64QNkrNJm+IxBVxaopBNRw2ISNEqboNMoFEnmeqB2CHAEbYudWyWalW5cfP aBxoXl/GLpKmDUvj4EHRZn+pW/t340KecAKXcGh5uocPxT6+MkD/Zf7P5IbFdFV+dvZj0zqRm2Dk GKdbB+6+RWVQlhTutsOWSUz0PwNj71Z2LrIdf+LFO/FzHQ6BkIQfFmtsvS9QOvlfWZWIz5whNebF 7en7BoOZ8jjP2sappTlklynbh5+oKm1eQ3eqj+9biilfzQFZl/Tx/cuqRB/fEt50mVimB77TnKEC cSrPh76BVwnNQ5gY8/fOrQAEpD547V98qgVIW7LEXh3EsEaq/OpbmrjgRMc4jvucG8R4o9KU7PTc V9XM50NUQK/kG24uGGPON2SkaFiRA9SuwyzOLPTABm5RiK9YR4cEXHiALge3KhkN3gwKNmgG7oV2 EdZm/WqPgFf/9kYv0yJ2yc8jvk9+y6WBzdBE8F12xKpMSOgo5Y6s5zMrMZBRZY4FJygQNKTUT4co N2MGkZx9P/gMPcUfNB9cQ6D1sjhPU5d9ik36lZ+q4N75lb9+pWWwtYYX2Kcq+tgGl6DA+LuuBLeC uoycqa56En+spGQovOjTDV6LKvhK5dP8zxyr7qgFFGZjEiAxfShQk0EE+lZPVOcS7N+TEWoJUulw kdzSCLpHppfkPUCWbvkPcgxadhBSISnSRqAChVbh6q7ooZD6Iwhr4MgaBMRo4U0aM3w90UmhJ5GY FFk27PL4x6wM9mfVIipYa0Y0qO0pWKbABeObzMJ2jDoOlYDA8Ku7/ORC0ZdpK0XkbdWLSCFjkgdu UWMowPYpMrvxrFxCr4uguDmvrMhk+3C1pdilVoeIGXkqB8mL2aV95yXvnqwypaM1xGvrWhpIfLhJ 57cKk8IA9VeTwTV+srkE56FHGd8DDA5uiqtQpmdltPZv+8AZemQp0G6rmsxLnfzOikNSaEhnloIv EcCykrvPzCjUyjJyT6ZstK9icI/qP5tKpMYpUzW2wMNmNOo/qgt4g5ILegvVyK4L8Ga51Yn1PO9F 9o97tbioL9tSRWhIoXxA03NEHgxbM7SwnIAZ7iH1I8oMAa084sgLbvkgRq9+Xb4ulDY7KNV9LZio y79QeIMe7kSC/nRkZXEzKXtUrJ1+4LG0rNtPQ7MK/AeRz8R7I+qhXkGeuWaRgEVE3iwEAflTQoHu BTG71KsG1oU1lnqV55I1ovFhzLEu72lkWTQS05d5HhBtGlVKI9taLkQY6V0ILIuoMBIUq6PfqzoT 6/2oDjnTMVFrY9Z2/A6oyQlqjfr9r+tiykO4l5FFwzuQ8/H/fzB3OqICNgs+ntzgcj/4FTLfIT0a U8ByuqjeprtCXXTHwqwgxd2UqPxzckyM5icIZ9JQ6R4yGxLLvePedMGVcac05fb/jsILmFdiXMuR Eg63++GMMq7NhT15EtNwoVEKT6wTdMlimR2sRFZ9UzCHRQIdkyCo1/wi+zU2vBCtEL0xz/PA3BcT wL9rRaeBY1Bh2N8pVEeQww3zKR9iM6vvsmL/HSCHF7f1IvoAlfRk58cvxDqPHkKyryYJQUCg23m4 bLGfiUuVn9hwf7F+ui3QtUdvhHV5lKhrOQj+TDRsosgGaCVGADbOKp4cZpUM+IUXam7kuJSiscn8 u7cz3+RPBoS2BqqVvbqOk06QjEayzcsnN+F0/FA/wq52uriGrfqFBxg3wcDcBIOn82VaE1xlKV6T 16CB8Bu/QN2ef1EdxmtCZ6V5N3YC1DwxbubxlQkIYpnK3F9r3Af88VWs3qjBPAeZUfbgt6JkIFBw 2T2oYWdDlHUTUWZXoMT2nh1F7ucIVtyJYdnbeUEhfWGAqf1wyk6SpyP3IlNVScDoWLJ06KSHPsr0 MbN7iFMpXZ9NFOibOHyLVYWHnmHypazm3kuljdmf5uAB85OHyzGOEwe5xsLcDfcqZgvHksr+156T WahLsnq3W7j6ZBhV7AtyW9EmHs8zkdswxzHNy08kvDIsRbeIdIIAE4H1AcbOtjDpJIzeO7Yd4JsE CIT64wCEvSAcyJn722klRHFqfHIGNt8WSmWDVowJxZ52YY1HH+y59h30SESv4x4OPqMwtgo7J6QW OgbRhw1SPsJIIyG9Pd/CU6Qmnhanw0+HTGuwTo8cxEq0LKhbQvAFxO9f9oEF8OYAglooVlSTXKlo D2W6aN0bUnKPiUYYkuDrBTwtW+w/65MRiHJb2hzpG/j/bcxdD+xu6F7TFipBbg/op2AWlLd1LqQ6 Y3B0ALXX0Lq7zXb/q4fvHxEpG8cnIdFOojT2tTvqITAsNWUH/+tpPI0TtP2DSnMvlbbB5nKLFzVZ dnK+Ax0sw1dYZaoLr9jUKuPMzP34d1OGK1RebZUmLWTWfKyEFpRafPW53q9eTZBpVk77d9aIYW1f 6MTe5y3VgayAUxofALFYPypgc9DA5TOcXgwv+SbXeRDExpbWoOCCkzYWTpYQOKNoE/DopXr53Aqe 8qihE3xWQGPv/PByayhZ/9qK43GpG3MhgesqaMYuLdqMxgFeg5FapRZ2b6szly78O3JDeesDm067 inu9K4cCKNTwbAIhxmQoG9VIFGRxjgQgQ3VZ3xG+HAgcaySgM8dnyYFE/A7dzjWoLEl/Iuz0yIbg FBgPVQwf7Zt0vK6LtrHsY7hYf44SZY1/xRguojuwULfHhW0rWhjnwilRalz71Lfq+QKJQDl3KWdI 8Nus6T+wTZYsrjRNHhhc6dyKy3uRFQL2YNUV01bFmvDGvc01KGLzstlXrITYM/MtuOCEzinKnRdo BPTJqbZNa5Ezf7SIKT90Wk7UbjwC9EZRySzbOuXzS4BNt4UybltfWSk2Ug6jTxfkkFlDCkMSqTKX xxh3WZObzlWC9PAB4Vch9xayR1S4dpUMIqeDduk+8hK88NM3W+6TfLVV4XM/o3IrNYRVebby7xoR EucUnRVBNELiWUbmp+V2U/bHWn61ZEee1ECdrzHj1oMmIIczewranSzvxWY4YiUoMLU7/qx9cZHy c4BnsYL27EFAA+8hE6XicUZ4rtwS9nflftu5ksO2EgKjClV2sIqeT6zPRUAbcTVuEwp1F3aSR2sp Iwf0CvW14PGSXtUjAGmb2ZXmeaCaZWDrlSZU0JwfLgr8MRUyURjET072YKVj0tNIyyhV/AqBdSAn Mt9XN2WQVm8oVge7Vx85yDfLAlWuPHXQrli/EjoBh88kL0qMkagjslVz1XNJXMm5zHKoltFyTvfM r7gMrarTwXVaPnZj4dH+21dm6ajj6fG226pOkDbFnNCBLD/xCIRvVa9HEZVEp7g62yLiwmnQBfWG SwjD+mPttUpDP4UP21aCed3bRA3HfLMGxDn14OK2MFpdOqPRvXCDHzzixbQwCMEAsX2IMtpSSQj+ 5XRvfulXOEahtcGsnAad6Lx6TMTIj48MzZzPjsh61IHEGieGRjpB6G/ZGpOJgLi5K9+8brD5n/qq v46QIm+V/V5XkSK/ijnNOJp5ZLUgBFcoiwgI5mnHPlECzglW+y5y/zKU/MjDkwihR/b74BxoWJ6L q6pk6Tf7ykQiY2m911if+jWVC4AeoMIIC5DAT68c/ckCSsjm/ata1/PUwgXzTR3xfQ0+4/v8YL4L p7RdDQRBftb3k4oRb7y385R+wyNLJ/qcdVzNkqhtBdr77Goprv2ikOXTVloY9HZwC3cF2Ed6XyVh VFs4AAyTbPyIvHNEtiLicVSvO+l2aTntRISd2CPpn/3TECIP5X0dZ2o17tegt6q4eyo1wU+b9YN+ luqixZzweqbO6BvyVgoIpKzkyz5E0S6hTb5GTgs6m1LuygNNDuA+/uh0qAIJgU8TImxg6ysJdEFI CT+nwf9fPMx7x4W5WjCu4Ni10kGsZ8xoyNzElp5/TKDCyLF6mTieWVDu2S/t3slCPCz3qUmRP/fE W1Cv3Zg87QOef9XSVSuSodQG+TFZoaZqje+dxMTRBwZOy6BseBW+6LcminchT/f3RXOp6qxIhbqd yOxFfkCpBLm/r14jl/n4lbyGJydN2Xc381nl+2265DLbJ9dbg7LtjhgO0o0jl2Pf+ifzEOyKK582 ugtmdErlRZ1MnbAV4irOAp255UoHNMPtKSba3sRRJMgjGPui/mC+sV5ABHco9EZDhKxQuhUglOmd 5ERBw3dcgy68s2U/txHXfaT9duPyyRK4X2Ksf8tbMjEaC7k+7IeiL0+B64OVO2Y7/ohaxTdUDwU5 OUkHzO7Zv3I4o+Yo/zPQDMIJhW91APbXhIJipEuNu3p5Fk+f/LmhMv/CLgbnp9/H+nT0LNlEEDdh +WQqvQpWkpZR4MIaO9sQ5bI4ZEzPUoXiX7xDeJO5ptA1rOByRVa2/hNy2+r9E+e9vpnkEqYwsjVU Bq1+0z2zz4s8vn0/b+dYQNpghTb1FTiCokmyNvDz4hiP1iRWR4KsNr5ZRIiR0rXTagS86hhBSqoH LR+CdJPYCMh4BpD9oGj8rCb/9vZB/tL4QLVEB5Kq0EEH3sEvVSr0dCV+BPGLubyTBsPAxhbozHr3 voDSD4YTQd+hSkYXXrIGwtAoYGTwoBZpJCCRXr06CINGy5sD4ZSEIWf+q7Ti9yL+ah64+YMdxnKe mZ148YzyZ+Z/AMz5+86M/nkYC9dj4kexeZ1I0BbzSP0YzwVwWRiyvspeel4U1sHU7UU1KjL77w5y wrlYErHZd5YtQY95Ouym6DmFdZHWJfw9Qjfpouxot3db+Xv9nEAut16OpwLcWrLx5l89QKarFekQ AyhQS0awin7DB9F3H7VWK/F9pSScUx112AcS/1VXUOLao9soVVmyNxMLomYpatmcJrD5tc1xQ/zW m21jNdIpn1BkB/BJQeN/BE0c1e2U6a0bgK6Dwe3n7Xq+aKNn8LJZVIP4/oTtWOCr+ag6Dh+q1i1f RA24VnK4P37rKhDiOiR/Q1WhCZ4= `protect end_protected
gpl-2.0
16b7ebe933c6095a6b8f8445e2a7bd08
0.955853
1.826435
false
false
false
false
ricardo-jasinski/vhdl-bit-matrix-lib
packages/bit_vector_pkg.vhd
1
4,743
package bit_vector_pkg is type integer_vector is array (natural range <>) of integer; -- retorna um vetor com a largura especificada e todos -- os elementos iguais a '0' function null_bit_vector(w: integer) return bit_vector; function zeroes(w: integer) return bit_vector; function ones(w: integer) return bit_vector; function unit_vector(size, nonzero_pos: integer) return bit_vector; function weight(v: bit_vector) return integer; -- operação AND entre os elementos de um vetor, retornando um bit function elements_and(v: bit_vector) return bit; -- operação OR entre os elementos de um vetor, retornando um bit function elements_or(v: bit_vector) return bit; -- operação XOR entre os elementos de um vetor, retornando um bit function elements_xor(v: bit_vector) return bit; -- cria um novo vetor, a partir de um subconjunto de elementos de um dado vetor function VectorFromVectorElements(v: bit_vector; e: integer_vector) return bit_vector; -- retorna um número inteiro a partir de um vetor de bits; -- elemento mais à direita (menor índice) é o menos significativo function integer_from_bit_vector(v: bit_vector) return integer; -- retorna um vetor de bits a partir de um número inteiro; -- elemento mais à direita (menor índice) é o menos significativo function bit_vector_from_integer(i: integer; w: integer) return bit_vector; end package bit_vector_pkg; package body bit_vector_pkg is -- retorna um vetor com a largura especificada e todos -- os elementos iguais a '0' function null_bit_vector(w: integer) return bit_vector is begin return zeroes(w); end function null_bit_vector; function zeroes(w: integer) return bit_vector is variable retVect: bit_vector(1 to w) := (others => '0'); begin return retVect; end function; function ones(w: integer) return bit_vector is variable retVect: bit_vector(1 to w) := (others => '1'); begin return retVect; end function; function unit_vector(size, nonzero_pos: integer) return bit_vector is variable result: bit_vector(1 to size) := (others => '0'); begin result(nonzero_pos) := '1'; return result; end function; -- cria um novo vetor, a partir de um subconjunto de elementos de um dado vetor function VectorFromVectorElements(v: bit_vector; e: integer_vector) return bit_vector is variable retVect: bit_vector(e'range); begin for i in e'range loop retVect(i) := v(e(i)); end loop; return retVect; end function VectorFromVectorElements; function weight(v: bit_vector) return integer is variable result: integer := 0; begin for i in v'range loop if v(i) = '1' then result := result + 1; end if; end loop; return result; end function; -- operação XOR entre os elementos de um vetor, retornando um bit function elements_xor(v: bit_vector) return bit is variable result: bit; begin result := '0'; for i in v'range loop result := result xor v(i); end loop; return result; end function; -- operação AND entre os elementos de um vetor, retornando um bit function elements_and(v: bit_vector) return bit is variable result: bit; begin result := '1'; for i in v'range loop result := result and v(i); end loop; return result; end function; -- operação OR entre os elementos de um vetor, retornando um bit function elements_or(v: bit_vector) return bit is variable result: bit; begin result := '0'; for i in v'range loop result := result or v(i); end loop; return result; end function; -- retorna um número inteiro a partir de um vetor de bits; -- elemento mais à direita (menor índice) é o menos significativo function integer_from_bit_vector(v: bit_vector) return integer is variable retVal: integer range 0 to (2 ** v'length) - 1; begin retVal := 0; for i in v'range loop if (v(i) = '1') then --retVal := retVal + 2 ** (v'high-i+1); retVal := retVal + 2 ** (v'high-i); end if; end loop; return retVal; end function; -- retorna um vetor de bits a partir de um número inteiro; -- elemento mais à direita (menor índice) é o menos significativo function bit_vector_from_integer(i: integer; w: integer) return bit_vector is variable retVect: bit_vector(1 to w) := (others => '0'); variable temp: integer range 0 to 2**w-1 := 0; begin temp := i; for j in retVect'high-1 downto retVect'low-1 loop if (temp >= 2**j) then retVect(retVect'high-j) := '1'; temp := temp - 2**j; else retVect(retVect'high-j) := '0'; end if; end loop; return retVect; end function; end package body;
unlicense
32afe6e9e5577511cd93d155f56e14c5
0.672781
3.693925
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/create_opcode.vhd
2
17,365
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity create_opcode is PORT ( COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0); W_EN : IN STD_LOGIC; --OUTPUTS OF READS OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); end; architecture gen of create_opcode is begin OPCODE_0(5) <= not(COL_A(2)) and not(COL_A(1)) and not(COL_A(0)); OPCODE_1(5) <= not(COL_A(2)) and not(COL_A(1)) and (COL_A(0)); OPCODE_2(5) <= not(COL_A(2)) and (COL_A(1)) and not(COL_A(0)); OPCODE_3(5) <= not(COL_A(2)) and (COL_A(1)) and (COL_A(0)); OPCODE_4(5) <= (COL_A(2)) and not(COL_A(1)) and not(COL_A(0)); OPCODE_5(5) <= (COL_A(2)) and not(COL_A(1)) and (COL_A(0)); OPCODE_6(5) <= (COL_A(2)) and (COL_A(1)) and not(COL_A(0)); OPCODE_7(5) <= (COL_A(2)) and (COL_A(1)) and (COL_A(0)); OPCODE_0(4) <= not(COL_B(2)) and not(COL_B(1)) and not(COL_B(0)); OPCODE_1(4) <= not(COL_B(2)) and not(COL_B(1)) and (COL_B(0)); OPCODE_2(4) <= not(COL_B(2)) and (COL_B(1)) and not(COL_B(0)); OPCODE_3(4) <= not(COL_B(2)) and (COL_B(1)) and (COL_B(0)); OPCODE_4(4) <= (COL_B(2)) and not(COL_B(1)) and not(COL_B(0)); OPCODE_5(4) <= (COL_B(2)) and not(COL_B(1)) and (COL_B(0)); OPCODE_6(4) <= (COL_B(2)) and (COL_B(1)) and not(COL_B(0)); OPCODE_7(4) <= (COL_B(2)) and (COL_B(1)) and (COL_B(0)); OPCODE_0(3) <= not(COL_C(2)) and not(COL_C(1)) and not(COL_C(0)); OPCODE_1(3) <= not(COL_C(2)) and not(COL_C(1)) and (COL_C(0)); OPCODE_2(3) <= not(COL_C(2)) and (COL_C(1)) and not(COL_C(0)); OPCODE_3(3) <= not(COL_C(2)) and (COL_C(1)) and (COL_C(0)); OPCODE_4(3) <= (COL_C(2)) and not(COL_C(1)) and not(COL_C(0)); OPCODE_5(3) <= (COL_C(2)) and not(COL_C(1)) and (COL_C(0)); OPCODE_6(3) <= (COL_C(2)) and (COL_C(1)) and not(COL_C(0)); OPCODE_7(3) <= (COL_C(2)) and (COL_C(1)) and (COL_C(0)); OPCODE_0(2) <= not(COL_D(2)) and not(COL_D(1)) and not(COL_D(0)); OPCODE_1(2) <= not(COL_D(2)) and not(COL_D(1)) and (COL_D(0)); OPCODE_2(2) <= not(COL_D(2)) and (COL_D(1)) and not(COL_D(0)); OPCODE_3(2) <= not(COL_D(2)) and (COL_D(1)) and (COL_D(0)); OPCODE_4(2) <= (COL_D(2)) and not(COL_D(1)) and not(COL_D(0)); OPCODE_5(2) <= (COL_D(2)) and not(COL_D(1)) and (COL_D(0)); OPCODE_6(2) <= (COL_D(2)) and (COL_D(1)) and not(COL_D(0)); OPCODE_7(2) <= (COL_D(2)) and (COL_D(1)) and (COL_D(0)); OPCODE_0(1) <= not(COL_E(2)) and not(COL_E(1)) and not(COL_E(0)); OPCODE_1(1) <= not(COL_E(2)) and not(COL_E(1)) and (COL_E(0)); OPCODE_2(1) <= not(COL_E(2)) and (COL_E(1)) and not(COL_E(0)); OPCODE_3(1) <= not(COL_E(2)) and (COL_E(1)) and (COL_E(0)); OPCODE_4(1) <= (COL_E(2)) and not(COL_E(1)) and not(COL_E(0)); OPCODE_5(1) <= (COL_E(2)) and not(COL_E(1)) and (COL_E(0)); OPCODE_6(1) <= (COL_E(2)) and (COL_E(1)) and not(COL_E(0)); OPCODE_7(1) <= (COL_E(2)) and (COL_E(1)) and (COL_E(0)); OPCODE_0(0) <= (not(COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_1(0) <= (not(COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_2(0) <= (not(COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_3(0) <= (not(COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_4(0) <= ((COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_5(0) <= ((COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_6(0) <= ((COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_7(0) <= ((COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN; -- process (COL_A, COL_B, COL_C, COL_D, COL_E, COL_W, W_EN) begin -- --assigning address A to column -- if (COL_A = 0) then -- OPCODE_0(5) <= '1'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 1) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '1'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 2) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '1'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 3) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '1'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 4) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '1'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 5) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '1'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 6) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '1'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 7) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '1'; -- else -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- end if; -- -- --assigning address B to column -- if (COL_B = 0) then -- OPCODE_0(4) <= '1'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 1) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '1'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 2) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '1'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 3) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '1'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 4) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '1'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 5) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '1'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 6) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '1'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 7) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '1'; -- else -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- end if; -- -- --assigning address C to column -- if (COL_C = 0) then -- OPCODE_0(3) <= '1'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 1) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '1'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 2) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '1'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 3) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '1'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 4) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '1'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 5) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '1'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 6) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '1'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 7) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '1'; -- else -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- end if; -- --assigning address D to column -- if (COL_D = 0) then -- OPCODE_0(2) <= '1'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 1) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '1'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 2) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '1'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 3) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '1'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 4) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '1'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 5) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '1'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 6) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '1'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 7) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '1'; -- else -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- end if; -- --assigning address E to column -- if (COL_E = 0) then -- OPCODE_0(1) <= '1'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 1) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '1'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 2) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '1'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 3) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '1'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 4) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '1'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 5) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '1'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 6) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '1'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 7) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '1'; -- else -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- end if; -- --assigning address W to column -- if (COL_W = 0) then -- OPCODE_0(0) <= '1' and W_EN; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 1) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '1' and W_EN; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 2) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '1' and W_EN; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 3) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '1' and W_EN; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 4) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '1' and W_EN; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 5) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '1' and W_EN; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 6) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '1' and W_EN; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 7) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '1' and W_EN; -- else -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- end if; -- end process; end gen;
gpl-2.0
8814de35633dbd2c125f2bc25abbd1b7
0.407256
1.912656
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sMuxAltr.vhd
20
3,446
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library altera; use altera.alt_dspbuilder_package.all; LIBRARY lpm; USE lpm.lpm_components.all; entity alt_dspbuilder_sMuxAltr is generic ( lpm_pipeline : natural:=0; lpm_size : positive:=5; lpm_widths : positive:=3; lpm_width : positive:=8; SelOneHot : natural:=0); PORT ( clock : in std_logic ; aclr : in std_logic := '0'; user_aclr : in std_logic := '0'; ena : in std_logic := '1'; data : in std_logic_vector (lpm_width*lpm_size-1 downto 0); sel : in std_logic_vector (lpm_widths-1 downto 0); result : out std_logic_vector (lpm_width-1 downto 0)); end alt_dspbuilder_sMuxAltr; architecture synth of alt_dspbuilder_sMuxAltr is function salive( ipp : integer; w : natural ) return std_logic_vector is variable sxbus : std_logic_vector(w-1 downto 0); begin for i in 0 to w-1 loop if ipp=i then sxbus(i) :='1'; else sxbus(i) :='0'; end if; end loop; return sxbus; end; signal selint : std_logic_vector(nbitnecessary(lpm_size)-1 downto 0); signal dataa : std_logic_2d (lpm_size-1 downto 0, lpm_width-1 downto 0); signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; gnoh:if SelOneHot=0 generate selint <= sel; end generate gnoh; g_one_hot:if SelOneHot>0 generate gi:for i in 0 to lpm_size-1 generate selint <= int2ustd(i,nbitnecessary(lpm_size)) when sel = salive(i, lpm_size) else (others=>'Z'); end generate gi; end generate g_one_hot; g2d:for i in 1 to lpm_size generate gw:for j in 0 to lpm_width-1 generate dataa(i-1,j) <= data(j+(i-1)*lpm_width); end generate gw; end generate g2d; gp:if lpm_pipeline>0 generate U0 : lpm_mux generic map ( lpm_pipeline => lpm_pipeline, lpm_size => lpm_size, lpm_widths => nbitnecessary(lpm_size), lpm_width => lpm_width, lpm_type => "LPM_MUX") port map ( sel => selint, clken => ena, aclr => aclr_i, clock => clock, data => dataa, result => result); end generate gp; gc:if lpm_pipeline=0 generate U0 : lpm_mux generic map ( lpm_size => lpm_size, lpm_widths => nbitnecessary(lpm_size), lpm_width => lpm_width, lpm_type => "LPM_MUX") port map ( sel => selint, data => dataa, result => result); end generate gc; end synth;
mit
5f34a113aa3f7dcb234bea3ffefd24dd
0.634068
3.37182
false
false
false
false
michaelmiehling/A25_VME_TB
16x004-00_src/Source/pcie_x1_pkg.vhd
1
65,590
------------------------------------------------------------------------------- -- Title : package for PCIe simulation model -- Project : 16z091- ------------------------------------------------------------------------------- -- File : pcie_x1_pkg.vhd -- Author : [email protected] -- Organization: MEN Mikro Elektronik GmbH -- Created : 2012-10-02 ------------------------------------------------------------------------------- -- Simulator : -- Synthesis : ------------------------------------------------------------------------------- -- Description : -- PCIe package for x1 configuration ------------------------------------------------------------------------------- -- Hierarchy : -- ------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.print_pkg.all; use work.types_pkg.all; use work.utils_pkg.all; library pciebfm_lib; use pciebfm_lib.pkg_plda_fio.all; use pciebfm_lib.pkg_xbfm.all; package pcie_x1_pkg is ----------------------------------------------------- -- constants to use in terminal_out.tga(1 downto 0) ----------------------------------------------------- constant IO_TRANSFER : std_logic_vector(1 downto 0) := "00"; constant MEM32_TRANSFER : std_logic_vector(1 downto 0) := "01"; constant CONFIG_TRANSFER : std_logic_vector(1 downto 0) := "10"; ----------------------------------------------------- -- constants to use in terminal_out.tga(3 downto 2) ----------------------------------------------------- constant BFM_NBR_0 : std_logic_vector(1 downto 0) := "00"; constant BFM_NBR_1 : std_logic_vector(1 downto 0) := "01"; constant BFM_NBR_2 : std_logic_vector(1 downto 0) := "10"; constant BFM_NBR_3 : std_logic_vector(1 downto 0) := "11"; ------------------------------ -- constants for general use ------------------------------ constant BFM_BUFFER_MAX_SIZE : integer := 1024; constant DONT_CHECK32 : std_logic_vector(31 downto 0) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; --! function that calculates the last byte enables of a transfer --! @param first_dw first enabled bytes of this transfer --! @param byte_count amount of bytes for this transfer --! @return last_dw(3 downto 0) last enabled bytes for this transfer function calc_last_dw( first_dw : std_logic_vector(3 downto 0); byte_count : integer ) return std_logic_vector; -- returns std_logic_vector(3 downto 0) --! procedure to check a value against a reference value --! @param caller_proc string argument which is used in error messages to define the position where --! this procedure was called from --! @param ref_val 32bit reference value --! @param check_val 32bit value that is checked against ref_val --! @param byte_valid defines which byte of check_val is valid, invalid bytes are not compared --! @return check_ok boolean argument which states whether the check was ok (=true) or not procedure check_val( caller_proc : in string; ref_val : in std_logic_vector(31 downto 0); check_val : in std_logic_vector(31 downto 0); byte_valid : in std_logic_vector(3 downto 0); check_ok : out boolean ); --! procedure to initialize the BFM --! @param bfm_inst_nbr number of the BFM instance that will be initialized --! @param io_add start address for the BFM internal I/O space --! @param mem32_addr start address for the BFM internal MEM32 space --! @param mem64_addr start address for the BFM internal MEM64 space --! @param requester_id defines the requester ID that is used for every BFM transfer --! @param max_payloadsize defines the maximum payload size for every write request procedure init_bfm( bfm_inst_nbr : in integer; io_addr : in std_logic_vector(31 downto 0); mem32_addr : in std_logic_vector(31 downto 0); mem64_addr : in std_logic_vector(63 downto 0); requester_id : in std_logic_vector(15 downto 0); max_payloadsize : in integer ); --! procedure to configure the BFM0, custom version for cfg record --! @param cfg_i input record of type cfg_in_type --! @return cfg_o returns record of cfg_out_type procedure configure_bfm( signal cfg_i : in cfg_in_type; signal cfg_o : out cfg_out_type ); --! procedure to configure the BFM, custom version for cfg record --! @param cfg_i input record of type cfg_in_type --! @return cfg_o returns record of cfg_out_type procedure configure_bfm( bfm_inst_nbr : in integer; signal cfg_i : in cfg_in_type; signal cfg_o : out cfg_out_type ); --! procedure to configure the BFM --! @param bfm_inst_nbr number of the BFM instance that will be configured --! @param max_payload_size maximum payload size for write requests --! @param max_read_size maximum payload size for read requests --! @param bar0 BAR0 settings --! @param bar1 BAR1 settings --! @param bar2 BAR2 settings --! @param bar3 BAR3 settings --! @param bar4 BAR4 settings --! @param bar5 BAR5 settings --! @param cmd_status_reg settings for the command status register --! @param ctrl_status_reg settings for the control status register procedure configure_bfm ( bfm_inst_nbr : in integer; max_payload_size : in integer; max_read_size : in integer; bar0 : in std_logic_vector(31 downto 0); bar1 : in std_logic_vector(31 downto 0); bar2 : in std_logic_vector(31 downto 0); bar3 : in std_logic_vector(31 downto 0); bar4 : in std_logic_vector(31 downto 0); bar5 : in std_logic_vector(31 downto 0); cmd_status_reg : in std_logic_vector(31 downto 0); ctrl_status_reg : in std_logic_vector(31 downto 0) ); --! procedure to write values to the BFM internal memory --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param nbr_of_dw number of DWORDS that will be written --! @param io_space set to true is I/O space is targeted --! @param mem32 set to true is MEM32 space is targeted, otherwise MEM64 space is used --! @param mem_addr offset for internal memory space, start at x"0000_0000" --! @param start_data_val first data value to write, other values are defined by data_inc --! @param data_inc defines the data increment added to start_data_val for DW 2 to nbr_of_dw procedure set_bfm_memory( bfm_inst_nbr : in integer; nbr_of_dw : in integer; io_space : in boolean; mem32 : in boolean; mem_addr : in std_logic_vector(31 downto 0); start_data_val : in std_logic_vector(31 downto 0); data_inc : in integer ); --! procedure to read from BFM internal memory --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param nbr_of_dw number of DWORDS that will be written --! @param io_space set to true is I/O space is targeted --! @param mem32 set to true is MEM32 space is targeted, otherwise MEM64 space is used --! @param mem_addr offset for internal memory space, start at x"0000_0000" --! @return databuf_out returns a dword_vector that contains all data read from BFM internal memory procedure get_bfm_memory( bfm_inst_nbr : in integer; nbr_of_dw : in integer; io_space : in boolean; mem32 : in boolean; mem_addr : in std_logic_vector(31 downto 0); databuf_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0) ); --! procedure to issue an I/O write to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to write to --! @param data32 32bit data value to write --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_wr_io( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean -- used when wait_end = true ); --! procedure to issue an I/O read to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to read from --! @param ref_data32 reference data value for read data check, use DONT_CHECK to skip check --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return data32_out 32bit data value returned from read --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_rd_io( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean -- used when wait_end = true ); --! procedure to issue an single MEM32 write request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to write to --! @param data32 32bit data value to write --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_wr_mem32( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 0); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean ); --! procedure to issue an burst MEM32 write request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_count amount of bytes that shall be transferred --! @param pcie_addr address at DUT to write to --! @param data32 dword_vector that contains all data values to write --! @param t_class defines the traffic class this transfer shall have, use "000" as default --! @param attributes defines the attributes this transfer shall have, use "00" as default --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_wr_mem32( bfm_inst_nbr : in integer; byte_count : in integer; pcie_addr : in std_logic_vector(31 downto 0); data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); t_class : in std_logic_vector(2 downto 0); attributes : in std_logic_vector(1 downto 0); wait_end : in boolean; success : out boolean ); --! procedure to issue a single MEM32 read request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to read from --! @param ref_data32 reference data value for read data check, use DONT_CHECK to skip check --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return data32_out 32bit data value returned from read --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_rd_mem32( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean -- used when wait_end = true ); --! procedure to issue a burst MEM32 read request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_count amount of bytes that shall be transferred --! @param pcie_addr address at DUT to read from --! @param ref_data32 dword_vector that contains the reference data values for read data check, use DONT_CHECK to skip check --! @param t_class defines the traffic class this transfer shall have, use "000" as default --! @param attributes defines the attributes this transfer shall have, use "00" as default --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return data32_out dword_vector that contains the data values returned from read --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_rd_mem32( bfm_inst_nbr : in integer; byte_count : in integer; pcie_addr : in std_logic_vector(31 downto 0); ref_data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); t_class : in std_logic_vector(2 downto 0); attributes : in std_logic_vector(1 downto 0); wait_end : in boolean; data32_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ); --! procedure to issue a configuration type 0 write request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to write to --! @param data32 32bit data value to write --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_wr_config( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean ); --! procedure to issue a configuration type 0 read request to the DUT --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param byte_en bytes enables for this transfer --! @param pcie_addr address at DUT to read from --! @param ref_data32 reference data value for read data check, use DONT_CHECK to skip check --! @param wait_end set to true to wait until transfer is finished and check for transfer errors --! @return data32_out 32bit data value returned from read --! @return success returns true if transfer is done and finished without errors (if wait_end = true) procedure bfm_rd_config( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean ); --! procedure to configure the DUT configuration space to enable MSI --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param msi_allowed number of MSI that are allowed, coded vector as defined by PCIe spec --! @return returns true if the configuration was successful procedure configure_msi( bfm_inst_nbr : in integer; msi_allowed : in std_logic_vector(2 downto 0); success : out boolean ); --! procedure that waits for an assert INTx message --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param legacy interrupt number, possible values: 0=A, 1=B, 2=C, 3=D --! @return none, procedure will NOT return if irq was not asserted procedure wait_on_irq_assert( bfm_inst_nbr : in integer; irq_nbr : in integer range 3 downto 0 ); --! procedure that waits for a deassert INTx message --! @param bfm_inst_nbr number of the BFM instance that will be used --! @param legacy interrupt number, possible values: 0=A, 1=B, 2=C, 3=D --! @return none, procedure will NOT return if irq was not deasserted procedure wait_on_irq_deassert( bfm_inst_nbr : in integer; irq_nbr : in integer range 3 downto 0 ); end pcie_x1_pkg; package body pcie_x1_pkg is function calc_last_dw( first_dw : std_logic_vector(3 downto 0); byte_count : integer ) return std_logic_vector is variable first_bytes : integer := 0; variable last_bytes : integer := 0; variable return_int : std_logic_vector(3 downto 0); begin if first_dw(0) = '1' then first_bytes := first_bytes +1; end if; if first_dw(1) = '1' then first_bytes := first_bytes +1; end if; if first_dw(2) = '1' then first_bytes := first_bytes +1; end if; if first_dw(3) = '1' then first_bytes := first_bytes +1; end if; last_bytes := (byte_count - first_bytes) mod 4; if last_bytes = 0 then return_int := "1111"; elsif last_bytes = 1 then return_int := "0001"; elsif last_bytes = 2 then return_int := "0011"; elsif last_bytes = 3 then return_int := "0111"; else return_int := "XXXX"; assert false report "ERROR in function calc_last_dw(): illegal value for variable last_bytes" severity error; end if; return return_int; end; procedure check_val( caller_proc : in string; ref_val : in std_logic_vector(31 downto 0); check_val : in std_logic_vector(31 downto 0); byte_valid : in std_logic_vector(3 downto 0); check_ok : out boolean ) is variable pass : boolean := true; begin if byte_valid(0) = '1' then if ref_val(7 downto 0) /= check_val(7 downto 0) then print_now("BFM ERROR in bfm_rd_mem32(): data read does not match given reference value - mismatch in byte0"); write_s_slvec("BFM ERROR in" & caller_proc & "(): reference value[7:0] = ",ref_val(7 downto 0)); write_s_slvec("BFM ERROR in" & caller_proc & "(): read value[7:0] = ",check_val(7 downto 0)); pass := false; end if; end if; if byte_valid(1) = '1' then if ref_val(15 downto 8) /= check_val(15 downto 8) then print_now("BFM ERROR in bfm_rd_mem32(): data read does not match given reference value - mismatch in byte1"); write_s_slvec("BFM ERROR in" & caller_proc & "(): reference value[15:8] = ",ref_val(15 downto 8)); write_s_slvec("BFM ERROR in" & caller_proc & "(): read value[15:8] = ",check_val(15 downto 8)); pass := false; end if; end if; if byte_valid(2) = '1' then if ref_val(23 downto 16) /= check_val(23 downto 16) then print_now("BFM ERROR in bfm_rd_mem32(): data read does not match given reference value - mismatch in byte2"); write_s_slvec("BFM ERROR in" & caller_proc & "(): reference value[23:16] = ",ref_val(23 downto 16)); write_s_slvec("BFM ERROR in" & caller_proc & "(): read value[23:16] = ",check_val(23 downto 16)); pass := false; end if; end if; if byte_valid(3) = '1' then if ref_val(31 downto 24) /= check_val(31 downto 24) then print_now("BFM ERROR in bfm_rd_mem32(): data read does not match given reference value - mismatch in byte3"); write_s_slvec("BFM ERROR in" & caller_proc & "(): reference value[31:24] = ",ref_val(31 downto 24)); write_s_slvec("BFM ERROR in" & caller_proc & "(): read value[31:24] = ",check_val(31 downto 24)); pass := false; end if; end if; check_ok := pass; end procedure; procedure init_bfm( bfm_inst_nbr : in integer; io_addr : in std_logic_vector(31 downto 0); mem32_addr : in std_logic_vector(31 downto 0); mem64_addr : in std_logic_vector(63 downto 0); requester_id : in std_logic_vector(15 downto 0); max_payloadsize : in integer ) is begin print_now_s("BFM: initialize PCIe BFM, bfm_inst_nbr ",bfm_inst_nbr); xbfm_init(bfm_inst_nbr,io_addr,mem32_addr,mem64_addr); xbfm_set_requesterid(bfm_inst_nbr,requester_id); xbfm_set_maxpayload(bfm_inst_nbr,max_payloadsize); print_now_s("BFM: Wait until link is initialized, bfm_inst_nbr ",bfm_inst_nbr); xbfm_wait_linkup(bfm_inst_nbr); print_now_s("BFM: link is up, bfm_inst_nbr ",bfm_inst_nbr); end procedure; procedure configure_bfm( signal cfg_i : in cfg_in_type; signal cfg_o : out cfg_out_type ) is variable max_read : std_logic_vector(2 downto 0); variable max_write : std_logic_vector(2 downto 0); begin ------------------------------ -- set PCIe MAX_PAYLOAD_SIZE ------------------------------ if cfg_i.tstcfg.max_payload <= 128 then max_write := "000"; elsif cfg_i.tstcfg.max_payload <= 256 then max_write := "001"; elsif cfg_i.tstcfg.max_payload <= 512 then max_write := "010"; elsif cfg_i.tstcfg.max_payload <= 1024 then max_write := "011"; elsif cfg_i.tstcfg.max_payload <= 2048 then max_write := "100"; elsif cfg_i.tstcfg.max_payload <= 4096 then max_write := "101"; else max_write := "000"; end if; ------------------------------ -- set PCIe MAX_READ_SIZE ------------------------------ if cfg_i.tstcfg.max_read <= 128 then max_read := "000"; elsif cfg_i.tstcfg.max_read <= 256 then max_read := "001"; elsif cfg_i.tstcfg.max_read <= 512 then max_read := "010"; elsif cfg_i.tstcfg.max_read <= 1024 then max_read := "011"; elsif cfg_i.tstcfg.max_read <= 2048 then max_read := "100"; elsif cfg_i.tstcfg.max_read <= 4096 then max_read := "101"; else max_read := "000"; end if; if(cfg_i.tstcfg.set_txt = 2) then write_label("none","configure BFM with typical values", -1); end if; if(cfg_i.tstcfg.set_txt = 2) then print("Setup BARs and command/control/status registers"); end if; xbfm_dword (0,XBFM_CFGWR0,x"00000010",x"F",x"11100000"); -- BAR0 4kb --> need 12Bit address --> here a 1MB (=20 Bit) address is used xbfm_dword (0,XBFM_CFGWR0,x"00000014",x"F",x"22200000"); -- BAR1 8KB --> need 13Bit address --> here a 1MB (=20 Bit) address is used xbfm_dword (0,XBFM_CFGWR0,x"00000018",x"F",x"33300000"); -- BAR2 is I/O mapped in z91 simulation and setup with adr.: x333...... xbfm_dword (0,XBFM_CFGWR0,x"0000001C",x"F",x"44400000"); -- not used in z91 simulation but prepared for future use xbfm_dword (0,XBFM_CFGWR0,x"00000020",x"F",x"55500000"); -- not used in z91 simulation but prepared for future use xbfm_dword (0,XBFM_CFGWR0,x"00000024",x"F",x"66600000"); -- not used in z91 simulation but prepared for future use xbfm_dword (0,XBFM_CFGRD0,x"00000004",x"F",x"00100000"); -- Command/Status xbfm_dword (0,XBFM_CFGWR0,x"00000004",x"F",x"000001FF"); -- Control/Status xbfm_wait (0); if(cfg_i.tstcfg.set_txt = 2) then print("Set max payload & max read request registers"); end if; xbfm_dword (0,XBFM_CFGWR0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); xbfm_dword (0,XBFM_CFGRD0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); xbfm_wait (0); write_label("ns","PCIe config via BFM done", -1); end procedure; procedure configure_bfm( bfm_inst_nbr : in integer; signal cfg_i : in cfg_in_type; signal cfg_o : out cfg_out_type ) is variable max_read : std_logic_vector(2 downto 0); variable max_write : std_logic_vector(2 downto 0); begin ------------------------------ -- set PCIe MAX_PAYLOAD_SIZE ------------------------------ if cfg_i.tstcfg.max_payload <= 128 then max_write := "000"; elsif cfg_i.tstcfg.max_payload <= 256 then max_write := "001"; elsif cfg_i.tstcfg.max_payload <= 512 then max_write := "010"; elsif cfg_i.tstcfg.max_payload <= 1024 then max_write := "011"; elsif cfg_i.tstcfg.max_payload <= 2048 then max_write := "100"; elsif cfg_i.tstcfg.max_payload <= 4096 then max_write := "101"; else max_write := "000"; end if; ------------------------------ -- set PCIe MAX_READ_SIZE ------------------------------ if cfg_i.tstcfg.max_read <= 128 then max_read := "000"; elsif cfg_i.tstcfg.max_read <= 256 then max_read := "001"; elsif cfg_i.tstcfg.max_read <= 512 then max_read := "010"; elsif cfg_i.tstcfg.max_read <= 1024 then max_read := "011"; elsif cfg_i.tstcfg.max_read <= 2048 then max_read := "100"; elsif cfg_i.tstcfg.max_read <= 4096 then max_read := "101"; else max_read := "000"; end if; if(cfg_i.tstcfg.set_txt = 2) then write_label("none","configure BFM with typical values", -1); end if; if(cfg_i.tstcfg.set_txt = 2) then print("Setup BARs and command/control/status registers"); end if; xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000010",x"F",x"11100000"); -- BAR0 4kb --> need 12Bit address --> here a 1MB (=20 Bit) address is used xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000014",x"F",x"22200000"); -- BAR1 8KB --> need 13Bit address --> here a 1MB (=20 Bit) address is used xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000018",x"F",x"33300000"); -- BAR2 is I/O mapped in z91 simulation and setup with adr.: x333...... xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"0000001C",x"F",x"44400000"); -- not used in z91 simulation but prepared for future use xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000020",x"F",x"55500000"); -- not used in z91 simulation but prepared for future use xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000024",x"F",x"66600000"); -- not used in z91 simulation but prepared for future use xbfm_dword (bfm_inst_nbr,XBFM_CFGRD0,x"00000004",x"F",x"00100000"); -- Command/Status xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000004",x"F",x"000001FF"); -- Control/Status xbfm_wait (bfm_inst_nbr); if(cfg_i.tstcfg.set_txt = 2) then print("Set max payload & max read request registers"); end if; xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); xbfm_dword (bfm_inst_nbr,XBFM_CFGRD0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); xbfm_wait (bfm_inst_nbr); write_label("ns","PCIe config via BFM done", -1); end procedure; procedure configure_bfm ( bfm_inst_nbr : in integer; max_payload_size : in integer; max_read_size : in integer; bar0 : in std_logic_vector(31 downto 0); bar1 : in std_logic_vector(31 downto 0); bar2 : in std_logic_vector(31 downto 0); bar3 : in std_logic_vector(31 downto 0); bar4 : in std_logic_vector(31 downto 0); bar5 : in std_logic_vector(31 downto 0); cmd_status_reg : in std_logic_vector(31 downto 0); ctrl_status_reg : in std_logic_vector(31 downto 0) ) is variable max_read : std_logic_vector(2 downto 0); variable max_write : std_logic_vector(2 downto 0); begin print_now("BFM: calculate max_payload_size and max_read_size"); ------------------------------ -- set PCIe MAX_PAYLOAD_SIZE ------------------------------ if max_payload_size <= 128 then max_write := "000"; elsif max_payload_size <= 256 then max_write := "001"; elsif max_payload_size <= 512 then max_write := "010"; elsif max_payload_size <= 1024 then max_write := "011"; elsif max_payload_size <= 2048 then max_write := "100"; elsif max_payload_size <= 4096 then max_write := "101"; else max_write := "000"; end if; ------------------------------ -- set PCIe MAX_READ_SIZE ------------------------------ if max_read_size <= 128 then max_read := "000"; elsif max_read_size <= 256 then max_read := "001"; elsif max_read_size <= 512 then max_read := "010"; elsif max_read_size <= 1024 then max_read := "011"; elsif max_read_size <= 2048 then max_read := "100"; elsif max_read_size <= 4096 then max_read := "101"; else max_read := "000"; end if; print_now_s("BFM: setup BARs and command/control/status registers, bfm_inst_nbr ",bfm_inst_nbr); xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000010",x"F",bar0); -- BAR0 xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000014",x"F",bar1); -- BAR1 xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000018",x"F",bar2); -- BAR2 xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"0000001C",x"F",bar3); -- BAR3 xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000020",x"F",bar4); -- BAR4 xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000024",x"F",bar5); -- BAR5 xbfm_dword (bfm_inst_nbr,XBFM_CFGRD0,x"00000004",x"F",cmd_status_reg); -- Command/Status xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000004",x"F",ctrl_status_reg); -- Control/Status print_now_s("BFM: wait until all values are set, bfm_inst_nbr ",bfm_inst_nbr); xbfm_wait (bfm_inst_nbr); print_now_s("BFM: set max_payload & max_read registers, bfm_inst_nbr ",bfm_inst_nbr); xbfm_dword (bfm_inst_nbr,XBFM_CFGWR0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); xbfm_dword (bfm_inst_nbr,XBFM_CFGRD0,x"00000088",x"F",x"0000" & '0' & max_read & x"8" & max_write & "00000"); print_now_s("BFM: wait until all values are set, bfm_inst_nbr ",bfm_inst_nbr); xbfm_wait (bfm_inst_nbr); print_now_s("BFM: BARs and registers initialized, bfm_inst_nbr ",bfm_inst_nbr); end procedure; procedure set_bfm_memory( bfm_inst_nbr : in integer; nbr_of_dw : in integer; io_space : in boolean; mem32 : in boolean; mem_addr : in std_logic_vector(31 downto 0); start_data_val : in std_logic_vector(31 downto 0); data_inc : in integer ) is variable bfm_databuf : dword_vector(nbr_of_dw -1 downto 0); begin print_now_s("BFM: set BFM internal memory, bfm_inst_nbr ",bfm_inst_nbr); print_s_i("BFM: number of dwords = ",nbr_of_dw); print_s_std("BFM: start address = ", mem_addr); print_s_std("BFM: initial data value = ", start_data_val); print_s_i("BFM: data value increment = ",data_inc); for i in 0 to nbr_of_dw -1 loop bfm_databuf(i) := std_logic_vector(unsigned(start_data_val) + to_unsigned(i*data_inc,32)); end loop; if io_space then print("BFM: write data to IO space"); xbfm_memory_write(bfm_inst_nbr,XBFM_IO,mem_addr,nbr_of_dw,bfm_databuf); else if mem32 then print("BFM: write data to MEM32 space"); xbfm_memory_write(bfm_inst_nbr,XBFM_MEM32,mem_addr,nbr_of_dw,bfm_databuf); else print("BFM: write data to MEM64 space"); xbfm_memory_write(bfm_inst_nbr,XBFM_MEM64,mem_addr,nbr_of_dw,bfm_databuf); end if; end if; end procedure; procedure get_bfm_memory( bfm_inst_nbr : in integer; nbr_of_dw : in integer; io_space : in boolean; mem32 : in boolean; mem_addr : in std_logic_vector(31 downto 0); databuf_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0) ) is begin if nbr_of_dw > BFM_BUFFER_MAX_SIZE then print_now_s("BFM ERROR in get_bfm_memory(): nbr_of_dw exceeds BFM_BUFFER_MAX_SIZE, bfm_inst_nbr ",bfm_inst_nbr); else print_now_s("BFM: get values from BFM internal memory, bfm_inst_nbr ",bfm_inst_nbr); print_s_i("BFM: number of dwords = ",nbr_of_dw); if io_space then print("BFM: read data from IO space"); xbfm_memory_read(bfm_inst_nbr,XBFM_IO,mem_addr,nbr_of_dw,databuf_out); else if mem32 then print("BFM: read data from MEM32 space"); xbfm_memory_read(bfm_inst_nbr,XBFM_MEM32,mem_addr,nbr_of_dw,databuf_out); else print("BFM: read data from MEM64 space"); xbfm_memory_read(bfm_inst_nbr,XBFM_MEM64,mem_addr,nbr_of_dw,databuf_out); end if; end if; end if; end procedure; procedure bfm_wr_io( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean -- used when wait_end = true ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM I/O write, bfm_inst_nbr ",bfm_inst_nbr); pass := true; xbfm_dword_id(bfm_inst_nbr,XBFM_IOWR,pcie_addr & "00",byte_en,data32,bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_wr_io(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_wr_io(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_wr_io(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_wr_io(): BFM status other than successful but unknown"); end if; pass := false; end if; end if; success := pass; end procedure; procedure bfm_rd_io( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean -- used when wait_end = true ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM I/O read, bfm_inst_nbr ",bfm_inst_nbr); pass := true; data32_out := (others => '0'); xbfm_dword_id(bfm_inst_nbr,XBFM_IORD,pcie_addr & "00",byte_en,bfm_databuf(0),bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_rd_io(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_rd_io(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_rd_io(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_rd_io(): BFM status other than successful but unknown"); end if; pass := false; end if; ----------------------------------- -- check if read value is correct ----------------------------------- if ref_data32 = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_io", ref_val => ref_data32, check_val => bfm_databuf(0), byte_valid => byte_en, check_ok => pass ); end if; data32_out := bfm_databuf(0); else print("BFM: skipped check of read value because wait_end = false"); end if; success := pass; end procedure; procedure bfm_wr_mem32( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 0); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM MEM32 write (single), bfm_inst_nbr ",bfm_inst_nbr); pass := true; xbfm_dword_id(bfm_inst_nbr,XBFM_MWR,pcie_addr(31 downto 2) & "00",byte_en,data32,bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_wr_mem32(): BFM status other than successful but unknown"); end if; pass := false; end if; else print("BFM: skipped tranfer check because wait_end = false"); end if; success := pass; end procedure; procedure bfm_wr_mem32( bfm_inst_nbr : in integer; byte_count : in integer; pcie_addr : in std_logic_vector(31 downto 0); data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); t_class : in std_logic_vector(2 downto 0); attributes : in std_logic_vector(1 downto 0); wait_end : in boolean; success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM MEM32 write (burst), bfm_inst_nbr ",bfm_inst_nbr); pass := true; xbfm_burst_id(bfm_inst_nbr,XBFM_MWR,x"0000_0000" & pcie_addr,byte_count,data32,t_class,attributes,bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_wr_mem32(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_wr_mem32(): BFM status other than successful but unknown"); end if; pass := false; end if; else print("BFM: skipped tranfer check because wait_end = false"); end if; success := pass; end procedure; procedure bfm_rd_mem32( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean -- used when wait_end = true ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM MEM32 read, bfm_inst_nbr ",bfm_inst_nbr); pass := true; data32_out := (others => '0'); xbfm_dword_id(bfm_inst_nbr,XBFM_MRD,pcie_addr & "00",byte_en,bfm_databuf(0),bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_rd_mem32(): BFM status other than successful but unknown"); end if; pass := false; end if; ----------------------------------- -- check if read value is correct ----------------------------------- if ref_data32 = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_mem32", ref_val => ref_data32, check_val => bfm_databuf(0), byte_valid => byte_en, check_ok => pass ); end if; data32_out := bfm_databuf(0); else print("BFM: skipped check of read value because wait_end = false"); end if; success := pass; end procedure; procedure bfm_rd_mem32( bfm_inst_nbr : in integer; byte_count : in integer; pcie_addr : in std_logic_vector(31 downto 0); ref_data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); t_class : in std_logic_vector(2 downto 0); attributes : in std_logic_vector(1 downto 0); wait_end : in boolean; data32_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; variable byte_en : std_logic_vector(3 downto 0) := (others => '0'); variable first_DW_en : std_logic_vector(3 downto 0) := (others => '0'); variable last_DW_en : std_logic_vector(3 downto 0) := (others => '0'); begin print_now_s("BFM: BFM MEM32 read (burst), bfm_inst_nbr ",bfm_inst_nbr); pass := true; data32_out := (others => (others => '0')); case pcie_addr(1 downto 0) is when "00" => first_DW_en := "1111"; when "01" => first_DW_en := "1110"; when "10" => first_DW_en := "1100"; when "11" => first_DW_en := "1000"; when others => first_DW_en := "1111"; end case; last_DW_en := calc_last_dw( first_dw => first_DW_en, byte_count => byte_count ); xbfm_burst_id(bfm_inst_nbr,XBFM_MRD,x"0000_0000" & pcie_addr,byte_count,bfm_databuf,t_class,attributes,bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_rd_mem32(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_rd_mem32(): BFM status other than successful but unknown"); end if; pass := false; end if; for i in 0 to (byte_count /4) -1 loop if ref_data32(i) = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else if i = 0 then byte_en := first_DW_en; elsif i = (byte_count /4) -1 then byte_en := last_DW_en; else byte_en := x"F"; end if; check_val( caller_proc => "bfm_rd_mem32", ref_val => ref_data32(i), check_val => bfm_databuf(i), byte_valid => byte_en, check_ok => pass ); end if; wait for 0 ns; end loop; data32_out := bfm_databuf; else print("BFM: skipped check of read value because wait_end = false"); end if; success := pass; end procedure; procedure bfm_wr_config( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM configuration write, bfm_inst_nbr ",bfm_inst_nbr); pass := true; xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,pcie_addr & "00",byte_en,data32,bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_wr_config(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_wr_config(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_wr_config(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_wr_config(): BFM status other than successful but unknown"); end if; pass := false; end if; else print("BFM: skipped transfer check because wait_end = false"); end if; success := pass; end procedure; procedure bfm_rd_config( bfm_inst_nbr : in integer; byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); wait_end : in boolean; data32_out : out std_logic_vector(31 downto 0); success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable pass : boolean := true; begin print_now_s("BFM: BFM configuration read, bfm_inst_nbr ",bfm_inst_nbr); pass := true; data32_out := (others => '0'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,pcie_addr & "00",byte_en,bfm_databuf(0),bfm_trans_id); if wait_end then xbfm_wait_id(bfm_inst_nbr, bfm_trans_id, bfm_status, bfm_databuf); --------------------------------------------- -- check for BFM errors during transmission --------------------------------------------- if bfm_status /= XBFM_SC then if bfm_status = XBFM_CA then print_now("BFM ERROR in bfm_rd_config(): BFM status: completer abort"); elsif bfm_status = XBFM_UR then print_now("BFM ERROR in bfm_rd_config(): BFM status: unsupported request"); elsif bfm_status = XBFM_TIMEOUT then print_now("BFM ERROR in bfm_rd_config(): BFM status: completion timeout"); else print_now("BFM ERROR in bfm_rd_config(): BFM status other than successful but unknown"); end if; pass := false; end if; ----------------------------------- -- check if read value is correct ----------------------------------- if ref_data32 = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_config", ref_val => ref_data32, check_val => bfm_databuf(0), byte_valid => byte_en, check_ok => pass ); end if; data32_out := bfm_databuf(0); else print("BFM: skipped check of read value because wait_end = false"); end if; success := pass; end procedure; procedure configure_msi( bfm_inst_nbr : in integer; msi_allowed : in std_logic_vector(2 downto 0); success : out boolean ) is variable bfm_trans_id : integer := 0; variable bfm_status : integer; variable bfm_databuf : dword_vector(255 downto 0); variable nextCapAddr : std_logic_vector(7 downto 0); -- address of next capability variable data32bit : std_logic_vector(31 downto 0); variable capID : std_logic_vector(7 downto 0); variable msi_addr_is_64bit : std_logic; variable temp_addr : std_logic_vector(31 downto 0); variable pass : boolean; begin pass := true; -- if(cfg_i.tstcfg.set_txt = 2) then print_now("Test MSI generation"); end if; ---------------------------------------------- -- configure PCIe config space to enable MSI -- MSI capabilities registers for 32bit MSI addresses: -- 31 16 15 8 7 0 -- ----------------------------------------------------- -- | message ctrl reg | next cap pointer | cap ID=0x05 | DW0 -- ----------------------------------------------------- -- | message address register | DW1 -- ----------------------------------------------------- -- | reserved | message data register | DW2 -- ----------------------------------------------------- -- MSI capabilities registers for 64bit MSI addresses: -- 31 16 15 8 7 0 -- ----------------------------------------------------- -- | message ctrl reg | next cap pointer | cap ID=0x05 | DW0 -- ----------------------------------------------------- -- | least signif. 32bits of message address register | DW1 -- ----------------------------------------------------- -- | most signif. 32bits of message address register | DW2 -- ----------------------------------------------------- -- | reserved | message data register | DW3 -- ----------------------------------------------------- -- cycle: -- 1. read status register and check bit4 -- if =1 then function has extended capabilities implemented -- and capabilities pointer is implemented @DW13 = 0x34 -- 2. read capabilities pointer value which is start address of extended capabilities list -- 3. read register @address from step 2 and check bit 7:0 -- if 7:0=0x05 then MSI register set is present -- else read next address @15:8 -- 4. if MSI register set is found -- check if 64bit addresses are used -- program message address register to DW1 with 31:2=addr and 1:0=0 -- program message data register to DW2 with 31:16=0 and 15:0=data -- 5. program DW0 with nbr of MSI allowed and enable MSI -- read bit 19:17 of DW0 which contains nbr of MSI requested by function -- program nbr of MSI allowed to DW0 bit 22:20 and enable MSI bit 16=1 ---------------------------------------------- -- step1: read status register but disable data value check print_now("Step1: read status register"); data32bit := (others => 'X'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,x"0000_0004",x"F",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; data32bit := bfm_databuf(0); if data32bit(20) = '0' then --error because no next capabilities implemented print_now("BFM ERROR in configure_msi(): function does not implement next capabilities structure thus MSI registers can not be programmmed."); pass := false; else -- step2: read capabilities pointer print_now("Step2: read capabilities pointer"); data32bit := (others => 'X'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,x"0000_0034",x"F",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; data32bit := bfm_databuf(0); nextCapAddr := data32bit(7 downto 0); -- step3: read byte0 of registers pointed to by capabilities pointer print_now("Step3: read byte0 of registers pointed to by capabilities pointer"); capID := (others => '0'); while capID /= x"05" loop data32bit := (others => 'X'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,x"000000" & nextCapAddr,x"F",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; data32bit := bfm_databuf(0); capID := data32bit(7 downto 0); if capID /= x"05" then nextCapAddr := data32bit(15 downto 8); end if; end loop; -- step4: write MSI register set contents print_now("Step4: write MSI register set contents"); -- check if 64bit addresses are used msi_addr_is_64bit := '0'; data32bit := (others => 'X'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,x"000000" & nextCapAddr,x"F",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; data32bit := bfm_databuf(0); msi_addr_is_64bit := data32bit(23); -- program message address register to DW1 with 31:2=addr and 1:0=0 -- set to zero as 64bit addresses shall not be used if msi_addr_is_64bit = '1' then -- function does support 64bit addresses temp_addr := x"000000" & nextCapAddr; temp_addr := std_logic_vector(unsigned(temp_addr)+ to_unsigned(4,32)); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,temp_addr,x"F",x"AAAA_0034",bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; temp_addr := x"000000" & nextCapAddr; temp_addr := std_logic_vector(unsigned(temp_addr)+ to_unsigned(8,32)); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,temp_addr,x"F",x"0000_0000",bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; -- program message data register to DW2 with 31:16=0 and 15:0=data temp_addr := x"000000" & nextCapAddr; temp_addr := std_logic_vector(unsigned(temp_addr)+ to_unsigned(12,32)); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,temp_addr,x"F",x"0000_2222",bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; else -- fucntion does not support 64bit addresses temp_addr := x"000000" & nextCapAddr; temp_addr := std_logic_vector(unsigned(temp_addr)+ to_unsigned(4,32)); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,temp_addr,x"F",x"AAAA_0034",bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; -- program message data register to DW2 with 31:16=0 and 15:0=data temp_addr := x"000000" & nextCapAddr; temp_addr := std_logic_vector(unsigned(temp_addr)+ to_unsigned(8,32)); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,temp_addr,x"F",x"0000_2222",bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; end if; -- step5: program DW0 with nbr of MSI allowed and enable MSI print_now("Step5: program DW0 with nbr of MSI allowed and enable MSI"); ------------------------------------------------------------------------------------------------ -- if msi_allowed = Z program the value given by "MSI requested" to the register "MSI allowed" -- otherwise program value given by msi_allowed ------------------------------------------------------------------------------------------------ -- read bit 19:17 of DW0 which contains nbr of MSI requested by function data32bit := (others => 'X'); xbfm_dword_id(bfm_inst_nbr,XBFM_CFGRD0,x"000000" & nextCapAddr,x"F",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; data32bit := bfm_databuf(0); -- program nbr of MSI allowed to DW0 bit 22:20 and enable MSI bit 16=1 if msi_allowed = "ZZZ" then data32bit(22 downto 20) := data32bit(19 downto 17); else data32bit(22 downto 20) := msi_allowed; end if; data32bit(16) := '1'; xbfm_dword_id(bfm_inst_nbr,XBFM_CFGWR0,x"000000" & nextCapAddr,"1100",data32bit,bfm_trans_id); xbfm_wait_id(bfm_inst_nbr,bfm_trans_id,bfm_status,bfm_databuf); if bfm_status /= XBFM_SC then print_now("BFM ERROR in configure_msi(): completion status other than successful!"); pass := false; end if; end if; success := pass; end procedure; procedure wait_on_irq_assert( bfm_inst_nbr : in integer; irq_nbr : in integer range 3 downto 0 ) is begin if irq_nbr = 0 then print_now("BFM: waiting on assert-INTA message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTAA_RCVD); elsif irq_nbr = 1 then print_now("BFM: waiting on assert-INTB message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTBA_RCVD); elsif irq_nbr = 2 then print_now("BFM: waiting on assert-INTC message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTCA_RCVD); elsif irq_nbr = 3 then print_now("BFM: waiting on assert-INTD message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTDA_RCVD); else assert false report "BFM ERROR in wait_on_irq_assert(): invalid value for interrupt number irq_nbr" severity failure; end if; end procedure wait_on_irq_assert; procedure wait_on_irq_deassert( bfm_inst_nbr : in integer; irq_nbr : in integer range 3 downto 0 ) is begin if irq_nbr = 0 then print_now("BFM: waiting on deassert-INTA message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTAD_RCVD); elsif irq_nbr = 1 then print_now("BFM: waiting on deassert-INTB message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTBD_RCVD); elsif irq_nbr = 2 then print_now("BFM: waiting on deassert-INTC message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTCD_RCVD); elsif irq_nbr = 3 then print_now("BFM: waiting on deassert-INTD message"); xbfm_wait_event(bfm_inst_nbr, XBFM_INTDD_RCVD); else assert false report "BFM ERROR in wait_on_irq_deassert(): invalid value for interrupt number irq_nbr" severity failure; end if; end procedure wait_on_irq_deassert; end;
gpl-3.0
69c56c968987c4e0435f2eb33ff65caa
0.560406
3.875561
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/generic_m20k/_primary.vhd
5
9,908
library verilog; use verilog.vl_types.all; entity generic_m20k is generic( operation_mode : string := "single_port"; mixed_port_feed_through_mode: string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name: string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout: string := "none"; ecc_pipeline_stage_enabled: string := "false"; enable_ecc : string := "false"; width_eccstatus : integer := 2; data_interleave_width_in_bits: integer := 1; data_interleave_offset_in_bits: integer := 1; port_a_logical_ram_depth: integer := 0; port_a_logical_ram_width: integer := 0; port_a_first_address: integer := 0; port_a_last_address: integer := 0; port_a_first_bit_number: integer := 0; port_a_data_out_clear: string := "none"; port_a_data_out_clock: string := "none"; port_a_data_width: integer := 1; port_a_address_width: integer := 1; port_a_byte_enable_mask_width: integer := 1; port_b_logical_ram_depth: integer := 0; port_b_logical_ram_width: integer := 0; port_b_first_address: integer := 0; port_b_last_address: integer := 0; port_b_first_bit_number: integer := 0; port_b_address_clear: string := "none"; port_b_data_out_clear: string := "none"; port_b_data_in_clock: string := "clock1"; port_b_address_clock: string := "clock1"; port_b_write_enable_clock: string := "clock1"; port_b_read_enable_clock: string := "clock1"; port_b_byte_enable_clock: string := "clock1"; port_b_data_out_clock: string := "none"; port_b_data_width: integer := 1; port_b_address_width: integer := 1; port_b_byte_enable_mask_width: integer := 1; port_a_read_during_write_mode: string := "new_data_no_nbe_read"; port_b_read_during_write_mode: string := "new_data_no_nbe_read"; power_up_uninitialized: string := "false"; lpm_type : string := "stratixv_ram_block"; lpm_hint : string := "true"; connectivity_checking: string := "off"; mem_init0 : string := ""; mem_init1 : string := ""; mem_init2 : string := ""; mem_init3 : string := ""; mem_init4 : string := ""; mem_init5 : string := ""; mem_init6 : string := ""; mem_init7 : string := ""; mem_init8 : string := ""; mem_init9 : string := ""; port_a_byte_size: integer := 0; port_b_byte_size: integer := 0; clk0_input_clock_enable: string := "none"; clk0_core_clock_enable: string := "none"; clk0_output_clock_enable: string := "none"; clk1_input_clock_enable: string := "none"; clk1_core_clock_enable: string := "none"; clk1_output_clock_enable: string := "none"; bist_ena : string := "false"; port_a_address_clear: string := "none"; port_a_data_in_clock: string := "clock0"; port_a_address_clock: string := "clock0"; port_a_write_enable_clock: string := "clock0"; port_a_byte_enable_clock: string := "clock0"; port_a_read_enable_clock: string := "clock0" ); port( portadatain : in vl_logic_vector; portaaddr : in vl_logic_vector; portawe : in vl_logic; portare : in vl_logic; portbdatain : in vl_logic_vector; portbaddr : in vl_logic_vector; portbwe : in vl_logic; portbre : in vl_logic; clk0 : in vl_logic; clk1 : in vl_logic; ena0 : in vl_logic; ena1 : in vl_logic; ena2 : in vl_logic; ena3 : in vl_logic; clr0 : in vl_logic; clr1 : in vl_logic; nerror : in vl_logic; portabyteenamasks: in vl_logic_vector; portbbyteenamasks: in vl_logic_vector; portaaddrstall : in vl_logic; portbaddrstall : in vl_logic; devclrn : in vl_logic; devpor : in vl_logic; eccstatus : out vl_logic_vector; portadataout : out vl_logic_vector; portbdataout : out vl_logic_vector; dftout : out vl_logic_vector(8 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of operation_mode : constant is 1; attribute mti_svvh_generic_type of mixed_port_feed_through_mode : constant is 1; attribute mti_svvh_generic_type of ram_block_type : constant is 1; attribute mti_svvh_generic_type of logical_ram_name : constant is 1; attribute mti_svvh_generic_type of init_file : constant is 1; attribute mti_svvh_generic_type of init_file_layout : constant is 1; attribute mti_svvh_generic_type of ecc_pipeline_stage_enabled : constant is 1; attribute mti_svvh_generic_type of enable_ecc : constant is 1; attribute mti_svvh_generic_type of width_eccstatus : constant is 1; attribute mti_svvh_generic_type of data_interleave_width_in_bits : constant is 1; attribute mti_svvh_generic_type of data_interleave_offset_in_bits : constant is 1; attribute mti_svvh_generic_type of port_a_logical_ram_depth : constant is 1; attribute mti_svvh_generic_type of port_a_logical_ram_width : constant is 1; attribute mti_svvh_generic_type of port_a_first_address : constant is 1; attribute mti_svvh_generic_type of port_a_last_address : constant is 1; attribute mti_svvh_generic_type of port_a_first_bit_number : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_a_data_width : constant is 1; attribute mti_svvh_generic_type of port_a_address_width : constant is 1; attribute mti_svvh_generic_type of port_a_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of port_b_logical_ram_depth : constant is 1; attribute mti_svvh_generic_type of port_b_logical_ram_width : constant is 1; attribute mti_svvh_generic_type of port_b_first_address : constant is 1; attribute mti_svvh_generic_type of port_b_last_address : constant is 1; attribute mti_svvh_generic_type of port_b_first_bit_number : constant is 1; attribute mti_svvh_generic_type of port_b_address_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_in_clock : constant is 1; attribute mti_svvh_generic_type of port_b_address_clock : constant is 1; attribute mti_svvh_generic_type of port_b_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_read_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_width : constant is 1; attribute mti_svvh_generic_type of port_b_address_width : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of port_a_read_during_write_mode : constant is 1; attribute mti_svvh_generic_type of port_b_read_during_write_mode : constant is 1; attribute mti_svvh_generic_type of power_up_uninitialized : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of connectivity_checking : constant is 1; attribute mti_svvh_generic_type of mem_init0 : constant is 1; attribute mti_svvh_generic_type of mem_init1 : constant is 1; attribute mti_svvh_generic_type of mem_init2 : constant is 1; attribute mti_svvh_generic_type of mem_init3 : constant is 1; attribute mti_svvh_generic_type of mem_init4 : constant is 1; attribute mti_svvh_generic_type of mem_init5 : constant is 1; attribute mti_svvh_generic_type of mem_init6 : constant is 1; attribute mti_svvh_generic_type of mem_init7 : constant is 1; attribute mti_svvh_generic_type of mem_init8 : constant is 1; attribute mti_svvh_generic_type of mem_init9 : constant is 1; attribute mti_svvh_generic_type of port_a_byte_size : constant is 1; attribute mti_svvh_generic_type of port_b_byte_size : constant is 1; attribute mti_svvh_generic_type of clk0_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of bist_ena : constant is 1; attribute mti_svvh_generic_type of port_a_address_clear : constant is 1; attribute mti_svvh_generic_type of port_a_data_in_clock : constant is 1; attribute mti_svvh_generic_type of port_a_address_clock : constant is 1; attribute mti_svvh_generic_type of port_a_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_a_byte_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_a_read_enable_clock : constant is 1; end generic_m20k;
mit
6b5b16aa29d08a1c14705820d07a115e
0.6304
3.449861
false
false
false
false
freecores/t48
rtl/vhdl/dmem_ctrl.vhd
1
7,210
------------------------------------------------------------------------------- -- -- The Data Memory control unit. -- All accesses to the Data Memory are managed here. -- -- $Id: dmem_ctrl.vhd,v 1.5 2006-06-20 01:07:16 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.dmem_addr_t; use work.t48_pack.word_t; use work.t48_dmem_ctrl_pack.dmem_addr_ident_t; entity t48_dmem_ctrl is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- Control Interface ------------------------------------------------------ data_i : in word_t; write_dmem_addr_i : in boolean; write_dmem_i : in boolean; read_dmem_i : in boolean; addr_type_i : in dmem_addr_ident_t; bank_select_i : in std_logic; data_o : out word_t; -- Data Memory Interface -------------------------------------------------- dmem_data_i : in word_t; dmem_addr_o : out dmem_addr_t; dmem_we_o : out std_logic; dmem_data_o : out word_t ); end t48_dmem_ctrl; library ieee; use ieee.numeric_std.all; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; use work.t48_pack.to_stdLogic; use work.t48_dmem_ctrl_pack.all; architecture rtl of t48_dmem_ctrl is signal dmem_addr_s, dmem_addr_q : dmem_addr_t; begin ----------------------------------------------------------------------------- -- Process addr_decode -- -- Purpose: -- Decode/multiplex the address information for the Data Memory. -- addr_decode: process (data_i, addr_type_i, bank_select_i, dmem_addr_q) variable stack_addr_v : unsigned(5 downto 0); begin -- default assignment dmem_addr_s <= dmem_addr_q; stack_addr_v := (others => '0'); case addr_type_i is when DM_PLAIN => dmem_addr_s <= data_i; when DM_REG => dmem_addr_s <= (others => '0'); dmem_addr_s(2 downto 0) <= data_i(2 downto 0); -- implement bank switching if bank_select_i = '1' then -- dmem address 24 - 31: access proper set dmem_addr_s(4 downto 3) <= "11"; end if; when DM_STACK => -- build address from stack pointer stack_addr_v(3 downto 1) := unsigned(data_i(2 downto 0)); -- dmem address 8 - 23 stack_addr_v := stack_addr_v + 8; dmem_addr_s <= (others => '0'); dmem_addr_s(5 downto 0) <= std_logic_vector(stack_addr_v); when DM_STACK_HIGH => dmem_addr_s(0) <= '1'; when others => -- do nothing -- pragma translate_off assert false report "Unknown address type identification for Data Memory controller!" severity error; -- pragma translate_on end case; end process addr_decode; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process dmem_addr_reg -- -- Purpose: -- Implements the Data Memory Address Register. -- This register is required to hold the address during a write operation -- as we cannot hold the address in the input register of the -- synchronous RAM (no clock suppression/gating). -- -- NOTE: May be obsoleted by clock enable feature of generic RTL RAM. -- dmem_addr_reg: process (res_i, clk_i) begin if res_i = res_active_c then dmem_addr_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if write_dmem_addr_i then dmem_addr_q <= dmem_addr_s; end if; end if; end if; end process dmem_addr_reg; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output mapping. ----------------------------------------------------------------------------- dmem_addr_o <= dmem_addr_s when write_dmem_addr_i and en_clk_i else dmem_addr_q; -- data from bus is fed through dmem_data_o <= data_i; -- data to bus is enabled upon read request data_o <= dmem_data_i when read_dmem_i else (others => bus_idle_level_c); -- write enable to Data Memory is fed through dmem_we_o <= to_stdLogic(write_dmem_i); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.3 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.2 2004/04/18 18:58:29 arniml -- clean up sensitivity list -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
d4ab9637d8d3fe64035a46b45b495f78
0.547157
4.087302
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_decoder_GNEQGKKPXW.vhd
7
901
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_decoder_GNEQGKKPXW is generic ( decode : string := "10"; pipeline : natural := 1; width : natural := 2); port( aclr : in std_logic; clock : in std_logic; data : in std_logic_vector((width)-1 downto 0); dec : out std_logic; ena : in std_logic; sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_decoder_GNEQGKKPXW is Begin -- DSP Builder Block - Simulink Block "Decoder" Decoderi : alt_dspbuilder_sdecoderaltr Generic map ( width => 2, decode => "10", pipeline => 1) port map ( aclr => aclr, user_aclr => '0', sclr => sclr, clock => clock, data => data, dec => dec); end architecture;
mit
df421072ac5469fc54cb2c7cbd111c0a
0.653718
2.963816
false
false
false
false
freecores/t48
rtl/vhdl/system/t8039.vhd
1
6,739
------------------------------------------------------------------------------- -- -- T8039 Microcontroller System -- -- $Id: t8039.vhd,v 1.7 2006-07-14 01:13:32 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8039 is port ( xtal_i : in std_logic; reset_n_i : in std_logic; t0_b : inout std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_b : inout std_logic_vector( 7 downto 0); t1_i : in std_logic; p2_b : inout std_logic_vector( 7 downto 0); p1_b : inout std_logic_vector( 7 downto 0); prog_n_o : out std_logic ); end t8039; use work.t48_system_comp_pack.t8039_notri; architecture struct of t8039 is signal t0_s : std_logic; signal t0_dir_s : std_logic; signal db_s : std_logic_vector( 7 downto 0); signal db_dir_s : std_logic; signal p2_s : std_logic_vector( 7 downto 0); signal p2l_low_imp_s : std_logic; signal p2h_low_imp_s : std_logic; signal p1_s : std_logic_vector( 7 downto 0); signal p1_low_imp_s : std_logic; signal vdd_s : std_logic; begin vdd_s <= '1'; t8039_notri_b : t8039_notri generic map ( -- we don't need explicit gating of input ports -- this is done implicitely by the bidirectional pads gate_port_input_g => 0 ) port map ( xtal_i => xtal_i, xtal_en_i => vdd_s, reset_n_i => reset_n_i, t0_i => t0_b, t0_o => t0_s, t0_dir_o => t0_dir_s, int_n_i => int_n_i, ea_i => ea_i, rd_n_o => rd_n_o, psen_n_o => psen_n_o, wr_n_o => wr_n_o, ale_o => ale_o, db_i => db_b, db_o => db_s, db_dir_o => db_dir_s, t1_i => t1_i, p2_i => p2_b, p2_o => p2_s, p2l_low_imp_o => p2l_low_imp_s, p2h_low_imp_o => p2h_low_imp_s, p1_i => p1_b, p1_o => p1_s, p1_low_imp_o => p1_low_imp_s, prog_n_o => prog_n_o ); ----------------------------------------------------------------------------- -- Process bidirs -- -- Purpose: -- Assign bidirectional signals. -- bidirs: process (t0_b, t0_s, t0_dir_s, db_b, db_s, db_dir_s, p1_b, p1_s, p1_low_imp_s, p2_b, p2_s, p2l_low_imp_s, p2h_low_imp_s) function port_bidir_f(port_value : in std_logic_vector; low_imp : in std_logic) return std_logic_vector is variable result_v : std_logic_vector(port_value'range); begin for idx in port_value'high downto port_value'low loop if low_imp = '1' then result_v(idx) := port_value(idx); elsif port_value(idx) = '0' then result_v(idx) := '0'; else result_v(idx) := 'Z'; end if; end loop; return result_v; end; begin -- Test 0 ----------------------------------------------------------------- if t0_dir_s = '1' then t0_b <= t0_s; else t0_b <= 'Z'; end if; -- Data Bus --------------------------------------------------------------- if db_dir_s = '1' then db_b <= db_s; else db_b <= (others => 'Z'); end if; -- Port 1 ----------------------------------------------------------------- p1_b <= port_bidir_f(port_value => p1_s, low_imp => p1_low_imp_s); -- Port 2 ----------------------------------------------------------------- p2_b(3 downto 0) <= port_bidir_f(port_value => p2_s(3 downto 0), low_imp => p2l_low_imp_s); p2_b(7 downto 4) <= port_bidir_f(port_value => p2_s(7 downto 4), low_imp => p2h_low_imp_s); end process bidirs; -- ----------------------------------------------------------------------------- end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.6 2006/06/20 00:47:08 arniml -- new input xtal_en_i -- -- Revision 1.5 2005/11/02 23:41:43 arniml -- properly drive P1 and P2 with low impedance markers -- -- Revision 1.4 2005/11/01 21:37:45 arniml -- wire signals for P2 low impedance marker issue -- -- Revision 1.3 2004/12/03 19:43:12 arniml -- added hierarchy t8039_notri -- -------------------------------------------------------------------------------
gpl-2.0
3c1c8247ae0664975aa496a341fd8d2f
0.512984
3.537533
false
false
false
false
straywarrior/MadeCPUin21days
BubbleUnit.vhd
1
5,592
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 23:52:40 11/16/2015 -- Design Name: -- Module Name: BubbleUnit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity BubbleUnit is Port ( RegOpA : in STD_LOGIC_VECTOR (3 downto 0); RegOpB : in STD_LOGIC_VECTOR (3 downto 0); RegWE_EXE : in STD_LOGIC; RegDest_EXE : in STD_LOGIC_VECTOR (3 downto 0); RegWE_MEM: in STD_LOGIC; RegDest_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_EXE : in STD_LOGIC_VECTOR (3 downto 0); MemRead_EXE : in STD_LOGIC; MemWrite_EXE : in STD_LOGIC; MemRead_MEM : in STD_LOGIC; MemWrite_MEM : in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); pc_sel: in STD_LOGIC_VECTOR (1 downto 0); CReg : in STD_LOGIC; CRegA : in STD_LOGIC_VECTOR (3 downto 0); CRegB : in STD_LOGIC_VECTOR (3 downto 0); SerialFinish : in STD_LOGIC; pc_stall : out STD_LOGIC; InstAddrSel : out STD_LOGIC; InstMemRead : out STD_LOGIC; InstMemWrite : out STD_LOGIC; Mem_Result_Sel : out STD_LOGIC; IF_ID_stall : out STD_LOGIC; ID_EXE_stall : out STD_LOGIC; EXE_MEM_stall : out STD_LOGIC; IF_ID_clear: out STD_LOGIC; ID_EXE_clear : out STD_LOGIC; EXE_MEM_clear: out STD_LOGIC ); end BubbleUnit; architecture Behavioral of BubbleUnit is -- InstMem Collision, just like Data Memory Collision signal InstMem_Collision_0 : STD_LOGIC := '0'; -- InstMem Collision control. signal InstMem_Collision_1 : STD_LOGIC := '0'; -- Data Memory Collision: LW R0 R1, ADDU R1 R2 R3, R1 is in collision signal DataMem_Collision_0 : STD_LOGIC := '0'; -- LW R0 R1, CMP R1 R2, R1 is in collision. Need 2 bubble signal DataMem_Collision_1 : STD_LOGIC := '0'; --LW R0 R1, CMP R1 R2, R1 is in collision. Need 2 bubble -- or CMP R1 R2 after another instruction after LW. Need 1 bubble -- or AND R0 R2, B R0 imm. Need 1 bubble signal DataMem_Collision_2 : STD_LOGIC := '0'; -- SW BF00 or LW BF00 signal DataMem_Collision_3 : STD_LOGIC := '0'; -- LW R3 R4 1 -- SW R3 R4 2 -- R4 is in collision signal DataMem_Collision_4 : STD_LOGIC := '0'; begin InstMem_Collision_0 <= '1' when (MemAddr <= x"7FFF" and (MemRead_EXE = '1' or MemWrite_EXE = '1')) else '0'; InstMem_Collision_1 <= '1' when (MemAddr <= x"7FFF" and (MemRead_MEM = '1' or MemWrite_MEM = '1')) else '0'; DataMem_Collision_0 <= '1' when (MemRead_EXE = '1' and RegWE_EXE = '1' and (RegDest_EXE = RegOpA or RegDest_EXE = RegOpB) and RegDest_EXE /= "1111") else '0'; DataMem_Collision_1 <= '1' when (RegWE_EXE = '1' and (CReg = '1' and (RegDest_EXE = CRegA or RegDest_EXE = CRegB)) and RegDest_EXE /= "1111") else '0'; DataMem_Collision_2 <= '1' when (MemRead_MEM = '1' and RegWE_MEM = '1' and (CReg = '1' and (RegDest_MEM = CRegA or RegDest_MEM = CRegB)) and RegDest_EXE /= "1111") else '0'; DataMem_Collision_3 <= '1' when ((MemRead_MEM = '1' or MemWrite_MEM = '1') and MemAddr = x"BF00" and SerialFinish = '0') else '0'; DataMem_Collision_4 <= '1' when (MemRead_MEM = '1' and RegWE_MEM = '1' and MemWrite_EXE = '1' and RegMemDIn_EXE = RegDest_MEM) else '0'; Mem_Result_Sel <= '1' when (MemRead_MEM = '1' and MemAddr <= x"7FFF") else '0' when (MemRead_MEM = '1' and MemAddr >= x"8000") else '0'; IF_ID_clear <= '1' when (pc_sel /= "00") else '0'; IF_ID_stall <= '1' when (InstMem_Collision_1 = '1' or DataMem_Collision_0 = '1' or DataMem_Collision_1 = '1' or DataMem_Collision_2 = '1' or DataMem_Collision_3 = '1') else '0'; pc_stall <= '1' when (InstMem_Collision_1 = '1' or DataMem_Collision_0 = '1' or DataMem_Collision_1 = '1' or DataMem_Collision_2 = '1' or DataMem_Collision_3 = '1') else '0'; InstAddrSel <= '1' when (InstMem_Collision_1 = '1') else '0'; InstMemRead <= MemRead_MEM when (InstMem_Collision_1 = '1') else '1'; InstMemWrite <= MemWrite_MEM when (InstMem_Collision_1 = '1') else '0'; EXE_MEM_stall <= '1' when (DataMem_Collision_3 = '1') else '0'; ID_EXE_stall <= '1' when (InstMem_Collision_1 = '1' or DataMem_Collision_3 = '1') else '0'; ID_EXE_clear <= '1' when (DataMem_Collision_0 = '1' or DataMem_Collision_1 = '1') else '0'; EXE_MEM_clear <= '1' when (InstMem_Collision_1 = '1') else '0'; end Behavioral;
gpl-2.0
c079488c0473adf8139ff59ac84058d0
0.544886
3.484112
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Proyecto 1 DLP TP Calculadora/Src/InputModule.vhd
1
6,462
---------------------------------------------------------------------------------- -- Company: -- Engineer: Emmanuel Rojas Fredini -- -- Create Date: 17:28:27 10/08/2011 -- Design Name: -- Module Name: CalculadoraFSM - CalculadoraStateMachineArchitecture -- Project Name: Calculadora -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: ArithmeticModule.vhdl, BCD2Binary.vhdl -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --Descripcion: --La calculadora recibe su entrada en forma serie, recibiendo cada uno de los --datos en forma little endian. Recibe los datos en el siguiente orden: -- 4 bits de un primer operando en formato bcd -- 2 bits del codigo del operador segun especifica el modulo de aritmetica(ArithmeticModule) -- 4 bits de un segundo operando en formato bcd --Para volver a realizar otra operacion hay que enviar un reset a la calculadora ya que esta una vez --que produce el resultado, esta se queda en un estado de espera infinito. -- --El cambio de estado normal es sincronico pero el reset cambia el estado asincronicamente. --El ouput de la calculadora antes de que se realize todo el input y luego la operacion aritmetica --no esta establecido asi que no deberia tenerselo en cuenta. entity CalculadoraFSM is Port ( input : in std_logic;--Entrada seria a la calculadora(se estima sincronizada con el clock) clock : in std_logic;--Clock de la maquina de estado finito reset : in std_logic;--Reset asincronico de la calculadora output : out std_logic_vector(15 downto 0));--resultado de la calculadora end CalculadoraFSM; architecture CalculadoraStateMachineArchitecture of CalculadoraFSM is type estado is(LeerBit1Op1, LeerBit2Op1, LeerBit3Op1, LeerBit4Op1, LeerOpCodeBit1, LeerOpCodeBit2, LeerBit1Op2, LeerBit2Op2, LeerBit3Op2, LeerBit4Op2, ConvertirBCD2Binario, Calcular, EsperarReset); --Estado actual signal estado_A: estado := LeerBit1Op1; --Estado siguiente signal estado_S: estado; --Componente de aritmetica COMPONENT ArithmeticModule PORT( A : IN std_logic_vector(7 downto 0); B : IN std_logic_vector(7 downto 0); Op : IN std_logic_vector(1 downto 0); Res : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --ArithmeticModule Inputs signal A : std_logic_vector(7 downto 0) := (others => '0'); signal B : std_logic_vector(7 downto 0) := (others => '0'); signal Op : std_logic_vector(1 downto 0) := (others => '0'); --ArithmeticModule Outputs signal Res : std_logic_vector(15 downto 0); --Componente de conversion de bcd a formato numerico binario COMPONENT BCD2Binary Port ( bcd : in STD_LOGIC_VECTOR(3 downto 0); binary : out STD_LOGIC_VECTOR(7 downto 0)); END COMPONENT; --BCD2Binary 1 Inputs signal bcd1 : STD_LOGIC_VECTOR(3 downto 0) := (others => '0'); --BCD2Binary 1 Outputs signal binary1 : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); --BCD2Binary 2 Inputs signal bcd2 : STD_LOGIC_VECTOR(3 downto 0) := (others => '0'); --BCD2Binary 2 Outputs signal binary2 : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); --Almacena la entrada a la calculadora --No es totalmente necesario porque se podria cargar directamente en bcd1, bcd2 y Op --pero cargarlo en este buffer hace que el proceso parezca mas secuencial y entendible signal bufferEntrada : STD_LOGIC_VECTOR(9 downto 0); begin -- Instanciamos el Modulo de Aritmetica(AM) am: ArithmeticModule PORT MAP ( A => A, B => B, Op => Op, Res => output --Res => Res ); -- Instanciamos el Conversor de BCD a binario 1(bcd2binario1) bcd2binario1: BCD2Binary PORT MAP ( bcd => bcd1, binary => binary1 ); -- Instanciamos el Conversor de BCD a binario 2(bcd2binario2) bcd2binario2: BCD2Binary PORT MAP ( bcd => bcd2, binary => binary2 ); --Proceso del siguiente estado de la maquina de estado finito SiguienteEstado: process(estado_A, input) begin case estado_A is --Estados de lectura de la entrada a la calculadora when LeerBit1Op1 => bufferEntrada(0) <= input; estado_S <= LeerBit2Op1; when LeerBit2Op1 => bufferEntrada(1) <= input; estado_S <= LeerBit3Op1; when LeerBit3Op1 => bufferEntrada(2) <= input; estado_S <= LeerBit4Op1; when LeerBit4Op1 => bufferEntrada(3) <= input; estado_S <= LeerOpCodeBit1; when LeerOpCodeBit1 => bufferEntrada(4) <= input; estado_S <= LeerOpCodeBit2; when LeerOpCodeBit2 => bufferEntrada(5) <= input; estado_S <= LeerBit1Op2; when LeerBit1Op2 => bufferEntrada(6) <= input; estado_S <= LeerBit2Op2; when LeerBit2Op2 => bufferEntrada(7) <= input; estado_S <= LeerBit3Op2; when LeerBit3Op2 => bufferEntrada(8) <= input; estado_S <= LeerBit4Op2; when LeerBit4Op2 => bufferEntrada(9) <= input; estado_S <= ConvertirBCD2Binario; --Conversion de los operandos de formato bcd a binario when ConvertirBCD2Binario =>--Convierte los valores numericos de bcd a formato binario bcd1 <= bufferEntrada(3 downto 0); bcd2 <= bufferEntrada(9 downto 6); estado_S <= Calcular; --Calculo de la operacion when Calcular =>--Realiza los calculos usanod el modulo de aritmetica A <= binary1; OP <= bufferEntrada(5 downto 4); B <= binary2; estado_S <= EsperarReset; --Espera que se resetee la calculadora para hacer otro calculo when EsperarReset => estado_S <= EsperarReset;--seguimos hasta que halla un reset en este estado end case; end process SiguienteEstado; --Proceso de cambio de estado de la maquina de estado finito CambioEstadoSincronico: process begin wait until reset='1' or rising_edge(clock); if reset='1' then estado_A <= LeerBit1Op1;--resetea la maquina de estado finito else estado_A <= estado_S;--actualiza el estado actual al estado siguiente end if; end process CambioEstadoSincronico; end CalculadoraStateMachineArchitecture;
gpl-3.0
9488d8844536d6465d8d0fdc23f24777
0.655525
3.634421
false
false
false
false
michaelmiehling/A25_VME_TB
16x004-00_src/Source/pcie_x1_sim.vhd
1
13,038
------------------------------------------------------------------------------- -- Title : PCIe simulation model -- Project : 16z091- ------------------------------------------------------------------------------- -- File : pcie_x1_sim.vhd -- Author : [email protected] -- Organization: MEN Mikro Elektronik GmbH -- Created : 2012-10-02 ------------------------------------------------------------------------------- -- Simulator : -- Synthesis : ------------------------------------------------------------------------------- -- Description : -- PCIe simulation model for x1 configuration ------------------------------------------------------------------------------- -- Hierarchy : -- ------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.utils_pkg.all; use work.print_pkg.all; use work.pcie_x1_pkg.all; use work.terminal_pkg.all; library pciebfm_lib; use pciebfm_lib.pkg_plda_fio.all; use pciebfm_lib.pkg_xbfm.all; entity pcie_x1_sim is generic( INSTANCE_NBR : integer range 3 downto 0 := 0; -- nbr of BFM instance BFM_IO_SIZE : integer range 24 downto 12 := 16; -- 12 <= x <= 24 BFM_MEM32_SIZE : integer range 24 downto 12 := 16; -- 12 <= x <= 24 BFM_MEM64_SIZE : integer range 24 downto 12 := 16 -- 12 <= x <= 24 ); port( clk : in std_logic; rst : in std_logic; -- BFM signals clk125 : in std_logic; clk250 : in std_logic; rstn : in std_logic; bfm_tx_0 : in std_logic; bfm_rx_0 : out std_logic; term_out : in terminal_out_type; term_in : out terminal_in_type ); end entity pcie_x1_sim; architecture pcie_x1_sim_arch of pcie_x1_sim is begin print_s_i("DEBUG(1): BFM_IO_SIZE = ", BFM_IO_SIZE); assert BFM_IO_SIZE >= 12 report "ERROR (pcie_x1_sim): value for generic BFM_IO_SIZE is too small" severity failure; assert BFM_IO_SIZE <= 24 report "ERROR (pcie_x1_sim): value for generic BFM_IO_SIZE is too big" severity failure; assert BFM_MEM32_SIZE >= 12 report "ERROR (pcie_x1_sim): value for generic BFM_MEM32_SIZE is too small" severity failure; assert BFM_MEM32_SIZE <= 24 report "ERROR (pcie_x1_sim): value for generic BFM_MEM32_SIZE is too big" severity failure; assert BFM_MEM64_SIZE >= 12 report "ERROR (pcie_x1_sim): value for generic BFM_MEM64_SIZE is too small" severity failure; assert BFM_MEM64_SIZE <= 24 report "ERROR (pcie_x1_sim): value for generic BFM_MEM64_SIZE is too big" severity failure; bfm_inst : entity pciebfm_lib.pldawrap_link generic map ( BFM_ID => INSTANCE_NBR, BFM_TYPE => '0', BFM_LANES => 1, BFM_WIDTH => 1, IO_SIZE => BFM_IO_SIZE, MEM32_SIZE => BFM_MEM32_SIZE, MEM64_SIZE => BFM_MEM64_SIZE ) port map ( clk125 => clk125, clk250 => clk250, rstn => rstn, tx_rate => open, tx_in0(0) => bfm_tx_0, tx_in1(0) => '0', tx_in2(0) => '0', tx_in3(0) => '0', tx_in4(0) => '0', tx_in5(0) => '0', tx_in6(0) => '0', tx_in7(0) => '0', tx_val => x"00", -- unused in serial mode (BFM_WIDTH = 1) rx_out0(0) => bfm_rx_0, rx_val => open, -- unused in serial mode (BFM_WIDTH = 1) chk_txval => open, chk_txdata => open, chk_txdatak => open, chk_rxval => open, chk_rxdata => open, chk_rxdatak => open, chk_ltssm => open ); main : process variable first_be_en : std_logic_vector(3 downto 0); variable byte_count : integer; variable addr32_int : std_logic_vector(31 downto 0); variable bfm_id : integer := 0; variable success_int : boolean := false; variable return_data32 : std_logic_vector(31 downto 0) := (others => '0'); variable return_data_vec : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable data_vec : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable temp_inst_nbr : std_logic_vector(1 downto 0); begin -- reset all term_in.busy <= '1'; term_in.done <= true; wait until rst = '0'; wait_clk(clk,1); if term_out.start /= true then wait until term_out.start = true; end if; loop wait on term_out.start; term_in.busy <= '1'; --------------------------- -- check for wrong values --------------------------- temp_inst_nbr := std_logic_vector(to_unsigned(INSTANCE_NBR,2)); if temp_inst_nbr /= term_out.tga(3 downto 2) then assert false report "ERROR (pcie_x1_sim): instance number in term_out.tga(3 downto 2) does not match INSTANCE_NBR for this component" severity failure; end if; assert term_out.typ <= 2 report "ERROR (pcie_x1_sim): illegal value for signal term_out.typ" severity failure; assert term_out.wr <= 2 report "ERROR (pcie_x1_sim): illegal value for signal term_out.wr" severity failure; if term_out.typ = 0 then assert term_out.numb = 1 report "ERROR (pcie_x1_sim): illegal combination for signals term_out.typ and term_out.numb => bytewise burst is impossible" severity failure; end if; if term_out.typ = 1 then assert term_out.numb = 1 report "ERROR (pcie_x1_sim): illegal combination for signals term_out.typ and term_out.numb => wordwise burst is impossible" severity failure; end if; assert term_out.numb <= 1024 report "ERROR (pcie_x1_sim): maximum value for signal term_out.numb is 1024" severity failure; ---------------------------- -- set values for this run ---------------------------- addr32_int := term_out.adr(31 downto 2) & "00"; byte_count := term_out.numb *4; bfm_id := to_integer(unsigned(term_out.tga(3 downto 2))); if term_out.typ = 0 then -- byte if term_out.adr(1 downto 0) = "01" then first_be_en := "0010"; elsif term_out.adr(1 downto 0) = "10" then first_be_en := "0100"; elsif term_out.adr(1 downto 0) = "11" then first_be_en := "1000"; else first_be_en := "0001"; end if; elsif term_out.typ = 1 then -- word if term_out.adr(1) = '0' then first_be_en := "0011"; else first_be_en := "1100"; end if; else -- long word first_be_en := x"F"; end if; for i in 0 to term_out.numb -1 loop data_vec(i) := std_logic_vector(unsigned(term_out.dat) + to_unsigned(i,32)); return_data_vec(i) := (others => '0'); wait for 0 ns; end loop; if term_out.wr = 0 then -- read if term_out.tga(1 downto 0) = IO_TRANSFER then -- I/O bfm_rd_io( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), ref_data32 => term_out.dat, wait_end => true, data32_out => return_data32, success => success_int ); elsif term_out.tga(1 downto 0) = MEM32_TRANSFER then -- memory if term_out.numb = 1 then bfm_rd_mem32( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), ref_data32 => term_out.dat, wait_end => true, data32_out => return_data32, success => success_int ); else bfm_rd_mem32( bfm_inst_nbr => bfm_id, byte_count => byte_count, pcie_addr => addr32_int, ref_data32 => data_vec, t_class => "000", attributes => "00", wait_end => true, data32_out => return_data_vec, success => success_int ); end if; elsif term_out.tga(1 downto 0) = CONFIG_TRANSFER then -- configuration type 0 bfm_rd_config( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), ref_data32 => term_out.dat, wait_end => true, data32_out => return_data32, success => success_int ); else assert false report "ERROR (pcie_x1_sim): term_out.tga(1 downto 0) = 11 is reserved" severity failure; end if; elsif term_out.wr = 1 then -- write if term_out.tga(1 downto 0) = IO_TRANSFER then -- I/O bfm_wr_io( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), data32 => term_out.dat, wait_end => true, success => success_int ); elsif term_out.tga(1 downto 0) = MEM32_TRANSFER then -- memory if term_out.numb = 1 then bfm_wr_mem32( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int, data32 => term_out.dat, wait_end => true, success => success_int ); else bfm_wr_mem32( bfm_inst_nbr => bfm_id, byte_count => byte_count, pcie_addr => addr32_int, data32 => data_vec, t_class => "000", attributes => "00", wait_end => true, success => success_int ); end if; elsif term_out.tga(1 downto 0) = CONFIG_TRANSFER then -- configuration type 0 bfm_wr_config( bfm_inst_nbr => bfm_id, byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), data32 => term_out.dat, wait_end => true, success => success_int ); else assert false report "ERROR (pcie_x1_sim): term_out.tga(1 downto 0) = 11 is reserved" severity failure; end if; else -- wait wait_clk(clk,term_out.numb); end if; -------------------------------------- -- return values and finish transfer -------------------------------------- term_in.dat <= return_data32; if success_int then term_in.err <= 0; else term_in.err <= 1; end if; term_in.busy <= '0'; term_in.done <= term_out.start; end loop; end process main; end architecture pcie_x1_sim_arch;
gpl-3.0
c5ecdb2df59a8dd4d1a1d0ce51172154
0.451373
4.127255
false
false
false
false
jandecaluwe/myhdl
example/manual/pck_myhdl_10.vhd
6
4,346
-- File: pck_myhdl_10.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pck_myhdl_10 is attribute enum_encoding: string; function stdl (arg: boolean) return std_logic; function stdl (arg: integer) return std_logic; function to_unsigned (arg: boolean; size: natural) return unsigned; function to_signed (arg: boolean; size: natural) return signed; function to_integer(arg: boolean) return integer; function to_integer(arg: std_logic) return integer; function to_unsigned (arg: std_logic; size: natural) return unsigned; function to_signed (arg: std_logic; size: natural) return signed; function bool (arg: std_logic) return boolean; function bool (arg: unsigned) return boolean; function bool (arg: signed) return boolean; function bool (arg: integer) return boolean; function "-" (arg: unsigned) return signed; function tern_op(cond: boolean; if_true: std_logic; if_false: std_logic) return std_logic; function tern_op(cond: boolean; if_true: unsigned; if_false: unsigned) return unsigned; function tern_op(cond: boolean; if_true: signed; if_false: signed) return signed; end pck_myhdl_10; package body pck_myhdl_10 is function stdl (arg: boolean) return std_logic is begin if arg then return '1'; else return '0'; end if; end function stdl; function stdl (arg: integer) return std_logic is begin if arg /= 0 then return '1'; else return '0'; end if; end function stdl; function to_unsigned (arg: boolean; size: natural) return unsigned is variable res: unsigned(size-1 downto 0) := (others => '0'); begin if arg then res(0):= '1'; end if; return res; end function to_unsigned; function to_signed (arg: boolean; size: natural) return signed is variable res: signed(size-1 downto 0) := (others => '0'); begin if arg then res(0) := '1'; end if; return res; end function to_signed; function to_integer(arg: boolean) return integer is begin if arg then return 1; else return 0; end if; end function to_integer; function to_integer(arg: std_logic) return integer is begin if arg = '1' then return 1; else return 0; end if; end function to_integer; function to_unsigned (arg: std_logic; size: natural) return unsigned is variable res: unsigned(size-1 downto 0) := (others => '0'); begin res(0):= arg; return res; end function to_unsigned; function to_signed (arg: std_logic; size: natural) return signed is variable res: signed(size-1 downto 0) := (others => '0'); begin res(0) := arg; return res; end function to_signed; function bool (arg: std_logic) return boolean is begin return arg = '1'; end function bool; function bool (arg: unsigned) return boolean is begin return arg /= 0; end function bool; function bool (arg: signed) return boolean is begin return arg /= 0; end function bool; function bool (arg: integer) return boolean is begin return arg /= 0; end function bool; function "-" (arg: unsigned) return signed is begin return - signed(resize(arg, arg'length+1)); end function "-"; function tern_op(cond: boolean; if_true: std_logic; if_false: std_logic) return std_logic is begin if cond then return if_true; else return if_false; end if; end function tern_op; function tern_op(cond: boolean; if_true: unsigned; if_false: unsigned) return unsigned is begin if cond then return if_true; else return if_false; end if; end function tern_op; function tern_op(cond: boolean; if_true: signed; if_false: signed) return signed is begin if cond then return if_true; else return if_false; end if; end function tern_op; end pck_myhdl_10;
lgpl-2.1
4cfe4bd5ec0a2144ea6b363f2f6b1dbc
0.602623
3.987156
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GNTS3MQUMJ.vhd
4
877
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNTS3MQUMJ is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(15 downto 0); output : out std_logic_vector(3 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNTS3MQUMJ is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 12 + 1 , width_inr=> 4, width_outl=> 4, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(15 downto 0) => input, xin(16) => '0', yout => output ); end architecture;
mit
4fa86e1110429c52b8e753a81d6e0647
0.648803
3.088028
false
false
false
false
straywarrior/MadeCPUin21days
CPU_TOP.vhd
1
31,745
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 20:37:26 11/15/2015 -- Design Name: -- Module Name: CPU_TOP - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.Vcomponents.all; entity CPU_TOP is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; RAM1ADDR : out STD_LOGIC_VECTOR (17 downto 0); RAM1DATA : inout STD_LOGIC_VECTOR (15 downto 0); RAM1EN : out STD_LOGIC; RAM1OE : out STD_LOGIC; RAM1RW : out STD_LOGIC; RAM2ADDR : out STD_LOGIC_VECTOR (17 downto 0); RAM2DATA : inout STD_LOGIC_VECTOR (15 downto 0); RAM2EN : out STD_LOGIC; RAM2OE : out STD_LOGIC; RAM2RW : out STD_LOGIC; -- Serial Port SERIAL_DATA_READY : in STD_LOGIC; SERIAL_RDN : out STD_LOGIC; SERIAL_TBRE : in STD_LOGIC; SERIAL_TSRE : in STD_LOGIC; SERIAL_WRN : out STD_LOGIC; -- For Debug LED : out STD_LOGIC_VECTOR (15 downto 0); SW : in STD_LOGIC_VECTOR (15 downto 0); DLED_RIGHT : out STD_LOGIC_VECTOR (6 downto 0) ); end CPU_TOP; architecture Behavioral of CPU_TOP is -- Universal component component ClockDiv Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clk_2t : out STD_LOGIC; clk_4t : out STD_LOGIC ); end component; signal clock_2t : STD_LOGIC; signal clock_4t : STD_LOGIC; component TwoInMuxer_16bit Port ( input1 : in STD_LOGIC_VECTOR (15 downto 0); input2 : in STD_LOGIC_VECTOR (15 downto 0); opcode : in STD_LOGIC; output : out STD_LOGIC_VECTOR (15 downto 0)); end component; component FourInMuxer_16bit Port ( input1 : in STD_LOGIC_VECTOR (15 downto 0); input2 : in STD_LOGIC_VECTOR (15 downto 0); input3 : in STD_LOGIC_VECTOR (15 downto 0); input4 : in STD_LOGIC_VECTOR (15 downto 0); opcode : in STD_LOGIC_VECTOR (1 downto 0); output : out STD_LOGIC_VECTOR (15 downto 0)); end component; component BubbleUnit Port ( RegOpA : in STD_LOGIC_VECTOR (3 downto 0); RegOpB : in STD_LOGIC_VECTOR (3 downto 0); RegWE_EXE : in STD_LOGIC; RegDest_EXE : in STD_LOGIC_VECTOR (3 downto 0); RegWE_MEM: in STD_LOGIC; RegDest_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_EXE : in STD_LOGIC_VECTOR (3 downto 0); MemRead_EXE : in STD_LOGIC; MemWrite_EXE : in STD_LOGIC; MemRead_MEM : in STD_LOGIC; MemWrite_MEM : in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); pc_sel: in STD_LOGIC_VECTOR (1 downto 0); CReg : in STD_LOGIC; CRegA : in STD_LOGIC_VECTOR (3 downto 0); CRegB : in STD_LOGIC_VECTOR (3 downto 0); SerialFinish : in STD_LOGIC; pc_stall : out STD_LOGIC; InstAddrSel : out STD_LOGIC; InstMemRead : out STD_LOGIC; InstMemWrite : out STD_LOGIC; Mem_Result_Sel : out STD_LOGIC; IF_ID_stall : out STD_LOGIC; ID_EXE_stall : out STD_LOGIC; EXE_MEM_stall : out STD_LOGIC; IF_ID_clear: out STD_LOGIC; ID_EXE_clear : out STD_LOGIC; EXE_MEM_clear: out STD_LOGIC ); end component; signal IF_ID_REG_STALL : STD_LOGIC; signal IF_ID_REG_CLEAR : STD_LOGIC; signal ID_EXE_REG_STALL : STD_LOGIC; signal ID_EXE_REG_CLEAR : STD_LOGIC; signal EXE_MEM_REG_STALL : STD_LOGIC; signal EXE_MEM_REG_CLEAR : STD_LOGIC; component ForwardingUnit Port ( RegOpA : in STD_LOGIC_VECTOR (3 downto 0); RegOpB : in STD_LOGIC_VECTOR (3 downto 0); RegWE_MEM: in STD_LOGIC; RegDest_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegWE_WB : in STD_LOGIC; RegDest_WB : STD_LOGIC_VECTOR (3 downto 0); MemRead_EXE : in STD_LOGIC; MemRead_WB : in STD_LOGIC; CReg : in STD_LOGIC; CRegA : in STD_LOGIC_VECTOR (3 downto 0); CRegB : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_EXE : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegAValSel : out STD_LOGIC; RegBValSel : out STD_LOGIC; RegRAValSel : out STD_LOGIC; OperandASel : out STD_LOGIC_VECTOR (1 downto 0); OperandBSel : out STD_LOGIC_VECTOR (1 downto 0); MemDInSel_EXE : out STD_LOGIC_VECTOR (1 downto 0); MemDInSel_MEM : out STD_LOGIC ); end component; -- IF Section component PC_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; stall : in STD_LOGIC; PC_in : in STD_LOGIC_VECTOR (15 downto 0); PC_out : out STD_LOGIC_VECTOR (15 downto 0) ); end component; component PCAdder Port ( A : in STD_LOGIC_VECTOR (15 downto 0); B : in STD_LOGIC_VECTOR (15 downto 0); result : out STD_LOGIC_VECTOR (15 downto 0)); end component; COMPONENT InstMemoryControl Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); RAM2Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM2Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM2EN : out STD_LOGIC; RAM2OE : out STD_LOGIC; RAM2RW : out STD_LOGIC ); end COMPONENT; component IF_ID_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; pc_in : in STD_LOGIC_VECTOR (15 downto 0); inst_in : in STD_LOGIC_VECTOR (15 downto 0); stall : in STD_LOGIC; clear : in STD_LOGIC; pc_out : out STD_LOGIC_VECTOR (15 downto 0); inst_out : out STD_LOGIC_VECTOR (15 downto 0); rx : out STD_LOGIC_VECTOR (3 downto 0); ry : out STD_LOGIC_VECTOR (3 downto 0) ); end component; -- PC Register signal PC_REG_IN : STD_LOGIC_VECTOR (15 downto 0); signal PC_REG_OUT : STD_LOGIC_VECTOR (15 downto 0); signal PC_REG_STALL : STD_LOGIC; -- Instruction Selector signal INST_ADDR_OUT : STD_LOGIC_VECTOR (15 downto 0); signal INST_ADDR_SEL : STD_LOGIC; -- Instruction Memory signal INST_MEM_READ : STD_LOGIC; signal INST_MEM_WRITE : STD_LOGIC; signal INST_MEM_OUT : STD_LOGIC_VECTOR (15 downto 0); -- PC Incr & PC Selector signal PC_INCR_OUT : STD_LOGIC_VECTOR (15 downto 0); -- signal PC_JUMP : STD_LOGIC_VECTOR (15 downto 0); -- signal PC_SEL : STD_LOGIC_VECTOR (1 downto 0); signal PC_INCR : STD_LOGIC_VECTOR (15 downto 0) := ( 0 => '1', others => '0'); -- IF/ID Register -- ID Section COMPONENT InstDecoder PORT( pc : IN std_logic_vector(15 downto 0); inst : IN std_logic_vector(15 downto 0); RegAVal : IN std_logic_vector(15 downto 0); RegBVal : IN std_logic_vector(15 downto 0); RAVal : IN std_logic_vector(15 downto 0); SPVal : IN std_logic_vector(15 downto 0); IHVal : IN std_logic_vector(15 downto 0); pc_imm : OUT std_logic_vector(15 downto 0); pc_sel : OUT std_logic_vector(1 downto 0); T_in : in STD_LOGIC; T_out : out STD_LOGIC; CReg : OUT std_logic; CRegA : OUT std_logic_vector(3 downto 0); CRegB : OUT std_logic_vector(3 downto 0); RegWE : OUT std_logic; RegDest : OUT std_logic_vector(3 downto 0); MemRd : OUT std_logic; MemDIn : OUT std_logic_vector(15 downto 0); RegMemDIn : out STD_LOGIC_VECTOR (3 downto 0); MemWE : OUT std_logic; opcode : OUT std_logic_vector(3 downto 0); RegOpA : OUT std_logic_vector(3 downto 0); RegOpB : OUT std_logic_vector(3 downto 0); operandA : OUT std_logic_vector(15 downto 0); operandB : OUT std_logic_vector(15 downto 0) ); END COMPONENT; COMPONENT T_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; T_in : in STD_LOGIC; T_out : out STD_LOGIC ); END COMPONENT; COMPONENT Register_Files Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; ASel : in STD_LOGIC_VECTOR (3 downto 0); BSel : in STD_LOGIC_VECTOR (3 downto 0); WSel : in STD_LOGIC_VECTOR (3 downto 0); WE : in STD_LOGIC; WVal : in STD_LOGIC_VECTOR (15 downto 0); AVal : out STD_LOGIC_VECTOR (15 downto 0); BVal : out STD_LOGIC_VECTOR (15 downto 0); RAVal : out STD_LOGIC_VECTOR (15 downto 0); SPVal : out STD_LOGIC_VECTOR (15 downto 0); IHVal : out STD_LOGIC_VECTOR (15 downto 0) ); end COMPONENT; COMPONENT ID_EXE_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); opcode_in : in STD_LOGIC_VECTOR (3 downto 0); operandA_in : in STD_LOGIC_VECTOR (15 downto 0); operandB_in : in STD_LOGIC_VECTOR (15 downto 0); RegOpA_in : in STD_LOGIC_VECTOR (3 downto 0); RegOpB_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); opcode_out : out STD_LOGIC_VECTOR (3 downto 0); operandA_out : out STD_LOGIC_VECTOR (15 downto 0); operandB_out : out STD_LOGIC_VECTOR (15 downto 0); RegOpA_out : out STD_LOGIC_VECTOR (3 downto 0); RegOpB_out : out STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0) ); end COMPONENT; -- IF/ID Register Out signal IF_ID_PC : STD_LOGIC_VECTOR (15 downto 0); signal IF_ID_INST : STD_LOGIC_VECTOR (15 downto 0); signal IF_ID_REGX : STD_LOGIC_VECTOR (3 downto 0); signal IF_ID_REGY : STD_LOGIC_VECTOR (3 downto 0); -- T Register & Instruction Decoder signal T_REG_OUT : STD_LOGIC; signal T_REG_IN : STD_LOGIC; signal Decoder_PC_Imm: STD_LOGIC_VECTOR (15 downto 0); signal Decoder_PC_Sel : STD_LOGIC_VECTOR (1 downto 0); signal Decoder_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_RegWrite : STD_LOGIC; signal Decoder_MemRead : STD_LOGIC; signal Decoder_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_MemWrite : STD_LOGIC; signal Decoder_OpCode : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_OperandA : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_OperandB : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_RegOpA : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_RegOpB : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_CReg : STD_LOGIC; signal Decoder_CRegA : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_CRegB : STD_LOGIC_VECTOR (3 downto 0); -- Register Files signal Regs_RegAVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_RegBVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_RAVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_SPVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_IHVal : STD_LOGIC_VECTOR (15 downto 0); -- PC Adder signal PC_JUMP_ADDR : STD_LOGIC_VECTOR (15 downto 0); -- EXE Section COMPONENT ALU Port ( op : in STD_LOGIC_VECTOR (3 downto 0); A : in STD_LOGIC_VECTOR (15 downto 0); B : in STD_LOGIC_VECTOR (15 downto 0); result : out STD_LOGIC_VECTOR (15 downto 0)); end COMPONENT; COMPONENT EXE_MEM_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); ALUout_in : in STD_LOGIC_VECTOR (15 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); ALUout_out : out STD_LOGIC_VECTOR (15 downto 0) ); end COMPONENT; -- ID/EXE Register signal ID_EXE_RegWrite : STD_LOGIC; signal ID_EXE_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_MemRead : STD_LOGIC; signal ID_EXE_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_MemWrite : STD_LOGIC; signal ID_EXE_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_OpCode : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_OperandA : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_OperandB : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_RegOpA : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_RegOpB : STD_LOGIC_VECTOR (3 downto 0); -- Operand A Selector signal OpA_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- Operand B Selector signal OpB_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- ALU signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0); -- EXE/MEM Register signal MemDIn_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- Forwarding Unit signal OpA_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal OpB_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal RegRA_MUX_SEL : STD_LOGIC; signal RegAVal_MUX_SEL : STD_LOGIC; signal RegBVal_MUX_SEL : STD_LOGIC; signal EXE_MemDIn_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal MEM_MemDIn_MUX_SEL : STD_LOGIC; signal RegAVal_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal RegBVal_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal RegRA_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- MEM Section COMPONENT DataMemoryControl Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); SerialFinish : out STD_LOGIC; RAM1Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM1Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM1EN : out STD_LOGIC; RAM1OE : out STD_LOGIC; RAM1RW : out STD_LOGIC; Serial_dataready : in STD_LOGIC; Serial_rdn : out STD_LOGIC; Serial_tbre : in STD_LOGIC; Serial_tsre : in STD_LOGIC; Serial_wrn : out STD_LOGIC; DLED_Right : out STD_LOGIC_VECTOR (6 downto 0) ); end COMPONENT; COMPONENT MEM_WB_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_in : in STD_LOGIC_VECTOR (15 downto 0); MemRd_in : in STD_LOGIC; RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_out : out STD_LOGIC_VECTOR (15 downto 0); MemRd_out : out STD_LOGIC ); end COMPONENT; -- EXE/MEM Register signal EXE_MEM_RegWrite : STD_LOGIC; signal EXE_MEM_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal EXE_MEM_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal EXE_MEM_MemRead : STD_LOGIC; signal EXE_MEM_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal EXE_MEM_MemWrite : STD_LOGIC; signal EXE_MEM_ALUOUT : STD_LOGIC_VECTOR (15 downto 0); -- Data Memory & Serial Port signal MEM_MemDIn_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal DATA_MEM_OUT : STD_LOGIC_VECTOR (15 downto 0); signal DATA_MEM_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal MEM_RESULT_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal MEM_RESULT_SEL : STD_LOGIC; signal DATA_MEM_SERIAL_FINISH : STD_LOGIC; -- WB Section -- MEM/WB Register signal MEM_WB_RegWrite : STD_LOGIC; signal MEM_WB_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal MEM_WB_RegWriteVal : STD_LOGIC_VECTOR (15 downto 0); signal MEM_WB_MemRead : STD_LOGIC; begin -- Universal --LED <= ALU_RESULT; LED <= PC_REG_OUT when (SW = "0000000000000000") else INST_ADDR_OUT when (SW = "0000000000000001") else INST_MEM_OUT when (SW = "0000000000000010") else Decoder_PC_Imm when (SW = "0000000000000011") else PC_JUMP_ADDR when (SW = "0000000000000100") else PC_REG_IN when (SW = "0000000000000101") else IF_ID_PC when (SW = "0000000000010000") else IF_ID_INST when (SW = "0000000000010001") else "00000000" & IF_ID_REGX & IF_ID_REGY when (SW = "0000000000011001") else (PC_REG_STALL & INST_ADDR_SEL & IF_ID_REG_STALL & IF_ID_REG_CLEAR & ID_EXE_REG_STALL & ID_EXE_REG_CLEAR & EXE_MEM_REG_STALL & EXE_MEM_REG_CLEAR & "00000000") when (SW = "0000000000011011") else Decoder_OperandA when (SW = "0000000000010010") else Decoder_OperandB when (SW = "0000000000010011") else Decoder_RegOpA & Decoder_RegOpB & Decoder_CRegA & Decoder_CRegB when (SW = "0000000000010111") else Decoder_RegDest & Decoder_RegWrite & Decoder_CReg & Decoder_MemRead & Decoder_MemWrite & Decoder_PC_Sel & RegAVal_MUX_SEL & RegBVal_MUX_SEL & "0000" when (SW = "0000000000010110") else RegAVal_MUX_OUT when (SW = "0000000000011110") else RegBVal_MUX_OUT when (SW = "0000000000011100") else ALU_RESULT when (SW = "0000000000100000") else OpA_MUX_SEL & OpB_MUX_SEL & EXE_MemDIn_MUX_SEL & ID_EXE_RegOpA & ID_EXE_RegOpB & "00" when (SW = "0000000000100001") else ID_EXE_OpCode & ID_EXE_MemRead & ID_EXE_MemWrite & ID_EXE_RegWrite & ID_EXE_RegDest & "00000" when (SW = "0000000000100011") else ID_EXE_MemDIn when (SW = "0000000000100111") else ID_EXE_RegMemDIn & "000000000000" when (SW = "0000000000100110") else EXE_MEM_RegWrite & EXE_MEM_RegDest & EXE_MEM_MemRead & EXE_MEM_MemWrite & SERIAL_DATA_READY & SERIAL_TBRE & SERIAL_TSRE & DATA_MEM_SERIAL_FINISH & "00000" when (SW = "0000000001000001") else DATA_MEM_OUT when (SW = "0000000001000011") else DATA_MEM_MUX_OUT when (SW = "0000000001000010") else MEM_MemDIn_MUX_OUT when (SW = "0000000001000111") else MEM_WB_RegWriteVal when (SW = "0000000010000000") else MEM_WB_RegDest & MEM_WB_RegWrite & "00000000000" when (SW = "0000000010000001") else (others => '0'); ClockDiv_0 : ClockDiv PORT MAP ( clk => clock, reset => reset, clk_2t => clock_2t, clk_4t => clock_4t ); BubbleUnit_0 : BubbleUnit PORT MAP ( RegOpA => Decoder_RegOpA, RegOpB => Decoder_RegOpB, RegWE_EXE => ID_EXE_RegWrite, RegDest_EXE => ID_EXE_RegDest, RegWE_MEM=> EXE_MEM_RegWrite, RegDest_MEM => EXE_MEM_RegDest, RegMemDIn_EXE => ID_EXE_RegMemDIn, MemRead_EXE => ID_EXE_MemRead, MemWrite_EXE => ID_EXE_MemWrite, MemRead_MEM => EXE_MEM_MemRead, MemWrite_MEM => EXE_MEM_MemWrite, MemAddr => EXE_MEM_ALUOUT, pc_sel=> Decoder_PC_Sel, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, SerialFinish => DATA_MEM_SERIAL_FINISH, pc_stall => PC_REG_STALL, InstAddrSel => INST_ADDR_SEL, InstMemRead => INST_MEM_READ, InstMemWrite => INST_MEM_WRITE, Mem_Result_Sel => MEM_RESULT_SEL, IF_ID_stall => IF_ID_REG_STALL, ID_EXE_stall => ID_EXE_REG_STALL, EXE_MEM_stall => EXE_MEM_REG_STALL, IF_ID_clear => IF_ID_REG_CLEAR, ID_EXE_clear => ID_EXE_REG_CLEAR, EXE_MEM_clear => EXE_MEM_REG_CLEAR ); -- IF Section PC_REG_0 : PC_REG PORT MAP ( clk => clock_4t, reset => reset, stall => PC_REG_STALL, PC_in => PC_REG_IN, PC_out => PC_REG_OUT ); INST_ADDR_MUX : TwoInMuxer_16bit PORT MAP ( input1 => PC_REG_OUT, input2 => EXE_MEM_ALUOUT, opcode => INST_ADDR_SEL, output => INST_ADDR_OUT ); INST_MEMORY_0 : InstMemoryControl PORT MAP ( clk => clock, reset => reset, MemRead => INST_MEM_READ, MemWrite => INST_MEM_WRITE, MemAddr => INST_ADDR_OUT, MemData => MEM_MemDIn_MUX_OUT, MemOut => INST_MEM_OUT, RAM2Addr => RAM2ADDR, RAM2Data => RAM2DATA, RAM2EN => RAM2EN, RAM2OE => RAM2OE, RAM2RW => RAM2RW ); PCAdder_0 : PCAdder PORT MAP ( A => PC_REG_OUT, B => PC_INCR, result => PC_INCR_OUT ); PC_REG_MUX : FourInMuxer_16bit PORT MAP ( input1 => PC_INCR_OUT, input2 => Regs_RAVal, input3 => Regs_RegAVal, input4 => PC_JUMP_ADDR, opcode => Decoder_PC_Sel, output => PC_REG_IN ); IF_ID_REG_0 : IF_ID_REG PORT MAP ( clk => clock_4t, reset => reset, pc_in => PC_INCR_OUT, inst_in => INST_MEM_OUT, stall => IF_ID_REG_STALL, clear => IF_ID_REG_CLEAR, pc_out => IF_ID_PC, inst_out => IF_ID_INST, rx => IF_ID_REGX, ry => IF_ID_REGY ); -- ID Section PCAdder_1 : PCAdder PORT MAP ( A => IF_ID_PC, B => Decoder_PC_Imm, result => PC_JUMP_ADDR ); InstDecoder_0 : InstDecoder PORT MAP ( pc => IF_ID_PC, inst => IF_ID_INST, RegAVal => RegAVal_MUX_OUT, RegBVal => RegBVal_MUX_OUT, RAVal => RegRA_MUX_OUT, SPVal => Regs_SPVal, IHVal => Regs_IHVal, T_in => T_REG_OUT, T_out => T_REG_IN, pc_imm => Decoder_PC_Imm, pc_sel => Decoder_PC_Sel, RegWE => Decoder_RegWrite, RegDest => Decoder_RegDest, MemRd => Decoder_MemRead, MemDIn => Decoder_MemDIn, RegMemDIn => Decoder_RegMemDIn, MemWE => Decoder_MemWrite, opcode => Decoder_OpCode, RegOpA => Decoder_RegOpA, RegOpB => Decoder_RegOpB, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, operandA => Decoder_OperandA, operandB => Decoder_OperandB ); Register_Files_0 : Register_Files PORT MAP ( clk => clock, reset => reset, ASel => IF_ID_REGX, BSel => IF_ID_REGY, WSel => MEM_WB_RegDest, WE => MEM_WB_RegWrite, WVal => MEM_WB_RegWriteVal, AVal => Regs_RegAVal, BVal => Regs_RegBVal, RAVal => Regs_RAVal, SPVal => Regs_SPVal, IHVal => Regs_IHVal ); T_REG_0 : T_REG PORT MAP ( clk => clock, reset => reset, T_in => T_REG_IN, T_out => T_REG_OUT ); ID_EXE_REG_0 : ID_EXE_REG PORT MAP ( clk => clock_4t, reset => reset, clear => ID_EXE_REG_CLEAR, stall => ID_EXE_REG_STALL, RegWE_in => Decoder_RegWrite, RegDest_in => Decoder_RegDest, RegMemDIn_in => Decoder_RegMemDIn, MemRd_in => Decoder_MemRead, MemWE_in => Decoder_MemWrite, MemDIn_in => Decoder_MemDIn, opcode_in => Decoder_OpCode, operandA_in => Decoder_OperandA, operandB_in => Decoder_OperandB, RegOpA_in => Decoder_RegOpA, RegOpB_in => Decoder_RegOpB, RegWE_out => ID_EXE_RegWrite, RegDest_out => ID_EXE_RegDest, RegMemDIn_out => ID_EXE_RegMemDIn, MemRd_out => ID_EXE_MemRead, MemWE_out => ID_EXE_MemWrite, MemDIn_out => ID_EXE_MemDIn, opcode_out => ID_EXE_OpCode, operandA_out => ID_EXE_OperandA, operandB_out => ID_EXE_OperandB, RegOpA_out => ID_EXE_RegOpA, RegOpB_out => ID_EXE_RegOpB ); RegAVal_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RegAVal, input2 => EXE_MEM_ALUOUT, opcode => RegAVal_MUX_SEL, output => RegAVal_MUX_OUT ); RegBVal_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RegBVal, input2 => EXE_MEM_ALUOUT, opcode => RegBVal_MUX_SEL, output => RegBVal_MUX_OUT ); RegRA_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RAVal, input2 => EXE_MEM_ALUOUT, opcode => RegRA_MUX_SEL, output => RegRA_MUX_OUT ); -- EXE Section ALU_0 : ALU PORT MAP ( op => ID_EXE_OpCode, A => OpA_MUX_OUT, B => OpB_MUX_OUT, result => ALU_RESULT ); OpA_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_OperandA, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => OpA_MUX_SEL, output => OpA_MUX_OUT ); OpB_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_OperandB, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => OpB_MUX_SEL, output => OpB_MUX_OUT ); MemDIn_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_MemDIn, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => EXE_MemDIn_MUX_SEL, output => MemDIn_MUX_OUT ); EXE_MEM_REG_0 : EXE_MEM_REG PORT MAP ( clk => clock_4t, reset => reset, clear => EXE_MEM_REG_CLEAR, stall => EXE_MEM_REG_STALL, RegWE_in => ID_EXE_RegWrite, RegDest_in => ID_EXE_RegDest, RegMemDIn_in => ID_EXE_RegMemDIn, MemRd_in => ID_EXE_MemRead, MemWE_in => ID_EXE_MemWrite, MemDIn_in => MemDIn_MUX_OUT, ALUout_in => ALU_RESULT, RegWE_out => EXE_MEM_RegWrite, RegDest_out => EXE_MEM_RegDest, RegMemDIn_out => EXE_MEM_RegMemDIn, MemRd_out => EXE_MEM_MemRead, MemWE_out => EXE_MEM_MemWrite, MemDIn_out => EXE_MEM_MemDIn, ALUout_out => EXE_MEM_ALUOUT ); ForwardingUnit_0 : ForwardingUnit PORT MAP ( RegOpA => ID_EXE_RegOpA, RegOpB => ID_EXE_RegOpB, RegWE_WB => MEM_WB_RegWrite, RegDest_WB => MEM_WB_RegDest, RegWE_MEM => EXE_MEM_RegWrite, RegDest_MEM => EXE_MEM_RegDest, MemRead_EXE => ID_EXE_MemRead, MemRead_WB => MEM_WB_MemRead, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, RegMemDIn_EXE => ID_EXE_RegMemDIn, RegMemDIn_MEM => EXE_MEM_RegMemDIn, RegAValSel => RegAVal_MUX_SEL, RegBValSel => RegBVal_MUX_SEL, RegRAValSel => RegRA_MUX_SEL, OperandASel => OpA_MUX_SEL, OperandBSel => OpB_MUX_SEL, MemDInSel_EXE => EXE_MemDIn_MUX_SEL, MemDInSel_MEM => MEM_MemDIn_MUX_SEL ); -- MEM Section DATA_MEMORY_0 : DataMemoryControl PORT MAP ( clk => clock, reset => reset, MemRead => EXE_MEM_MemRead, MemWrite=> EXE_MEM_MemWrite, MemAddr => EXE_MEM_ALUOUT, MemData => MEM_MemDIn_MUX_OUT, MemOut => DATA_MEM_OUT, SerialFinish => DATA_MEM_SERIAL_FINISH, RAM1Addr => RAM1ADDR, RAM1Data => RAM1DATA, RAM1EN => RAM1EN, RAM1OE => RAM1OE, RAM1RW => RAM1RW, Serial_dataready => SERIAL_DATA_READY, Serial_rdn => SERIAL_RDN, Serial_tbre => SERIAL_TBRE, Serial_tsre => SERIAL_TSRE, Serial_wrn => SERIAL_WRN, DLED_Right => DLED_RIGHT ); MEM_MemDIn_MUX : TwoInMuxer_16bit PORT MAP ( input1 => EXE_MEM_MemDIn, input2 => MEM_WB_RegWriteVal, opcode => MEM_MemDIn_MUX_SEL, output => MEM_MemDIn_MUX_OUT ); MEM_MUX : TwoInMuxer_16bit PORT MAP ( input1 => DATA_MEM_OUT, input2 => INST_MEM_OUT, opcode => MEM_RESULT_SEL, output => DATA_MEM_MUX_OUT ); MEM_RESULT_MUX : TwoInMuxer_16bit PORT MAP ( input1 => EXE_MEM_ALUOUT, input2 => DATA_MEM_MUX_OUT, opcode => EXE_MEM_MemRead, output => MEM_RESULT_MUX_OUT ); MEM_WB_REG_0 : MEM_WB_REG PORT MAP ( clk => clock_4t, reset => reset, RegWE_in => EXE_MEM_RegWrite, RegDest_in => EXE_MEM_RegDest, RegWriteVal_in => MEM_RESULT_MUX_OUT, MemRd_in => EXE_MEM_MemRead, RegWE_out => MEM_WB_RegWrite, RegDest_out => MEM_WB_RegDest, RegWriteVal_out => MEM_WB_RegWriteVal, MemRd_out => MEM_WB_MemRead ); -- WB Section end Behavioral;
gpl-2.0
a2e8722eed5d460212c93bfd20333091
0.545031
3.77019
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sdecoderaltr.vhd
17
2,703
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sdecoderaltr is generic ( width : natural :=8; pipeline : natural :=0; decode : std_logic_vector :="00000000" ); port ( data : in std_logic_vector (width-1 downto 0); clock : in std_logic; aclr : in std_logic; user_aclr : in std_logic; sclr : in std_logic; dec : out std_logic ); end alt_dspbuilder_sdecoderaltr; architecture syn of alt_dspbuilder_sdecoderaltr is signal sdec : std_logic_vector(width-1 downto 0); signal idec : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; gw: if width=(decode'length) generate idec <= '1' when data=decode else '0'; end generate gw; gg: if width<decode'length generate g:for i in 0 to width-1 generate sdec(i) <= decode(i); end generate g; idec <= '1' when data=sdec else '0'; end generate gg; gl: if width>decode'length generate sdec(decode'length-1 downto 0) <= decode(decode'length-1 downto 0); g:for i in decode'length to width-1 generate sdec(i) <= sdec(decode'length-1); end generate g; idec <= '1' when data=sdec else '0'; end generate gl; gcomb:if 0=pipeline generate dec<=idec; end generate gcomb; greg:if 0<pipeline generate process(clock,aclr_i) begin if aclr_i='1' then dec<='0'; elsif clock'event and clock='1' then if sclr='1' then dec<='0'; else dec<=idec; end if; end if; end process; end generate greg; end syn;
mit
4eb8bca2f619bfd411de4679ab6e3936
0.647799
3.647773
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_scanchain/_primary.vhd
5
2,723
library verilog; use verilog.vl_types.all; entity ama_scanchain is generic( width_scanin : integer := 1; width_scanchain : integer := 1; input_register_clock_0: string := "UNREGISTERED"; input_register_aclr_0: string := "NONE"; input_register_clock_1: string := "UNREGISTERED"; input_register_aclr_1: string := "NONE"; input_register_clock_2: string := "UNREGISTERED"; input_register_aclr_2: string := "NONE"; input_register_clock_3: string := "UNREGISTERED"; input_register_aclr_3: string := "NONE"; scanchain_register_clock: string := "UNREGISTERED"; scanchain_register_aclr: string := "NONE"; port_sign : string := "PORT_UNUSED"; number_of_multipliers: integer := 1; width_scanin_msb: vl_notype; width_scanchain_msb: vl_notype ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); sign : in vl_logic; scanin : in vl_logic_vector; data_out_0 : out vl_logic_vector; data_out_1 : out vl_logic_vector; data_out_2 : out vl_logic_vector; data_out_3 : out vl_logic_vector; scanout : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_scanin : constant is 1; attribute mti_svvh_generic_type of width_scanchain : constant is 1; attribute mti_svvh_generic_type of input_register_clock_0 : constant is 1; attribute mti_svvh_generic_type of input_register_aclr_0 : constant is 1; attribute mti_svvh_generic_type of input_register_clock_1 : constant is 1; attribute mti_svvh_generic_type of input_register_aclr_1 : constant is 1; attribute mti_svvh_generic_type of input_register_clock_2 : constant is 1; attribute mti_svvh_generic_type of input_register_aclr_2 : constant is 1; attribute mti_svvh_generic_type of input_register_clock_3 : constant is 1; attribute mti_svvh_generic_type of input_register_aclr_3 : constant is 1; attribute mti_svvh_generic_type of scanchain_register_clock : constant is 1; attribute mti_svvh_generic_type of scanchain_register_aclr : constant is 1; attribute mti_svvh_generic_type of port_sign : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of width_scanin_msb : constant is 3; attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3; end ama_scanchain;
mit
1d1d525c334d2a98f88728d3c650cc0a
0.636063
3.67973
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_mngr.vhd
1
20,774
------------------------------------------------------------------------------- -- axi_sg_updt_mngr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_mngr.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- ch2_updt_curdesc_wren : in std_logic ; -- ch2_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_updt_cmd_tvalid : out std_logic ; -- s_axis_updt_cmd_tready : in std_logic ; -- s_axis_updt_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_updt_sts_tvalid : in std_logic ; -- m_axis_updt_sts_tready : out std_logic ; -- m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; -- s2mm_err : in std_logic ; -- -- ftch_error : in std_logic ; -- updt_error : out std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal updt_cmnd_wr : std_logic := '0'; signal updt_cmnd_data : std_logic_vector ((C_M_AXI_SG_ADDR_WIDTH +CMD_BASE_WIDTH)-1 downto 0) := (others => '0'); signal updt_done : std_logic := '0'; signal updt_error_i : std_logic := '0'; signal updt_interr : std_logic := '0'; signal updt_slverr : std_logic := '0'; signal updt_decerr : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_error <= updt_error_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- I_UPDT_SG : entity axi_vdma_v6_2.axi_sg_updt_sm generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_INCLUDE_CH1 => C_INCLUDE_CH1 , C_INCLUDE_CH2 => C_INCLUDE_CH2 , C_SG_CH1_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_SG_CH2_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => C_SG_CH1_FIRST_UPDATE_WORD , C_SG_CH2_FIRST_UPDATE_WORD => C_SG_CH2_FIRST_UPDATE_WORD ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , ftch_error => ftch_error , -- Channel 1 Control and Status ch1_updt_queue_empty => ch1_updt_queue_empty , ch1_updt_active => ch1_updt_active , ch1_updt_idle => ch1_updt_idle , ch1_updt_ioc => ch1_updt_ioc , ch1_updt_ioc_irq_set => ch1_updt_ioc_irq_set , ch1_dma_interr => ch1_dma_interr , ch1_dma_slverr => ch1_dma_slverr , ch1_dma_decerr => ch1_dma_decerr , ch1_dma_interr_set => ch1_dma_interr_set , ch1_dma_slverr_set => ch1_dma_slverr_set , ch1_dma_decerr_set => ch1_dma_decerr_set , ch1_updt_interr_set => ch1_updt_interr_set , ch1_updt_slverr_set => ch1_updt_slverr_set , ch1_updt_decerr_set => ch1_updt_decerr_set , ch1_updt_curdesc_wren => ch1_updt_curdesc_wren , ch1_updt_curdesc => ch1_updt_curdesc , ch1_updt_done => ch1_updt_done , -- Channel 2 Control and Status ch2_updt_queue_empty => ch2_updt_queue_empty , ch2_updt_active => ch2_updt_active , ch2_updt_idle => ch2_updt_idle , ch2_updt_ioc => ch2_updt_ioc , ch2_updt_ioc_irq_set => ch2_updt_ioc_irq_set , ch2_dma_interr => ch2_dma_interr , ch2_dma_slverr => ch2_dma_slverr , ch2_dma_decerr => ch2_dma_decerr , ch2_dma_interr_set => ch2_dma_interr_set , ch2_dma_slverr_set => ch2_dma_slverr_set , ch2_dma_decerr_set => ch2_dma_decerr_set , ch2_updt_interr_set => ch2_updt_interr_set , ch2_updt_slverr_set => ch2_updt_slverr_set , ch2_updt_decerr_set => ch2_updt_decerr_set , ch2_updt_curdesc_wren => ch2_updt_curdesc_wren , ch2_updt_curdesc => ch2_updt_curdesc , ch2_updt_done => ch2_updt_done , -- DataMover Command updt_cmnd_wr => updt_cmnd_wr , updt_cmnd_data => updt_cmnd_data , -- DataMover Status updt_done => updt_done , updt_error => updt_error_i , updt_interr => updt_interr , updt_slverr => updt_slverr , updt_decerr => updt_decerr , updt_error_addr => updt_error_addr ); ------------------------------------------------------------------------------- -- Scatter Gather Fetch Command / Status Interface ------------------------------------------------------------------------------- I_UPDT_CMDSTS_IF : entity axi_vdma_v6_2.axi_sg_updt_cmdsts_if generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Fetch command write interface from fetch sm updt_cmnd_wr => updt_cmnd_wr , updt_cmnd_data => updt_cmnd_data , -- User Command Interface Ports (AXI Stream) s_axis_updt_cmd_tvalid => s_axis_updt_cmd_tvalid , s_axis_updt_cmd_tready => s_axis_updt_cmd_tready , s_axis_updt_cmd_tdata => s_axis_updt_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_updt_sts_tvalid => m_axis_updt_sts_tvalid , m_axis_updt_sts_tready => m_axis_updt_sts_tready , m_axis_updt_sts_tdata => m_axis_updt_sts_tdata , m_axis_updt_sts_tkeep => m_axis_updt_sts_tkeep , -- Scatter Gather Fetch Status s2mm_err => s2mm_err , updt_done => updt_done , updt_error => updt_error_i , updt_interr => updt_interr , updt_slverr => updt_slverr , updt_decerr => updt_decerr ); end implementation;
gpl-2.0
a174b6a87c552fd90ade5ba29fd78a70
0.362472
4.956812
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/instruct_blk_mem_gen_v7_3/simulation/instruct_blk_mem_gen_v7_3_tb.vhd
1
4,619
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: instruct_blk_mem_gen_v7_3_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY instruct_blk_mem_gen_v7_3_tb IS END ENTITY; ARCHITECTURE instruct_blk_mem_gen_v7_3_tb_ARCH OF instruct_blk_mem_gen_v7_3_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; instruct_blk_mem_gen_v7_3_synth_inst:ENTITY work.instruct_blk_mem_gen_v7_3_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
mit
32dcdbd9132628601db54f1bc69c3a28
0.618532
4.43708
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/TestBench/ecc_TB.vhd
1
3,083
library ieee; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity ecc_tb is end ecc_tb; architecture TB_ARCHITECTURE of ecc_tb is -- Component declaration of the tested unit component ecc port( voted_data_out : in STD_LOGIC_VECTOR(7 downto 0); status_out : in STD_LOGIC_VECTOR(2 downto 0); ECC_signal : out STD_LOGIC_VECTOR(3 downto 0) ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal voted_data_out : STD_LOGIC_VECTOR(7 downto 0); signal status_out : STD_LOGIC_VECTOR(2 downto 0); -- Observed signals - signals mapped to the output ports of tested entity signal ECC_signal : STD_LOGIC_VECTOR(3 downto 0); -- Add your code here ... begin -- Unit Under Test port map UUT : ecc port map ( voted_data_out => voted_data_out, status_out => status_out, ECC_signal => ECC_signal ); -- Add aliases for easiers treatment of data --alias a is voted_data_out(0); --alias b is voted_data_out(1); --alias c is voted_data_out(2); --alias d is voted_data_out(3); --alias e is voted_data_out(4); --alias f is voted_data_out(5); --alias g is voted_data_out(6); --alias h is voted_data_out(7); --alias i is status_out(0); --alias j is status_out(1); --alias k is status_out(2); -- --begin -- 0 1 1 0 0 0 0 0 -- ECC_signal(0) <= a xor b xor d xor e xor g xor i xor k; --parity1 -- 0 0 1 1 0 1 0 1 -- ECC_signal(1) <= a xor c xor d xor f xor g xor j xor k; --parity2 -- 1 0 1 1 0 1 0 0 -- ECC_signal(2) <= b xor c xor d xor h xor i xor j xor k; --parity3 -- 0 1 0 1 0 1 0 1 -- ECC_signal(3) <= e xor f xor g xor h xor i xor j xor k; --parity4 process is begin -- Expected values: -- ECC_signal(0) = 0 xor 0 xor 0 xor 0 xor 0 xor 0 xor 0 = 0 -- ECC_signal(1) = 0 xor 0 xor 0 xor 0 xor 0 xor 0 xor 0 = 0 -- ECC_signal(2) = 0 xor 0 xor 0 xor 0 xor 0 xor 0 xor 0 = 0 -- ECC_signal(3) = 0 xor 0 xor 0 xor 0 xor 0 xor 0 xor 0 = 0 voted_data_out <= "00000000"; status_out <= "000"; wait for 10 ns; -- Expected values: -- ECC_signal(0) = 1 xor 1 xor 1 xor 1 xor 1 xor 1 xor 1 = 1 -- ECC_signal(1) = 1 xor 1 xor 1 xor 1 xor 1 xor 1 xor 1 = 1 -- ECC_signal(2) = 1 xor 1 xor 1 xor 1 xor 1 xor 1 xor 1 = 1 -- ECC_signal(3) = 1 xor 1 xor 1 xor 1 xor 1 xor 1 xor 1 = 1 voted_data_out <= "11111111"; status_out <= "111"; wait for 10 ns; -- Expected values: -- ECC_signal(0) = 0 xor 1 xor 1 xor 0 xor 0 xor 0 xor 0 = 0 -- ECC_signal(0) = 0 xor 0 xor 1 xor 1 xor 0 xor 1 xor 0 = 1 -- ECC_signal(0) = 1 xor 0 xor 1 xor 1 xor 0 xor 1 xor 0 = 0 -- ECC_signal(0) = 0 xor 1 xor 0 xor 1 xor 0 xor 1 xor 0 = 1 voted_data_out <= "10101010"; status_out <= "010"; wait for 10 ns; wait; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_ecc of ecc_tb is for TB_ARCHITECTURE for UUT : ecc use entity work.ecc(ecc); end for; end for; end TESTBENCH_FOR_ecc;
apache-2.0
12907463668c19d2c3a2c91546652334
0.605579
2.818099
false
true
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN5VN2FCXZ.vhd
4
876
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN5VN2FCXZ is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(15 downto 0); output : out std_logic_vector(3 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN5VN2FCXZ is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 8 + 1 , width_inr=> 8, width_outl=> 4, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(15 downto 0) => input, xin(16) => '0', yout => output ); end architecture;
mit
1c2586f59ad41cfaeb76f1115aeca919
0.648402
3.041667
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_testbench_capture_GNQX2JTRTZ.vhd
20
1,755
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_capture_GNQX2JTRTZ is generic ( XFILE : string := "default"; DSPBTYPE : string := ""); port( clock : in std_logic; aclr : in std_logic; input : in std_logic); end entity; architecture rtl of alt_dspbuilder_testbench_capture_GNQX2JTRTZ is function str(sl: std_logic) return character is variable c: character; begin case sl is when '0' => c := '0'; when '1' => c := '1'; when others => c := 'X'; end case; return c; end str; function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := str(slv(i)); r := r + 1; end loop; return result; end str; procedure write_type_header(file f:text) is use STD.textio.all; variable my_line : line; begin write ( my_line, DSPBTYPE); writeline ( f, my_line ); end procedure write_type_header ; file oFile : text open write_mode is XFILE; Begin -- data capture -- write type information to output file write_type_header(oFile); -- Writing Output Signal into file Output:process(clock) variable traceline : line ; begin if (aclr ='1') then -- do not record elsif clock'event and clock='1' then write(traceline, str(input),justified=>left); writeline(oFile,traceline); end if ; end process ; end architecture;
mit
48e6c7c66c6ef52fbceab2155ebffade
0.62792
3.349237
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_divider.vhd
2
1,872
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_divider is generic ( SIGNED : natural := 0; WIDTH : natural := 8; PIPELINE : natural := 0 ); port ( user_aclr : in std_logic; denom : in std_logic_vector(width-1 downto 0); quotient : out std_logic_vector(width-1 downto 0); remain : out std_logic_vector(width-1 downto 0); numer : in std_logic_vector(width-1 downto 0); clock : in std_logic; aclr : in std_logic; ena : in std_logic ); end entity alt_dspbuilder_divider; architecture rtl of alt_dspbuilder_divider is component alt_dspbuilder_divider_GNKAPZN5MO is generic ( SIGNED : natural := 0; WIDTH : natural := 24; PIPELINE : natural := 0 ); port ( aclr : in std_logic; clock : in std_logic; denom : in std_logic_vector(24-1 downto 0); ena : in std_logic; numer : in std_logic_vector(24-1 downto 0); quotient : out std_logic_vector(24-1 downto 0); remain : out std_logic_vector(24-1 downto 0); user_aclr : in std_logic ); end component alt_dspbuilder_divider_GNKAPZN5MO; begin alt_dspbuilder_divider_GNKAPZN5MO_0: if ((SIGNED = 0) and (WIDTH = 24) and (PIPELINE = 0)) generate inst_alt_dspbuilder_divider_GNKAPZN5MO_0: alt_dspbuilder_divider_GNKAPZN5MO generic map(SIGNED => 0, WIDTH => 24, PIPELINE => 0) port map(aclr => aclr, clock => clock, denom => denom, ena => ena, numer => numer, quotient => quotient, remain => remain, user_aclr => user_aclr); end generate; assert not (((SIGNED = 0) and (WIDTH = 24) and (PIPELINE = 0))) report "Please run generate again" severity error; end architecture rtl;
mit
66a634dc73b133cbf5c8fef5ab00d108
0.697115
3.210978
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Modules/UMDRISC_pkg.vhd
1
266
package UMDRISC_PKG is CONSTANT DATA_WIDTH:INTEGER := 16; CONSTANT ADDRESS_WIDTH:INTEGER := 16; CONSTANT PC_WIDTH:INTEGER := 16; CONSTANT BITREG_16:INTEGER := 16; CONSTANT BITREG_5:INTEGER := 5; end UMDRISC_PKG; package body UMDRISC_PKG is end UMDRISC_PKG;
mit
90d19eb27b48e954f22b97bb3272631d
0.733083
2.923077
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GNLHWQIRQK.vhd
4
871
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNLHWQIRQK is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(2 downto 0); output : out std_logic_vector(2 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNLHWQIRQK is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 3 + 1 , width_inr=> 0, width_outl=> 3, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(2 downto 0) => input, xin(3) => '0', yout => output ); end architecture;
mit
fdf5d1e7f867b57756487e7637e99fea
0.646383
3.05614
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GNMMXHT3UH.vhd
4
852
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNMMXHT3UH is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(3 downto 0); output : out std_logic_vector(3 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNMMXHT3UH is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 4 , width_inr=> 0, width_outl=> 4, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(3 downto 0) => input, yout => output ); end architecture;
mit
64a8e1263650e358d045b33d24553ad7
0.656103
3.132353
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/tmp/_cg/Instruct_Memory/example_design/Instruct_Memory_exdes.vhd
2
5,014
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Instruct_Memory_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Instruct_Memory_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END Instruct_Memory_exdes; ARCHITECTURE xilinx OF Instruct_Memory_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT Instruct_Memory IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : Instruct_Memory PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
mit
885a9262074bb03d848cda86315a35dd
0.561029
4.668529
false
false
false
false
freecores/t48
syn/t8048/jopcyc/t48_rom.vhd
2
885
-- This file was generated with hex2rom written by Daniel Wallner library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity rom_t48 is port( Clk : in std_logic; A : in std_logic_vector(9 downto 0); D : out std_logic_vector(7 downto 0) ); end rom_t48; architecture rtl of rom_t48 is signal A_r : std_logic_vector(9 downto 0); begin process (Clk) begin if Clk'event and Clk = '1' then A_r <= A; end if; end process; process (A_r) begin case to_integer(unsigned(A_r)) is when 000000 => D <= "00100011"; -- 0x0000 when 000001 => D <= "11111111"; -- 0x0001 when 000002 => D <= "00111001"; -- 0x0002 when 000003 => D <= "11010011"; -- 0x0003 when 000004 => D <= "00000001"; -- 0x0004 when 000005 => D <= "00000100"; -- 0x0005 when 000006 => D <= "00000010"; -- 0x0006 when others => D <= "--------"; end case; end process; end;
gpl-2.0
9b58b218f34f7c16f6506f54ccde3b33
0.628249
2.673716
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sImpulse1nAltr.vhd
8
2,837
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sImpulse1nAltr is generic ( Impulsewidth : positive ); port ( clock : in std_logic; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; q : out std_logic ); end alt_dspbuilder_sImpulse1nAltr ; architecture syn of alt_dspbuilder_sImpulse1nAltr is type States_ImpulseAltr is (sclear, shigh,slowend); signal current_state : States_ImpulseAltr; signal next_state : States_ImpulseAltr; signal count : std_logic_vector(ToNatural(nbitnecessary(Impulsewidth)-1) downto 0); begin rp:process(clock,aclr) begin if aclr='1' then current_state <= sclear; count <= (others=>'0'); elsif clock'event and clock='1' then if (sclr='1') then current_state <= sclear; count <= (others=>'0'); elsif (ena='1') then current_state <= next_state; count <= count+int2ustd(1,nbitnecessary(Impulsewidth)); end if; end if; end process; cp:process(count,current_state, sclr,ena) begin case current_state is when sclear => q <= '0'; if (ena='1') and (sclr='0') then next_state <= shigh; else next_state <= sclear; end if; when shigh => q <= '1'; if (sclr='1') then next_state <= sclear; elsif (count=int2ustd(Impulsewidth,nbitnecessary(Impulsewidth))) and (ena='1') then next_state <= slowend ; else next_state <= shigh; end if; when slowend => q <= '0'; if (sclr='1') then next_state <= sclear; else next_state <= slowend ; end if; end case; end process; end syn;
mit
d97f37f9bf29b4c8e4355eca65249d9c
0.635178
3.757616
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/vme_sim_pack.vhd
1
52,821
--------------------------------------------------------------- -- Title : vme Simulation Model Package -- Project : none --------------------------------------------------------------- -- File : vme_sim_pack.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 03/02/03 --------------------------------------------------------------- -- Simulator : Modelsim -- Synthesis : no --------------------------------------------------------------- -- Description : -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vme_sim_pack.vhd,v $ -- Revision 1.2 2013/04/18 15:11:14 MMiehling -- added vme_mstr_read64 -- -- Revision 1.1 2012/03/29 10:28:48 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee, std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; USE std.standard.ALL; USE std.textio.all; USE ieee.std_logic_textio.all; USE work.print_pkg.all; PACKAGE vme_sim_pack IS --------------------------------TYPES------------------------------------------- CONSTANT time_4 : time:= 35 ns; CONSTANT time_5 : time:= 40 ns; CONSTANT time_28 : time:= 30 ns; CONSTANT time_27 : time:= 25 ns; CONSTANT time_26 : time:= 5 ns; -- usually 0ns CONSTANT time_19 : time:= 40 ns; CONSTANT time_8 : time:= 35 ns; CONSTANT time_12 : time:= 35 ns; CONSTANT time_23 : time:= 10 ns; CONSTANT time_11 : time:= 40 ns; CONSTANT sl_base_A16 : std_logic_vector(3 DOWNTO 0):= "0001"; -- vme base address for A16 slave = 0x1000 CONSTANT sl_base_A24 : std_logic_vector(3 DOWNTO 0):= "0010"; -- vme base address for A24 slave = 0x20_0000 CONSTANT sl_base_CRCSR : std_logic_vector(3 DOWNTO 0):= "0100"; -- vme base address for CR/CSR slave = 0x40_0000 CONSTANT sl_base_A32 : std_logic_vector(3 DOWNTO 0):= "0011"; -- vme base address for A32 slave = 0x3000_0000 -- Address Modifiers CONSTANT AM_A24_SUPER_BLT : std_logic_vector(5 DOWNTO 0):="111111"; CONSTANT AM_A24_SUPER_PROG : std_logic_vector(5 DOWNTO 0):="111110"; CONSTANT AM_A24_SUPER_DAT : std_logic_vector(5 DOWNTO 0):="111101"; CONSTANT AM_A24_SUPER_MBLT : std_logic_vector(5 DOWNTO 0):="111100"; CONSTANT AM_A24_NONPRIV_BLT : std_logic_vector(5 DOWNTO 0):="111011"; CONSTANT AM_A24_NONPRIV_PROG : std_logic_vector(5 DOWNTO 0):="111010"; CONSTANT AM_A24_NONPRIV_DAT : std_logic_vector(5 DOWNTO 0):="111001"; CONSTANT AM_A24_NONPRIV_MBLT : std_logic_vector(5 DOWNTO 0):="111000"; CONSTANT AM_CRCSR : std_logic_vector(5 DOWNTO 0):="101111"; CONSTANT AM_A16_SUPER : std_logic_vector(5 DOWNTO 0):="101101"; CONSTANT AM_A16_NONPRIV : std_logic_vector(5 DOWNTO 0):="101001"; CONSTANT AM_A32_SUPER_BLT : std_logic_vector(5 DOWNTO 0):="001111"; CONSTANT AM_A32_SUPER_PROG : std_logic_vector(5 DOWNTO 0):="001110"; CONSTANT AM_A32_SUPER_DAT : std_logic_vector(5 DOWNTO 0):="001101"; CONSTANT AM_A32_SUPER_MBLT : std_logic_vector(5 DOWNTO 0):="001100"; CONSTANT AM_A32_NONPRIV_BLT : std_logic_vector(5 DOWNTO 0):="001011"; CONSTANT AM_A32_NONPRIV_PROG : std_logic_vector(5 DOWNTO 0):="001010"; CONSTANT AM_A32_NONPRIV_DAT : std_logic_vector(5 DOWNTO 0):="001001"; CONSTANT AM_A32_NONPRIV_MBLT : std_logic_vector(5 DOWNTO 0):="001000"; SUBTYPE adr_type2 IS string(8 DOWNTO 1); SUBTYPE adr_type IS std_logic_vector(31 DOWNTO 0); SUBTYPE vec4 IS std_logic_vector(3 DOWNTO 0); SUBTYPE am_type IS std_logic_vector(5 DOWNTO 0); SUBTYPE data_type IS std_logic_vector(31 DOWNTO 0); SUBTYPE data_type8 IS string(8 DOWNTO 1); SUBTYPE data_type4 IS string(4 DOWNTO 1); SUBTYPE data_type2 IS string(2 DOWNTO 1); TYPE vme_mon_out_type IS record err : integer; END record; ------------------------------------------------------------------------------------------------------------------ -- vme_sim_mstr ------------------------------------------------------------------------------------------------------------------ TYPE mstr_in_type IS record data : std_logic_vector(31 DOWNTO 0); addr : std_logic_vector(31 DOWNTO 0); dtackn : std_logic; berrn : std_logic; iackin : std_logic; bg3n_in : std_logic; bbsyn : std_logic; asn : std_logic; END record; TYPE mstr_out_type IS record sysresn : std_logic; asn : std_logic; dsan : std_logic; dsbn : std_logic; writen : std_logic; addr : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); am : std_logic_vector(5 DOWNTO 0); iackn : std_logic; iackout : std_logic; brn : std_logic_vector(3 DOWNTO 0); bbsyn : std_logic; berrn : std_logic; END record; PROCEDURE vme_mstr_init ( SIGNAL mstr_out : OUT mstr_out_type ); PROCEDURE vme_mstr_write ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0) ); PROCEDURE vme_mstr_read ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); ex_data : std_logic_vector(31 DOWNTO 0); in_data : OUT std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0); err : OUT integer ) ; PROCEDURE vme_mstr_write64 ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0) ); PROCEDURE vme_mstr_read64 ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); ex_data : std_logic_vector(31 DOWNTO 0); in_data : OUT std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0); err : OUT integer ) ; ------------------------------------------------------------------------------------------------------------------ -- vme_sim_slv ------------------------------------------------------------------------------------------------------------------ TYPE vme_slv_in_type IS record conf_req : boolean; -- changes on signal will call vme_sim_slv subfunctions req_type : integer; -- if set to 0 during conf_req state changes, write request to iram is requested -- if set to 1 during conf_req state changes, read request from iram is requested -- if set to 2 during conf_req state changes, interrupt request will be set to active -- if set to 3 during conf_req state changes, address modifier of last access to slave is requested adr : std_logic_vector(31 DOWNTO 0); -- address for config read write access wr_dat : std_logic_vector(31 DOWNTO 0); -- write data to iram irq : integer range 7 DOWNTO 0; END record; TYPE vme_slv_out_type IS record conf_ack : boolean; -- if conf_req has changed state, subfunction end will result in conf_ack state change rd_dat : std_logic_vector(31 DOWNTO 0); -- read data to iram irq : std_logic_vector(7 DOWNTO 1); rd_am : std_logic_vector(5 downto 0); -- address modifier of last access END record; TYPE mem_entry; TYPE entry_ptr IS access mem_entry; TYPE mem_entry IS record address : integer; data : std_logic_vector(31 DOWNTO 0); nxt : entry_ptr; END record; TYPE head IS record num_entries : integer; list_ptr : entry_ptr; END record; TYPE head_ptr IS access head; PROCEDURE wr_data ( CONSTANT location : IN integer; CONSTANT data : IN std_logic_vector; CONSTANT byte : IN std_logic_vector(3 DOWNTO 0); VARIABLE first : INOUT head_ptr ); PROCEDURE rd_data ( CONSTANT location : IN integer; VARIABLE data : OUT std_logic_vector; VARIABLE allocated : OUT boolean; VARIABLE first : INOUT head_ptr ); PROCEDURE rd_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; adr : IN std_logic_vector(31 DOWNTO 0); dat : OUT std_logic_vector(31 DOWNTO 0) ); PROCEDURE wr_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; adr : IN std_logic_vector(31 DOWNTO 0); dat : IN std_logic_vector(31 DOWNTO 0) ) ; PROCEDURE am_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; am : OUT std_logic_vector(5 DOWNTO 0) ) ; PROCEDURE init_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type ) ; PROCEDURE irq_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; irq : IN integer range 7 DOWNTO 0; dat : IN std_logic_vector(7 DOWNTO 0) ) ; ------------------------------------CONSTANTS---------------------------- FUNCTION hex_to_bit_vect (char_code : character) RETURN vec4; FUNCTION conv_addr (addr : adr_type2) RETURN adr_type; FUNCTION conv_data2 (data : data_type2; adr : adr_type) RETURN data_type; FUNCTION conv_data4 (data : data_type4; adr : adr_type) RETURN data_type; FUNCTION conv_data8 (data : data_type8) RETURN data_type; FUNCTION conv_am (data : data_type2) RETURN am_type; FUNCTION TO_HEX_STRING(val : std_logic_vector) RETURN string; FUNCTION hex_to_character (hex_value : std_logic_vector(3 downto 0)) RETURN character; PROCEDURE print(txt_out: IN integer; s: in string); END vme_sim_pack; ----------------------------------------------------------------------------------------------- PACKAGE BODY vme_sim_pack IS PROCEDURE print(txt_out: IN integer; s: in string) is variable l: line; BEGIN IF txt_out > 2 THEN write(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); write(l, s); writeline(output,l); END IF; END print; -------------------------------------------------------------------------------------------- PROCEDURE vme_mstr_init ( SIGNAL mstr_out : OUT mstr_out_type ) IS BEGIN mstr_out.sysresn <= '0'; mstr_out.asn <= 'H'; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; mstr_out.writen <= 'H'; mstr_out.addr <= (OTHERS => 'H'); mstr_out.data <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.iackn <= 'H'; mstr_out.iackout <= 'H'; mstr_out.brn <= (OTHERS => 'H'); mstr_out.bbsyn <= 'H'; mstr_out.berrn <= 'H'; WAIT FOR 10 ns; mstr_out.sysresn <= 'H'; END PROCEDURE vme_mstr_init; -------------------------------------------------------------------------------------------- PROCEDURE vme_mstr_write ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0) ) IS VARIABLE dsan : std_logic; VARIABLE dsbn : std_logic; VARIABLE vme_adr : std_logic_vector(31 DOWNTO 0); VARIABLE dat_out : std_logic_vector(31 DOWNTO 0); VARIABLE cnt : integer; VARIABLE time_dat_changed : time; BEGIN print(txt_out, "VME_SIM_MSTR: do we have bus arbitration?"); IF mstr_in.bg3n_in /= '0' THEN mstr_out.brn <= "0HHH"; -- request bus WAIT until falling_edge(mstr_in.bg3n_in); -- wait until bus grant END IF; print(txt_out, "VME_SIM_MSTR: wait until prior access has finished"); IF mstr_in.bbsyn = '0' THEN WAIT until rising_edge(mstr_in.bbsyn); END IF; -- occupy bus mstr_out.bbsyn <= '0', 'H' AFTER 90 ns; -- prepare cnt := 0; vme_adr := adress; dat_out := (OTHERS => '0'); IF mode = 'b' THEN -- byte access CASE adress(1 DOWNTO 0) IS WHEN "00" => dsan := '1'; -- B0 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn dat_out(15 DOWNTO 8) := data(7 DOWNTO 0); WHEN "01" => dsan := '0'; -- B1 dsbn := '1'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn dat_out(7 DOWNTO 0) := data(15 DOWNTO 8); WHEN "10" => dsan := '1'; -- B2 dsbn := '0'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn dat_out(15 DOWNTO 8) := data(23 DOWNTO 16); WHEN "11" => dsan := '0'; -- B3 dsbn := '1'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn dat_out(7 DOWNTO 0) := data(31 DOWNTO 24); WHEN OTHERS => dsan := '1'; dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn dat_out(15 DOWNTO 8) := data(7 DOWNTO 0); END CASE; ELSIF mode = 'w' THEN -- word access IF adress(1) = '0' THEN dsan := '0'; -- B0,B1 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn dat_out(15 DOWNTO 8) := data(7 DOWNTO 0); dat_out(7 DOWNTO 0) := data(15 DOWNTO 8); ELSE dsan := '0'; -- B2, B3 dsbn := '0'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn dat_out(15 DOWNTO 8) := data(23 DOWNTO 16); dat_out(7 DOWNTO 0) := data(31 DOWNTO 24); END IF; ELSE -- long access (mode='l') dsan := '0'; -- B0, B1, B2, B3 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '0'; --lwordn dat_out(31 DOWNTO 24) := data(7 DOWNTO 0); dat_out(23 DOWNTO 16) := data(15 DOWNTO 8); dat_out(15 DOWNTO 8) := data(23 DOWNTO 16); dat_out(7 DOWNTO 0) := data(31 DOWNTO 24); END IF; print(txt_out, "VME_SIM_MSTR: start of vme access"); print(txt_out, "VME_SIM_MSTR: address phase"); mstr_out.addr <= vme_adr; mstr_out.am <= tga; mstr_out.writen <= '0'; WAIT FOR 40 ns; mstr_out.asn <= '0'; WAIT FOR 5 ns; mstr_out.brn <= "HHHH"; -- release bus arbitration print(txt_out, "VME_SIM_MSTR: data phase"); dat_phase: LOOP mstr_out.data <= dat_out; WAIT FOR 35 ns; mstr_out.addr <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn"); IF txt_out > 1 THEN print_mtest("VME_MSTR: WRITE ", adress, dat_out, dat_out, TRUE); END IF; WAIT FOR 1 ns; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; cnt := cnt + 1; IF cnt < number THEN -- burst dat_out := dat_out + 1; mstr_out.data <= dat_out; ELSE mstr_out.data <= (OTHERS => 'H'); mstr_out.asn <= 'H'; END IF; time_dat_changed := now; -- WAIT until rising_edge(mstr_in.dtackn); -- WAIT FOR 1 ns; -- mstr_out.asn <= 'H'; IF cnt = number THEN exit dat_phase; END IF; IF time_dat_changed > 35 ns THEN next dat_phase; ELSE WAIT FOR (35 ns - time_dat_changed); next dat_phase; END IF; END LOOP; END PROCEDURE vme_mstr_write; -------------------------------------------------------------------------------------------- PROCEDURE vme_mstr_write64 ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0) ) IS VARIABLE dsan : std_logic; VARIABLE dsbn : std_logic; VARIABLE vme_adr : std_logic_vector(31 DOWNTO 0); VARIABLE dat_out : std_logic_vector(31 DOWNTO 0); VARIABLE cnt : integer; VARIABLE time_dat_changed : time; BEGIN print(txt_out, "VME_SIM_MSTR: do we have bus arbitration?"); IF mstr_in.bg3n_in /= '0' THEN mstr_out.brn <= "0HHH"; -- request bus WAIT until falling_edge(mstr_in.bg3n_in); -- wait until bus grant END IF; print(txt_out, "VME_SIM_MSTR: wait until prior access has finished"); IF mstr_in.bbsyn = '0' THEN WAIT until rising_edge(mstr_in.bbsyn); END IF; -- occupy bus mstr_out.bbsyn <= '0', 'H' AFTER 90 ns; -- prepare cnt := 0; vme_adr := adress; dat_out := (OTHERS => '0'); -- mode = 'd' dsan := '0'; -- B0, B1, B2, B3 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '0'; --lwordn dat_out(31 DOWNTO 24) := data(7 DOWNTO 0); dat_out(23 DOWNTO 16) := data(15 DOWNTO 8); dat_out(15 DOWNTO 8) := data(23 DOWNTO 16); dat_out(7 DOWNTO 0) := data(31 DOWNTO 24); print(txt_out, "VME_SIM_MSTR: start of vme access"); print(txt_out, "VME_SIM_MSTR: address phase"); mstr_out.addr <= vme_adr; mstr_out.am <= tga; mstr_out.writen <= '0'; WAIT FOR 40 ns; mstr_out.asn <= '0'; WAIT FOR 5 ns; mstr_out.brn <= "HHHH"; -- release bus arbitration print(txt_out, "VME_SIM_MSTR: address phase"); mstr_out.data <= (OTHERS => '0'); -- no data in first d64 phase: address phase WAIT FOR 35 ns; mstr_out.addr <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn FOR address phase"); WAIT FOR 1 ns; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; WAIT until rising_edge(mstr_in.dtackn); WAIT FOR 1 ns; print(txt_out, "VME_SIM_MSTR: data phase"); dat_phase: LOOP vme_adr:= NOT dat_out; mstr_out.data <= dat_out; mstr_out.addr <= vme_adr; WAIT FOR 35 ns; mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn"); IF txt_out > 1 THEN print_mtest("VME_MSTR: WRITE ", adress, (vme_adr & dat_out), (vme_adr & dat_out), TRUE); END IF; WAIT FOR 1 ns; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; cnt := cnt + 1; IF cnt < number THEN -- burst dat_out := dat_out + 1; mstr_out.data <= dat_out; mstr_out.addr <= vme_adr; ELSE mstr_out.data <= (OTHERS => 'H'); mstr_out.addr <= (OTHERS => 'H'); mstr_out.asn <= 'H'; END IF; time_dat_changed := now; -- WAIT until rising_edge(mstr_in.dtackn); -- WAIT FOR 1 ns; -- mstr_out.asn <= 'H'; IF cnt = number THEN exit dat_phase; END IF; IF time_dat_changed > 35 ns THEN next dat_phase; ELSE WAIT FOR (35 ns - time_dat_changed); next dat_phase; END IF; END LOOP; END PROCEDURE vme_mstr_write64; -------------------------------------------------------------------------------------------- PROCEDURE vme_mstr_read ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); ex_data : std_logic_vector(31 DOWNTO 0); in_data : OUT std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0); err : OUT integer ) IS VARIABLE dsan : std_logic; VARIABLE dsbn : std_logic; VARIABLE vme_adr : std_logic_vector(31 DOWNTO 0); VARIABLE dat_in : std_logic_vector(31 DOWNTO 0); VARIABLE cnt : integer; VARIABLE time_dat_changed : time; VARIABLE dat_phase_err : integer; VARIABLE loc_err : integer; VARIABLE expected : std_logic_vector(31 DOWNTO 0); BEGIN dat_phase_err := 0; loc_err := 0; expected := ex_data; print(txt_out, "VME_SIM_MSTR: do we have bus arbitration?"); IF mstr_in.bg3n_in /= '0' THEN mstr_out.brn <= "0HHH"; -- request bus WAIT until falling_edge(mstr_in.bg3n_in); -- wait until bus grant END IF; print(txt_out, "VME_SIM_MSTR: wait until prior access has finished"); -- IF mstr_in.bbsyn = '0' THEN -- WAIT until rising_edge(mstr_in.bbsyn); -- END IF; IF mstr_in.asn = '0' THEN WAIT until rising_edge(mstr_in.asn); END IF; IF mstr_in.asn'LAST_EVENT < 40 ns AND mstr_in.asn /= '0' THEN WAIT FOR (40 ns - mstr_in.asn'LAST_EVENT); END IF; -- occupy bus mstr_out.bbsyn <= '0', 'H' AFTER 90 ns; -- prepare cnt := 0; vme_adr := adress; expected := (OTHERS => '0'); mstr_out.iackn <= 'H'; IF mode = 'b' OR mode = 'i' THEN -- byte access IF mode = 'i' THEN mstr_out.iackn <= '0'; -- indicate iack cycle END IF; CASE adress(1 DOWNTO 0) IS WHEN "00" => dsan := '1'; -- B0 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn expected(15 DOWNTO 8) := ex_data(7 DOWNTO 0); WHEN "01" => dsan := '0'; -- B1 dsbn := '1'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn expected(7 DOWNTO 0) := ex_data(15 DOWNTO 8); WHEN "10" => dsan := '1'; -- B2 dsbn := '0'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn expected(15 DOWNTO 8) := ex_data(23 DOWNTO 16); WHEN "11" => dsan := '0'; -- B3 dsbn := '1'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn expected(7 DOWNTO 0) := ex_data(31 DOWNTO 24); WHEN OTHERS => dsan := '1'; dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn expected(15 DOWNTO 8) := ex_data(7 DOWNTO 0); END CASE; ELSIF mode = 'w' THEN -- word access IF adress(1) = '0' THEN dsan := '0'; -- B0,B1 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '1'; --lwordn expected(15 DOWNTO 8) := ex_data(7 DOWNTO 0); expected(7 DOWNTO 0) := ex_data(15 DOWNTO 8); ELSE dsan := '0'; -- B2, B3 dsbn := '0'; vme_adr(1) := '1'; vme_adr(0) := '1'; --lwordn expected(15 DOWNTO 8) := ex_data(23 DOWNTO 16); expected(7 DOWNTO 0) := ex_data(31 DOWNTO 24); END IF; ELSE -- long access (mode='l') dsan := '0'; -- B0, B1, B2, B3 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '0'; --lwordn expected(31 DOWNTO 24) := ex_data(7 DOWNTO 0); expected(23 DOWNTO 16) := ex_data(15 DOWNTO 8); expected(15 DOWNTO 8) := ex_data(23 DOWNTO 16); expected(7 DOWNTO 0) := ex_data(31 DOWNTO 24); END IF; print(txt_out, "VME_SIM_MSTR: start of vme access"); print(txt_out, "VME_SIM_MSTR: address phase"); mstr_out.addr <= vme_adr; mstr_out.am <= tga; mstr_out.writen <= '1'; WAIT FOR 40 ns; mstr_out.asn <= '0'; WAIT FOR 5 ns; mstr_out.brn <= "HHHH"; -- release bus arbitration print(txt_out, "VME_SIM_MSTR: data phase"); dat_phase: LOOP dat_phase_err := 0; WAIT FOR 35 ns; mstr_out.addr <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn"); WAIT FOR 1 ns; dat_in := mstr_in.data; IF mode = 'b' OR mode = 'i' THEN IF adress(1 DOWNTO 0) = "01" AND dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) THEN dat_phase_err := dat_phase_err + 1; ELSIF adress(1 DOWNTO 0) = "00" AND dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8) THEN dat_phase_err := dat_phase_err + 1; ELSIF adress(1 DOWNTO 0) = "11" AND dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) THEN dat_phase_err := dat_phase_err + 1; ELSIF adress(1 DOWNTO 0) = "10" AND dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8) THEN dat_phase_err := dat_phase_err + 1; END IF; ELSIF mode = 'w' THEN IF adress(1) = '0' AND (dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8)) THEN dat_phase_err := dat_phase_err + 1; ELSIF adress(1) = '1' AND (dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8)) THEN dat_phase_err := dat_phase_err + 1; END IF; -- ELSIF mode = 'y' THEN -- d64 -- IF dat_in2(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR -- dat_in2(15 DOWNTO 8) /= expected(15 DOWNTO 8) OR -- dat_in2(23 DOWNTO 16) /= expected(23 DOWNTO 16) OR -- dat_in2(31 DOWNTO 24) /= expected(31 DOWNTO 24) THEN -- dat_phase_err := dat_phase_err + 1; -- END IF; -- expected := expected + 1; -- IF dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR -- dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8) OR -- dat_in(23 DOWNTO 16) /= expected(23 DOWNTO 16) OR -- dat_in(31 DOWNTO 24) /= expected(31 DOWNTO 24) THEN -- dat_phase_err := dat_phase_err + 1; -- END IF; ELSE -- mode = 'l' IF dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8) OR dat_in(23 DOWNTO 16) /= expected(23 DOWNTO 16) OR dat_in(31 DOWNTO 24) /= expected(31 DOWNTO 24) THEN dat_phase_err := dat_phase_err + 1; END IF; END IF; IF txt_out > 0 AND dat_phase_err > 0 THEN print_mtest("VME_MSTR: READ ", adress, dat_in, expected, FALSE); END IF; IF txt_out > 1 AND dat_phase_err = 0 THEN print_mtest("VME_MSTR: READ ", adress, dat_in, expected, TRUE); END IF; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; mstr_out.iackn <= 'H'; cnt := cnt + 1; IF cnt < number THEN -- burst expected := expected + 1; ELSE mstr_out.asn <= 'H'; END IF; time_dat_changed := now; WAIT until rising_edge(mstr_in.dtackn); WAIT FOR 1 ns; loc_err := loc_err + dat_phase_err; err := loc_err; IF cnt = number THEN mstr_out.asn <= 'H'; exit dat_phase; END IF; IF time_dat_changed > 35 ns THEN next dat_phase; ELSE WAIT FOR (35 ns - time_dat_changed); next dat_phase; END IF; END LOOP; END PROCEDURE vme_mstr_read; -------------------------------------------------------------------------------------------- PROCEDURE vme_mstr_read64 ( SIGNAL mstr_out : OUT mstr_out_type; SIGNAL mstr_in : IN mstr_in_type; adress : std_logic_vector(31 DOWNTO 0); ex_data : std_logic_vector(31 DOWNTO 0); in_data : OUT std_logic_vector(31 DOWNTO 0); mode : character; txt_out : integer; -- 0=quiet, 1=only errors, 2=all number : integer; tga : std_logic_vector(5 DOWNTO 0); err : OUT integer ) IS VARIABLE dsan : std_logic; VARIABLE dsbn : std_logic; VARIABLE vme_adr : std_logic_vector(31 DOWNTO 0); VARIABLE dat_in : std_logic_vector(63 DOWNTO 0); VARIABLE cnt : integer; VARIABLE time_dat_changed : time; VARIABLE dat_phase_err : integer; VARIABLE loc_err : integer; VARIABLE expected : std_logic_vector(63 DOWNTO 0); BEGIN dat_phase_err := 0; loc_err := 0; expected(31 DOWNTO 0) := ex_data; print(txt_out, "VME_SIM_MSTR: do we have bus arbitration?"); IF mstr_in.bg3n_in /= '0' THEN mstr_out.brn <= "0HHH"; -- request bus WAIT until falling_edge(mstr_in.bg3n_in); -- wait until bus grant END IF; print(txt_out, "VME_SIM_MSTR: wait until prior access has finished"); IF mstr_in.bbsyn = '0' THEN WAIT until rising_edge(mstr_in.bbsyn); END IF; -- occupy bus mstr_out.bbsyn <= '0', 'H' AFTER 90 ns; -- prepare cnt := 0; vme_adr := adress; expected := (OTHERS => '0'); -- 64-bit access dsan := '0'; -- B0, B1, B2, B3, B4, B5, B6 dsbn := '0'; vme_adr(1) := '0'; vme_adr(0) := '0'; --lwordn expected(31 DOWNTO 24) := ex_data(7 DOWNTO 0); expected(23 DOWNTO 16) := ex_data(15 DOWNTO 8); expected(15 DOWNTO 8) := ex_data(23 DOWNTO 16); expected(7 DOWNTO 0) := ex_data(31 DOWNTO 24); expected(63 DOWNTO 32) := NOT expected(31 DOWNTO 0); print(txt_out, "VME_SIM_MSTR: start of vme access"); print(txt_out, "VME_SIM_MSTR: address phase"); mstr_out.addr <= vme_adr; mstr_out.am <= tga; mstr_out.writen <= '1'; WAIT FOR 40 ns; mstr_out.asn <= '0'; WAIT FOR 5 ns; mstr_out.brn <= "HHHH"; -- release bus arbitration print(txt_out, "VME_SIM_MSTR: address phase"); WAIT FOR 35 ns; mstr_out.addr <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn FOR address phase"); WAIT FOR 1 ns; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; WAIT until rising_edge(mstr_in.dtackn); WAIT FOR 1 ns; print(txt_out, "VME_SIM_MSTR: data phase"); dat_phase: LOOP dat_phase_err := 0; WAIT FOR 35 ns; mstr_out.addr <= (OTHERS => 'H'); mstr_out.am <= (OTHERS => 'H'); mstr_out.writen <= 'H'; mstr_out.dsan <= dsan; mstr_out.dsbn <= dsbn; WAIT until falling_edge(mstr_in.dtackn); print(txt_out, "VME_SIM_MSTR: got dtackn"); WAIT FOR 1 ns; dat_in := mstr_in.addr & mstr_in.data; IF dat_in(7 DOWNTO 0) /= expected(7 DOWNTO 0) OR dat_in(15 DOWNTO 8) /= expected(15 DOWNTO 8) OR dat_in(23 DOWNTO 16) /= expected(23 DOWNTO 16) OR dat_in(31 DOWNTO 24) /= expected(31 DOWNTO 24) OR dat_in(39 DOWNTO 32) /= expected(39 DOWNTO 32) OR dat_in(47 DOWNTO 40) /= expected(47 DOWNTO 40) OR dat_in(55 DOWNTO 48) /= expected(55 DOWNTO 48) OR dat_in(63 DOWNTO 56) /= expected(63 DOWNTO 56) THEN dat_phase_err := dat_phase_err + 1; END IF; IF txt_out > 0 AND dat_phase_err > 0 THEN print_mtest("VME_MSTR: READ ", adress, dat_in, expected, FALSE); END IF; IF txt_out > 1 AND dat_phase_err = 0 THEN print_mtest("VME_MSTR: READ ", adress, dat_in, expected, TRUE); END IF; mstr_out.dsan <= 'H'; mstr_out.dsbn <= 'H'; cnt := cnt + 1; IF cnt < number THEN -- burst expected(31 DOWNTO 0) := expected(31 DOWNTO 0) + 1; expected(63 DOWNTO 32) := NOT expected(31 DOWNTO 0); ELSE mstr_out.asn <= 'H'; END IF; time_dat_changed := now; WAIT until rising_edge(mstr_in.dtackn); WAIT FOR 1 ns; loc_err := loc_err + dat_phase_err; err := loc_err; IF cnt = number THEN mstr_out.asn <= 'H'; exit dat_phase; END IF; IF time_dat_changed > 35 ns THEN next dat_phase; ELSE WAIT FOR (35 ns - time_dat_changed); next dat_phase; END IF; END LOOP; END PROCEDURE vme_mstr_read64; -------------------------------------------------------------------------------------------- PROCEDURE init_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type ) IS BEGIN vme_slv_in.req_type <= 0; vme_slv_in.wr_dat <= (OTHERS => '0'); vme_slv_in.adr <= (OTHERS => '0'); vme_slv_in.conf_req <= FALSE; vme_slv_in.irq <= 0; END PROCEDURE init_vme_slv; -------------------------------------------------------------------------------------------- PROCEDURE irq_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; irq : IN integer range 7 DOWNTO 0; dat : IN std_logic_vector(7 DOWNTO 0) ) IS BEGIN vme_slv_in.req_type <= 2; vme_slv_in.irq <= irq; vme_slv_in.wr_dat(7 DOWNTO 0) <= dat; vme_slv_in.conf_req <= NOT vme_slv_out.conf_ack; WAIT on vme_slv_out.conf_ack; END PROCEDURE irq_vme_slv; -------------------------------------------------------------------------------------------- PROCEDURE wr_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; adr : IN std_logic_vector(31 DOWNTO 0); dat : IN std_logic_vector(31 DOWNTO 0) ) IS BEGIN vme_slv_in.req_type <= 0; vme_slv_in.wr_dat <= dat; vme_slv_in.adr <= adr; vme_slv_in.conf_req <= NOT vme_slv_out.conf_ack; WAIT on vme_slv_out.conf_ack; END PROCEDURE wr_vme_slv; -------------------------------------------------------------------------------------------- PROCEDURE am_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; am : OUT std_logic_vector(5 DOWNTO 0) ) is BEGIN vme_slv_in.req_type <= 3; vme_slv_in.conf_req <= NOT vme_slv_out.conf_ack; WAIT on vme_slv_out.conf_ack; am := vme_slv_out.rd_am; END PROCEDURE am_vme_slv; -------------------------------------------------------------------------------------------- PROCEDURE rd_vme_slv ( SIGNAL vme_slv_in : OUT vme_slv_in_type; SIGNAL vme_slv_out : IN vme_slv_out_type; adr : IN std_logic_vector(31 DOWNTO 0); dat : OUT std_logic_vector(31 DOWNTO 0) ) IS BEGIN vme_slv_in.req_type <= 1; vme_slv_in.adr <= adr; vme_slv_in.conf_req <= NOT vme_slv_out.conf_ack; WAIT on vme_slv_out.conf_ack; dat := vme_slv_out.rd_dat; END PROCEDURE rd_vme_slv; -------------------------------------------------------------------------------------------- PROCEDURE wr_data ( CONSTANT location : IN integer; CONSTANT data : IN std_logic_vector; CONSTANT byte : IN std_logic_vector(3 DOWNTO 0); VARIABLE first : INOUT head_ptr ) IS VARIABLE temp_ptr : entry_ptr; VARIABLE new_ptr : entry_ptr; VARIABLE prev_ptr : entry_ptr; VARIABLE done : boolean:=FALSE; BEGIN done:= FALSE; -- set done to true when allocation occurs IF first.num_entries = 0 THEN -- first access to memory first.list_ptr := new mem_entry; first.num_entries := 1; first.list_ptr.address := location; IF byte(0) = '1' THEN first.list_ptr.data(7 DOWNTO 0) := data(7 DOWNTO 0); END IF; IF byte(1) = '1' THEN first.list_ptr.data(15 DOWNTO 8) := data(15 DOWNTO 8); END IF; IF byte(2) = '1' THEN first.list_ptr.data(23 DOWNTO 16) := data(23 DOWNTO 16); END IF; IF byte(3) = '1' THEN first.list_ptr.data(31 DOWNTO 24) := data(31 DOWNTO 24); END IF; first.list_ptr.nxt := null; done := TRUE; ELSIF location < first.list_ptr.address THEN -- address is lowest value so far in allocation to put at head of list new_ptr := new mem_entry; IF byte(0) = '1' THEN new_ptr.data(7 DOWNTO 0) := data(7 DOWNTO 0); END IF; IF byte(1) = '1' THEN new_ptr.data(15 DOWNTO 8) := data(15 DOWNTO 8); END IF; IF byte(2) = '1' THEN new_ptr.data(23 DOWNTO 16) := data(23 DOWNTO 16); END IF; IF byte(3) = '1' THEN new_ptr.data(31 DOWNTO 24) := data(31 DOWNTO 24); END IF; new_ptr.nxt := first.list_ptr; new_ptr.address := location; first.list_ptr := new_ptr; first.num_entries := first.num_entries + 1; done := TRUE; ELSE -- location must be >= first.list_ptr.address temp_ptr := first.list_ptr; while temp_ptr /= null AND NOT done LOOP IF temp_ptr.address = location THEN -- address already allocated IF byte(0) = '1' THEN temp_ptr.data(7 DOWNTO 0) := data(7 DOWNTO 0); END IF; IF byte(1) = '1' THEN temp_ptr.data(15 DOWNTO 8) := data(15 DOWNTO 8); END IF; IF byte(2) = '1' THEN temp_ptr.data(23 DOWNTO 16) := data(23 DOWNTO 16); END IF; IF byte(3) = '1' THEN temp_ptr.data(31 DOWNTO 24) := data(31 DOWNTO 24); END IF; done := TRUE; ELSIF temp_ptr.address > location THEN new_ptr := new mem_entry; new_ptr.address := location; IF byte(0) = '1' THEN new_ptr.data(7 DOWNTO 0) := data(7 DOWNTO 0); END IF; IF byte(1) = '1' THEN new_ptr.data(15 DOWNTO 8) := data(15 DOWNTO 8); END IF; IF byte(2) = '1' THEN new_ptr.data(23 DOWNTO 16) := data(23 DOWNTO 16); END IF; IF byte(3) = '1' THEN new_ptr.data(31 DOWNTO 24) := data(31 DOWNTO 24); END IF; new_ptr.nxt := temp_ptr; prev_ptr.nxt := new_ptr; -- break pointer chain and insert new_ptr first.num_entries := first.num_entries + 1; done := TRUE; ELSE prev_ptr := temp_ptr; temp_ptr := temp_ptr.nxt; END IF; END LOOP; IF NOT done THEN new_ptr := new mem_entry; new_ptr.address := location; IF byte(0) = '1' THEN new_ptr.data(7 DOWNTO 0) := data(7 DOWNTO 0); END IF; IF byte(1) = '1' THEN new_ptr.data(15 DOWNTO 8) := data(15 DOWNTO 8); END IF; IF byte(2) = '1' THEN new_ptr.data(23 DOWNTO 16) := data(23 DOWNTO 16); END IF; IF byte(3) = '1' THEN new_ptr.data(31 DOWNTO 24) := data(31 DOWNTO 24); END IF; new_ptr.nxt := null; -- add new_ptr TO END OF chain prev_ptr.nxt := new_ptr; first.num_entries := first.num_entries + 1; done := TRUE; END IF; END IF; WAIT FOR 0 ns; END wr_data; -------------------------------------------------------------------------------------------- PROCEDURE rd_data ( CONSTANT location : IN integer; VARIABLE data : OUT std_logic_vector; VARIABLE allocated : OUT boolean; VARIABLE first : INOUT head_ptr ) IS VARIABLE temp_ptr : entry_ptr; VARIABLE is_allocated : boolean; BEGIN -- set allocated to true when read hits already allocated spot is_allocated := FALSE; IF (first.list_ptr /= null AND first.num_entries /= 0 AND location >= first.list_ptr.address) THEN temp_ptr := first.list_ptr; while (temp_ptr /= null AND NOT is_allocated AND location >= temp_ptr.address) LOOP IF temp_ptr.address = location THEN -- address has been allocated data := temp_ptr.data; is_allocated := TRUE; ELSE temp_ptr := temp_ptr.nxt; END IF; END LOOP; END IF; IF NOT is_allocated THEN data := (data'range => '1'); END IF; allocated := is_allocated; WAIT FOR 0 ns; END rd_data; FUNCTION hex_to_character (hex_value : std_logic_vector(3 downto 0)) return character is begin case hex_value is when "0000" => return '0'; when "0001" => return '1'; when "0010" => return '2'; when "0011" => return '3'; when "0100" => return '4'; when "0101" => return '5'; when "0110" => return '6'; when "0111" => return '7'; when "1000" => return '8'; when "1001" => return '9'; when "1010" => return 'A'; when "1011" => return 'B'; when "1100" => return 'C'; when "1101" => return 'D'; when "1110" => return 'E'; when "1111" => return 'F'; when "ZZZZ" => return 'Z'; when others => return 'U'; end case; end hex_to_character; -------------------------------------------------------------------------------- -- the function can take multiple of 4 bits, upto 32 bits as input function TO_HEX_STRING(val : std_logic_vector) return string is variable temp : string(VAL'length / 4 downto 1); alias valalias : std_logic_vector(VAL'length-1 downto 0) is val; variable val32 : std_logic_vector(31 downto 0); variable num : integer; begin -- temp := " "; val32 := (others => '0'); val32(val'length-1 downto 0) := valalias; for i in 1 to VAL'length / 4 loop temp(i) := ' '; temp(i) := hex_to_character(val32(i*4-1 downto i*4-4)); end loop; return temp; end TO_HEX_STRING; -------------------------------------------------------------------------------- FUNCTION hex_to_bit_vect (char_code : character) RETURN vec4 IS VARIABLE result : std_logic_vector(3 DOWNTO 0); BEGIN CASE char_code IS WHEN '0' => result := "0000"; WHEN '1' => result := "0001"; WHEN '2' => result := "0010"; WHEN '3' => result := "0011"; WHEN '4' => result := "0100"; WHEN '5' => result := "0101"; WHEN '6' => result := "0110"; WHEN '7' => result := "0111"; WHEN '8' => result := "1000"; WHEN '9' => result := "1001"; WHEN 'a' => result := "1010"; WHEN 'b' => result := "1011"; WHEN 'c' => result := "1100"; WHEN 'd' => result := "1101"; WHEN 'e' => result := "1110"; WHEN 'f' => result := "1111"; WHEN OTHERS => result := "0000"; END CASE; RETURN result; END hex_to_bit_vect; FUNCTION conv_addr (addr : adr_type2) RETURN adr_type IS VARIABLE result : std_logic_vector(31 DOWNTO 0); BEGIN result(3 DOWNTO 0) := hex_to_bit_vect(addr(1)); result(7 DOWNTO 4) := hex_to_bit_vect(addr(2)); result(11 DOWNTO 8) := hex_to_bit_vect(addr(3)); result(15 DOWNTO 12) := hex_to_bit_vect(addr(4)); result(19 DOWNTO 16) := hex_to_bit_vect(addr(5)); result(23 DOWNTO 20) := hex_to_bit_vect(addr(6)); result(27 DOWNTO 24) := hex_to_bit_vect(addr(7)); result(31 DOWNTO 28) := hex_to_bit_vect(addr(8)); RETURN result; END conv_addr; FUNCTION conv_data2 (data : data_type2; adr : adr_type) RETURN data_type IS VARIABLE result : std_logic_vector(31 DOWNTO 0); BEGIN result := (OTHERS => '0'); CASE adr(1 DOWNTO 0) IS WHEN "00" => result(3 DOWNTO 0) := hex_to_bit_vect(data(1)); result(7 DOWNTO 4) := hex_to_bit_vect(data(2)); WHEN "01" => result(11 DOWNTO 8) := hex_to_bit_vect(data(1)); result(15 DOWNTO 12) := hex_to_bit_vect(data(2)); WHEN "10" => result(19 DOWNTO 16) := hex_to_bit_vect(data(1)); result(23 DOWNTO 20) := hex_to_bit_vect(data(2)); WHEN OTHERS => result(27 DOWNTO 24) := hex_to_bit_vect(data(1)); result(31 DOWNTO 28) := hex_to_bit_vect(data(2)); END CASE; RETURN result; END conv_data2; FUNCTION conv_am (data : data_type2) RETURN am_type IS VARIABLE result : std_logic_vector(7 DOWNTO 0); BEGIN result(3 DOWNTO 0) := hex_to_bit_vect(data(1)); result(7 DOWNTO 4) := hex_to_bit_vect(data(2)); RETURN result(5 DOWNTO 0); END conv_am; FUNCTION conv_data4 (data : data_type4; adr : adr_type) RETURN data_type IS VARIABLE result : std_logic_vector(31 DOWNTO 0); BEGIN result := (OTHERS => '0'); CASE adr(1) IS WHEN '0' => result(3 DOWNTO 0) := hex_to_bit_vect(data(1)); result(7 DOWNTO 4) := hex_to_bit_vect(data(2)); result(11 DOWNTO 8) := hex_to_bit_vect(data(3)); result(15 DOWNTO 12) := hex_to_bit_vect(data(4)); WHEN OTHERS => result(19 DOWNTO 16) := hex_to_bit_vect(data(1)); result(23 DOWNTO 20) := hex_to_bit_vect(data(2)); result(27 DOWNTO 24) := hex_to_bit_vect(data(3)); result(31 DOWNTO 28) := hex_to_bit_vect(data(4)); END CASE; RETURN result; END conv_data4; FUNCTION conv_data8 (data : data_type8) RETURN data_type IS VARIABLE result : std_logic_vector(31 DOWNTO 0); BEGIN result(3 DOWNTO 0) := hex_to_bit_vect(data(1)); result(7 DOWNTO 4) := hex_to_bit_vect(data(2)); result(11 DOWNTO 8) := hex_to_bit_vect(data(3)); result(15 DOWNTO 12) := hex_to_bit_vect(data(4)); result(19 DOWNTO 16) := hex_to_bit_vect(data(5)); result(23 DOWNTO 20) := hex_to_bit_vect(data(6)); result(27 DOWNTO 24) := hex_to_bit_vect(data(7)); result(31 DOWNTO 28) := hex_to_bit_vect(data(8)); RETURN result; END conv_data8; END vme_sim_pack;
gpl-3.0
1ed0ca9ada702a1ce9bce952631b9668
0.460593
3.853016
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/instruct_blk_mem_gen_v7_3.vhd
1
5,957
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file instruct_blk_mem_gen_v7_3.vhd when simulating -- the core, instruct_blk_mem_gen_v7_3. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY instruct_blk_mem_gen_v7_3 IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END instruct_blk_mem_gen_v7_3; ARCHITECTURE instruct_blk_mem_gen_v7_3_a OF instruct_blk_mem_gen_v7_3 IS -- synthesis translate_off COMPONENT wrapped_instruct_blk_mem_gen_v7_3 PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_instruct_blk_mem_gen_v7_3 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 4, c_addrb_width => 4, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "20", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "instruct_blk_mem_gen_v7_3.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 1, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16, c_read_depth_b => 16, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16, c_write_depth_b => 16, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_instruct_blk_mem_gen_v7_3 PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, clkb => clkb, addrb => addrb, doutb => doutb ); -- synthesis translate_on END instruct_blk_mem_gen_v7_3_a;
mit
07d4cb41730fea8aca32ab1a16d10d35
0.539533
3.775032
false
false
false
false
freecores/t48
rtl/vhdl/p2.vhd
1
8,412
------------------------------------------------------------------------------- -- -- The Port 2 unit. -- Implements the Port 2 logic. -- -- $Id: p2.vhd,v 1.9 2006-06-20 00:46:04 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_pack.nibble_t; entity t48_p2 is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; xtal_i : in std_logic; xtal_en_i : in boolean; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; write_p2_i : in boolean; write_exp_i : in boolean; read_p2_i : in boolean; read_reg_i : in boolean; read_exp_i : in boolean; -- Port 2 Interface ------------------------------------------------------- output_pch_i : in boolean; pch_i : in nibble_t; p2_i : in word_t; p2_o : out word_t; p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic ); end t48_p2; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; architecture rtl of t48_p2 is -- the port output register signal p2_q : word_t; -- the low impedance markers signal l_low_imp_q, h_low_imp_q : std_logic; signal en_clk_q : boolean; signal l_low_imp_del_q, h_low_imp_del_q : std_logic; signal output_pch_q : boolean; begin ----------------------------------------------------------------------------- -- Process p2_regs -- -- Purpose: -- Implements the port output and expander registers. -- p2_regs: process (res_i, clk_i) begin if res_i = res_active_c then p2_q <= (others => '1'); l_low_imp_q <= '0'; h_low_imp_q <= '0'; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- default: reset low impedance marker l_low_imp_q <= '0'; h_low_imp_q <= '0'; if write_p2_i then -- write whole P2 p2_q <= data_i; l_low_imp_q <= '1'; h_low_imp_q <= '1'; elsif write_exp_i then -- write lower nibble of P2 p2_q(nibble_t'range) <= data_i(nibble_t'range); l_low_imp_q <= '1'; end if; end if; end if; end process p2_regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process p2_port -- -- Purpose: -- Generates the output byte vector for Port 2. -- It is a synchronous process clocked with XTAL. This ensures that -- P2 data and low impedance markers are free of glitches and stabilize -- during the same clock/machine state. -- On the other hand, P2 is delayed by 1 XTAL cycle. -- p2_port: process (res_i, xtal_i) begin if res_i = res_active_c then p2_o <= (others => '1'); l_low_imp_del_q <= '0'; h_low_imp_del_q <= '0'; output_pch_q <= false; en_clk_q <= false; elsif xtal_i'event and xtal_i = clk_active_c then if xtal_en_i then -- delay clock enable by one XTAL period en_clk_q <= en_clk_i; p2_o <= p2_q; output_pch_q <= output_pch_i; if output_pch_i then p2_o(nibble_t'range) <= pch_i; end if; -- generate low impedance trigger for one XTAL clock period after -- global clock enable when -- a) switching to or from PCH -- b) l_low_imp_q is active if en_clk_q and ((output_pch_q xor output_pch_i) or l_low_imp_q = '1') then l_low_imp_del_q <= '1'; else l_low_imp_del_q <= '0'; end if; -- generate low impedance trigger for on XTAL clock period after -- global clock enable when -- h_low_imp_q is active if en_clk_q and h_low_imp_q = '1' then h_low_imp_del_q <= '1'; else h_low_imp_del_q <= '0'; end if; end if; end if; end process p2_port; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process p2_data -- -- Purpose: -- Generates the T48 bus data. -- p2_data: process (read_p2_i, p2_i, read_reg_i, p2_q, read_exp_i) begin data_o <= (others => bus_idle_level_c); if read_p2_i then if read_reg_i then data_o <= p2_q; elsif read_exp_i then data_o <= "0000" & p2_i(nibble_t'range); else data_o <= p2_i; end if; end if; end process p2_data; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- p2l_low_imp_o <= l_low_imp_del_q; p2h_low_imp_o <= h_low_imp_del_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.8 2005/11/01 21:27:55 arniml -- * change low impedance markers for P2 -- separate marker for low and high part -- * p2_o output is also registered to prevent combinational -- output to pads -- -- Revision 1.7 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.6 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.5 2004/05/17 13:52:46 arniml -- Fix bug "ANL and ORL to P1/P2 read port status instead of port output register" -- -- Revision 1.4 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.3 2004/03/29 19:39:58 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.2 2004/03/28 13:11:43 arniml -- rework Port 2 expander handling -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
961c9799f541ff9fd281400f0e0f378f
0.517713
3.750334
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_cresample_0_0/synth/tutorial_v_cresample_0_0.vhd
1
9,874
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_cresample:4.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_cresample_v4_0; USE v_cresample_v4_0.v_cresample; ENTITY tutorial_v_cresample_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC ); END tutorial_v_cresample_0_0; ARCHITECTURE tutorial_v_cresample_0_0_arch OF tutorial_v_cresample_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_cresample_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_cresample IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_INTC_IF : INTEGER; C_HAS_DEBUG : INTEGER; C_FAMILY : STRING; C_MAX_COLS : INTEGER; C_ACTIVE_COLS : INTEGER; C_ACTIVE_ROWS : INTEGER; C_CHROMA_PARITY : INTEGER; C_FIELD_PARITY : INTEGER; C_INTERLACED : INTEGER; C_NUM_H_TAPS : INTEGER; C_NUM_V_TAPS : INTEGER; C_CONVERT_TYPE : INTEGER; C_COEF_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_cresample; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_v_cresample_0_0_arch: ARCHITECTURE IS "v_cresample,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_cresample_0_0_arch : ARCHITECTURE IS "tutorial_v_cresample_0_0,v_cresample,{v_cresample=hardware_evaluation}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_cresample_0_0_arch: ARCHITECTURE IS "tutorial_v_cresample_0_0,v_cresample,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_cresample,x_ipVersion=4.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,[email protected](hardware_evaluation),C_S_AXIS_VIDEO_DATA_WIDTH=8,C_M_AXIS_VIDEO_DATA_WIDTH=8,C_S_AXIS_VIDEO_TDATA_WIDTH=24,C_M_AXIS_VIDEO_TDATA_WIDTH=16,C_S_AXIS_VIDEO_FORMAT=1,C_M_AXIS_VIDEO_FORMAT=0,C_S_AXI_CLK_FREQ_HZ=100000000,C_HAS_AXI4_LITE=0,C_HAS_INTC_IF=0,C_HAS_DEBUG=0,C_FAMILY=zynq,C_MAX_COLS=1920,C_ACTIVE_COLS=1920,C_ACTIVE_ROWS=1080,C_CHROMA_PARITY=1,C_FIELD_PARITY=1,C_INTERLACED=0,C_NUM_H_TAPS=3,C_NUM_V_TAPS=0,C_CONVERT_TYPE=2,C_COEF_WIDTH=16}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; BEGIN U0 : v_cresample GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_TDATA_WIDTH => 24, C_M_AXIS_VIDEO_TDATA_WIDTH => 16, C_S_AXIS_VIDEO_FORMAT => 1, C_M_AXIS_VIDEO_FORMAT => 0, C_S_AXI_CLK_FREQ_HZ => 100000000, C_HAS_AXI4_LITE => 0, C_HAS_INTC_IF => 0, C_HAS_DEBUG => 0, C_FAMILY => "zynq", C_MAX_COLS => 1920, C_ACTIVE_COLS => 1920, C_ACTIVE_ROWS => 1080, C_CHROMA_PARITY => 1, C_FIELD_PARITY => 1, C_INTERLACED => 0, C_NUM_H_TAPS => 3, C_NUM_V_TAPS => 0, C_CONVERT_TYPE => 2, C_COEF_WIDTH => 16 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tready => s_axis_video_tready, s_axis_video_tuser => s_axis_video_tuser, s_axis_video_tlast => s_axis_video_tlast, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tuser => m_axis_video_tuser, m_axis_video_tlast => m_axis_video_tlast, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_cresample_0_0_arch;
gpl-2.0
03e4fe469dacde1f570ca9f40c80ed56
0.681689
3.227852
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_case_statement_GN4KF5KLTA.vhd
4
1,305
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_case_statement_GN4KF5KLTA is generic ( number_outputs : integer := 5; hasDefault : natural := 1; pipeline : natural := 0; width : integer := 3); port( clock : in std_logic; aclr : in std_logic; input : in std_logic_vector(2 downto 0); r0 : out std_logic; r1 : out std_logic; r2 : out std_logic; r3 : out std_logic; r4 : out std_logic); end entity; architecture rtl of alt_dspbuilder_case_statement_GN4KF5KLTA is begin caseproc:process( input ) begin case input is when "000" => r0 <= '1'; r1 <= '0'; r2 <= '0'; r3 <= '0'; r4 <= '0'; when "001" => r0 <= '0'; r1 <= '1'; r2 <= '0'; r3 <= '0'; r4 <= '0'; when "010" => r0 <= '0'; r1 <= '0'; r2 <= '1'; r3 <= '0'; r4 <= '0'; when "100" => r0 <= '0'; r1 <= '0'; r2 <= '0'; r3 <= '1'; r4 <= '0'; when others => r0 <= '0'; r1 <= '0'; r2 <= '0'; r3 <= '0'; r4 <= '1'; end case; end process; end architecture;
mit
dd94b5c115b11030a3270ade46386e7e
0.501916
2.558824
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_rgb2ycrcb_0_0/sim/tutorial_v_rgb2ycrcb_0_0.vhd
1
9,235
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_rgb2ycrcb:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_rgb2ycrcb_v7_1; USE v_rgb2ycrcb_v7_1.v_rgb2ycrcb; ENTITY tutorial_v_rgb2ycrcb_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser_sof : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser_sof : OUT STD_LOGIC ); END tutorial_v_rgb2ycrcb_0_0; ARCHITECTURE tutorial_v_rgb2ycrcb_0_0_arch OF tutorial_v_rgb2ycrcb_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_rgb2ycrcb_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_rgb2ycrcb IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; c_s_axi_addr_width : INTEGER; c_s_axi_data_width : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_DEBUG : INTEGER; C_HAS_INTC_IF : INTEGER; C_MAX_COLS : INTEGER; C_ACTIVE_COLS : INTEGER; C_ACTIVE_ROWS : INTEGER; C_HAS_CLIP : INTEGER; C_HAS_CLAMP : INTEGER; C_ACOEF : INTEGER; C_BCOEF : INTEGER; C_CCOEF : INTEGER; C_DCOEF : INTEGER; C_YOFFSET : INTEGER; C_CBOFFSET : INTEGER; C_CROFFSET : INTEGER; C_YMAX : INTEGER; C_YMIN : INTEGER; C_CBMAX : INTEGER; C_CBMIN : INTEGER; C_CRMAX : INTEGER; C_CRMIN : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_FAMILY : STRING ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser_sof : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser_sof : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_rgb2ycrcb; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser_sof: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser_sof: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; BEGIN U0 : v_rgb2ycrcb GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_FORMAT => 2, C_S_AXIS_VIDEO_TDATA_WIDTH => 24, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_FORMAT => 1, C_M_AXIS_VIDEO_TDATA_WIDTH => 24, c_s_axi_addr_width => 9, c_s_axi_data_width => 32, C_HAS_AXI4_LITE => 0, C_HAS_DEBUG => 0, C_HAS_INTC_IF => 0, C_MAX_COLS => 1920, C_ACTIVE_COLS => 1920, C_ACTIVE_ROWS => 1080, C_HAS_CLIP => 1, C_HAS_CLAMP => 1, C_ACOEF => 19595, C_BCOEF => 7471, C_CCOEF => 46727, C_DCOEF => 36962, C_YOFFSET => 16, C_CBOFFSET => 128, C_CROFFSET => 128, C_YMAX => 240, C_YMIN => 16, C_CBMAX => 240, C_CBMIN => 16, C_CRMAX => 240, C_CRMIN => 16, C_S_AXI_CLK_FREQ_HZ => 100000000, C_FAMILY => "zynq" ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tready => s_axis_video_tready, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tlast => s_axis_video_tlast, s_axis_video_tuser_sof => s_axis_video_tuser_sof, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser_sof => m_axis_video_tuser_sof, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_rgb2ycrcb_0_0_arch;
gpl-2.0
1cbc819073af7ee78ccb4c7688c5447f
0.659664
3.246046
false
false
false
false
michaelmiehling/A25_VME_TB
16x001-00_src/Source/iram32_sim.vhd
1
48,645
--------------------------------------------------------------- -- Title : Wishbone RAM for simulation -- Project : - --------------------------------------------------------------- -- File : iram32_sim.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 13.12.2007 --------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : - --------------------------------------------------------------- -- Description : -- -- Simulation Model of a dynamic internal 64-bit wide RAM with wishbone slave interface for single and burst accesses. -- -- Features: -- 1. Functions -- This sim-model provides the following functions: conf_iram, wr_iram, rd_iram and deallocate_iram. -- 1.1 conf_iram: configure the following parameters: startdelay of address and data phase, waitstates of address and data -- phase, break delay of address and data phase, enable external waitstate interface -- 1.2 wr_iram: write data directly to the IRAM (the wishbone interface will not be used). -- 1.3 rd_iram: read data directly from the IRAM (the wishbone interface will not be used). -- 1.4 deallocate_iram: free the memory of the IRAM (clear the whole content). The depth of the RAM is 0 afterwards. -- -- 2. Split transactions -- The IRAM supports split transactions. Therefore the address phases and the dataphases are seperated (separate acknowledge for address -- phase and for data phase). To use the IRAM for regular transactions (not split transactions) the address acknowledge shall be used as -- acknowledge and all data waitstates have to be configured to 0. -- -- 3. External waitstate interface -- When the external waitstate interface is enabled by the conf_iram function, the parameters for start delay, waitstates and break delay -- are not considered. Instead the external waitstate interface is used in the following way. -- 3.1 Waitstate for one address / data phase are requested by the iram (*_ws_req = true). -- 3.2 Number of waitstates is provided to the IRAM (*_ws_in). -- 3.3 Waitstate is acknowledged to the IRAM (*_ws_ack = true). -- 3.4 Waitstate interface is reset (*_ws_req = false, *_ws_ack = false). -- -- 4. Internal waitstate generation -- When the external waitstate interface is disabled by the conf_iram function, the parameters for start delay, waitstates and break delay -- are considered for address and data acknowledge generation. -- 4.1 Address startdelay: The address startdelay is the amount of clock cycles from the time where wishbone strobe and cycle are both -- be active till the first rising edge of the address acknowledge (this is usable for single as well as for -- burst accesses). The value 0 is invalid for the address startdelay and will be treated as 1. -- 4.2 Address waitstates: The amount of address waitstates represents the amount of clock cycles between a falling edge of wishbone -- address acknowledge and the rising edge of wishbone address acknowledge of the next data phase of a burst -- (this is usable for burst accesses only). -- 4.3 Address break delay: The address break delay has two parameter for configuration: length and position. The position parameter -- specifies the amount of dataphases (of a burst) where the break-delay shall appear. The length-parameter is -- comparative with the waitstates (0 = break delay disabled). If the break-delay is enabled (break delay -- length > 0) and appears within a burst, no additional waitstates will be produced (even if they are different -- from 0). -- 4.4 Data startdelay: The data startdelay is the amount of clock cycles from the time where wishbone address acknowledge is active -- for the first time till the first rising edge of the data acknowledge (this is usable for single as well as -- for burst accesses). The value 0 is valid for the address startdelay. -- 4.5 Data waitstates: The amount of data waitstates represents the amount of clock cycles between a falling edge of wishbone data -- acknowledge and the rising edge of wishbone data acknowledge of the next data phase of a burst (this is -- usable for burst accesses only). -- 4.6 Data break delay: The address break delay has two parameter for configuration: length and position. The position parameter -- specifies the amount of dataphases (of a burst) where the break-delay shall appear. The length-parameter is -- comparative with the waitstates (0 = break delay disabled). If the break-delay is enabled (break delay -- length > 0) and appears within a burst, no additional waitstates will be produced (even if they are different -- from 0). -- -- -- -- -- Generation of acknowledge: -- -- external_ws -- | -- +------------+ | -- | Address | +-----+ +-------------+ -- | Waitstate |------>| MUX |-------->| Address |-----+-------------------------------------------------------> aack -- | Generation | | | | Acknowledge | | -- +------------+ | | | Generation | | -- | | +-------------+ | -- ext. address waitstates ------>| | | -- +-----+ | +-------------+ -- | | Data | -- +-->| Phase | -- | FIFO | -- +-------------+ -- | -- | -- | -- external_ws | -- | | +-------------+ -- +------------+ | +->| Data |-----+------------------------> ack -- | Data | +-----+ | Acknowledge | | -- | Waitstates |------>| MUX |--------------------------------------->| Generation | | -- | Generation | | | +-------------+ | -- +------------+ | | | -- | | | +-------------+ -- ext. data waitstates ------>| | +-------------+ +-->| Process |------> dat_o -- +-----+ | Internal | | Data | -- | Memory |<--------| Phase |<------ dat_i -- | | +-------------+ -- +-------------+ -- -- -- --------------------------------------------------------------- -- Hierarchy: -- -- iram32_sim.vhd -- iram_pkg.vhd --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE std.textio.all; USE ieee.std_logic_textio.all; USE work.print_pkg.all; USE work.conversions.to_hex_str; USE work.iram32_pkg.all; ENTITY iram32_sim IS GENERIC ( rddata_sel : boolean := TRUE; -- use wishbone byte select signal for read data wbname : string := "wbmon"; sets : std_logic_vector(3 DOWNTO 0) := "1110"; -- 1110 -- |||| -- |||+- write notes to Modelsim out -- ||+-- write errors to Modelsim out -- |+--- write notes to file out -- +---- write errors to file out timeout : integer := 100; file_name : string :="iram.txt" ); PORT ( iram_in : IN iram32_in_type; iram_out : OUT iram32_out_type; clk : IN std_logic; rst : IN std_logic; stb_i : IN std_logic; ack_o : OUT std_logic; aack_o : OUT std_logic; err_o : OUT std_logic; we_i : IN std_logic; sel_i : IN std_logic_vector((DAT_BITS/8)-1 DOWNTO 0); cti_i : IN std_logic_vector(2 DOWNTO 0); bte_i : IN std_logic_vector(1 DOWNTO 0); cyc_i : IN std_logic; dat_o : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0); dat_i : IN std_logic_vector(DAT_BITS-1 DOWNTO 0); adr_i : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); a_ws_req : OUT boolean; a_ws_ack : IN boolean; a_ws_in : IN natural; d_ws_req : OUT boolean; d_ws_ack : IN boolean; d_ws_in : IN natural ); END iram32_sim; ARCHITECTURE iram32_sim_arch OF iram32_sim IS SIGNAL dat_o_int : std_logic_vector(dat_o'range); SIGNAL ack_o_int : std_logic; SIGNAL aack_o_int : std_logic; SIGNAL err_o_int : std_logic; SIGNAL conf_ack : boolean; SIGNAL a_ws_req_int: boolean; SIGNAL a_ws_ack_internal: boolean; SIGNAL a_ws_ack_int: boolean; SIGNAL a_ws_end_acc: boolean; SIGNAL a_ws_int: natural; SIGNAL a_ws_internal: natural; SIGNAL d_ws_req_int: boolean; SIGNAL d_ws_ack_internal: boolean; SIGNAL d_ws_ack_int: boolean; SIGNAL d_ws_end_acc: boolean; SIGNAL d_ws_int: natural; SIGNAL d_ws_internal: natural; SIGNAL external_ws: boolean; SIGNAL aack_enable : boolean; shared VARIABLE a_sd_stored : protected_shared_variable_natural ; shared VARIABLE a_ws_stored : protected_shared_variable_natural ; shared VARIABLE d_sd_stored : protected_shared_variable_natural ; shared VARIABLE d_ws_stored : protected_shared_variable_natural ; shared VARIABLE a_bd_pos_stored : protected_shared_variable_natural ; shared VARIABLE a_bd_len_stored : protected_shared_variable_natural ; shared VARIABLE d_bd_pos_stored : protected_shared_variable_natural ; shared VARIABLE d_bd_len_stored : protected_shared_variable_natural ; CONSTANT DEBUG_MEM_ADR_PHASE : boolean := FALSE; CONSTANT DEBUG_FIFO_ENTRY : boolean := FALSE; CONSTANT DEBUG_MEM_DAT_PHASE : boolean := FALSE; CONSTANT DEBUG_MEM_DATA : boolean := FALSE; CONSTANT DEBUG_ACK_CHECK : boolean := FALSE; SIGNAL err: std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL dbg_a_sd: integer := 0; SIGNAL dbg_a_ws: integer := 0; SIGNAL dbg_a_sd_valid: boolean := FALSE; SIGNAL dbg_a_ws_valid: boolean := FALSE; SIGNAL time_cnt_sig: natural := 0; SIGNAL dgb_ack: std_logic; SIGNAL dgb_ack_dut: std_logic; SIGNAL dbg_a_ws_dat_cnt: integer := 0; BEGIN dat_o <= dat_o_int; ack_o <= ack_o_int; aack_o <= aack_o_int; err_o <= err_o_int; iram_out.conf_ack <= conf_ack; ---------------------------------------------------------------------------------------- -- map internal / external waitstate generation ---------------------------------------------------------------------------------------- a_ws_req <= a_ws_req_int WHEN external_ws ELSE FALSE; a_ws_ack_int <= a_ws_ack WHEN external_ws ELSE a_ws_ack_internal; a_ws_int <= a_ws_in WHEN external_ws ELSE a_ws_internal; d_ws_req <= d_ws_req_int WHEN external_ws ELSE FALSE; d_ws_ack_int <= d_ws_ack WHEN external_ws ELSE d_ws_ack_internal; d_ws_int <= d_ws_in WHEN external_ws ELSE d_ws_internal; ---------------------------------------------------------------------------------------- -- internal address waitstate generation ---------------------------------------------------------------------------------------- address_waitstates: PROCESS VARIABLE dat_cnt : natural; BEGIN dat_cnt := 0; a_ws_ack_internal <= FALSE; a_ws_internal <= 0; LOOP WAIT until a_ws_req_int'event; IF a_ws_req_int'event AND a_ws_req_int AND NOT external_ws THEN IF a_ws_end_acc THEN dat_cnt := 0; END IF; IF dat_cnt = 0 THEN a_ws_internal <= a_sd_stored.get; ELSIF dat_cnt = a_bd_pos_stored.get AND a_bd_pos_stored.get > 0 AND a_bd_len_stored.get > 0 THEN a_ws_internal <= a_bd_len_stored.get; ELSE a_ws_internal <= a_ws_stored.get; END IF; dat_cnt := dat_cnt + 1; gen_ack(a_ws_req_int, a_ws_ack_internal); END IF; dbg_a_ws_dat_cnt <= dat_cnt; END LOOP; END PROCESS; ---------------------------------------------------------------------------------------- -- internal data waitstate generation ---------------------------------------------------------------------------------------- data_waitstates: PROCESS VARIABLE dat_cnt : natural; BEGIN dat_cnt := 0; d_ws_ack_internal <= FALSE; d_ws_internal <= 0; LOOP WAIT until d_ws_req_int'event; IF d_ws_end_acc THEN dat_cnt := 0; END IF; IF d_ws_req_int'event AND d_ws_req_int AND NOT external_ws THEN IF dat_cnt = 0 THEN d_ws_internal <= d_sd_stored.get; ELSIF dat_cnt = d_bd_pos_stored.get AND d_bd_pos_stored.get > 0 AND d_bd_len_stored.get > 0 THEN d_ws_internal <= d_bd_len_stored.get; ELSE d_ws_internal <= d_ws_stored.get; END IF; dat_cnt := dat_cnt + 1; gen_ack(d_ws_req_int, d_ws_ack_internal); END IF; END LOOP; END PROCESS; ---------------------------------------------------------------------------------------- -- main ---------------------------------------------------------------------------------------- PROCESS VARIABLE data : std_logic_vector(dat_o'range); VARIABLE astart_done, dstart_done :boolean; VARIABLE mem_head : iram32_head_ptr; VARIABLE allocated : boolean; VARIABLE acc_req_buf : iram32_acc_req_buffer; VARIABLE acc_req_wrptr : integer:=0; VARIABLE acc_req_rdptr : integer:=0; VARIABLE wradr_buf : iram32_wradr_buffer; VARIABLE wradr_wrptr : integer:=0; VARIABLE wradr_rdptr : integer:=0; VARIABLE wrdat_buf : iram32_wrdat_buffer; VARIABLE wrdat_wrptr : integer:=0; VARIABLE wrdat_rdptr : integer:=0; VARIABLE msg_rd : boolean := FALSE; VARIABLE msg_wr : boolean := FALSE; VARIABLE conf_ack_int : boolean; VARIABLE a_ws_cnt : integer := 0; VARIABLE d_ws_cnt : integer := 0; VARIABLE temp_stb_i : std_logic; VARIABLE temp_ack_o : std_logic; VARIABLE temp_aack_o : std_logic; VARIABLE temp_err_o : std_logic; VARIABLE temp_we_i : std_logic; VARIABLE temp_sel_i : std_logic_vector(sel_i'range); VARIABLE temp_cti_i : std_logic_vector(cti_i'range); VARIABLE temp_bte_i : std_logic_vector(bte_i'range); VARIABLE temp_cyc_i : std_logic; VARIABLE temp_dat_o : std_logic_vector(dat_o'range); VARIABLE temp_dat_i : std_logic_vector(dat_i'range); VARIABLE temp_adr_i : std_logic_vector(adr_i'range); VARIABLE aack_o_int_var : std_logic; VARIABLE ack_o_int_var : std_logic; VARIABLE adr_int_read : std_logic_vector(adr_i'range); VARIABLE acc_running : boolean; VARIABLE time_cnt_var: natural := 0; VARIABLE st_flag : boolean; VARIABLE rising_edge_clk: boolean; BEGIN mem_head := new iram32_head'(0,null); IF sets(0) = '1' THEN msg_rd := TRUE; msg_wr := TRUE; END IF; ack_o_int <= '0'; aack_o_int <= '0'; err_o_int <= '0'; dat_o_int <= (OTHERS => '0'); conf_ack <= iram_in.conf_req; iram_out.rd_dat <= (OTHERS => '0'); a_ws_req_int <= FALSE; a_ws_end_acc <= FALSE; d_ws_req_int <= FALSE; d_ws_end_acc <= FALSE; acc_running := FALSE; acc_req_wrptr := 0; acc_req_rdptr := 0; wradr_wrptr := 0; wradr_rdptr := 0; wrdat_wrptr := 0; wrdat_rdptr := 0; a_ws_cnt := 0; d_ws_cnt := 0; astart_done := FALSE; dstart_done := FALSE; conf_ack_int := FALSE; WAIT until rising_edge(clk) AND rst = '0'; -- wait until bus has initialized a_ws_cnt := 0; gen_loop: LOOP -- access running indication (used to delay config accesses when whishbone access is being performed) IF acc_req_wrptr /= acc_req_rdptr OR (temp_stb_i = '1' AND temp_cyc_i = '1') THEN acc_running := TRUE; ELSE acc_running := FALSE; END IF; rising_edge_clk := FALSE; IF rising_edge(clk) THEN WAIT FOR 1 ps; -- store Wishbone signals at delayed rising edge of clk temp_stb_i := stb_i ; temp_ack_o := ack_o_int ; temp_aack_o := aack_o_int ; temp_err_o := err_o_int ; temp_we_i := we_i ; temp_sel_i := sel_i ; temp_cti_i := cti_i ; temp_bte_i := bte_i ; temp_cyc_i := cyc_i ; temp_dat_o := dat_o_int ; temp_dat_i := dat_i ; temp_adr_i := adr_i ; rising_edge_clk := TRUE; END IF; --************************************************************************************** -- Config Access -- -- Wait until running accesses have finished and handle config request. --************************************************************************************** IF iram_in.conf_req = TRUE AND conf_ack_int = FALSE AND acc_running = FALSE THEN -- config access is only performed when no access is running IF iram_in.config = TRUE THEN a_sd_stored.set(iram_in.a_startdelay); a_ws_stored.set(iram_in.a_waitstates); d_sd_stored.set(iram_in.d_startdelay); d_ws_stored.set(iram_in.d_waitstates); a_bd_pos_stored.set(iram_in.a_break_delay_position); a_bd_len_stored.set(iram_in.a_break_delay_length); d_bd_pos_stored.set(iram_in.d_break_delay_position); d_bd_len_stored.set(iram_in.d_break_delay_length); external_ws <= iram_in.external_ws; ELSIF iram_in.write_req = TRUE THEN -- write to iram wr_data(to_integer(signed(iram_in.adr)), iram_in.wr_dat, "1111", mem_head, msg_wr); ELSE -- read from iram rd_data(to_integer(signed(iram_in.adr)), data, allocated, mem_head, msg_rd); iram_out.rd_dat <= data; END IF; conf_ack_int := TRUE; -- handshake acknowledge conf_ack <= conf_ack_int; WAIT until iram_in.conf_req = FALSE; conf_ack_int := FALSE; -- handshake acknowledge conf_ack <= conf_ack_int; END IF; IF rising_edge_clk THEN time_cnt_var := time_cnt_var + 1; --************************************************************************************** -- Wishbone Access -- --************************************************************************************** IF temp_stb_i = '1' AND temp_cyc_i = '1' THEN --************************************************************************************** -- Generate Address Acknowledge -- -- Detect start of Wishbone access. Request waitstates for the current data phase. -- Generate address acknowledge after the waitstates have been processed. -- Indicate the end of an access to the address waitstate generation engine. --************************************************************************************** IF temp_we_i = '0' OR (temp_we_i = '1' AND acc_req_wrptr = acc_req_rdptr) THEN IF NOT astart_done THEN -- detected start of burst IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 1: first address phase detected" SEVERITY NOTE; END IF; astart_done := TRUE; -- mark start of burst as done gen_req(a_ws_req_int, a_ws_ack_int); -- get address waitstates a_ws_end_acc <= FALSE; -- acknowledged by gen_req() a_ws_cnt := 0; -- set address waitstate counter adr_int_read := temp_adr_i; -- store address because internally incremented IF temp_cti_i = "001" OR temp_cti_i = "011" THEN st_flag := TRUE; ELSE st_flag := FALSE; END IF; ELSIF temp_aack_o = '1' THEN -- end of burst and acknowledge was set for the last clock cycle IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 2: address phase finished" SEVERITY NOTE; END IF; gen_req(a_ws_req_int, a_ws_ack_int); -- get address waitstates -- a_ws_end_acc <= FALSE; -- acknowledged by gen_req() a_ws_cnt := 0; -- set address waitstate counter ELSE -- insert waitstate IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 5: ELSE" SEVERITY NOTE; END IF; IF a_ws_cnt < a_ws_int THEN a_ws_cnt := a_ws_cnt + 1; -- increment waitstate counter END IF; END IF; END IF; END IF; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 8: a_ws_cnt=" & integer'image(a_ws_cnt) & " a_ws_int=" & integer'image(a_ws_int) SEVERITY NOTE; END IF; IF astart_done AND a_ws_cnt >= a_ws_int THEN aack_o_int_var := '1'; ELSE aack_o_int_var := '0'; END IF; -- handle end of access for address phase IF temp_stb_i = '0' OR temp_cyc_i = '0' THEN -- previous clock cycle was idle astart_done := FALSE; a_ws_end_acc <= TRUE; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 6: set astart_done=false" SEVERITY NOTE; END IF; ELSIF temp_stb_i = '1' AND temp_cyc_i = '1'AND aack_o_int_var = '1' AND (temp_cti_i = "000" OR temp_cti_i = "111" OR temp_cti_i = "001") THEN -- clock cycle is access and last data phase astart_done := FALSE; a_ws_end_acc <= TRUE; --IF DEBUG_MEM_ADR_PHASE THEN REPORT "DEBUG_MEM_ADR_PHASE 7: set astart_done=false" SEVERITY NOTE; END IF; END IF; aack_o_int <= aack_o_int_var; --************************************************************************************** -- Store address phase into data phase FIFO -- -- Store the current address phase. --************************************************************************************** IF aack_o_int_var = '1' THEN IF temp_we_i = '1' THEN -- store address phase in FIFO in case of address acknowledge (write access) -- store address phase to WRADR FIFO IF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 1: write: address phase = " & to_hex_str(adr_int_read) SEVERITY NOTE; END IF; wradr_buf(wradr_wrptr).adr := adr_int_read; incr(wradr_wrptr, WRDAT_BUFFER_SIZE, WRAP_ON); END IF; IF temp_we_i = '1' AND DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 2: write to adr_int_read = " & to_hex_str(adr_int_read) SEVERITY NOTE; ELSIF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 3: read from adr_int_read = " & to_hex_str(adr_int_read) SEVERITY NOTE; END IF; acc_req_buf(acc_req_wrptr).we := temp_we_i; acc_req_buf(acc_req_wrptr).adr := adr_int_read; acc_req_buf(acc_req_wrptr).cti := temp_cti_i; acc_req_buf(acc_req_wrptr).eob_flag := FALSE; -- not end of burst delimiter acc_req_buf(acc_req_wrptr).st_flag := st_flag; acc_req_buf(acc_req_wrptr).time_cnt := time_cnt_var; incr(acc_req_wrptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); IF DAT_BITS = 64 THEN IF temp_cti_i = "011" AND adr_int_read(4 DOWNTO 3) = "11" THEN -- current address is stored for Linear Incrementing / Cache Line Wrap Burst adr_int_read := std_logic_vector(unsigned(adr_int_read) - 3*8); ELSE adr_int_read := std_logic_vector(unsigned(adr_int_read) + 8); END IF; ELSIF DAT_BITS = 32 THEN IF temp_cti_i = "011" AND adr_int_read(3 DOWNTO 2) = "11" THEN -- current address is stored for Linear Incrementing / Cache Line Wrap Burst adr_int_read := std_logic_vector(unsigned(adr_int_read) - 3*4); ELSE adr_int_read := std_logic_vector(unsigned(adr_int_read) + 4); END IF; ELSE REPORT "WRONG DATA WIDTH " SEVERITY NOTE; END IF; END IF; --************************************************************************************** -- Store end of access delimiter into data phase FIFO -- -- Store a delimiter entry into data phase FIFO after the last address phases of an access -- was stored. --************************************************************************************** IF aack_o_int_var = '1' AND (temp_cti_i = "000" OR temp_cti_i = "111" OR temp_cti_i = "001") THEN -- end of burst has been reached -> store delimiter IF DEBUG_FIFO_ENTRY THEN REPORT "DEBUG_FIFO_ENTRY 1: write eob " SEVERITY NOTE; END IF; acc_req_buf(acc_req_wrptr).we := '0'; acc_req_buf(acc_req_wrptr).adr := adr_int_read; acc_req_buf(acc_req_wrptr).cti := temp_cti_i; acc_req_buf(acc_req_wrptr).eob_flag := TRUE; -- end of burst delimiter acc_req_buf(acc_req_wrptr).st_flag := FALSE; acc_req_buf(acc_req_wrptr).time_cnt := time_cnt_var; incr(acc_req_wrptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END IF; --************************************************************************************** -- Handle end of access delimiter -- -- Read all delimers out of data phase FIFO. Set the generation of data acknowledges to -- an initial state. --************************************************************************************** while acc_req_wrptr /= acc_req_rdptr AND acc_req_buf(acc_req_rdptr).eob_flag LOOP -- special buffer entry: end of burst IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 1: eob_flag" SEVERITY NOTE; END IF; d_ws_end_acc <= TRUE; -- set flag d_ws_end_acc (reset automatic waitstate generation) dstart_done := FALSE; -- indicate start of read burst is not handled yet incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END LOOP; --************************************************************************************** -- Generate Data Acknowledge -- -- Read data phases out of data phase FIFO. Request waitstates for the current data phase. -- Generate data acknowledge after the waitstates have been processed. -- Indicate the end of an access to the data waitstate generation engine. --************************************************************************************** ack_o_int_var := '0'; IF acc_req_wrptr /= acc_req_rdptr THEN -- write access (any data phase) IF acc_req_buf(acc_req_rdptr).we = '1' THEN IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 2: write: ack of write access (d_ws_int = 0)" SEVERITY NOTE; END IF; dstart_done := TRUE; -- indicate start of access was handled gen_req(d_ws_req_int, d_ws_ack_int); -- get waitstates d_ws_end_acc <= FALSE; d_ws_cnt := d_ws_int; -- set waitstate counter to immediately generate the acknowledge (no waitstates for write access) -- read access (first or following data phase) ELSIF dstart_done = FALSE OR d_ws_cnt >= d_ws_int THEN gen_req(d_ws_req_int, d_ws_ack_int); -- get waitstates d_ws_end_acc <= FALSE; IF acc_req_buf(acc_req_rdptr).st_flag = TRUE THEN d_ws_cnt := 0; -- enable data waitstates for split transaction ELSE d_ws_cnt := d_ws_int; -- disable data waitstates for non-split transaction END IF; IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 3: read: dstart_done=" & boolean'image(dstart_done) & " d_ws_cnt=" & integer'image(d_ws_cnt) & ", d_ws_int=" & integer'image(d_ws_int) SEVERITY NOTE; END IF; -- ensure that data startdelay is hold IF dstart_done = FALSE THEN WHILE acc_req_buf(acc_req_rdptr).time_cnt /= time_cnt_var LOOP d_ws_cnt := d_ws_cnt + 1; acc_req_buf(acc_req_rdptr).time_cnt := acc_req_buf(acc_req_rdptr).time_cnt + 1; END LOOP; END IF; dstart_done := TRUE; -- indicate start of access was handled IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 3a: read: d_ws_cnt=" & integer'image(d_ws_cnt) & ", d_ws_int=" & integer'image(d_ws_int) SEVERITY NOTE; END IF; -- insert waitstates for read access ELSE IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 4: ELSE" SEVERITY NOTE; END IF; IF d_ws_cnt < d_ws_int THEN d_ws_cnt := d_ws_cnt + 1; -- increment waitstate counter END IF; END IF; END IF; -- set data acknowledge in case all waitstates have been processed IF dstart_done AND d_ws_cnt >= d_ws_int AND acc_req_wrptr /= acc_req_rdptr THEN ack_o_int_var := '1'; ELSE ack_o_int_var := '0'; END IF; --************************************************************************************** -- Process Data Phase -- -- Handle the current data phase when the data acknowledge is set. For write accesses -- write the input data of Wishbone bus to internal memory For read accesses perform a -- read access to internal memory and output the read data on Wishbone interface. --************************************************************************************** IF ack_o_int_var = '1' THEN IF acc_req_buf(acc_req_rdptr).we = '0' THEN IF DEBUG_MEM_DATA THEN REPORT "DEBUG_MEM_DATA 1: read data from address " & to_hex_str(acc_req_buf(acc_req_rdptr).adr) SEVERITY NOTE; END IF; rd_data(to_integer(signed(acc_req_buf(acc_req_rdptr).adr)), data, allocated, mem_head, msg_rd); dat_o_int <= (OTHERS => '0'); IF rddata_sel THEN FOR i IN temp_sel_i'low TO temp_sel_i'high LOOP IF temp_sel_i(i) = '1' THEN dat_o_int(i*8+7 DOWNTO i*8) <= data(i*8+7 DOWNTO i*8); END IF; END LOOP; ELSE dat_o_int <= data; END IF; ELSE wr_data(to_integer(signed(acc_req_buf(acc_req_rdptr).adr)), temp_dat_i, temp_sel_i, mem_head, msg_wr); END IF; incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END IF; ack_o_int <= ack_o_int_var; --************************************************************************************** -- Handle end of access delimiter (second time - if more access delimiters are stored -- after end of access) -- -- Read all delimers out of data phase FIFO. Set the generation of data acknowledges to -- an initial state. --************************************************************************************** while acc_req_wrptr /= acc_req_rdptr AND acc_req_buf(acc_req_rdptr).eob_flag LOOP -- special buffer entry: end of burst IF DEBUG_MEM_DAT_PHASE THEN REPORT "DEBUG_MEM_DAT_PHASE 1: eob_flag" SEVERITY NOTE; END IF; d_ws_end_acc <= TRUE; -- set flag d_ws_end_acc (reset automatic waitstate generation) dstart_done := FALSE; -- indicate start of read burst is not handled yet incr(acc_req_rdptr, ACC_REQ_BUFFER_SIZE, WRAP_ON); END LOOP; END IF; IF rst /= '1' THEN WAIT until rising_edge(clk) OR iram_in.conf_req'event OR rst = '1'; END IF; IF rst = '1' THEN exit gen_loop; END IF; END LOOP gen_loop; END PROCESS; --************************************************************************************** -- Acknowledge Check -- -- Check address acknowledge: detect startdelay and waitstates and check against the -- IRAM configuration -- Check data acknowledge : use IRAM configuration to generate a reference acknowledge -- and check against data acknowledge of IRAM model -- -- Note: The acknowledge check is disabled for external waitstates and break delay. --************************************************************************************** PROCESS BEGIN WAIT until unsigned(err) /= 0; WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); WAIT until rising_edge(clk); REPORT "IRAM: END ON ERROR" SEVERITY failure; END PROCESS; PROCESS VARIABLE time_cnt: natural := 0; CONSTANT ACK_ARRAY_SIZE: natural := 100; TYPE ack_array_type IS array (ACK_ARRAY_SIZE-1 DOWNTO 0) OF natural; VARIABLE ack_array: ack_array_type; VARIABLE ack_array_wrptr: natural; VARIABLE ack_array_rdptr: natural; VARIABLE ack_array_last_entry: natural; VARIABLE first_adr_phase: boolean := TRUE; VARIABLE a_ws: integer := 0; VARIABLE a_ws_cnt: integer := 0; VARIABLE dbg_d_sd_stored: integer; VARIABLE dbg_d_ws_stored: integer; VARIABLE st_flag: boolean; VARIABLE disable: boolean := FALSE; VARIABLE st_rd_access: boolean; VARIABLE st_rd_access_q: boolean; BEGIN -- initialize aack array FOR i1 IN ACK_ARRAY_SIZE-1 DOWNTO 0 LOOP ack_array(i1) := 0; END LOOP; ack_array_rdptr := 0; ack_array_wrptr := 0; LOOP WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); dbg_a_sd_valid <= FALSE; dbg_a_ws_valid <= FALSE; IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_d_sd_stored := iram_in.d_startdelay; dbg_d_ws_stored := iram_in.d_waitstates; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN dgb_ack_dut <= ack_o_int; -- check detect aack and store expected ack in FIFO -- detect address phases IF stb_i = '1' AND cyc_i = '1' THEN IF aack_o_int = '1' AND first_adr_phase = TRUE THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: first address phase with aack=1, a_ws=" & integer'image(a_ws)); END IF; first_adr_phase := FALSE; st_rd_access_q := st_rd_access; IF (cti_i = "011" OR cti_i = "001") AND we_i = '0' THEN st_rd_access := TRUE; ELSE st_rd_access := FALSE; END IF; dbg_a_sd <= a_ws_cnt; IF st_rd_access_q = TRUE AND we_i = '1' THEN dbg_a_sd_valid <= FALSE; ELSE dbg_a_sd_valid <= TRUE; END IF; IF cti_i = "001" OR cti_i = "011" THEN st_flag := TRUE; ELSE st_flag := FALSE; END IF; IF we_i = '1' OR st_flag = FALSE THEN ack_array(ack_array_wrptr) := time_cnt; ELSE ack_array(ack_array_wrptr) := time_cnt + dbg_d_sd_stored; ack_array_last_entry := ack_array(ack_array_wrptr); END IF; IF ack_array_wrptr = ACK_ARRAY_SIZE-1 THEN ack_array_wrptr := 0; ELSE ack_array_wrptr := ack_array_wrptr + 1; END IF; IF ack_array_wrptr = ack_array_rdptr THEN REPORT "FATAL ERROR: ack_array overflow" SEVERITY failure; END IF; ELSIF aack_o_int = '1' THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: address phase: cti=0b010, a_ws=" & integer'image(a_ws)); END IF; dbg_a_ws <= a_ws_cnt; dbg_a_ws_valid <= TRUE; IF we_i = '1' OR st_flag = FALSE THEN ack_array(ack_array_wrptr) := time_cnt; ELSE IF time_cnt > ack_array_last_entry+1 THEN ack_array(ack_array_wrptr) := time_cnt + dbg_d_ws_stored; ELSE ack_array(ack_array_wrptr) := ack_array_last_entry+1 + dbg_d_ws_stored; END IF; END IF; ack_array_last_entry := ack_array(ack_array_wrptr); IF ack_array_wrptr = ACK_ARRAY_SIZE-1 THEN ack_array_wrptr := 0; ELSE ack_array_wrptr := ack_array_wrptr + 1; END IF; IF ack_array_wrptr = ack_array_rdptr THEN REPORT "FATAL ERROR: ack_array overflow" SEVERITY failure; END IF; ELSIF aack_o_int = '0' THEN a_ws_cnt := a_ws_cnt + 1; END IF; END IF; IF (stb_i = '1' AND cyc_i = '1' AND aack_o_int = '1' AND (cti_i = "000" OR cti_i = "111" OR cti_i = "001") ) OR stb_i = '0' OR cyc_i = '0' THEN first_adr_phase := TRUE; END IF; IF (stb_i = '1' AND cyc_i = '1' AND aack_o_int = '1' ) OR stb_i = '0' OR cyc_i = '0' THEN a_ws_cnt := 0; END IF; IF stb_i = '1' AND cyc_i = '1' THEN IF DEBUG_ACK_CHECK THEN print_now("IRAM DEBUG: a_ws_cnt=" & integer'image(a_ws_cnt)); END IF; END IF; -- generate reference ack dgb_ack <= '0'; IF ack_array_wrptr /= ack_array_rdptr THEN IF DEBUG_ACK_CHECK THEN print_now("ack_array_wrptr=" & integer'image(ack_array_wrptr) & ", ack_array_rdptr=" & integer'image(ack_array_rdptr)); END IF; IF DEBUG_ACK_CHECK THEN print_now("ack_array(ack_array_rdptr)=" & integer'image(ack_array(ack_array_rdptr)) & ", time_cnt=" & integer'image(time_cnt)); END IF; IF time_cnt >= ack_array(ack_array_rdptr) THEN dgb_ack <= '1'; IF ack_array_rdptr = ACK_ARRAY_SIZE-1 THEN ack_array_rdptr := 0; ELSE ack_array_rdptr := ack_array_rdptr + 1; END IF; END IF; END IF; time_cnt := time_cnt + 1; time_cnt_sig <= time_cnt; END IF; END LOOP; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN err(2) <= '0'; IF dgb_ack /= dgb_ack_dut THEN print_now("ERROR: dgb_ack_dut = " & std_logic'image(dgb_ack_dut) & " but shall be " & std_logic'image(dgb_ack)); err(2) <= '1'; END IF; END IF; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; VARIABLE dbg_a_sd_stored: integer; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_a_sd_stored := iram_in.a_startdelay; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN IF dbg_a_sd_valid THEN err(0) <= '0'; IF dbg_a_sd /= dbg_a_sd_stored THEN print_now("ERROR: dbg_a_sd = " & integer'image(dbg_a_sd) & " but shall be " & integer'image(dbg_a_sd_stored)); err(0) <= '1'; END IF; END IF; END IF; END PROCESS; PROCESS VARIABLE disable: boolean := FALSE; VARIABLE dbg_a_ws_stored: integer; BEGIN WAIT until rising_edge(clk) OR (iram_in.conf_req'event AND iram_in.conf_req = FALSE); IF iram_in.conf_req'event AND iram_in.conf_req = FALSE THEN dbg_a_ws_stored := iram_in.a_waitstates; IF iram_in.d_break_delay_length /= 0 OR iram_in.d_break_delay_position /= 0 OR iram_in.a_break_delay_length /= 0 OR iram_in.a_break_delay_position /= 0 OR iram_in.external_ws /= FALSE THEN disable := TRUE; ELSE disable := FALSE; END IF; END IF; IF rising_edge(clk) AND NOT disable THEN IF dbg_a_ws_valid THEN err(1) <= '0'; IF dbg_a_ws /= dbg_a_ws_stored THEN print_now("ERROR: dbg_a_ws = " & integer'image(dbg_a_ws) & " but shall be " & integer'image(dbg_a_ws_stored)); err(1) <= '1'; END IF; END IF; END IF; END PROCESS; END iram32_sim_arch;
gpl-3.0
af41f1a0976af2e27bc86b193bf2043c
0.459739
4.371013
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_sm.vhd
1
47,057
------------------------------------------------------------------------------- -- axi_sg_ftch_sm ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_sm.vhd -- Description: This entity manages fetching of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 6/10/10 v1_00_a -- ^^^^^^ -- Fixed issue with fetch idle asserting too soon when simultaneous update -- decode error and stale descriptor error detected. This fixes CR564855. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 12/07/10 v4_03 -- ^^^^^^ -- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under -- associated generate -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_sg_ftch_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1 -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- updt_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; -- ch1_updt_done : in std_logic ; -- ch1_sg_idle : in std_logic ; -- ch1_tailpntr_enabled : in std_logic ; -- ch1_ftch_queue_full : in std_logic ; -- ch1_ftch_queue_empty : in std_logic ; -- ch1_ftch_pause : in std_logic ; -- ch1_fetch_address : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_ftch_active : out std_logic ; -- ch1_ftch_idle : out std_logic ; -- ch1_ftch_interr_set : out std_logic ; -- ch1_ftch_slverr_set : out std_logic ; -- ch1_ftch_decerr_set : out std_logic ; -- ch1_ftch_err_early : out std_logic ; -- ch1_ftch_stale_desc : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ; -- ch2_updt_done : in std_logic ; -- ch2_sg_idle : in std_logic ; -- ch2_tailpntr_enabled : in std_logic ; -- ch2_ftch_queue_full : in std_logic ; -- ch2_ftch_queue_empty : in std_logic ; -- ch2_ftch_pause : in std_logic ; -- ch2_fetch_address : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_ftch_active : out std_logic ; -- ch2_ftch_idle : out std_logic ; -- ch2_ftch_interr_set : out std_logic ; -- ch2_ftch_slverr_set : out std_logic ; -- ch2_ftch_decerr_set : out std_logic ; -- ch2_ftch_err_early : out std_logic ; -- ch2_ftch_stale_desc : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : out std_logic ; -- ftch_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- DataMover Status -- ftch_done : in std_logic ; -- ftch_error : in std_logic ; -- ftch_interr : in std_logic ; -- ftch_slverr : in std_logic ; -- ftch_decerr : in std_logic ; -- ftch_stale_desc : in std_logic ; -- ftch_error_early : in std_logic ; -- ftch_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_ftch_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant FETCH_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type constant FETCH_CMD_TYPE : std_logic := '1'; -- DataMover Cmnd Reserved Bits constant FETCH_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant FETCH_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant FETCH_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_FETCH*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant FETCH_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_FETCH*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant FETCH_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate -- Required width in bits for C_SG_FTCH_DESC2QUEUE --constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- ---- Vector version of C_SG_FTCH_DESC2QUEUE --constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_FTCH_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, FETCH_STATUS, FETCH_ERROR ); signal ftch_cs : SG_FTCH_STATE_TYPE; signal ftch_ns : SG_FTCH_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_ftch_sm_idle : std_logic := '0'; signal ch2_ftch_sm_idle : std_logic := '0'; signal ch1_pause_fetch : std_logic := '0'; signal ch2_pause_fetch : std_logic := '0'; -- Misc Signals signal fetch_cmd_addr : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; signal fetch_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); signal ch1_stale_descriptor : std_logic := '0'; signal ch2_stale_descriptor : std_logic := '0'; signal ch1_ftch_interr_set_i : std_logic := '0'; signal ch2_ftch_interr_set_i : std_logic := '0'; -- CR585958 Constant declaration in axi_sg_ftch_sm needs to move under associated generate -- counts for keeping track of queue descriptors to prevent -- fifo fill --signal ch1_desc_ftched_count : std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); --signal ch2_desc_ftched_count : std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_ftch_active <= ch1_active_i; ch2_ftch_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_FTCH_MACHINE : process(ftch_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ftch_error, ftch_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_ftch_sm_idle <= '0'; ch2_ftch_sm_idle <= '0'; ftch_ns <= ftch_cs; case ftch_cs is ------------------------------------------------------------------- when IDLE => ch1_ftch_sm_idle <= not service_ch1; ch2_ftch_sm_idle <= not service_ch2; -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; else ftch_ns <= IDLE; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; else ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1; ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; ftch_ns <= FETCH_STATUS; end if; ------------------------------------------------------------------- when FETCH_STATUS => ch1_ftch_sm_idle <= not ch1_active_i and not service_ch1; ch2_ftch_sm_idle <= not ch2_active_i and not service_ch2; -- sg error during fetch - shut down if(ftch_error = '1')then ftch_ns <= FETCH_ERROR; elsif(ftch_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, fetch descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Else if channel 2 still ready then fetch -- another descriptor for channel 2 elsif(service_ch2 = '1')then ch1_ftch_sm_idle <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Otherwise return to IDLE else ftch_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, fetch descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Else if channel 1 still ready then fetch -- another descriptor for channel 1 elsif(service_ch1 = '1')then ch2_ftch_sm_idle <= '1'; ftch_ns <= FETCH_DESCRIPTOR; -- Otherwise return to IDLE else ftch_ns <= IDLE; end if; else ftch_ns <= IDLE; end if; else ftch_ns <= FETCH_STATUS; end if; ------------------------------------------------------------------- when FETCH_ERROR => ch1_ftch_sm_idle <= '1'; ch2_ftch_sm_idle <= '1'; ftch_ns <= FETCH_ERROR; ------------------------------------------------------------------- when others => ftch_ns <= IDLE; end case; end process SG_FTCH_MACHINE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_cs <= IDLE; else ftch_cs <= ftch_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_FETCH : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_active_set = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 IDLE process. Indicates channel 1 fetch process is IDLE -- This is 1 part of determining IDLE for a channel ------------------------------------------------------------------------------- CH1_IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_idle <= '1'; -- SG Error therefore force IDLE -- CR564855 - fetch idle asserted too soon when update error occured. -- fetch idle does not need to be concerned with updt_error. This is -- because on going fetch is guarentteed to complete regardless of dma -- controller or sg update engine. --elsif(updt_error = '1' or ftch_error = '1' elsif(ftch_error = '1' or ch1_ftch_interr_set_i = '1')then ch1_ftch_idle <= '1'; -- When SG Fetch no longer idle then clear fetch idle elsif(ch1_sg_idle = '0')then ch1_ftch_idle <= '0'; -- If tail = cur and fetch queue is empty then elsif(ch1_sg_idle = '1' and ch1_ftch_queue_empty = '1' and ch1_ftch_sm_idle = '1')then ch1_ftch_idle <= '1'; end if; end if; end process CH1_IDLE_PROCESS; ------------------------------------------------------------------------------- -- For No Fetch Queue, generate pause logic to prevent partial descriptor from -- being fetched and then endless throttle on AXI read bus ------------------------------------------------------------------------------- GEN_CH1_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin REG_PAUSE_FETCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- On descriptor update done clear pause if(m_axi_sg_aresetn = '0' or ch1_updt_done = '1')then ch1_pause_fetch <= '0'; -- If channel active and command written then pause elsif(ch1_active_i='1' and write_cmnd_cmb = '1')then ch1_pause_fetch <= '1'; end if; end if; end process REG_PAUSE_FETCH; end generate GEN_CH1_FETCH_PAUSE; -- Fetch queues so do not need to pause GEN_CH1_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate -- -- CR585958 -- -- Required width in bits for C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- -- Vector version of C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); -- signal desc_queued_incr : std_logic := '0'; -- signal desc_queued_decr : std_logic := '0'; -- -- -- CR585958 -- signal ch1_desc_ftched_count: std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); -- begin -- -- desc_queued_incr <= '1' when ch1_active_i = '1' -- and write_cmnd_cmb = '1' -- and ch1_ftch_descpulled = '0' -- else '0'; -- -- desc_queued_decr <= '1' when ch1_ftch_descpulled = '1' -- and not (ch1_active_i = '1' and write_cmnd_cmb = '1') -- else '0'; -- -- -- Keep track of descriptors queued version descriptors updated -- DESC_FETCHED_CNTR : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch1_desc_ftched_count <= (others => '0'); -- elsif(desc_queued_incr = '1')then -- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) + 1); -- elsif(desc_queued_decr = '1')then -- ch1_desc_ftched_count <= std_logic_vector(unsigned(ch1_desc_ftched_count) - 1); -- end if; -- end if; -- end process DESC_FETCHED_CNTR; -- -- REG_PAUSE_FETCH : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch1_pause_fetch <= '0'; -- elsif(ch1_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then -- ch1_pause_fetch <= '1'; -- else -- ch1_pause_fetch <= '0'; -- end if; -- end if; -- end process REG_PAUSE_FETCH; -- -- -- ch1_pause_fetch <= ch1_ftch_pause; end generate GEN_CH1_NO_FETCH_PAUSE; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_run_stop = '1' -- Channel running and ch1_sg_idle = '0' -- SG Engine running and ch1_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch1_stale_descriptor = '0' -- No Stale Descriptors and ch1_desc_flush = '0' -- Not flushing desc and ch1_pause_fetch = '0' -- Not pausing else '0'; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- INT_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_interr_set_i <= '0'; -- Channel active and datamover int error or fetch done and descriptor stale elsif((ch1_active_i = '1' and ftch_interr = '1') or ((ftch_done = '1' or ftch_error = '1') and ch1_stale_descriptor = '1'))then ch1_ftch_interr_set_i <= '1'; end if; end if; end process INT_ERROR_PROCESS; ch1_ftch_interr_set <= ch1_ftch_interr_set_i; SLV_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_slverr_set <= '0'; elsif(ch1_active_i = '1' and ftch_slverr = '1')then ch1_ftch_slverr_set <= '1'; end if; end if; end process SLV_ERROR_PROCESS; DEC_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch1_ftch_decerr_set <= '0'; elsif(ch1_active_i = '1' and ftch_decerr = '1')then ch1_ftch_decerr_set <= '1'; end if; end if; end process DEC_ERROR_PROCESS; -- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor -- from being used by dma controller ch1_ftch_err_early <= '1' when ftch_error_early = '1' and ch1_active_i = '1' else '0'; -- Enable stale descriptor check GEN_CH1_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 generate begin ----------------------------------------------------------------------- -- Stale Descriptor Error ----------------------------------------------------------------------- CH1_STALE_DESC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset then clear flag if(m_axi_sg_aresetn = '0')then ch1_stale_descriptor <= '0'; elsif(ftch_stale_desc = '1' and ch1_active_i = '1' )then ch1_stale_descriptor <= '1'; end if; end if; end process CH1_STALE_DESC; end generate GEN_CH1_STALE_CHECK; -- Disable stale descriptor check GEN_CH1_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 generate begin ch1_stale_descriptor <= '0'; end generate GEN_CH1_NO_STALE_CHECK; -- Early detection of Stale Descriptor (valid only in tailpntr mode) used -- to prevent error'ed descriptor from being used. ch1_ftch_stale_desc <= ch1_stale_descriptor; end generate GEN_CH1_FETCH; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_FETCH : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_ftch_idle <= '0'; ch1_ftch_interr_set <= '0'; ch1_ftch_slverr_set <= '0'; ch1_ftch_decerr_set <= '0'; ch1_ftch_err_early <= '0'; ch1_ftch_stale_desc <= '0'; end generate GEN_NO_CH1_FETCH; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_FETCH : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_active_set = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 IDLE process. Indicates channel 2 fetch process is IDLE -- This is 1 part of determining IDLE for a channel ------------------------------------------------------------------------------- CH2_IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_idle <= '1'; -- SG Error therefore force IDLE -- CR564855 - fetch idle asserted too soon when update error occured. -- fetch idle does not need to be concerned with updt_error. This is -- because on going fetch is guarentteed to complete regardless of dma -- controller or sg update engine. -- elsif(updt_error = '1' or ftch_error = '1' elsif(ftch_error = '1' or ch2_ftch_interr_set_i = '1')then ch2_ftch_idle <= '1'; -- When SG Fetch no longer idle then clear fetch idle elsif(ch2_sg_idle = '0')then ch2_ftch_idle <= '0'; -- If tail = cur and fetch queue is empty then elsif(ch2_sg_idle = '1' and ch2_ftch_queue_empty = '1' and ch2_ftch_sm_idle = '1')then ch2_ftch_idle <= '1'; end if; end if; end process CH2_IDLE_PROCESS; ------------------------------------------------------------------------------- -- For No Fetch Queue, generate pause logic to prevent partial descriptor from -- being fetched and then endless throttle on AXI read bus ------------------------------------------------------------------------------- GEN_CH2_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin REG_PAUSE_FETCH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- On descriptor update done clear pause if(m_axi_sg_aresetn = '0' or ch2_updt_done = '1')then ch2_pause_fetch <= '0'; -- If channel active and command written then pause elsif(ch2_active_i='1' and write_cmnd_cmb = '1')then ch2_pause_fetch <= '1'; end if; end if; end process REG_PAUSE_FETCH; end generate GEN_CH2_FETCH_PAUSE; -- Fetch queues so do not need to pause GEN_CH2_NO_FETCH_PAUSE : if C_SG_FTCH_DESC2QUEUE /= 0 generate -- -- CR585958 -- -- Required width in bits for C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_WIDTH : integer := clog2(C_SG_FTCH_DESC2QUEUE+1); -- -- Vector version of C_SG_FTCH_DESC2QUEUE -- constant SG_FTCH_DESC2QUEUE_VEC : std_logic_vector(SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned -- (C_SG_FTCH_DESC2QUEUE,SG_FTCH_DESC2QUEUE_WIDTH)); -- signal desc_queued_incr : std_logic := '0'; -- signal desc_queued_decr : std_logic := '0'; -- -- -- CR585958 -- signal ch2_desc_ftched_count: std_logic_vector -- (SG_FTCH_DESC2QUEUE_WIDTH-1 downto 0) := (others => '0'); -- -- begin -- -- desc_queued_incr <= '1' when ch2_active_i = '1' -- and write_cmnd_cmb = '1' -- and ch2_ftch_descpulled = '0' -- else '0'; -- -- desc_queued_decr <= '1' when ch2_ftch_descpulled = '1' -- and not (ch2_active_i = '1' and write_cmnd_cmb = '1') -- else '0'; -- -- -- Keep track of descriptors queued version descriptors updated -- DESC_FETCHED_CNTR : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch2_desc_ftched_count <= (others => '0'); -- elsif(desc_queued_incr = '1')then -- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) + 1); -- elsif(desc_queued_decr = '1')then -- ch2_desc_ftched_count <= std_logic_vector(unsigned(ch2_desc_ftched_count) - 1); -- end if; -- end if; -- end process DESC_FETCHED_CNTR; -- -- REG_PAUSE_FETCH : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- ch2_pause_fetch <= '0'; -- elsif(ch2_desc_ftched_count >= SG_FTCH_DESC2QUEUE_VEC)then -- ch2_pause_fetch <= '1'; -- else -- ch2_pause_fetch <= '0'; -- end if; -- end if; -- end process REG_PAUSE_FETCH; -- ch2_pause_fetch <= ch2_ftch_pause; end generate GEN_CH2_NO_FETCH_PAUSE; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_run_stop = '1' -- Channel running and ch2_sg_idle = '0' -- SG Engine running and ch2_ftch_queue_full = '0' -- Queue not full and updt_error = '0' -- No SG Update error and ch2_stale_descriptor = '0' -- No Stale Descriptors and ch2_desc_flush = '0' -- Not flushing desc and ch2_pause_fetch = '0' -- No fetch pause else '0'; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- INT_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_interr_set_i <= '0'; -- Channel active and datamover int error or fetch done and descriptor stale elsif((ch2_active_i = '1' and ftch_interr = '1') or ((ftch_done = '1' or ftch_error = '1') and ch2_stale_descriptor = '1'))then ch2_ftch_interr_set_i <= '1'; end if; end if; end process INT_ERROR_PROCESS; ch2_ftch_interr_set <= ch2_ftch_interr_set_i; SLV_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_slverr_set <= '0'; elsif(ch2_active_i = '1' and ftch_slverr = '1')then ch2_ftch_slverr_set <= '1'; end if; end if; end process SLV_ERROR_PROCESS; DEC_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset or stopped then clear idle bit if(m_axi_sg_aresetn = '0')then ch2_ftch_decerr_set <= '0'; elsif(ch2_active_i = '1' and ftch_decerr = '1')then ch2_ftch_decerr_set <= '1'; end if; end if; end process DEC_ERROR_PROCESS; -- Early detection of SlvErr or DecErr, used to prevent error'ed descriptor -- from being used by dma controller ch2_ftch_err_early <= '1' when ftch_error_early = '1' and ch2_active_i = '1' else '0'; -- Enable stale descriptor check GEN_CH2_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 1 generate begin ----------------------------------------------------------------------- -- Stale Descriptor Error ----------------------------------------------------------------------- CH2_STALE_DESC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- If reset then clear flag if(m_axi_sg_aresetn = '0')then ch2_stale_descriptor <= '0'; elsif(ftch_stale_desc = '1' and ch2_active_i = '1' )then ch2_stale_descriptor <= '1'; end if; end if; end process CH2_STALE_DESC; end generate GEN_CH2_STALE_CHECK; -- Disable stale descriptor check GEN_CH2_NO_STALE_CHECK : if C_SG_CH2_ENBL_STALE_ERROR = 0 generate begin ch2_stale_descriptor <= '0'; end generate GEN_CH2_NO_STALE_CHECK; -- Early detection of Stale Descriptor (valid only in tailpntr mode) used -- to prevent error'ed descriptor from being used. ch2_ftch_stale_desc <= ch2_stale_descriptor; end generate GEN_CH2_FETCH; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_FETCH : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_ftch_idle <= '0'; ch2_ftch_interr_set <= '0'; ch2_ftch_slverr_set <= '0'; ch2_ftch_decerr_set <= '0'; ch2_ftch_err_early <= '0'; ch2_ftch_stale_desc <= '0'; end generate GEN_NO_CH2_FETCH; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- Assign fetch address fetch_cmd_addr <= ch1_fetch_address when ch1_active_i = '1' else ch2_fetch_address; -- Assign bytes to transfer (BTT) fetch_cmd_btt <= FETCH_CH1_CMD_BTT when ch1_active_i = '1' else FETCH_CH2_CMD_BTT; -- When command by sm, drive command to ftch_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_cmnd_wr <= '0'; ftch_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then ftch_cmnd_wr <= '1'; ftch_cmnd_data <= FETCH_CMD_RSVD & FETCH_CMD_TAG & fetch_cmd_addr & FETCH_MSB_IGNORED & FETCH_CMD_TYPE & FETCH_LSB_IGNORED & fetch_cmd_btt; else ftch_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error_addr <= (others => '0'); elsif(write_cmnd_cmb = '1')then ftch_error_addr <= fetch_cmd_addr; end if; end if; end process LOG_ERROR_ADDR; end implementation;
gpl-2.0
40fd16496e20e435449db4db5b80f30f
0.42032
4.447311
false
false
false
false
freecores/t48
rtl/vhdl/decoder.vhd
1
67,801
------------------------------------------------------------------------------- -- -- The Decoder unit. -- It decodes the instruction opcodes and executes them. -- -- $Id: decoder.vhd,v 1.27 2008-05-02 21:20:41 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_pack.mstate_t; use work.t48_alu_pack.alu_op_t; use work.t48_cond_branch_pack.all; use work.t48_dmem_ctrl_pack.all; use work.t48_pmem_ctrl_pack.all; entity t48_decoder is generic ( -- store mnemonic in flip-flops (registered-out) register_mnemonic_g : integer := 1 ); port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; xtal_i : in std_logic; xtal_en_i : in boolean; ea_i : in std_logic; ale_i : in boolean; int_n_i : in std_logic; t0_dir_o : out std_logic; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; alu_write_accu_o : out boolean; alu_write_shadow_o : out boolean; alu_write_temp_reg_o : out boolean; alu_read_alu_o : out boolean; bus_write_bus_o : out boolean; bus_read_bus_o : out boolean; dm_write_dmem_addr_o : out boolean; dm_write_dmem_o : out boolean; dm_read_dmem_o : out boolean; p1_write_p1_o : out boolean; p1_read_p1_o : out boolean; p2_write_p2_o : out boolean; p2_write_exp_o : out boolean; p2_read_p2_o : out boolean; p2_read_exp_o : out boolean; pm_write_pcl_o : out boolean; pm_read_pcl_o : out boolean; pm_write_pch_o : out boolean; pm_read_pch_o : out boolean; pm_read_pmem_o : out boolean; psw_read_psw_o : out boolean; psw_read_sp_o : out boolean; psw_write_psw_o : out boolean; psw_write_sp_o : out boolean; -- ALU Interface ---------------------------------------------------------- alu_carry_i : in std_logic; alu_op_o : out alu_op_t; alu_use_carry_o : out boolean; alu_da_high_o : out boolean; alu_accu_low_o : out boolean; alu_p06_temp_reg_o : out boolean; alu_p60_temp_reg_o : out boolean; alu_da_overflow_i : in boolean; -- BUS Interface ---------------------------------------------------------- bus_output_pcl_o : out boolean; bus_bidir_bus_o : out boolean; -- Clock Controller Interface --------------------------------------------- clk_multi_cycle_o : out boolean; clk_assert_psen_o : out boolean; clk_assert_prog_o : out boolean; clk_assert_rd_o : out boolean; clk_assert_wr_o : out boolean; clk_mstate_i : in mstate_t; clk_second_cycle_i : in boolean; -- Conditional Branch Logic Interface ------------------------------------- cnd_compute_take_o : out boolean; cnd_branch_cond_o : out branch_conditions_t; cnd_take_branch_i : in boolean; cnd_comp_value_o : out comp_value_t; cnd_f1_o : out std_logic; cnd_tf_o : out std_logic; -- Data Memory Controller Interface --------------------------------------- dm_addr_type_o : out dmem_addr_ident_t; -- Port 1 Interface ------------------------------------------------------- p1_read_reg_o : out boolean; -- Port 2 Interface ------------------------------------------------------- p2_read_reg_o : out boolean; p2_output_pch_o : out boolean; -- Program Memory Controller Interface ------------------------------------ pm_inc_pc_o : out boolean; pm_write_pmem_addr_o : out boolean; pm_addr_type_o : out pmem_addr_ident_t; -- Program Status Word Interface ------------------------------------------ psw_special_data_o : out std_logic; psw_carry_i : in std_logic; psw_aux_carry_i : in std_logic; psw_f0_i : in std_logic; psw_inc_stackp_o : out boolean; psw_dec_stackp_o : out boolean; psw_write_carry_o : out boolean; psw_write_aux_carry_o : out boolean; psw_write_f0_o : out boolean; psw_write_bs_o : out boolean; -- Timer Interface -------------------------------------------------------- tim_read_timer_o : out boolean; tim_write_timer_o : out boolean; tim_start_t_o : out boolean; tim_start_cnt_o : out boolean; tim_stop_tcnt_o : out boolean; tim_overflow_i : in boolean ); end t48_decoder; use work.t48_pack.all; use work.t48_alu_pack.all; use work.t48_decoder_pack.all; use work.t48_comp_pack.t48_int; -- pragma translate_off use work.t48_tb_pack.tb_istrobe_s; -- pragma translate_on architecture rtl of t48_decoder is -- Enable fixing a bug of Quartus II 4.0 constant enable_quartus_bugfix_c : boolean := true; -- Opcode Decoder signal opc_multi_cycle_s : boolean; signal opc_read_bus_s : boolean; signal opc_inj_int_s : boolean; signal opc_opcode_q : word_t; signal opc_mnemonic_s : mnemonic_t; signal last_cycle_s : boolean; -- state translators signal assert_psen_s : boolean; -- branch taken handshake signal branch_taken_s, branch_taken_q : boolean; signal pm_inc_pc_s : boolean; signal pm_write_pmem_addr_s : boolean; -- additional signal to increment PC during CALL signal add_inc_pc_s : boolean; -- addtional signal to set PC during RET(R) signal add_write_pmem_addr_s : boolean; -- Flag 1 signal clear_f1_s, cpl_f1_s : boolean; signal f1_q : std_logic; -- memory bank select signal clear_mb_s, set_mb_s : boolean; signal mb_q : std_logic; -- T0 direction selection signal ent0_clk_s : boolean; signal t0_dir_q : std_logic; signal data_s : word_t; signal read_dec_s : boolean; signal tf_s : std_logic; signal bus_read_bus_s : boolean; signal add_read_bus_s : boolean; signal dm_write_dmem_s : boolean; signal p2_output_exp_s : boolean; signal movx_first_cycle_s : boolean; -- interrupt handling signal jtf_executed_s : boolean; signal en_tcnti_s : boolean; signal dis_tcnti_s : boolean; signal en_i_s : boolean; signal dis_i_s : boolean; signal tim_int_s : boolean; signal retr_executed_s : boolean; signal int_executed_s : boolean; signal int_pending_s : boolean; signal int_in_progress_s : boolean; -- the mnemonic signal mnemonic_rec_s : mnemonic_rec_t; signal mnemonic_q : mnemonic_t; -- pragma translate_off signal istrobe_res_q : std_logic; signal istrobe_q : std_logic; signal injected_int_q : std_logic; -- pragma translate_on begin -- pragma translate_off -- Register Mnemonic -------------------------------------------------------- assert (register_mnemonic_g = 1) or (register_mnemonic_g = 0) report "register_mnemonic_g must be either 1 or 0!" severity failure; -- pragma translate_on ----------------------------------------------------------------------------- -- Opcode Decoder -- mnemonic_rec_s <= decode_opcode_f(opcode => opc_opcode_q); -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process opc_regs -- -- Purpose: -- Implements the opcode and mnemonic registers. -- opc_regs: process (res_i, clk_i) begin if res_i = res_active_c then opc_opcode_q <= (others => '0'); -- NOP mnemonic_q <= MN_NOP; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if opc_read_bus_s then opc_opcode_q <= data_i; elsif opc_inj_int_s then opc_opcode_q <= "00010100"; else mnemonic_q <= mnemonic_rec_s.mnemonic; end if; end if; end if; end process opc_regs; -- opc_multi_cycle_s <= mnemonic_rec_s.multi_cycle; opc_mnemonic_s <= mnemonic_q when register_mnemonic_g = 1 else mnemonic_rec_s.mnemonic; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Interrupt Controller. ----------------------------------------------------------------------------- int_b : t48_int port map ( clk_i => clk_i, res_i => res_i, en_clk_i => en_clk_i, xtal_i => xtal_i, xtal_en_i => xtal_en_i, clk_mstate_i => clk_mstate_i, jtf_executed_i => jtf_executed_s, tim_overflow_i => tim_overflow_i, tf_o => tf_s, en_tcnti_i => en_tcnti_s, dis_tcnti_i => dis_tcnti_s, int_n_i => int_n_i, ale_i => ale_i, last_cycle_i => last_cycle_s, en_i_i => en_i_s, dis_i_i => dis_i_s, ext_int_o => open, tim_int_o => tim_int_s, retr_executed_i => retr_executed_s, int_executed_i => int_executed_s, int_pending_o => int_pending_s, int_in_progress_o => int_in_progress_s ); last_cycle_s <= not opc_multi_cycle_s or (opc_multi_cycle_s and clk_second_cycle_i); ----------------------------------------------------------------------------- -- Process machine_cycle -- -- Purpose: -- Generates the control signals that are basically needed for the -- handling of a machine cycle. -- machine_cycle: process (clk_mstate_i, clk_second_cycle_i, last_cycle_s, ea_i, assert_psen_s, branch_taken_q, int_pending_s, p2_output_exp_s, movx_first_cycle_s) variable need_address_v : boolean; begin -- default assignments clk_assert_psen_o <= false; pm_inc_pc_s <= false; pm_write_pmem_addr_s <= false; pm_read_pmem_o <= false; bus_output_pcl_o <= false; p2_output_pch_o <= false; opc_read_bus_s <= false; opc_inj_int_s <= false; bus_read_bus_s <= false; need_address_v := not clk_second_cycle_i or (clk_second_cycle_i and assert_psen_s); case clk_mstate_i is when MSTATE1 => if need_address_v then if ea_i = '0' then if not int_pending_s then pm_read_pmem_o <= true; end if; else if not int_pending_s then bus_read_bus_s <= true; end if; p2_output_pch_o <= true; end if; end if; if not clk_second_cycle_i then if not int_pending_s then opc_read_bus_s <= true; else opc_inj_int_s <= true; -- inject interrupt call end if; end if; when MSTATE2 => if need_address_v and not branch_taken_q and not int_pending_s then pm_inc_pc_s <= true; end if; when MSTATE3 => if need_address_v then -- Theory of operation: -- Program Memory address is updated at end of State 3 (or end of -- State 2 in case of a RET). Address information is thus available -- latest with State 4. -- This is the time where we need information about access target -- (internal or external = EA). EA information needs to be stable -- until end of State 1. pm_write_pmem_addr_s <= true; end if; when MSTATE4 => if ea_i = '1' and ((not clk_second_cycle_i and assert_psen_s) or last_cycle_s) then clk_assert_psen_o <= true; p2_output_pch_o <= true; bus_output_pcl_o <= true; end if; when MSTATE5 => if ea_i = '1' and (need_address_v or last_cycle_s) and -- Suppress output of PCH when either -- a) expander port is driven on P2, has priority not p2_output_exp_s and -- b) first cycle of MOVX, don't disturb external access not movx_first_cycle_s then p2_output_pch_o <= true; end if; when others => -- pragma translate_off assert false report "Unkown machine state!" severity error; -- pragma translate_on end case; end process machine_cycle; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process decode -- -- Purpose: -- Indentifies each single instruction and steps through the related -- execution sequence. -- decode: process (alu_carry_i, psw_aux_carry_i, alu_da_overflow_i, clk_mstate_i, clk_second_cycle_i, cnd_take_branch_i, opc_opcode_q, opc_mnemonic_s, psw_carry_i, psw_f0_i, f1_q, mb_q, tim_int_s, int_pending_s, int_in_progress_s) procedure address_indirect_3_f is begin -- apply dmem address from selected register for indirect mode if opc_opcode_q(3) = '0' or enable_quartus_bugfix_c then dm_read_dmem_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_PLAIN; end if; end; procedure and_or_xor_add_4_f is begin -- write dmem contents to Temp Reg dm_read_dmem_o <= true; alu_write_temp_reg_o <= true; end; procedure and_or_xor_add_5_f (alu_op : alu_op_t) is begin -- perform ALU operation and store in Accumulator alu_op_o <= alu_op; alu_read_alu_o <= true; alu_write_accu_o <= true; end; procedure cond_jump_c2_m1_f is begin -- store address in Program Counter low byte if branch has to -- be taken -- if clk_mstate_i = MSTATE1 and cnd_take_branch_i then pm_write_pcl_o <= true; branch_taken_s <= true; -- end if; end; -- intermediate value of the Program Memory Bank Flag variable mb_v : std_logic; begin -- default assignments data_s <= (others => '-'); read_dec_s <= false; branch_taken_s <= false; clear_f1_s <= false; cpl_f1_s <= false; clear_mb_s <= false; set_mb_s <= false; add_inc_pc_s <= false; assert_psen_s <= false; alu_write_accu_o <= false; alu_write_shadow_o <= false; alu_write_temp_reg_o <= false; alu_p06_temp_reg_o <= false; alu_p60_temp_reg_o <= false; alu_read_alu_o <= false; bus_write_bus_o <= false; bus_bidir_bus_o <= false; dm_write_dmem_addr_o <= false; dm_write_dmem_s <= false; dm_read_dmem_o <= false; pm_write_pcl_o <= false; pm_read_pcl_o <= false; pm_write_pch_o <= false; pm_read_pch_o <= false; pm_addr_type_o <= PM_PC; psw_read_psw_o <= false; psw_read_sp_o <= false; psw_write_psw_o <= false; psw_write_sp_o <= false; alu_op_o <= ALU_NOP; alu_use_carry_o <= false; alu_da_high_o <= false; alu_accu_low_o <= false; clk_assert_prog_o <= false; clk_assert_rd_o <= false; clk_assert_wr_o <= false; cnd_branch_cond_o <= COND_ON_BIT; cnd_compute_take_o <= false; cnd_comp_value_o <= opc_opcode_q(7 downto 5); dm_addr_type_o <= DM_REG; tim_read_timer_o <= false; tim_write_timer_o <= false; tim_start_t_o <= false; tim_start_cnt_o <= false; tim_stop_tcnt_o <= false; p1_write_p1_o <= false; p1_read_p1_o <= false; p1_read_reg_o <= false; p2_write_p2_o <= false; p2_write_exp_o <= false; p2_read_p2_o <= false; p2_read_reg_o <= false; p2_read_exp_o <= false; p2_output_exp_s <= false; psw_special_data_o <= '0'; psw_inc_stackp_o <= false; psw_dec_stackp_o <= false; psw_write_carry_o <= false; psw_write_aux_carry_o <= false; psw_write_f0_o <= false; psw_write_bs_o <= false; jtf_executed_s <= false; en_tcnti_s <= false; dis_tcnti_s <= false; en_i_s <= false; dis_i_s <= false; retr_executed_s <= false; int_executed_s <= false; add_write_pmem_addr_s <= false; ent0_clk_s <= false; add_read_bus_s <= false; movx_first_cycle_s <= false; -- the Program Memory Bank Flag is held low when interrupts are in progress -- according to the MCS-48 User's Manual if int_in_progress_s then mb_v := '0'; else mb_v := mb_q; end if; -- prepare potential register indirect address mode if not clk_second_cycle_i and clk_mstate_i = MSTATE2 then data_s <= (others => '0'); if opc_opcode_q(3) = '1' then data_s(2 downto 0) <= opc_opcode_q(2 downto 0); else data_s(2 downto 0) <= "00" & opc_opcode_q(0); end if; read_dec_s <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_REG; end if; case opc_mnemonic_s is -- Mnemonic ADD --------------------------------------------------------- when MN_ADD => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform ADD and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_ADD); if opc_opcode_q(4) = '1' then alu_use_carry_o <= true; end if; psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; psw_write_aux_carry_o <= true; when others => null; end case; -- Mnemonic ADD_A_DATA -------------------------------------------------- when MN_ADD_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform ADD and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_ADD); if opc_opcode_q(4) = '1' then alu_use_carry_o <= true; end if; psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; psw_write_aux_carry_o <= true; when others => null; end case; end if; -- Mnemonic ANL --------------------------------------------------------- when MN_ANL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform AND and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_AND); when others => null; end case; -- Mnemonic ANL_A_DATA -------------------------------------------------- when MN_ANL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform AND and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_AND); when others => null; end case; end if; -- Mnemonic ANL_EXT ----------------------------------------------------- when MN_ANL_EXT => assert_psen_s <= true; if not clk_second_cycle_i then -- read port to Temp Reg if clk_mstate_i = MSTATE5 then if opc_opcode_q(1 downto 0) = "00" then add_read_bus_s <= true; elsif opc_opcode_q(1) = '0' then p1_read_p1_o <= true; p1_read_reg_o <= true; else p2_read_p2_o <= true; p2_read_reg_o <= true; end if; alu_write_temp_reg_o <= true; end if; else case clk_mstate_i is -- write shadow Accumulator when contents of Program Memory is -- on bus when MSTATE1 => alu_write_shadow_o <= true; -- loop shadow Accumulator through ALU to prevent update from -- real Accumulator when MSTATE2 => alu_read_alu_o <= true; alu_write_shadow_o <= true; -- write result of AND operation back to port when MSTATE3 => alu_op_o <= ALU_AND; alu_read_alu_o <= true; if opc_opcode_q(1 downto 0) = "00" then bus_write_bus_o <= true; elsif opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; when others => null; end case; end if; -- Mnemonic CALL -------------------------------------------------------- when MN_CALL => assert_psen_s <= true; if not clk_second_cycle_i then case clk_mstate_i is -- read Stack Pointer and address Data Memory for low byte -- also increment Program Counter to point to next instruction when MSTATE3 => psw_read_sp_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK; -- only increment PC if this is not an injected CALL -- injected CALLS are not located in Program Memory, -- the PC points already to the instruction to be executed -- after the interrupt if not int_pending_s then add_inc_pc_s <= true; end if; -- store Program Counter low byte on stack when MSTATE4 => pm_read_pcl_o <= true; dm_write_dmem_s <= true; -- store Program Counter high byte and PSW on stack -- increment Stack pointer when MSTATE5 => psw_read_psw_o <= true; pm_read_pch_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK_HIGH; dm_write_dmem_s <= true; psw_inc_stackp_o <= true; when others => null; end case; else case clk_mstate_i is -- store address in Program Counter low byte when MSTATE1 => pm_write_pcl_o <= true; branch_taken_s <= true; if int_pending_s then -- apply low part of vector address manually data_s <= (others => '0'); data_s(1 downto 0) <= "11"; if tim_int_s then data_s(2) <= '1'; end if; read_dec_s <= true; end if; when MSTATE2 => pm_write_pch_o <= true; read_dec_s <= true; if not int_pending_s then -- store high part of target address in Program Counter data_s <= "0000" & mb_v & opc_opcode_q(7 downto 5); else -- apply high part of vector address manually data_s <= (others => '0'); int_executed_s <= true; end if; when others => null; end case; end if; -- Mnemonic CLR_A ------------------------------------------------------- when MN_CLR_A => -- write CLR output of ALU to Accumulator if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_CLR; alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemonic CLR_C ------------------------------------------------------- when MN_CLR_C => -- store 0 to Carry if clk_mstate_i = MSTATE3 then psw_special_data_o <= '0'; psw_write_carry_o <= true; end if; -- Mnemonic CLR_F ------------------------------------------------------- when MN_CLR_F => -- store 0 to selected flag if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '0' then psw_special_data_o <= '0'; psw_write_f0_o <= true; else clear_f1_s <= true; end if; end if; -- Mnemonic CPL_A ------------------------------------------------------- when MN_CPL_A => -- write CPL output of ALU to Accumulator if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_CPL; alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemnonic CPL_C ------------------------------------------------------ when MN_CPL_C => -- write inverse of Carry to PSW if clk_mstate_i = MSTATE3 then psw_special_data_o <= not psw_carry_i; psw_write_carry_o <= true; end if; -- Mnemonic CPL_F ------------------------------------------------------- when MN_CPL_f => -- write inverse of selected flag back to flag if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '0' then psw_special_data_o <= not psw_f0_i; psw_write_f0_o <= true; else cpl_f1_s <= true; end if; end if; -- Mnemonic DA ---------------------------------------------------------- when MN_DA => alu_op_o <= ALU_ADD; case clk_mstate_i is -- Step 1: Preload Temp Reg with 0x06 when MSTATE3 => alu_p06_temp_reg_o <= true; -- Step 2: Check Auxiliary Carry and overflow on low nibble -- Add 0x06 to shadow Accumulator if one is true when MSTATE4 => if psw_aux_carry_i = '1' or alu_da_overflow_i then alu_read_alu_o <= true; alu_write_shadow_o <= true; end if; -- preload Temp Reg with 0x60 alu_p60_temp_reg_o <= true; -- Step 3: Check overflow on high nibble -- Add 0x60 to shadow Accumulator if true and store result -- in Accumulator and PSW (only Carry) when MSTATE5 => alu_da_high_o <= true; if alu_da_overflow_i then psw_special_data_o <= alu_carry_i; else alu_op_o <= ALU_NOP; psw_special_data_o <= '0'; end if; alu_read_alu_o <= true; alu_write_accu_o <= true; psw_write_carry_o <= true; when others => null; end case; -- Mnemonic DEC --------------------------------------------------------- when MN_DEC => case clk_mstate_i is when MSTATE4 => -- DEC Rr: store data from RAM to shadow Accumulator if opc_opcode_q(6) = '1' then dm_read_dmem_o <= true; alu_write_shadow_o <= true; end if; when MSTATE5 => alu_op_o <= ALU_DEC; alu_read_alu_o <= true; if opc_opcode_q(6) = '0' then -- write DEC of Accumulator to Accumulator alu_write_accu_o <= true; else -- store DEC of shadow Accumulator back to dmem dm_write_dmem_s <= true; end if; when others => null; end case; -- Mnemonic DIS_EN_I ---------------------------------------------------- when MN_DIS_EN_I => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then dis_i_s <= true; else en_i_s <= true; end if; end if; -- Mnemonic DIS_EN_TCNTI ------------------------------------------------ when MN_DIS_EN_TCNTI => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then dis_tcnti_s <= true; else en_tcnti_s <= true; end if; end if; -- Mnemonic DJNZ -------------------------------------------------------- when MN_DJNZ => assert_psen_s <= true; if not clk_second_cycle_i then case clk_mstate_i is -- store data from RAM to shadow Accumulator when MSTATE4 => dm_read_dmem_o <= true; alu_write_shadow_o <= true; -- write DEC result of shadow Accumulator back to dmem and -- conditional branch logic when MSTATE5 => alu_op_o <= ALU_DEC; alu_read_alu_o <= true; dm_write_dmem_s <= true; cnd_compute_take_o <= true; cnd_branch_cond_o <= COND_Z; cnd_comp_value_o(0) <= '0'; when others => null; end case; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic ENT0_CLK ---------------------------------------------------- when MN_ENT0_CLK => if clk_mstate_i = MSTATE3 then ent0_clk_s <= true; end if; -- Mnemonic IN ---------------------------------------------------------- when MN_IN => -- read Port and store in Accumulator if clk_second_cycle_i and clk_mstate_i = MSTATE2 then alu_write_accu_o <= true; if opc_opcode_q(1) = '0' then p1_read_p1_o <= true; else p2_read_p2_o <= true; end if; end if; -- Mnemonic INS --------------------------------------------------------- when MN_INS => clk_assert_rd_o <= true; -- read BUS and store in Accumulator if clk_second_cycle_i and clk_mstate_i = MSTATE2 then alu_write_accu_o <= true; add_read_bus_s <= true; end if; -- Mnemonic INC --------------------------------------------------------- when MN_INC => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; when MSTATE4 => -- INC Rr; INC @ Rr: store data from RAM to shadow Accumulator if opc_opcode_q(3 downto 2) /= "01" then dm_read_dmem_o <= true; alu_write_shadow_o <= true; end if; when MSTATE5 => alu_op_o <= ALU_INC; alu_read_alu_o <= true; if opc_opcode_q(3 downto 2) = "01" then -- write INC output of ALU to Accumulator alu_write_accu_o <= true; else -- store INC of shadow Accumulator back to dmem dm_write_dmem_s <= true; end if; when others => null; end case; -- Mnemonic JBB --------------------------------------------------------- when MN_JBB => assert_psen_s <= true; cnd_branch_cond_o <= COND_ON_BIT; if not clk_second_cycle_i then -- read Accumulator and start branch calculation if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; cnd_compute_take_o <= true; -- cnd_comp_value_o is ok by default assignment end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JC ---------------------------------------------------------- when MN_JC => assert_psen_s <= true; cnd_branch_cond_o <= COND_C; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(4); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JF ---------------------------------------------------------- when MN_JF => assert_psen_s <= true; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; if opc_opcode_q(7) = '1' then -- JF0 cnd_branch_cond_o <= COND_F0; else -- JF1 cnd_branch_cond_o <= COND_F1; end if; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JMP --------------------------------------------------------- when MN_JMP => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- store address in Program Counter low byte when MSTATE1 => pm_write_pcl_o <= true; branch_taken_s <= true; -- store high part of target address in Program Counter when MSTATE2 => data_s <= "0000" & mb_v & opc_opcode_q(7 downto 5); read_dec_s <= true; pm_write_pch_o <= true; when others => null; end case; end if; -- Mnemonic JMPP -------------------------------------------------------- when MN_JMPP => assert_psen_s <= true; if not clk_second_cycle_i then -- write Accumulator to Program Memory address -- (skip page offset update from Program Counter) if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; pm_addr_type_o <= PM_PAGE; end if; else if clk_mstate_i = MSTATE1 then -- store address in Program Counter low byte pm_write_pcl_o <= true; branch_taken_s <= true; end if; end if; -- Mnemonic JNI --------------------------------------------------------- when MN_JNI => assert_psen_s <= true; cnd_branch_cond_o <= COND_INT; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JT ---------------------------------------------------------- when MN_JT => assert_psen_s <= true; if opc_opcode_q(6) = '0' then cnd_branch_cond_o <= COND_T0; else cnd_branch_cond_o <= COND_T1; end if; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(4); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JTF --------------------------------------------------------- when MN_JTF => assert_psen_s <= true; cnd_branch_cond_o <= COND_TF; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; jtf_executed_s <= true; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JZ ---------------------------------------------------------- when MN_JZ => assert_psen_s <= true; cnd_branch_cond_o <= COND_Z; if not clk_second_cycle_i then -- read Accumulator and start branch calculation if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(6); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic MOV_A_DATA -------------------------------------------------- when MN_MOV_A_DATA => assert_psen_s <= true; -- Write Accumulator when contents of Program Memory is on bus -- during machine state 1 of second cycle. if clk_second_cycle_i and clk_mstate_i = MSTATE1 then alu_write_accu_o <= true; end if; -- Mnemonic MOV_A_RR ---------------------------------------------------- when MN_MOV_A_RR => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- read data from RAM and store in Accumulator when MSTATE4 => and_or_xor_add_4_f; alu_write_accu_o <= true; when others => null; end case; -- Mnemonic MOV_A_PSW --------------------------------------------------- when MN_MOV_A_PSW => if clk_mstate_i = MSTATE3 then psw_read_psw_o <= true; psw_read_sp_o <= true; alu_write_accu_o <= true; end if; -- Mnemoniv MOV_PSW_A --------------------------------------------------- when MN_MOV_PSW_A => if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; psw_write_psw_o <= true; psw_write_sp_o <= true; end if; -- Mnemonic MOV_RR ------------------------------------------------------ when MN_MOV_RR => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- write Accumulator to dmem when MSTATE5 => alu_read_alu_o <= true; dm_write_dmem_s <= true; when others => null; end case; -- Mnemonic MOV_RR_DATA ------------------------------------------------- when MN_MOV_RR_DATA => assert_psen_s <= true; -- read RAM once for indirect address mode if not clk_second_cycle_i and clk_mstate_i = MSTATE3 then if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; end if; -- Write Data Memory when contents of Program Memory is on bus -- during machine state 1 of second cycle. if clk_second_cycle_i and clk_mstate_i = MSTATE1 then dm_write_dmem_s <= true; end if; -- Mnemonic MOV_T ------------------------------------------------------- when MN_MOV_T => if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '1' then alu_read_alu_o <= true; -- MOV T, A tim_write_timer_o <= true; else tim_read_timer_o <= true; -- MOV A, T alu_write_accu_o <= true; end if; end if; -- Mnemonic OUTD_PP_A --------------------------------------------------- when MN_OUTD_PP_A => clk_assert_prog_o <= true; if not clk_second_cycle_i then case clk_mstate_i is -- propagate expander port number to Port 2 when MSTATE3 => data_s(7 downto 4) <= (others => '0'); data_s(1 downto 0) <= opc_opcode_q(1 downto 0); -- decide which 8243 command to use case opc_opcode_q(7 downto 4) is when "1001" => data_s(3 downto 2) <= "11"; -- ANLD command when "1000" => data_s(3 downto 2) <= "10"; -- ORLD command when "0011" => data_s(3 downto 2) <= "01"; -- MOVD command when others => null; end case; read_dec_s <= true; p2_write_exp_o <= true; -- output expander port number on Port 2 while active edge of PROG -- write Accumulator to expander port when MSTATE4 => p2_output_exp_s <= true; alu_read_alu_o <= true; p2_write_exp_o <= true; when MSTATE5 => p2_output_exp_s <= true; when others => null; end case; else -- hold expander port until inactive edge of PROG if clk_mstate_i = MSTATE1 or clk_mstate_i = MSTATE2 then p2_output_exp_s <= true; end if; end if; -- Mnemonic MOVD_A_PP --------------------------------------------------- when MN_MOVD_A_PP => clk_assert_prog_o <= true; if not clk_second_cycle_i then case clk_mstate_i is -- propagate expander port number to Port 2 when MSTATE3 => data_s <= "0000" & "00" & -- 8243 command: read opc_opcode_q(1 downto 0); read_dec_s <= true; p2_write_exp_o <= true; -- output expander port number on Port 2 while active edge of PROG -- write 1's to expander port to set lower nibble of Port 2 to input when MSTATE4 => p2_output_exp_s <= true; data_s(nibble_t'range) <= (others => '1'); read_dec_s <= true; p2_write_exp_o <= true; when MSTATE5 => p2_output_exp_s <= true; when others => null; end case; else case clk_mstate_i is -- hold expander port until inactive edge of PROG when MSTATE1 => p2_output_exp_s <= true; -- hold expander port until inactive edge of PROG -- write Accumulator with nibble of expander port when MSTATE2 => p2_read_p2_o <= true; p2_output_exp_s <= true; p2_read_exp_o <= true; alu_write_accu_o <= true; when others => null; end case; end if; -- Mnemonic MOVP -------------------------------------------------------- when MN_MOVP => assert_psen_s <= true; if not clk_second_cycle_i then -- write Accumulator to Program Memory address -- (skip page offset update from Program Counter) if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; if opc_opcode_q(6) = '0' then pm_addr_type_o <= PM_PAGE; else pm_addr_type_o <= PM_PAGE3; end if; end if; else if clk_mstate_i = MSTATE1 then -- store data from Program Memory in Accumulator alu_write_accu_o <= true; -- trick & treat to prevent additional PC increment -- our branch target is the previously incremented PC! branch_taken_s <= true; end if; end if; -- Mnemonic MOVX -------------------------------------------------------- when MN_MOVX => bus_bidir_bus_o <= true; if opc_opcode_q(4) = '0' then clk_assert_rd_o <= true; else clk_assert_wr_o <= true; end if; if not clk_second_cycle_i then movx_first_cycle_s <= true; case clk_mstate_i is -- read dmem and put contents on BUS as external address when MSTATE3 => dm_read_dmem_o <= true; bus_write_bus_o <= true; -- store contents of Accumulator to BUS when MSTATE5 => if opc_opcode_q(4) = '1' then alu_read_alu_o <= true; bus_write_bus_o <= true; end if; when others => null; end case; else if clk_mstate_i = MSTATE2 then if opc_opcode_q(4) = '0' then -- store contents of BUS in Accumulator add_read_bus_s <= true; alu_write_accu_o <= true; else -- store contents of Accumulator to BUS -- to this to keep bus in output direction alu_read_alu_o <= true; bus_write_bus_o <= true; end if; end if; end if; -- Mnemonic NOP --------------------------------------------------------- when MN_NOP => -- nothing to do -- Mnemonic ORL --------------------------------------------------------- when MN_ORL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform OR and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_OR); when others => null; end case; -- Mnemonic ORL_A_DATA -------------------------------------------------- when MN_ORL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform OR and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_OR); when others => null; end case; end if; -- Mnemonic ORL_EXT ----------------------------------------------------- when MN_ORL_EXT => assert_psen_s <= true; if not clk_second_cycle_i then -- read port to Temp Reg if clk_mstate_i = MSTATE5 then if opc_opcode_q(1 downto 0) = "00" then add_read_bus_s <= true; elsif opc_opcode_q(1) = '0' then p1_read_p1_o <= true; p1_read_reg_o <= true; else p2_read_p2_o <= true; p2_read_reg_o <= true; end if; alu_write_temp_reg_o <= true; end if; else case clk_mstate_i is -- write shadow Accumulator when contents of Program Memory is -- on bus when MSTATE1 => alu_write_shadow_o <= true; -- loop shadow Accumulator through ALU to prevent update from -- real Accumulator when MSTATE2 => alu_read_alu_o <= true; alu_write_shadow_o <= true; -- write result of OR operation back to port when MSTATE3 => alu_op_o <= ALU_OR; alu_read_alu_o <= true; if opc_opcode_q(1 downto 0) = "00" then bus_write_bus_o <= true; elsif opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; when others => null; end case; end if; -- Mnemonic OUTL_EXT ---------------------------------------------------- when MN_OUTL_EXT => if opc_opcode_q(4) = '0' then clk_assert_wr_o <= true; end if; -- read Accumulator and store in Port/BUS output register if not clk_second_cycle_i and clk_mstate_i = MSTATE4 then alu_read_alu_o <= true; if opc_opcode_q(4) = '1' then if opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; else bus_write_bus_o <= true; end if; end if; -- Mnemonic RET --------------------------------------------------------- when MN_RET => if not clk_second_cycle_i then case clk_mstate_i is -- decrement Stack Pointer when MSTATE3 => psw_dec_stackp_o <= true; -- read Stack Pointer and address Data Memory for low byte when MSTATE4 => psw_read_sp_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK; -- read Data Memory and store to Program Counter low -- prepare address to Data memory for high byte when MSTATE5 => dm_read_dmem_o <= true; pm_write_pcl_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK_HIGH; when others => null; end case; else case clk_mstate_i is -- read Data Memory and store to Program Counter high and PSW when MSTATE1 => dm_read_dmem_o <= true; pm_write_pch_o <= true; if opc_opcode_q(4) = '1' then psw_write_psw_o <= true; retr_executed_s <= true; end if; when MSTATE2 => add_write_pmem_addr_s <= true; when others => null; end case; end if; -- Mnemonic RL ---------------------------------------------------------- when MN_RL => if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_RL; alu_read_alu_o <= true; alu_write_accu_o <= true; if opc_opcode_q(4) = '1' then psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; alu_use_carry_o <= true; end if; end if; -- Mnemonic RR ---------------------------------------------------------- when MN_RR => if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_RR; alu_read_alu_o <= true; alu_write_accu_o <= true; if opc_opcode_q(4) = '0' then psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; alu_use_carry_o <= true; end if; end if; -- Mnemonic SEL_MB ------------------------------------------------------ when MN_SEL_MB => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then set_mb_s <= true; else clear_mb_s <= true; end if; end if; -- Mnemonic SEL_RB ------------------------------------------------------ when MN_SEL_RB => if clk_mstate_i = MSTATE3 then psw_special_data_o <= opc_opcode_q(4); psw_write_bs_o <= true; end if; -- Mnemonic STOP_TCNT --------------------------------------------------- when MN_STOP_TCNT => if clk_mstate_i = MSTATE3 then tim_stop_tcnt_o <= true; end if; -- Mnemonic STRT -------------------------------------------------------- when MN_STRT => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then tim_start_t_o <= true; else tim_start_cnt_o <= true; end if; end if; -- Mnemonic SWAP -------------------------------------------------------- when MN_SWAP => alu_op_o <= ALU_SWAP; if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemonic XCH --------------------------------------------------------- when MN_XCH => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM in Accumulator and Temp Reg -- Accumulator is already shadowed! when MSTATE4 => dm_read_dmem_o <= true; alu_write_accu_o <= true; alu_write_temp_reg_o <= true; if opc_opcode_q(4) = '1' then -- XCHD -- only write lower nibble of Accumulator alu_accu_low_o <= true; end if; -- store data from shadow (previous) Accumulator to dmem when MSTATE5 => dm_write_dmem_s <= true; alu_read_alu_o <= true; if opc_opcode_q(4) = '1' then -- XCHD -- concatenate shadow Accumulator and Temp Reg alu_op_o <= ALU_CONCAT; end if; when others => null; end case; -- Mnemonic XRL --------------------------------------------------------- when MN_XRL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform XOR and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_XOR); when others => null; end case; -- Mnemonic XRL_A_DATA -------------------------------------------------- when MN_XRL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform XOR and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_XOR); when others => null; end case; end if; -- Unimplemented mnemonic ----------------------------------------------- when others => -- this will behave like a NOP -- pragma translate_off assert false report "Mnemonic not yet implemented." severity warning; -- pragma translate_on end case; end process decode; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process regs -- -- Purpose: -- Implements the various registes. -- regs: process (res_i, clk_i) begin if res_i = res_active_c then branch_taken_q <= false; f1_q <= '0'; mb_q <= '0'; t0_dir_q <= '0'; -- pragma translate_off istrobe_res_q <= '1'; istrobe_q <= '0'; injected_int_q <= '0'; -- pragma translate_on elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- branch taken flag if branch_taken_s then branch_taken_q <= true; elsif clk_mstate_i = MSTATE5 then -- release flag when new instruction starts branch_taken_q <= false; end if; -- Flag 1 if clear_f1_s then f1_q <= '0'; elsif cpl_f1_s then f1_q <= not f1_q; end if; -- Memory Bank select if clear_mb_s then mb_q <= '0'; elsif set_mb_s then mb_q <= '1'; end if; -- T0 direction selection if ent0_clk_s then t0_dir_q <= '1'; end if; -- pragma translate_off -- Marker for injected instruction ------------------------------------ if opc_inj_int_s then injected_int_q <= '1'; elsif clk_mstate_i = MSTATE5 and last_cycle_s then injected_int_q <= '0'; end if; -- Remove istrobe after reset suppression ----------------------------- if clk_mstate_i = MSTATE5 and last_cycle_s then istrobe_res_q <= '0'; end if; -- pragma translate_on end if; -- pragma translate_off -- Instruction Strobe --------------------------------------------------- if clk_mstate_i = MSTATE5 and last_cycle_s and injected_int_q = '0' then if istrobe_res_q = '0' then istrobe_q <= '1'; end if; else istrobe_q <= '0'; end if; -- pragma translate_on end if; end process regs; -- ----------------------------------------------------------------------------- -- pragma translate_off -- assign to global signal for testbench tb_istrobe_s <= istrobe_q; -- pragma translate_on ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- clk_multi_cycle_o <= opc_multi_cycle_s; cnd_f1_o <= f1_q; cnd_tf_o <= tf_s; data_o <= data_s when read_dec_s else (others => bus_idle_level_c); dm_write_dmem_o <= dm_write_dmem_s and en_clk_i; pm_inc_pc_o <= pm_inc_pc_s or add_inc_pc_s; pm_write_pmem_addr_o <= pm_write_pmem_addr_s or add_write_pmem_addr_s; t0_dir_o <= t0_dir_q; bus_read_bus_o <= bus_read_bus_s or add_read_bus_s; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.26 2008/04/29 21:19:21 arniml -- better support for ISE/XST: -- opc_table and opc_decoder merged into decoder_pack and decoder -- -- Revision 1.25 2006/06/20 00:46:03 arniml -- new input xtal_en_i -- -- Revision 1.24 2005/11/14 21:12:29 arniml -- suppress p2_output_pch_o when MOVX operation is accessing the -- external memory -- -- Revision 1.23 2005/11/07 19:25:01 arniml -- fix sensitivity list -- -- Revision 1.22 2005/11/01 21:25:37 arniml -- * suppress p2_output_pch_o when p2_output_exp is active -- * wire xtal_i to interrupt module -- -- Revision 1.21 2005/10/31 10:08:33 arniml -- Suppress assertion of bus_read_bus_s when interrupt is pending. -- This should fix bug report -- "PROBLEM WHEN INT AND JMP" -- -- Revision 1.20 2005/09/13 21:08:34 arniml -- move check for int_pending_s into ea_i_='0' branch -- this fixes a glitch on PCH when an interrutp occurs -- during external program memory fetch -- -- Revision 1.19 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.18 2005/06/09 22:18:28 arniml -- Move latching of BUS to MSTATE2 -- -> sample BUS at the end of RD' -- -- Revision 1.17 2005/05/09 22:26:08 arniml -- remove obsolete output stack_high_o -- -- Revision 1.16 2004/10/25 19:39:24 arniml -- Fix bug report: -- "RD' and WR' not asserted for INS A, BUS and OUTL BUS, A" -- rd is asserted for INS A, BUS -- wr is asserted for OUTL BUS, A -- P1, P2 and BUS are written in first instruction cycle -- -- Revision 1.15 2004/09/12 00:35:44 arniml -- Fix bug report: -- "PSENn Timing" -- PSEN is now only asserted for the second cycle if explicitely -- requested by assert_psen_s. -- The previous implementation asserted PSEN together with RD or WR. -- -- Revision 1.14 2004/06/30 21:18:28 arniml -- Fix bug report: -- "Program Memory bank can be switched during interrupt" -- int module emits int_in_progress signal that is used inside the decoder -- to hold mb low for JMP and CALL during interrupts -- -- Revision 1.13 2004/05/20 21:51:40 arniml -- clean-up use of ea_i -- -- Revision 1.12 2004/05/17 14:40:09 arniml -- assert p2_read_p2_o when expander port is read -- -- Revision 1.11 2004/05/16 15:33:39 arniml -- work around bug in Quartus II 4.0 -- -- Revision 1.10 2004/04/25 16:22:03 arniml -- adjust external timing of BUS -- -- Revision 1.9 2004/04/24 11:22:55 arniml -- removed superfluous signal from sensitivity list -- -- Revision 1.8 2004/04/18 18:57:43 arniml -- + enhance instruction strobe generation -- + rework address output under EA=1 conditions -- -- Revision 1.7 2004/04/15 22:06:05 arniml -- + add marker for injected calls -- + suppress intstruction strobes for injected calls -- -- Revision 1.6 2004/04/14 20:53:33 arniml -- make istrobe visible through testbench package -- -- Revision 1.5 2004/04/07 22:09:03 arniml -- remove unused signals -- -- Revision 1.4 2004/04/04 14:18:53 arniml -- add measures to implement XCHD -- -- Revision 1.3 2004/03/28 21:15:48 arniml -- implemented mnemonic DA -- -- Revision 1.2 2004/03/28 13:06:32 arniml -- implement mnemonics: -- + MOVD_A_PP -- + OUTD_PP_A -> ANLD PP, A; MOVD PP, A; ORLD PP, A -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
84cec76a6d42db94fdd901ea61613a90
0.455819
4.053629
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_q_mngr.vhd
1
37,589
------------------------------------------------------------------------------- -- axi_sg_ftch_queue ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 7/27/10 v1_00_a -- ^^^^^^ -- CR569609 -- Remove double driven signal for exclude update engine mode -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control -- ch1_desc_flush : in std_logic ; -- ch1_ftch_active : in std_logic ; -- ch1_nxtdesc_wren : out std_logic ; -- ch1_ftch_queue_empty : out std_logic ; -- ch1_ftch_queue_full : out std_logic ; -- ch1_ftch_pause : out std_logic ; -- -- -- Channel 2 Control -- ch2_desc_flush : in std_logic ; -- ch2_ftch_active : in std_logic ; -- ch2_nxtdesc_wren : out std_logic ; -- ch2_ftch_queue_empty : out std_logic ; -- ch2_ftch_queue_full : out std_logic ; -- ch2_ftch_pause : out std_logic ; -- nxtdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- ftch_stale_desc : out std_logic ; -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tkeep : in std_logic_vector -- ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- m_axis_mm2s_tready : out std_logic ; -- -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- -- -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic -- ); end axi_sg_ftch_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Determine the maximum word count for use in setting the word counter width -- Set bit width on max num words to fetch constant FETCH_COUNT : integer := max2(C_SG_CH1_WORDS_TO_FETCH ,C_SG_CH2_WORDS_TO_FETCH); -- LOG2 to get width of counter constant WORDS2FETCH_BITWIDTH : integer := clog2(FETCH_COUNT); -- Zero value for counter constant WORD_ZERO : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0'); -- One value for counter constant WORD_ONE : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,WORDS2FETCH_BITWIDTH)); -- Seven value for counter constant WORD_SEVEN : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := std_logic_vector(to_unsigned(7,WORDS2FETCH_BITWIDTH)); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_mm2s_tready_i : std_logic := '0'; signal ch1_ftch_tready : std_logic := '0'; signal ch2_ftch_tready : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal fetch_word_count : std_logic_vector (WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0'); signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal lsbnxtdesc_tready : std_logic := '0'; signal msbnxtdesc_tready : std_logic := '0'; signal nxtdesc_tready : std_logic := '0'; signal ch1_writing_curdesc : std_logic := '0'; signal ch2_writing_curdesc : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- For 32-bit SG addresses then drive zero on msb --------------------------------------------------------------------------- GEN_CURDESC_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin msb_curdesc <= (others => '0'); end generate GEN_CURDESC_32; --------------------------------------------------------------------------- -- For 64-bit SG addresses then capture upper order adder to msb --------------------------------------------------------------------------- GEN_CURDESC_64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CAPTURE_CURADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then msb_curdesc <= (others => '0'); elsif(ftch_cmnd_wr = '1')then msb_curdesc <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT + 1); end if; end if; end process CAPTURE_CURADDR; end generate GEN_CURDESC_64; ------------------------------------------------------------------------------- -- Fetch Stream Word Counter -- The process is used to determine when to strip off NextDesc pointer from -- stream and when to look at control word for complete bit set. ------------------------------------------------------------------------------- REG_WORD_COUNTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- Clear on reset and on datamover command write if(m_axi_sg_aresetn = '0' or ftch_cmnd_wr = '1' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tready_i = '1'))then fetch_word_count <= (others => '0'); -- If both tvalid=1 and tready = 1 then count elsif(m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tready_i = '1')then fetch_word_count <= std_logic_vector(unsigned(fetch_word_count (WORDS2FETCH_BITWIDTH-1 downto 0)) + 1); end if; end if; end process REG_WORD_COUNTER; --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then nxtdesc(31 downto 0) <= (others => '0'); -- On valid and word count at 0 and channel active capture LSB next pointer elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ZERO)then nxtdesc(31 downto 0) <= m_axis_mm2s_tdata; end if; end if; end process REG_LSB_NXTPNTR; lsbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ZERO else '0'; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then nxtdesc(63 downto 32) <= (others => '0'); ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; -- Capture upper pointer, drive ready to progress DataMover -- and also write nxtdesc out elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE)then nxtdesc(63 downto 32) <= m_axis_mm2s_tdata; ch1_nxtdesc_wren <= ch1_ftch_active; ch2_nxtdesc_wren <= ch2_ftch_active; -- Assert tready/wren for only 1 clock else ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; end if; end if; end process REG_MSB_NXTPNTR; msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE else '0'; end generate GEN_UPPER_MSB_NXTDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; -- Throw away second word but drive ready to progress DataMover -- and also write nxtdesc out elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE)then ch1_nxtdesc_wren <= ch1_ftch_active; ch2_nxtdesc_wren <= ch2_ftch_active; -- Assert for only 1 clock else ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; end if; end if; end process REG_MSB_NXTPNTR; msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE else '0'; end generate GEN_NO_UPR_MSB_NXTDESC; -- Drive ready to DataMover for ether lsb or msb capture nxtdesc_tready <= msbnxtdesc_tready or lsbnxtdesc_tready; -- Generate logic for checking stale descriptor GEN_STALE_DESC_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 or C_SG_CH2_ENBL_STALE_ERROR = 1 generate begin --------------------------------------------------------------------------- -- Examine Completed BIT to determine if stale descriptor fetched --------------------------------------------------------------------------- CMPLTD_CHECK : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then ftch_stale_desc <= '0'; -- On valid and word count at 0 and channel active capture LSB next pointer elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_SEVEN and m_axis_mm2s_tready_i = '1' and m_axis_mm2s_tdata(DESC_STS_CMPLTD_BIT) = '1' )then ftch_stale_desc <= '1'; else ftch_stale_desc <= '0'; end if; end if; end process CMPLTD_CHECK; end generate GEN_STALE_DESC_CHECK; -- No needed logic for checking stale descriptor GEN_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 and C_SG_CH2_ENBL_STALE_ERROR = 0 generate begin ftch_stale_desc <= '0'; end generate GEN_NO_STALE_CHECK; ------------------------------------------------------------------------------- -- If channel 1 is included then generate ch1 logic ------------------------------------------------------------------------------- GEN_CH1_FTCH_Q_IF : if C_INCLUDE_CH1 = 1 generate begin --------------------------------------------------------------------------- -- SG Queueing therefore pass stream signals to -- FIFO --------------------------------------------------------------------------- GEN_CH1_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate begin -- Instantiate the queue version FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE , C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch1_desc_flush , ftch_active => ch1_ftch_active , ftch_queue_empty => ch1_ftch_queue_empty , ftch_queue_full => ch1_ftch_queue_full , ftch_pause => ch1_ftch_pause , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch1_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch1_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ); end generate GEN_CH1_QUEUE; -- No SG Queueing therefore pass stream signals straight -- out channel port GEN_NO_CH1_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin -- Instantiate the No queue version NO_FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_noqueue generic map ( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch1_desc_flush , ftch_active => ch1_ftch_active , ftch_queue_empty => ch1_ftch_queue_empty , ftch_queue_full => ch1_ftch_queue_full , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch1_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch1_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ); ch1_ftch_pause <= '0'; end generate GEN_NO_CH1_QUEUE; end generate GEN_CH1_FTCH_Q_IF; ------------------------------------------------------------------------------- -- Channel 1 excluded so tie outputs low ------------------------------------------------------------------------------- GEN_NO_CH1_FTCH_Q_IF : if C_INCLUDE_CH1 = 0 generate begin ch1_ftch_queue_empty <= '0'; ch1_ftch_queue_full <= '0'; ch1_ftch_pause <= '0'; ch1_writing_curdesc <= '0'; ch1_ftch_tready <= '0'; m_axis_ch1_ftch_tdata <= (others => '0'); m_axis_ch1_ftch_tlast <= '0'; m_axis_ch1_ftch_tvalid <= '0'; end generate GEN_NO_CH1_FTCH_Q_IF; ------------------------------------------------------------------------------- -- If channel 2 is included then generate ch1 logic ------------------------------------------------------------------------------- GEN_CH2_FTCH_Q_IF : if C_INCLUDE_CH2 = 1 generate begin --------------------------------------------------------------------------- -- SG Queueing therefore pass stream signals to -- FIFO --------------------------------------------------------------------------- GEN_CH2_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate begin -- Instantiate the queue version FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE , C_SG_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch2_desc_flush , ftch_active => ch2_ftch_active , ftch_queue_empty => ch2_ftch_queue_empty , ftch_queue_full => ch2_ftch_queue_full , ftch_pause => ch2_ftch_pause , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch2_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch2_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ftch_tlast => m_axis_ch2_ftch_tlast ); end generate GEN_CH2_QUEUE; -- No SG Queueing therefore pass stream signals straight -- out channel port GEN_NO_CH2_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin -- Instantiate the No queue version NO_FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_noqueue generic map ( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch2_desc_flush , ftch_active => ch2_ftch_active , ftch_queue_empty => ch2_ftch_queue_empty , ftch_queue_full => ch2_ftch_queue_full , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch2_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch2_ftch_tready , -- Channel 2 AXI Fetch Stream Out m_axis_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ftch_tlast => m_axis_ch2_ftch_tlast ); ch2_ftch_pause <= '0'; end generate GEN_NO_CH2_QUEUE; end generate GEN_CH2_FTCH_Q_IF; ------------------------------------------------------------------------------- -- Channel 2 excluded so tie outputs low ------------------------------------------------------------------------------- GEN_NO_CH2_FTCH_Q_IF : if C_INCLUDE_CH2 = 0 generate begin ch2_ftch_queue_empty <= '0'; ch2_ftch_queue_full <= '0'; ch2_ftch_pause <= '0'; ch2_writing_curdesc <= '0'; ch2_ftch_tready <= '0'; m_axis_ch2_ftch_tdata <= (others => '0'); m_axis_ch2_ftch_tlast <= '0'; m_axis_ch2_ftch_tvalid <= '0'; end generate GEN_NO_CH2_FTCH_Q_IF; ------------------------------------------------------------------------------- -- DataMover TREADY MUX ------------------------------------------------------------------------------- writing_curdesc <= ch1_writing_curdesc or ch2_writing_curdesc or ftch_cmnd_wr; TREADY_MUX : process(writing_curdesc, fetch_word_count, nxtdesc_tready, -- channel 1 signals ch1_ftch_active, ch1_desc_flush, ch1_ftch_tready, -- channel 2 signals ch2_ftch_active, ch2_desc_flush, ch2_ftch_tready) begin -- If commmanded to flush descriptor then assert ready -- to datamover until active de-asserts. this allows -- any commanded fetches to complete. if( (ch1_desc_flush = '1' and ch1_ftch_active = '1') or(ch2_desc_flush = '1' and ch2_ftch_active = '1'))then m_axis_mm2s_tready_i <= '1'; -- NOT ready if cmnd being written because -- curdesc gets written to queue elsif(writing_curdesc = '1')then m_axis_mm2s_tready_i <= '0'; -- First two words drive ready from internal logic elsif(fetch_word_count = WORD_ZERO or fetch_word_count = WORD_ONE)then m_axis_mm2s_tready_i <= nxtdesc_tready; -- Remainder stream words drive ready from channel input else m_axis_mm2s_tready_i <= (ch1_ftch_active and ch1_ftch_tready) or (ch2_ftch_active and ch2_ftch_tready); end if; end process TREADY_MUX; m_axis_mm2s_tready <= m_axis_mm2s_tready_i; end implementation;
gpl-2.0
c5399a276a0cd5b98189d4cbc3bbab96
0.405385
4.629187
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_pipelined_adder.vhd
4
2,424
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_pipelined_adder is generic ( OR_ACLR_INPUTS : natural := 1; SIGNED : integer := 0; NDIRECTION : integer := 1; USE_CARRY_OUT_PORT : natural := 1; USE_CARRY_PORT : natural := 1; WIDTH : natural := 0; PIPELINE : integer := 0 ); port ( user_aclr : in std_logic; result : out std_logic_vector(width-1 downto 0); clock : in std_logic; dataa : in std_logic_vector(width-1 downto 0); datab : in std_logic_vector(width-1 downto 0); cout : out std_logic; add_sub : in std_logic; aclr : in std_logic; cin : in std_logic; ena : in std_logic ); end entity alt_dspbuilder_pipelined_adder; architecture rtl of alt_dspbuilder_pipelined_adder is component alt_dspbuilder_pipelined_adder_GNWEIMU3MK is generic ( OR_ACLR_INPUTS : natural := 1; SIGNED : integer := 0; NDIRECTION : integer := 0; USE_CARRY_OUT_PORT : natural := 0; USE_CARRY_PORT : natural := 0; WIDTH : natural := 0; PIPELINE : integer := 0 ); port ( aclr : in std_logic; clock : in std_logic; dataa : in std_logic_vector(0-1 downto 0); datab : in std_logic_vector(0-1 downto 0); ena : in std_logic; result : out std_logic_vector(0-1 downto 0); user_aclr : in std_logic ); end component alt_dspbuilder_pipelined_adder_GNWEIMU3MK; begin alt_dspbuilder_pipelined_adder_GNWEIMU3MK_0: if ((OR_ACLR_INPUTS = 1) and (SIGNED = 0) and (NDIRECTION = 0) and (USE_CARRY_OUT_PORT = 0) and (USE_CARRY_PORT = 0) and (WIDTH = 0) and (PIPELINE = 0)) generate inst_alt_dspbuilder_pipelined_adder_GNWEIMU3MK_0: alt_dspbuilder_pipelined_adder_GNWEIMU3MK generic map(OR_ACLR_INPUTS => 1, SIGNED => 0, NDIRECTION => 0, USE_CARRY_OUT_PORT => 0, USE_CARRY_PORT => 0, WIDTH => 0, PIPELINE => 0) port map(aclr => aclr, clock => clock, dataa => dataa, datab => datab, ena => ena, result => result, user_aclr => user_aclr); end generate; assert not (((OR_ACLR_INPUTS = 1) and (SIGNED = 0) and (NDIRECTION = 0) and (USE_CARRY_OUT_PORT = 0) and (USE_CARRY_PORT = 0) and (WIDTH = 0) and (PIPELINE = 0))) report "Please run generate again" severity error; end architecture rtl;
mit
a8bf0d08e32eaeb955b9e810426136d4
0.682343
3.076142
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_axi_iic_0_0/synth/tutorial_axi_iic_0_0.vhd
1
10,095
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_iic:2.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_iic_v2_0; USE axi_iic_v2_0.axi_iic; ENTITY tutorial_axi_iic_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; iic2intc_irpt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; sda_i : IN STD_LOGIC; sda_o : OUT STD_LOGIC; sda_t : OUT STD_LOGIC; scl_i : IN STD_LOGIC; scl_o : OUT STD_LOGIC; scl_t : OUT STD_LOGIC; gpo : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END tutorial_axi_iic_0_0; ARCHITECTURE tutorial_axi_iic_0_0_arch OF tutorial_axi_iic_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_axi_iic_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_iic IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_IIC_FREQ : INTEGER; C_TEN_BIT_ADR : INTEGER; C_GPO_WIDTH : INTEGER; C_S_AXI_ACLK_FREQ_HZ : INTEGER; C_SCL_INERTIAL_DELAY : INTEGER; C_SDA_INERTIAL_DELAY : INTEGER; C_SDA_LEVEL : INTEGER; C_SMBUS_PMBUS_HOST : INTEGER; C_DEFAULT_VALUE : STD_LOGIC_VECTOR(7 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; iic2intc_irpt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; sda_i : IN STD_LOGIC; sda_o : OUT STD_LOGIC; sda_t : OUT STD_LOGIC; scl_i : IN STD_LOGIC; scl_o : OUT STD_LOGIC; scl_t : OUT STD_LOGIC; gpo : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT axi_iic; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_axi_iic_0_0_arch: ARCHITECTURE IS "axi_iic,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_axi_iic_0_0_arch : ARCHITECTURE IS "tutorial_axi_iic_0_0,axi_iic,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_axi_iic_0_0_arch: ARCHITECTURE IS "tutorial_axi_iic_0_0,axi_iic,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_iic,x_ipVersion=2.0,x_ipCoreRevision=7,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_IIC_FREQ=100000,C_TEN_BIT_ADR=0,C_GPO_WIDTH=1,C_S_AXI_ACLK_FREQ_HZ=76000000,C_SCL_INERTIAL_DELAY=0,C_SDA_INERTIAL_DELAY=0,C_SDA_LEVEL=1,C_SMBUS_PMBUS_HOST=0,C_DEFAULT_VALUE=0x00}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF iic2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_I"; ATTRIBUTE X_INTERFACE_INFO OF sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_O"; ATTRIBUTE X_INTERFACE_INFO OF sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_T"; ATTRIBUTE X_INTERFACE_INFO OF scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_I"; ATTRIBUTE X_INTERFACE_INFO OF scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_O"; ATTRIBUTE X_INTERFACE_INFO OF scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_T"; BEGIN U0 : axi_iic GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_IIC_FREQ => 100000, C_TEN_BIT_ADR => 0, C_GPO_WIDTH => 1, C_S_AXI_ACLK_FREQ_HZ => 76000000, C_SCL_INERTIAL_DELAY => 0, C_SDA_INERTIAL_DELAY => 0, C_SDA_LEVEL => 1, C_SMBUS_PMBUS_HOST => 0, C_DEFAULT_VALUE => X"00" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, iic2intc_irpt => iic2intc_irpt, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, sda_i => sda_i, sda_o => sda_o, sda_t => sda_t, scl_i => scl_i, scl_o => scl_o, scl_t => scl_t, gpo => gpo ); END tutorial_axi_iic_0_0_arch;
gpl-2.0
b5bb92b7f77f9c22ad49855e530d54fb
0.685092
3.171536
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_intrpt.vhd
1
30,808
------------------------------------------------------------------------------- -- axi_sg_intrpt ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_intrpt.vhd -- Description: This entity handles interrupt coalescing -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 6/14/10 v1_00_a -- ^^^^^^ -- CR565366 -- Fixed issue where simultaneous sof and eof caused delay timer to not enable -- thus missing a delay interrupt. This issue occurs with small packets(i.e. -- 2 data beats) -- ~~~~~~ -- GAB 7/1/10 v1_00_a -- ^^^^^^ -- CR567661 -- Remapped interrupt threshold control to be driven based on whether update -- engine is included or not. Renamed interrupt threshold decrement control here -- to match change in upper level. -- ~~~~~~ -- GAB 8/3/10 v1_00_a -- ^^^^^^ -- CR570398 -- Routed dlyirq_wren to reset delay timer logic on assertion -- ~~~~~~ -- GAB 8/12/10 v1_00_a -- ^^^^^^ -- CR572013 -- Added ability to disable threshold count reset on delay timer timeout in -- order to match legacy SDMA operation. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; use lib_pkg_v1_0.lib_pkg.clog2; use lib_pkg_v1_0.lib_pkg.max2; ------------------------------------------------------------------------------- entity axi_sg_intrpt is generic( C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or exclude MM2S primary data path -- 0 = Exclude MM2S primary data path -- 1 = Include MM2S primary data path C_INCLUDE_CH2 : integer range 0 to 1 := 1 ; -- Include or exclude S2MM primary data path -- 0 = Exclude S2MM primary data path -- 1 = Include S2MM primary data path C_INCLUDE_DLYTMR : integer range 0 to 1 := 1 ; -- Include/Exclude interrupt delay timer -- 0 = Exclude Delay timer -- 1 = Include Delay timer C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125 -- Interrupt Delay Timer resolution in usec ); port ( -- Secondary Clock and Reset m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ch1_irqthresh_decr : in std_logic ;-- CR567661 -- ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch1_dlyirq_dsble : in std_logic ; -- ch1_irqdelay_wren : in std_logic ; -- ch1_irqdelay : in std_logic_vector(7 downto 0) ; -- ch1_irqthresh_wren : in std_logic ; -- ch1_irqthresh : in std_logic_vector(7 downto 0) ; -- ch1_packet_sof : in std_logic ; -- ch1_packet_eof : in std_logic ; -- ch1_ioc_irq_set : out std_logic ; -- ch1_dly_irq_set : out std_logic ; -- ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; -- -- ch2_irqthresh_decr : in std_logic ;-- CR567661 -- ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 -- ch2_dlyirq_dsble : in std_logic ; -- ch2_irqdelay_wren : in std_logic ; -- ch2_irqdelay : in std_logic_vector(7 downto 0) ; -- ch2_irqthresh_wren : in std_logic ; -- ch2_irqthresh : in std_logic_vector(7 downto 0) ; -- ch2_packet_sof : in std_logic ; -- ch2_packet_eof : in std_logic ; -- ch2_ioc_irq_set : out std_logic ; -- ch2_dly_irq_set : out std_logic ; -- ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; -- ch2_irqthresh_status : out std_logic_vector(7 downto 0) -- ); end axi_sg_intrpt; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_intrpt is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Delay interrupt fast counter width constant FAST_COUNT_WIDTH : integer := clog2(C_DLYTMR_RESOLUTION+1); -- Delay interrupt fast counter terminal count constant FAST_COUNT_TC : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_DLYTMR_RESOLUTION-1),FAST_COUNT_WIDTH)); -- Delay interrupt fast counter zero value constant ZERO_FAST_COUNT : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_VALUE : std_logic_vector(7 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch1_dly_irq_set_i : std_logic := '0'; signal ch1_ioc_irq_set_i : std_logic := '0'; signal ch1_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch1_delay_cnt_en : std_logic := '0'; signal ch1_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch1_dly_fast_incr : std_logic := '0'; signal ch1_delay_zero : std_logic := '0'; signal ch1_delay_tc : std_logic := '0'; signal ch1_disable_delay : std_logic := '0'; signal ch2_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD; signal ch2_dly_irq_set_i : std_logic := '0'; signal ch2_ioc_irq_set_i : std_logic := '0'; signal ch2_delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal ch2_delay_cnt_en : std_logic := '0'; signal ch2_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0'); signal ch2_dly_fast_incr : std_logic := '0'; signal ch2_delay_zero : std_logic := '0'; signal ch2_delay_tc : std_logic := '0'; signal ch2_disable_delay : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Transmit channel included therefore generate transmit interrupt logic GEN_INCLUDE_MM2S : if C_INCLUDE_CH1 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_thresh_count <= ONE_THRESHOLD; ch1_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch1_irqthresh_wren = '1' or ch1_dly_irq_set_i = '1') then elsif( (ch1_irqthresh_wren = '1') or (ch1_dly_irq_set_i = '1' and ch1_irqthresh_rstdsbl = '0')) then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch1_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch1_thresh_count = ONE_THRESHOLD)then ch1_thresh_count <= ch1_irqthresh; ch1_ioc_irq_set_i <= '1'; else ch1_thresh_count <= std_logic_vector(unsigned(ch1_thresh_count(7 downto 0)) - 1); ch1_ioc_irq_set_i <= '0'; end if; else ch1_thresh_count <= ch1_thresh_count; ch1_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch1_irqthresh_status <= ch1_thresh_count; ch1_ioc_irq_set <= ch1_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH1_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin GEN_CH1_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '0'; elsif(ch1_dly_fast_cnt = ZERO_FAST_COUNT)then ch1_dly_fast_cnt <= FAST_COUNT_TC; ch1_dly_fast_incr <= '1'; else ch1_dly_fast_cnt <= std_logic_vector(unsigned(ch1_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch1_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_FAST_COUNTER; GEN_CH1_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_dly_fast_incr <= '0'; else ch1_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH1_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch1_delay_zero <= '1' when ch1_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch1_delay_tc <= '1' when ch1_delay_count = ch1_irqdelay and ch1_delay_zero = '0' and ch1_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch1_disable_delay <= '1' when ch1_delay_zero = '1' or ch1_dlyirq_dsble = '1' or ch1_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '0'; elsif(ch1_dly_fast_incr = '1' and ch1_delay_tc = '1')then ch1_delay_count <= (others => '0'); ch1_dly_irq_set_i <= '1'; elsif(ch1_dly_fast_incr = '1')then ch1_delay_count <= std_logic_vector(unsigned(ch1_delay_count(7 downto 0)) + 1); ch1_dly_irq_set_i <= '0'; else ch1_delay_count <= ch1_delay_count; ch1_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch1_irqdelay_status <= ch1_delay_count; ch1_dly_irq_set <= ch1_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_disable_delay = '1')then ch1_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch1_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch1_delay_cnt_en = '1' and ch1_packet_sof = '1' and ch1_packet_eof = '0')then ch1_delay_cnt_en <= '0'; elsif(ch1_packet_eof = '1')then ch1_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH1_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH1_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch1_dly_irq_set <= '0'; ch1_dly_irq_set_i <= '0'; ch1_irqdelay_status <= (others => '0'); end generate GEN_NO_CH1_DELAY_INTR; end generate GEN_INCLUDE_MM2S; -- Receive channel included therefore generate receive interrupt logic GEN_INCLUDE_S2MM : if C_INCLUDE_CH2 = 1 generate begin REG_THRESH_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_thresh_count <= ONE_THRESHOLD; ch2_ioc_irq_set_i <= '0'; -- New Threshold set by CPU OR delay interrupt event occured. -- CR572013 - added ability to disable threshold count reset on delay timeout -- elsif(ch2_irqthresh_wren = '1' or ch2_dly_irq_set_i = '1') then elsif( (ch2_irqthresh_wren = '1') or (ch2_dly_irq_set_i = '1' and ch2_irqthresh_rstdsbl = '0')) then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '0'; -- IOC event then... elsif(ch2_irqthresh_decr = '1')then --CR567661 -- Threshold at zero, reload threshold and drive ioc -- interrupt. if(ch2_thresh_count = ONE_THRESHOLD)then ch2_thresh_count <= ch2_irqthresh; ch2_ioc_irq_set_i <= '1'; else ch2_thresh_count <= std_logic_vector(unsigned(ch2_thresh_count(7 downto 0)) - 1); ch2_ioc_irq_set_i <= '0'; end if; else ch2_thresh_count <= ch2_thresh_count; ch2_ioc_irq_set_i <= '0'; end if; end if; end process REG_THRESH_COUNT; -- Pass current threshold count out to DMASR ch2_irqthresh_status <= ch2_thresh_count; ch2_ioc_irq_set <= ch2_ioc_irq_set_i; --------------------------------------------------------------------------- -- Generate Delay Interrupt Timers --------------------------------------------------------------------------- GEN_CH2_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate begin --------------------------------------------------------------------------- -- Delay interrupt high resolution timer --------------------------------------------------------------------------- GEN_CH2_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate begin REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '0'; elsif(ch2_dly_fast_cnt = ZERO_FAST_COUNT)then ch2_dly_fast_cnt <= FAST_COUNT_TC; ch2_dly_fast_incr <= '1'; else ch2_dly_fast_cnt <= std_logic_vector(unsigned(ch2_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1); ch2_dly_fast_incr <= '0'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_FAST_COUNTER; GEN_CH2_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate REG_DLY_FAST_CNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 - need to reset on sof due to chanes for CR -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_dly_fast_incr <= '0'; else ch2_dly_fast_incr <= '1'; end if; end if; end process REG_DLY_FAST_CNT; end generate GEN_CH2_NO_FAST_COUNTER; -- DMACR Delay value set to zero - disable delay interrupt ch2_delay_zero <= '1' when ch2_irqdelay = ZERO_DELAY else '0'; -- Delay Terminal Count reached (i.e. Delay count = DMACR delay value) ch2_delay_tc <= '1' when ch2_delay_count = ch2_irqdelay and ch2_delay_zero = '0' and ch2_packet_sof = '0' else '0'; -- 1 clock earlier delay counter disable to prevent count -- increment on TC hit. ch2_disable_delay <= '1' when ch2_delay_zero = '1' or ch2_dlyirq_dsble = '1' or ch2_dly_irq_set_i = '1' else '0'; --------------------------------------------------------------------------- -- Delay interrupt low resolution timer --------------------------------------------------------------------------- REG_DELAY_COUNT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- CR565366 need to reset on SOF now due to CR change -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then -- CR570398 - need to reset delay timer each time a new delay value is written. -- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '0'; elsif(ch2_dly_fast_incr = '1' and ch2_delay_tc = '1')then ch2_delay_count <= (others => '0'); ch2_dly_irq_set_i <= '1'; elsif(ch2_dly_fast_incr = '1')then ch2_delay_count <= std_logic_vector(unsigned(ch2_delay_count(7 downto 0)) + 1); ch2_dly_irq_set_i <= '0'; else ch2_delay_count <= ch2_delay_count; ch2_dly_irq_set_i <= '0'; end if; end if; end process REG_DELAY_COUNT; -- Pass current delay count to DMASR ch2_irqdelay_status <= ch2_delay_count; ch2_dly_irq_set <= ch2_dly_irq_set_i; -- Enable control for delay counter REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_disable_delay = '1')then ch2_delay_cnt_en <= '0'; -- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer -- to not enable -- elsif(ch2_packet_sof = '1')then -- stop counting if already counting and receive an sof and -- not end of another packet elsif(ch2_delay_cnt_en = '1' and ch2_packet_sof = '1' and ch2_packet_eof = '0')then ch2_delay_cnt_en <= '0'; elsif(ch2_packet_eof = '1')then ch2_delay_cnt_en <= '1'; end if; end if; end process REG_DELAY_CNT_ENABLE; end generate GEN_CH2_DELAY_INTERRUPT; --------------------------------------------------------------------------- -- Delay interrupt NOT included --------------------------------------------------------------------------- GEN_NO_CH2_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate begin ch2_dly_irq_set <= '0'; ch2_dly_irq_set_i <= '0'; ch2_irqdelay_status <= (others => '0'); end generate GEN_NO_CH2_DELAY_INTR; end generate GEN_INCLUDE_S2MM; -- Transmit channel not included therefore associated outputs to zero GEN_EXCLUDE_MM2S : if C_INCLUDE_CH1 = 0 generate begin ch1_ioc_irq_set <= '0'; ch1_dly_irq_set <= '0'; ch1_irqdelay_status <= (others => '0'); ch1_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_MM2S; -- Receive channel not included therefore associated outputs to zero GEN_EXCLUDE_S2MM : if C_INCLUDE_CH2 = 0 generate begin ch2_ioc_irq_set <= '0'; ch2_dly_irq_set <= '0'; ch2_irqdelay_status <= (others => '0'); ch2_irqthresh_status <= (others => '0'); end generate GEN_EXCLUDE_S2MM; end implementation;
gpl-2.0
88f2641fdc04b9c2dfa5df71d2a59860
0.462867
4.019833
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/MAGIC.vhd
2
23,972
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MAGIC is PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; HAZ_GLOB : IN STD_LOGIC; --HAZARD_TEST : OUT STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end; architecture magic of MAGIC is component SETUP PORT( CLK : IN STD_LOGIC; ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; RESET_n : IN STD_LOGIC; STALL : OUT STD_LOGIC; HAZARD : IN STD_LOGIC; ram_0_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_0_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_0_wren_a : OUT STD_LOGIC; ram_0_wren_b : OUT STD_LOGIC; ram_1_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_1_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_1_wren_a : OUT STD_LOGIC; ram_1_wren_b : OUT STD_LOGIC; ram_2_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_2_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_2_wren_a : OUT STD_LOGIC; ram_2_wren_b : OUT STD_LOGIC; ram_3_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_3_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_3_wren_a : OUT STD_LOGIC; ram_3_wren_b : OUT STD_LOGIC; ram_4_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_4_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_4_wren_a : OUT STD_LOGIC; ram_4_wren_b : OUT STD_LOGIC; ram_5_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_5_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_5_wren_a : OUT STD_LOGIC; ram_5_wren_b : OUT STD_LOGIC; ram_6_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_6_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_6_wren_a : OUT STD_LOGIC; ram_6_wren_b : OUT STD_LOGIC; ram_7_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_7_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_7_wren_a : OUT STD_LOGIC; ram_7_wren_b : OUT STD_LOGIC; ram_0_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_1_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_2_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_3_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_4_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_5_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_6_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_7_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); end component; component ROUTE PORT( hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_1_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_2_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_3_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_4_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_5_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_6_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_7_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); OUTPUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_B : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_C : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); end component; component RAM_0 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_1 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_2 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_3 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_4 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_5 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_6 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_7 PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (9 downto 0); address_b : IN STD_LOGIC_VECTOR (9 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; signal address_a_sig : std_logic_vector (31 downto 0); signal address_b_sig : std_logic_vector (31 downto 0); signal address_c_sig : std_logic_vector (31 downto 0); signal address_0_sig : std_logic_vector (31 downto 0); signal address_1_sig : std_logic_vector (31 downto 0); signal address_w_sig : std_logic_vector (31 downto 0); signal data_to_w_sig : std_logic_vector (31 downto 0); signal w_en_sig : std_logic; signal RESET : std_logic; signal stall_flag : std_logic; signal hazard : std_logic; signal hazard_w_io : std_logic; signal io_buffer_en : std_logic; signal ram_0_port_a : std_logic_vector (9 downto 0); signal ram_0_port_b : std_logic_vector (9 downto 0); signal ram_0_wren_a : std_logic; signal ram_0_wren_b : std_logic; signal ram_1_port_a : std_logic_vector (9 downto 0); signal ram_1_port_b : std_logic_vector (9 downto 0); signal ram_1_wren_a : std_logic; signal ram_1_wren_b : std_logic; signal ram_2_port_a : std_logic_vector (9 downto 0); signal ram_2_port_b : std_logic_vector (9 downto 0); signal ram_2_wren_a : std_logic; signal ram_2_wren_b : std_logic; signal ram_3_port_a : std_logic_vector (9 downto 0); signal ram_3_port_b : std_logic_vector (9 downto 0); signal ram_3_wren_a : std_logic; signal ram_3_wren_b : std_logic; signal ram_4_port_a : std_logic_vector (9 downto 0); signal ram_4_port_b : std_logic_vector (9 downto 0); signal ram_4_wren_a : std_logic; signal ram_4_wren_b : std_logic; signal ram_5_port_a : std_logic_vector (9 downto 0); signal ram_5_port_b : std_logic_vector (9 downto 0); signal ram_5_wren_a : std_logic; signal ram_5_wren_b : std_logic; signal ram_6_port_a : std_logic_vector (9 downto 0); signal ram_6_port_b : std_logic_vector (9 downto 0); signal ram_6_wren_a : std_logic; signal ram_6_wren_b : std_logic; signal ram_7_port_a : std_logic_vector (9 downto 0); signal ram_7_port_b : std_logic_vector (9 downto 0); signal ram_7_wren_a : std_logic; signal ram_7_wren_b : std_logic; signal ram_0_sel_vector : std_logic_vector(9 downto 0); signal ram_1_sel_vector : std_logic_vector(9 downto 0); signal ram_2_sel_vector : std_logic_vector(9 downto 0); signal ram_3_sel_vector : std_logic_vector(9 downto 0); signal ram_4_sel_vector : std_logic_vector(9 downto 0); signal ram_5_sel_vector : std_logic_vector(9 downto 0); signal ram_6_sel_vector : std_logic_vector(9 downto 0); signal ram_7_sel_vector : std_logic_vector(9 downto 0); signal ram_0_sel : std_logic_vector(9 downto 0); signal ram_1_sel : std_logic_vector(9 downto 0); signal ram_2_sel : std_logic_vector(9 downto 0); signal ram_3_sel : std_logic_vector(9 downto 0); signal ram_4_sel : std_logic_vector(9 downto 0); signal ram_5_sel : std_logic_vector(9 downto 0); signal ram_6_sel : std_logic_vector(9 downto 0); signal ram_7_sel : std_logic_vector(9 downto 0); signal ram_0_out_a : std_logic_vector (31 downto 0); signal ram_0_out_b : std_logic_vector (31 downto 0); signal ram_1_out_a : std_logic_vector (31 downto 0); signal ram_1_out_b : std_logic_vector (31 downto 0); signal ram_2_out_a : std_logic_vector (31 downto 0); signal ram_2_out_b : std_logic_vector (31 downto 0); signal ram_3_out_a : std_logic_vector (31 downto 0); signal ram_3_out_b : std_logic_vector (31 downto 0); signal ram_4_out_a : std_logic_vector (31 downto 0); signal ram_4_out_b : std_logic_vector (31 downto 0); signal ram_5_out_a : std_logic_vector (31 downto 0); signal ram_5_out_b : std_logic_vector (31 downto 0); signal ram_6_out_a : std_logic_vector (31 downto 0); signal ram_6_out_b : std_logic_vector (31 downto 0); signal ram_7_out_a : std_logic_vector (31 downto 0); signal ram_7_out_b : std_logic_vector (31 downto 0); signal output_a : std_logic_vector (31 downto 0); signal output_b : std_logic_vector (31 downto 0); signal output_c : std_logic_vector (31 downto 0); signal output_0 : std_logic_vector (31 downto 0); signal output_1 : std_logic_vector (31 downto 0); signal stall : std_logic; signal hold : std_logic; signal core_id : std_logic; signal c0_stall_sig : std_logic; signal c1_stall_sig : std_logic; signal hazard_advanced : std_logic; -- begin input_control : SETUP PORT MAP ( CLK => CLK, ADDRESS_A => address_a_sig, ADDRESS_B => address_b_sig, ADDRESS_C => address_c_sig, ADDRESS_0 => address_0_sig, ADDRESS_1 => address_1_sig, ADDRESS_W => address_w_sig, W_EN => w_en_sig, RESET_n => RESET_n, STALL => stall_flag, HAZARD => hazard, ram_0_port_a => ram_0_port_a, ram_0_port_b => ram_0_port_b, ram_0_wren_a => ram_0_wren_a, ram_0_wren_b => ram_0_wren_b, ram_1_port_a => ram_1_port_a, ram_1_port_b => ram_1_port_b, ram_1_wren_a => ram_1_wren_a, ram_1_wren_b => ram_1_wren_b, ram_2_port_a => ram_2_port_a, ram_2_port_b => ram_2_port_b, ram_2_wren_a => ram_2_wren_a, ram_2_wren_b => ram_2_wren_b, ram_3_port_a => ram_3_port_a, ram_3_port_b => ram_3_port_b, ram_3_wren_a => ram_3_wren_a, ram_3_wren_b => ram_3_wren_b, ram_4_port_a => ram_4_port_a, ram_4_port_b => ram_4_port_b, ram_4_wren_a => ram_4_wren_a, ram_4_wren_b => ram_4_wren_b, ram_5_port_a => ram_5_port_a, ram_5_port_b => ram_5_port_b, ram_5_wren_a => ram_5_wren_a, ram_5_wren_b => ram_5_wren_b, ram_6_port_a => ram_6_port_a, ram_6_port_b => ram_6_port_b, ram_6_wren_a => ram_6_wren_a, ram_6_wren_b => ram_6_wren_b, ram_7_port_a => ram_7_port_a, ram_7_port_b => ram_7_port_b, ram_7_wren_a => ram_7_wren_a, ram_7_wren_b => ram_7_wren_b, ram_0_sel_vector => ram_0_sel_vector, ram_1_sel_vector => ram_1_sel_vector, ram_2_sel_vector => ram_2_sel_vector, ram_3_sel_vector => ram_3_sel_vector, ram_4_sel_vector => ram_4_sel_vector, ram_5_sel_vector => ram_5_sel_vector, ram_6_sel_vector => ram_6_sel_vector, ram_7_sel_vector => ram_7_sel_vector ); RAM_0_inst : RAM_0 PORT MAP ( aclr => RESET, address_a => ram_0_port_a, address_b => ram_0_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_0_wren_a, wren_b => ram_0_wren_b, q_a => ram_0_out_a, q_b => ram_0_out_b ); RAM_1_inst : RAM_1 PORT MAP ( aclr => RESET, address_a => ram_1_port_a, address_b => ram_1_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_1_wren_a, wren_b => ram_1_wren_b, q_a => ram_1_out_a, q_b => ram_1_out_b ); RAM_2_inst : RAM_2 PORT MAP ( aclr => RESET, address_a => ram_2_port_a, address_b => ram_2_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_2_wren_a, wren_b => ram_2_wren_b, q_a => ram_2_out_a, q_b => ram_2_out_b ); RAM_3_inst : RAM_3 PORT MAP ( aclr => RESET, address_a => ram_3_port_a, address_b => ram_3_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_3_wren_a, wren_b => ram_3_wren_b, q_a => ram_3_out_a, q_b => ram_3_out_b ); RAM_4_inst : RAM_4 PORT MAP ( aclr => RESET, address_a => ram_4_port_a, address_b => ram_4_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_4_wren_a, wren_b => ram_4_wren_b, q_a => ram_4_out_a, q_b => ram_4_out_b ); RAM_5_inst : RAM_5 PORT MAP ( aclr => RESET, address_a => ram_5_port_a, address_b => ram_5_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_5_wren_a, wren_b => ram_5_wren_b, q_a => ram_5_out_a, q_b => ram_5_out_b ); RAM_6_inst : RAM_6 PORT MAP ( aclr => RESET, address_a => ram_6_port_a, address_b => ram_6_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_6_wren_a, wren_b => ram_6_wren_b, q_a => ram_6_out_a, q_b => ram_6_out_b ); RAM_7_inst : RAM_7 PORT MAP ( aclr => RESET, address_a => ram_7_port_a, address_b => ram_7_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_7_wren_a, wren_b => ram_7_wren_b, q_a => ram_7_out_a, q_b => ram_7_out_b ); output_control : ROUTE PORT MAP ( CLK => CLK, RESET_n => RESET_n, hazard => hazard_w_io, hazard_advanced => hazard_advanced, ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, ram_0_sel_vector => ram_0_sel, ram_1_sel_vector => ram_1_sel, ram_2_sel_vector => ram_2_sel, ram_3_sel_vector => ram_3_sel, ram_4_sel_vector => ram_4_sel, ram_5_sel_vector => ram_5_sel, ram_6_sel_vector => ram_6_sel, ram_7_sel_vector => ram_7_sel, OUTPUT_A => output_a, OUTPUT_B => output_b, OUTPUT_C => output_c, OUTPUT_0 => output_0, OUTPUT_1 => output_1 ); -- latch_outputs : process (CLK, RESET_n) begin -- if (RESET_n = '0') then -- DATA_OUT_A <= "00000000000000000000000000000000"; -- DATA_OUT_B <= "00000000000000000000000000000000"; -- DATA_OUT_C <= "00000000000000000000000000000000"; -- DATA_OUT_0 <= "00000000000000000000000000000000"; -- DATA_OUT_1 <= "00000000000000000000000000000000"; -- elsif (rising_edge(CLK)) then -- DATA_OUT_A <= output_a; -- DATA_OUT_B <= output_b; -- DATA_OUT_C <= output_c; -- DATA_OUT_0 <= output_0; -- DATA_OUT_1 <= output_1; -- end if; -- end process; --********above latching used for testing************ DATA_OUT_A <= output_a; DATA_OUT_B <= output_b; DATA_OUT_C <= output_c; DATA_OUT_0 <= output_0; DATA_OUT_1 <= output_1; latch_vectors : process (CLK, RESET_n) begin if (RESET_n = '0') then ram_0_sel <= "0000000000"; ram_1_sel <= "0000000000"; ram_2_sel <= "0000000000"; ram_3_sel <= "0000000000"; ram_4_sel <= "0000000000"; ram_5_sel <= "0000000000"; ram_6_sel <= "0000000000"; ram_7_sel <= "0000000000"; hazard <= '0'; elsif (rising_edge(CLK)) then ram_0_sel <= ram_0_sel_vector; ram_1_sel <= ram_1_sel_vector; ram_2_sel <= ram_2_sel_vector; ram_3_sel <= ram_3_sel_vector; ram_4_sel <= ram_4_sel_vector; ram_5_sel <= ram_5_sel_vector; ram_6_sel <= ram_6_sel_vector; ram_7_sel <= ram_7_sel_vector; hazard <= stall_flag; end if; end process; -- latch_inputs : process (CLK, RESET_n) begin -- if (RESET_n = '0') then -- address_a_sig <= "00000000000000000000000000000000"; -- address_b_sig <= "00000000000000000000000000000000"; -- address_c_sig <= "00000000000000000000000000000000"; -- address_0_sig <= "00000000000000000000000000000000"; -- address_1_sig <= "00000000000000000000000000000000"; -- address_w_sig <= "00000000000000000000000000000000"; -- data_to_w_sig <= "00000000000000000000000000000000"; -- w_en_sig <= '0'; -- elsif (rising_edge(CLK)) then -- address_a_sig <= ADDRESS_A; -- address_b_sig <= ADDRESS_B; -- address_c_sig <= ADDRESS_C; -- address_0_sig <= ADDRESS_0; -- address_1_sig <= ADDRESS_1; -- address_w_sig <= ADDRESS_W; -- data_to_w_sig <= DATA_TO_W; -- w_en_sig <= W_EN; -- end if; -- end process; --********above latching used for testing*************** address_a_sig <= ADDRESS_A; address_b_sig <= ADDRESS_B; address_c_sig <= ADDRESS_C; address_0_sig <= ADDRESS_0; address_1_sig <= ADDRESS_1; address_w_sig <= ADDRESS_W; data_to_w_sig <= DATA_TO_W; w_en_sig <= W_EN; RESET <= not RESET_n; stall <= stall_flag or hazard_w_io; --maybe without io hold <= c0_stall_sig and c1_stall_sig; C0_STALL <= (not core_id) or c0_stall_sig; --flipped not statement C1_STALL <= (core_id) or c1_stall_sig; --between these two lines CORE_IDENT <= core_id; hazard_w_io <= hazard or io_buffer_en; --HAZARD_TEST <= hazard_w_io; --THIS IS FOR DEBUGGING hazard_advanced <= hazard_w_io or stall_flag or HAZ_GLOB; --ALSO NEW id_gen : process (CLK, RESET_n, hold) begin if (RESET_n = '0') then core_id <= '0'; elsif (rising_edge(CLK)) then if (hold = '0' and IO_ENABLE = '0') then core_id <= not core_id; end if; end if; end process; override_io : process (CLK, RESET_n) begin if (RESET_n = '0') then io_buffer_en <= '0'; elsif (rising_edge(CLK)) then io_buffer_en <= IO_ENABLE; end if; end process; stalling : process (core_id, stall_flag, stall) begin if (core_id = '0' and stall = '1') then c0_stall_sig <= stall; c1_stall_sig <= stall_flag; elsif (core_id = '1' and stall = '1') then c0_stall_sig <= stall_flag; c1_stall_sig <= stall; else c0_stall_sig <= '0'; c1_stall_sig <= '0'; end if; end process; end;
gpl-2.0
fced638b8bf265a6bbe266e72332b705
0.553521
2.658239
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_ASAT.vhd
20
3,282
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_ASAT is generic ( widthin : natural :=8; widthout : natural :=4; lpm_signed : BusArithm :=BusIsSigned ); port ( xin : in std_logic_vector(widthin-1 downto 0); yout : out std_logic_vector(widthout-1 downto 0) ); end alt_dspbuilder_ASAT; architecture ASAT_SYNTH of alt_dspbuilder_ASAT is function GetWidthUsgn(win: natural;wout: natural ) return natural is variable res : natural; begin if (win-wout>0) then res :=win-wout-1; else res := 0; end if; return res; end ; signal msbone : std_logic_vector(widthin-widthout downto 0); signal msbzero : std_logic_vector(widthin-widthout downto 0); signal Unsignedmsbzero : std_logic_vector(GetWidthUsgn(widthin,widthout) downto 0); signal MsbOverFlow : std_logic; begin ev:if widthin=widthout generate yout <= xin; end generate ev; sat:if (widthin>widthout) generate Gs : if lpm_signed=BusIsSigned generate msbone <= (others=>'1'); msbzero <= (others=>'0'); MsbOverFlow <= '0' when (xin(widthin-1 downto widthout-1) = msbone or xin(widthin-1 downto widthout-1) = msbzero) else '1'; process(xin,MsbOverFlow) begin if (MsbOverFlow='0') then yout(widthout-1 downto 0) <= xin(widthout-1 downto 0); else if (xin(widthin-1)='0') then for i in 0 to widthout-2 loop yout(i) <= '1'; -- max positif end loop; yout(widthout-1) <='0'; else for i in 0 to widthout-2 loop yout(i) <= '0'; -- max Negatif end loop; yout(widthout-1) <='1'; end if; end if; end process; end generate Gs; Gus : if lpm_signed=BusIsUnsigned generate Unsignedmsbzero <= (others=>'0'); MsbOverFlow <= '0' when xin(widthin-1 downto widthout) = Unsignedmsbzero else '1'; process(xin,MsbOverFlow) begin if (MsbOverFlow='0') then yout(widthout-1 downto 0) <= xin(widthout-1 downto 0); else yout <=(others=>'1'); -- Max Positive end if; end process; end generate Gus; end generate sat; end ASAT_SYNTH;
mit
6b3bed7ee320df0e31113800f016f1b6
0.652346
3.473016
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Practica/Maquina de Estados VHDL/MaquinaEstados.vhd
1
1,680
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:11:24 09/27/11 -- Design Name: -- Module Name: MaquinaEstados - Behavioral -- Project Name: -- Target Device: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MaquinaEstados is Port ( in1 : in std_logic; in2 : in std_logic; clock : in std_logic; reset : in std_logic; out1 : out std_logic; out2 : out std_logic); end MaquinaEstados; architecture Behavioral of MaquinaEstados is type estado is(E0, E1, E2); signal estado_A: estado := E0; signal estado_F: estado; begin process(estado_A, in1, in2)--Comb begin case estado_A is when E0 => out1 <= '0'; out2 <= '0'; estado_F <= E1; when E1 => out1 <= '1'; if in1='1' then estado_F <= E2; else estado_F <= E0; end if; when E2 => out1 <= '1'; out2 <= '1'; estado_F <= E2; end case; end process; process--Registro begin wait until reset='1' or rising_edge(clock); if reset='1' then estado_A <= E0; else estado_A <= estado_F; end if; end process; end Behavioral;
gpl-3.0
9f9f6766069bd02e07bfa77aaf3f34c0
0.533333
3.313609
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/vme_sim_mstr.vhd
1
7,826
--------------------------------------------------------------- -- Title : VME Simulation Master Model -- Project : 16z002- --------------------------------------------------------------- -- File : vme_sim_mstr.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 14/02/12 --------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 --------------------------------------------------------------- -- Description : -- -- Design consists of VME Master behavioral model and an arbiter. -- The arbiter gets active if after startup the bg3n line is '0'. -- The master model can read or write up to 32bit and 64bit -- data width. -- The control of the model is via terminal connection. --------------------------------------------------------------- -- Hierarchy: -- -- vme_sim_pack.vhd --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vme_sim_mstr.vhd,v $ -- Revision 1.2 2013/04/18 15:11:12 MMiehling -- rework -- -- Revision 1.1 2012/03/29 10:28:47 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE work.terminal_pkg.all; USE work.vme_sim_pack.all; USE work.print_pkg.all; ENTITY vme_sim_mstr IS PORT ( sysresn : INOUT std_logic; asn : INOUT std_logic; dsan : INOUT std_logic; dsbn : INOUT std_logic; writen : INOUT std_logic; dtackn : IN std_logic; berrn : INOUT std_logic; addr : INOUT std_logic_vector(31 DOWNTO 0); data : INOUT std_logic_vector(31 DOWNTO 0); am : INOUT std_logic_vector(5 DOWNTO 0); iackn : INOUT std_logic; iackout : OUT std_logic; iackin : IN std_logic; vb_irq1n : INOUT std_logic; vb_irq2n : INOUT std_logic; vb_irq3n : INOUT std_logic; vb_irq4n : INOUT std_logic; vb_irq5n : INOUT std_logic; vb_irq6n : INOUT std_logic; vb_irq7n : INOUT std_logic; vb_acfailn : INOUT std_logic; bg3n_in : IN std_logic; bg3n_out : OUT std_logic; brn : INOUT std_logic_vector(3 DOWNTO 0); bbsyn : INOUT std_logic; terminal_in_x : OUT terminal_in_type; terminal_out_x : IN terminal_out_type ); END vme_sim_mstr; ARCHITECTURE vme_sim_mstr_arch OF vme_sim_mstr IS SIGNAL mstr_in : mstr_in_type; SIGNAL mstr_out : mstr_out_type; SIGNAL sim_slot1 : boolean; SIGNAL bg3n_int : std_logic; SIGNAL bg3n_sim : std_logic; SIGNAL busy : std_logic; BEGIN vb_irq1n <= 'H'; vb_irq2n <= 'H'; vb_irq3n <= 'H'; vb_irq4n <= 'H'; vb_irq5n <= 'H'; vb_irq6n <= 'H'; vb_irq7n <= 'H'; vb_acfailn <= 'H'; mstr_in.data <= data; mstr_in.addr <= addr; mstr_in.dtackn <= dtackn ; mstr_in.berrn <= berrn ; mstr_in.iackin <= iackin ; mstr_in.bg3n_in <= bg3n_sim; mstr_in.bbsyn <= bbsyn ; mstr_in.asn <= asn ; sysresn <= mstr_out.sysresn ; asn <= mstr_out.asn ; dsan <= mstr_out.dsan ; dsbn <= mstr_out.dsbn ; writen <= mstr_out.writen ; addr <= mstr_out.addr ; data <= mstr_out.data ; am <= mstr_out.am ; iackn <= mstr_out.iackn ; iackout <= mstr_out.iackout ; brn <= mstr_out.brn ; bbsyn <= mstr_out.bbsyn ; berrn <= mstr_out.berrn ; sl1_det: PROCESS(sysresn) BEGIN IF rising_edge(sysresn) AND bg3n_in = '0' THEN sim_slot1 <= TRUE; ELSIF rising_edge(sysresn) AND bg3n_in = '1' THEN sim_slot1 <= FALSE; END IF; END PROCESS sl1_det; sim_arbiter: PROCESS(bg3n_in, sysresn, bbsyn, brn, sim_slot1) BEGIN IF sysresn = '0' THEN bg3n_int <= '1'; bg3n_sim <= '0'; ELSIF sim_slot1 = TRUE THEN -- sim model is in slot1 -- IF brn(3) = '0' AND bbsyn /= '0' THEN -- there is a request -- bg3n_int <= '0'; -- ELSE -- bg3n_int <= '1'; -- END IF; IF mstr_out.brn(3) = '0' AND bbsyn /= '0' AND bg3n_int /= '0' THEN -- there is a request from simmaster and no grant to dut bg3n_int <= '1'; bg3n_sim <= '0'; -- grant TO simmaster ELSIF brn(3) = '0' AND bbsyn /= '0' THEN -- there is a request from dut bg3n_int <= '0'; -- grant to dut bg3n_sim <= '1'; ELSE bg3n_int <= '1'; bg3n_sim <= '1'; END IF; ELSE bg3n_int <= '1'; bg3n_sim <= bg3n_in; END IF; END PROCESS sim_arbiter; bg3n_out <= bg3n_int; main: PROCESS VARIABLE ind_err : integer; VARIABLE err : integer; VARIABLE vme_typ : character; VARIABLE in_data : std_logic_vector(31 DOWNTO 0); BEGIN -- reset phase err := 0; vme_mstr_init(mstr_out); terminal_in_x.done <= TRUE; terminal_in_x.busy <= '0'; busy <= '0'; LOOP WAIT on terminal_out_x.start; busy <= '1'; terminal_in_x.busy <= '1'; IF terminal_out_x.typ = 0 THEN vme_typ := 'b'; ELSIF terminal_out_x.typ = 1 THEN vme_typ := 'w'; ELSIF terminal_out_x.typ = 2 THEN vme_typ := 'l'; ELSIF terminal_out_x.typ = 3 THEN vme_typ := 'd'; ELSIF terminal_out_x.typ = 4 THEN vme_typ := 'i'; ELSE print("vme_sim_mstr: wrong terminal.typ coding!"); END IF; IF vme_typ = 'd' AND terminal_out_x.wr = 0 AND terminal_out_x.numb > 1 THEN -- 64 bit read vme_mstr_read64(mstr_out, mstr_in, terminal_out_x.adr, terminal_out_x.dat, in_data, vme_typ, terminal_out_x.txt, terminal_out_x.numb, terminal_out_x.tga, err); ELSIF vme_typ = 'd' AND terminal_out_x.wr = 1 AND terminal_out_x.numb > 1 THEN -- 64 bit write vme_mstr_write64(mstr_out, mstr_in, terminal_out_x.adr, terminal_out_x.dat, vme_typ, terminal_out_x.txt, terminal_out_x.numb, terminal_out_x.tga); ELSIF terminal_out_x.wr = 0 THEN -- 32 or 16 or 8 bit read vme_mstr_read(mstr_out, mstr_in, terminal_out_x.adr, terminal_out_x.dat, in_data, vme_typ, terminal_out_x.txt, terminal_out_x.numb, terminal_out_x.tga, err); ELSIF terminal_out_x.wr = 1 THEN -- 32 or 16 or 8 bit write vme_mstr_write(mstr_out, mstr_in, terminal_out_x.adr, terminal_out_x.dat, vme_typ, terminal_out_x.txt, terminal_out_x.numb, terminal_out_x.tga); ELSIF terminal_out_x.wr = 2 THEN -- wait WAIT FOR terminal_out_x.numb * 10 ns; ELSE print("vme_sim_mstr: wrong terminal.wr coding!"); END IF; terminal_in_x.dat <= in_data; terminal_in_x.err <= err; terminal_in_x.busy <= '0'; busy <= '0'; terminal_in_x.done <= terminal_out_x.start; END LOOP; END PROCESS; END vme_sim_mstr_arch;
gpl-3.0
87fe79121bf43c511d8e3334905d8d63
0.495017
3.4613
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/generic_pll/_primary.vhd
5
1,932
library verilog; use verilog.vl_types.all; entity generic_pll is generic( lpm_type : string := "generic_pll"; duty_cycle : integer := 50; output_clock_frequency: string := "0 ps"; phase_shift : string := "0 ps"; reference_clock_frequency: string := "0 ps"; sim_additional_refclk_cycles_to_lock: integer := 0; fractional_vco_multiplier: string := "false"; use_khz : integer := 1 ); port( refclk : in vl_logic; rst : in vl_logic; fbclk : in vl_logic; writerefclkdata : in vl_logic_vector(63 downto 0); writeoutclkdata : in vl_logic_vector(63 downto 0); writephaseshiftdata: in vl_logic_vector(63 downto 0); writedutycycledata: in vl_logic_vector(63 downto 0); outclk : out vl_logic; locked : out vl_logic; fboutclk : out vl_logic; readrefclkdata : out vl_logic_vector(63 downto 0); readoutclkdata : out vl_logic_vector(63 downto 0); readphaseshiftdata: out vl_logic_vector(63 downto 0); readdutycycledata: out vl_logic_vector(63 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of duty_cycle : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency : constant is 1; attribute mti_svvh_generic_type of phase_shift : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency : constant is 1; attribute mti_svvh_generic_type of sim_additional_refclk_cycles_to_lock : constant is 1; attribute mti_svvh_generic_type of fractional_vco_multiplier : constant is 1; attribute mti_svvh_generic_type of use_khz : constant is 1; end generic_pll;
mit
39dd506cd3080c4b6740e8c36564e2e7
0.612836
3.736944
false
false
false
false
freecores/t48
bench/vhdl/tb.vhd
1
18,038
------------------------------------------------------------------------------- -- -- The testbench for t48_core. -- -- $Id: tb.vhd,v 1.14 2006-06-21 01:04:05 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb is end tb; use work.t48_core_comp_pack.all; use work.t48_tb_pack.all; architecture behav of tb is -- clock period, 11 MHz constant period_c : time := 90 ns; component if_timing port( xtal_i : in std_logic; ale_i : in std_logic; psen_n_i : in std_logic; rd_n_i : in std_logic; wr_n_i : in std_logic; prog_n_i : in std_logic; db_bus_i : in std_logic_vector(7 downto 0); p2_i : in std_logic_vector(7 downto 0) ); end component; component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal xtal_s : std_logic; signal xtal_n_s : std_logic; signal res_n_s : std_logic; signal xtal3_s : std_logic; signal int_n_s : std_logic; signal ale_s : std_logic; signal rom_addr_s : std_logic_vector(11 downto 0); signal rom_data_s : std_logic_vector( 7 downto 0); signal ram_data_to_s : std_logic_vector( 7 downto 0); signal ram_data_from_s : std_logic_vector( 7 downto 0); signal ram_addr_s : std_logic_vector( 7 downto 0); signal ram_we_s : std_logic; signal p1_s : std_logic_vector( 7 downto 0); signal t48_p1_s : std_logic_vector( 7 downto 0); signal p1_low_imp_s : std_logic; signal p2_s : std_logic_vector( 7 downto 0); signal t48_p2_s : std_logic_vector( 7 downto 0); signal p2l_low_imp_s : std_logic; signal p2h_low_imp_s : std_logic; signal psen_n_s : std_logic; signal prog_n_s : std_logic; signal bus_s : std_logic_vector( 7 downto 0); signal t48_bus_s : std_logic_vector( 7 downto 0); signal bus_dir_s : std_logic; signal ext_mem_addr_q : std_logic_vector( 7 downto 0); signal ext_ram_data_from_s : std_logic_vector( 7 downto 0); signal ext_ram_we_q : std_logic; signal rd_n_s : std_logic; signal wr_n_s : std_logic; signal ext_rom_data_s : std_logic_vector( 7 downto 0); signal ext_rom_addr_s : std_logic_vector(11 downto 0); signal tb_p1_q : std_logic_vector( 7 downto 0); signal tb_p2_q : std_logic_vector( 7 downto 0); signal ext_mem_sel_we_q : boolean; signal ena_ext_ram_q : boolean; signal ena_tb_periph_q : boolean; signal zero_s : std_logic; signal one_s : std_logic; signal zero_byte_s : std_logic_vector( 7 downto 0); begin zero_s <= '0'; one_s <= '1'; zero_byte_s <= (others => '0'); ----------------------------------------------------------------------------- -- Internal ROM, 2k bytes -- Initialized by file rom_t49.hex. ----------------------------------------------------------------------------- rom_internal_2k : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 11, LPM_NUMWORDS => 2 ** 11, LPM_FILE => "rom_t49.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => rom_addr_s(10 downto 0), inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => rom_data_s ); ----------------------------------------------------------------------------- -- External ROM, 2k bytes -- Initialized by file rom_t49_ext.hex. ----------------------------------------------------------------------------- ext_rom_addr_s(11 downto 8) <= t48_p2_s(3 downto 0); ext_rom_addr_s( 7 downto 0) <= ext_mem_addr_q; rom_external_2k : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 11, LPM_NUMWORDS => 2 ** 11, LPM_FILE => "rom_t49_ext.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => ext_rom_addr_s(10 downto 0), inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => ext_rom_data_s ); ----------------------------------------------------------------------------- -- Internal RAM, 256 bytes ----------------------------------------------------------------------------- ram_256 : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ram_addr_s, we_i => ram_we_s, ena_i => one_s, d_i => ram_data_to_s, d_o => ram_data_from_s ); ----------------------------------------------------------------------------- -- External RAM, 256 bytes ----------------------------------------------------------------------------- ext_ram_b : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ext_mem_addr_q, we_i => ext_ram_we_q, ena_i => one_s, d_i => bus_s, d_o => ext_ram_data_from_s ); t48_core_b : t48_core generic map ( xtal_div_3_g => 1, register_mnemonic_g => 1, include_port1_g => 1, include_port2_g => 1, include_bus_g => 1, include_timer_g => 1, sample_t1_state_g => 4 ) port map ( xtal_i => xtal_s, xtal_en_i => one_s, reset_i => res_n_s, t0_i => p1_s(0), t0_o => open, t0_dir_o => open, int_n_i => int_n_s, ea_i => rom_addr_s(11), rd_n_o => rd_n_s, psen_n_o => psen_n_s, wr_n_o => wr_n_s, ale_o => ale_s, db_i => bus_s, db_o => t48_bus_s, db_dir_o => bus_dir_s, t1_i => p1_s(1), p2_i => p2_s, p2_o => t48_p2_s, p2l_low_imp_o => p2l_low_imp_s, p2h_low_imp_o => p2h_low_imp_s, p1_i => p1_s, p1_o => t48_p1_s, p1_low_imp_o => p1_low_imp_s, prog_n_o => prog_n_s, clk_i => xtal_s, en_clk_i => xtal3_s, xtal3_o => xtal3_s, dmem_addr_o => ram_addr_s, dmem_we_o => ram_we_s, dmem_data_i => ram_data_from_s, dmem_data_o => ram_data_to_s, pmem_addr_o => rom_addr_s, pmem_data_i => rom_data_s ); if_timing_b : if_timing port map ( xtal_i => xtal_s, ale_i => ale_s, psen_n_i => psen_n_s, rd_n_i => rd_n_s, wr_n_i => wr_n_s, prog_n_i => prog_n_s, db_bus_i => bus_s, p2_i => t48_p2_s ); ----------------------------------------------------------------------------- -- Port logic -- ports: process (t48_p1_s, p1_low_imp_s, t48_p2_s, p2l_low_imp_s, p2h_low_imp_s) function t48_port_f(t48_p : std_logic_vector; low_imp : std_logic) return std_logic_vector is variable p_v : std_logic_vector(t48_p'range); begin if low_imp = '1' then p_v := t48_p; else for i in p_v'range loop if t48_p(i) = '1' then p_v(i) := 'H'; else p_v(i) := t48_p(i); end if; end loop; end if; return p_v; end; begin p1_s <= t48_port_f(t48_p => t48_p1_s, low_imp => p1_low_imp_s); p2_s(3 downto 0) <= t48_port_f(t48_p => t48_p2_s(3 downto 0), low_imp => p2l_low_imp_s); p2_s(7 downto 4) <= t48_port_f(t48_p => t48_p2_s(7 downto 4), low_imp => p2h_low_imp_s); end process ports; -- ----------------------------------------------------------------------------- bus_s <= t48_bus_s when bus_dir_s = '1' else (others => 'Z'); bus_s <= ext_ram_data_from_s when rd_n_s = '0' and ena_ext_ram_q else (others => 'Z'); bus_s <= ext_rom_data_s when psen_n_s = '0' else (others => 'Z'); ----------------------------------------------------------------------------- -- External memory access signals -- ext_mem: process (wr_n_s, ext_mem_addr_q, ena_ext_ram_q, ale_s, bus_s, xtal_s) begin if ale_s'event and ale_s = '0' then if not is_X(bus_s) then ext_mem_addr_q <= bus_s; else ext_mem_addr_q <= (others => '0'); end if; end if; if wr_n_s'event and wr_n_s = '1' then -- write enable for external RAM if ena_ext_ram_q then ext_ram_we_q <= '1'; end if; -- process external memory selector if ext_mem_addr_q = "11111111" then ext_mem_sel_we_q <= true; end if; end if; if xtal_s'event and xtal_s = '1' then ext_ram_we_q <= '0'; ext_mem_sel_we_q <= false; end if; end process ext_mem; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process ext_mem_sel -- -- Purpose: -- Select external memory address space. -- This is either -- + external RAM -- + testbench peripherals -- ext_mem_sel: process (res_n_s, xtal_s) begin if res_n_s = '0' then ena_ext_ram_q <= true; ena_tb_periph_q <= false; elsif xtal_s'event and xtal_s = '1' then if ext_mem_sel_we_q then if bus_s(0) = '1' then ena_ext_ram_q <= true; else ena_ext_ram_q <= false; end if; if bus_s(1) = '1' then ena_tb_periph_q <= true; else ena_tb_periph_q <= false; end if; end if; end if; end process ext_mem_sel; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process tb_periph -- -- Purpose: -- Implements the testbenc peripherals driving P1 and P2. -- tb_periph: process (res_n_s, wr_n_s) function oc_f (pX : std_logic_vector) return std_logic_vector is variable r_v : std_logic_vector(pX'range); begin for i in pX'range loop if pX(i) = '0' then r_v(i) := '0'; else r_v(i) := 'H'; end if; end loop; return r_v; end; begin if res_n_s = '0' then tb_p1_q <= (others => 'H'); tb_p2_q <= (others => 'H'); elsif wr_n_s'event and wr_n_s = '1' then if ena_tb_periph_q then case ext_mem_addr_q is -- P1 when "00000000" => tb_p1_q <= oc_f(t48_bus_s); -- P2 when "00000001" => tb_p2_q <= oc_f(t48_bus_s); when others => null; end case; end if; end if; end process tb_periph; -- ----------------------------------------------------------------------------- p1_s <= tb_p1_q; p2_s <= tb_p2_q; xtal_n_s <= not xtal_s; ----------------------------------------------------------------------------- -- The clock generator -- clk_gen: process begin xtal_s <= '0'; wait for period_c/2; xtal_s <= '1'; wait for period_c/2; end process clk_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The reset generator -- res_gen: process begin res_n_s <= '0'; wait for 5 * period_c; res_n_s <= '1'; wait; end process res_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The interrupt generator -- int_gen: process begin int_n_s <= '1'; wait for 750 * period_c; int_n_s <= '0'; wait for 45 * period_c; end process int_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- End of simulation detection -- eos: process begin outer: loop wait on tb_accu_s; if tb_accu_s = "10101010" then wait on tb_accu_s; if tb_accu_s = "01010101" then wait on tb_accu_s; if tb_accu_s = "00000001" then -- wait for instruction strobe of this move wait until tb_istrobe_s'event and tb_istrobe_s = '1'; -- wait for next strobe wait until tb_istrobe_s'event and tb_istrobe_s = '1'; assert false report "Simulation Result: PASS." severity note; else assert false report "Simulation Result: FAIL." severity note; end if; assert false report "End of simulation reached." severity failure; end if; end if; end loop; end process eos; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.13 2006/06/20 00:45:26 arniml -- new input xtal_en_i -- -- Revision 1.12 2005/11/01 21:21:48 arniml -- split low impedance markers for P2 -- -- Revision 1.11 2005/09/07 17:39:40 arniml -- fix missing assignment to outclock -- -- Revision 1.10 2004/05/21 11:24:47 arniml -- split 4k internal ROM into -- + 2k internal ROM -- + 2k external ROM -- EA of t48_core is driven by MSB of internal ROM address -- if upper 2k block is selected, the system switches to EA mode on the fly -- -- Revision 1.9 2004/05/17 14:43:33 arniml -- add testbench peripherals for P1 and P2 -- this became necessary to observe a difference between externally applied -- port data and internally applied port data -- -- Revision 1.8 2004/04/25 20:41:48 arniml -- connect if_timing to P2 output of T48 -- -- Revision 1.7 2004/04/25 16:23:21 arniml -- added if_timing -- -- Revision 1.6 2004/04/14 20:57:44 arniml -- wait for instruction strobe after final end-of-simulation detection -- this ensures that the last mov instruction is part of the dump and -- enables 100% matching with i8039 simulator -- -- Revision 1.5 2004/03/29 19:45:15 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.4 2004/03/28 21:30:25 arniml -- connect prog_n_o -- -- Revision 1.3 2004/03/26 22:39:28 arniml -- enhance simulation result string -- -- Revision 1.2 2004/03/24 23:22:35 arniml -- put ext_ram on falling clock edge to sample the write enable properly -- -- Revision 1.1 2004/03/24 21:42:10 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
274581a590758fdc09d35e926abde5fe
0.467458
3.558493
false
false
false
false
piliguori/Linear-Regression
Src/LinearRegression.vhd
1
23,012
--! @file LinearRegression.vhd --! --! @authors Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! @date 03 07 2017 --! --! @copyright --! Copyright 2017 Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! This file is part of Linear-Regression. --! --! Linear-Regression is free software; you can redistribute it and/or modify it under the terms of --! the GNU General Public License as published by the Free Software Foundation; either version 3 of --! the License, or any later version. --! --! Linear-Regression is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; --! without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --! GNU General Public License for more details. --! --! You should have received a copy of the GNU General Public License along with this program; if not, --! write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, --! USA. --! --! @addtogroup LinearRegression --! @{ --! @brief Regressione Lineare in VHDL. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! @mainpage --! @brief Regressione Lineare. --! @details --! Il componente permette di effettuare la regressione lineare. --! --! Prende 6 segnali dati in ingresso e, attraverso l'utilizzo di moltiplicatori e sottrattori, oltre --! all'opportuno troncamento dei valori intermedi calcolati, restituisce i parametri di uscita m ed q, rispettivamente coefficiente --! angolare e intercetta della retta di regressione. La rappresentazione dei segnali è in signed fixed point. Lo schema a blocchi --! dell'interfaccia del componente è riportata di seguito. --! @htmlonly --! <div align='center'> --! <img src="../schemes/LinearRegressionBlackBox.png"/> --! </div> --! @endhtmlonly --! <h3>Ingressi</h3> --! - clock: segnale di clock, fornisce il segnale di temporizzazione ai componenti interni --! - load: segnale di load, agisce solo sui registri di bufferizzazione dei segnali dati in ingresso; si veda la documentazione dell'architettura implementativa --! - reset_n: segnale di reset asincrono (active-low) per i registri interni --! - prim: costante in input, 6 bit di parte intera e 0 decimale (m.n = 5.0) --! - A: 6 bit di parte intera e 18 decimale (m.n = 5.18) --! - B: msb di peso -1 (m.n = -1.24) --! - C: msb di peso -7 (m.n = -7.30) --! - Sum1: 9 bit di parte intera e 15 decimale (m.n = 8.15) --! - Sum2: 3 bit di parte intera e 21 decimale (m.n = 2.21) --! --! <h3>Uscite</h3> --! - m: coefficiente angolare della retta di regressione, 11 bit di parte intera e 13 decimale (m.n = 10.13) --! - q: intercetta della retta di regressione, 3 bit di parte intera e 21 decimale (m.n = 2.21) --! --! <h3>Rappresentazione dei segnali</h3> --! La rappresentazione dei segnali A, B, C e prim è calzante con i valori costanti degli stessi, forniti per effettuare il test --! del componente. --! <table> --! <tr><th>Segnale</th><th>Valore</th><th>Rappresentazione</th></tr> --! <tr><td>A</td><td>30.769230769230795</td><td>Q<sub>5,18</sub></td></tr> --! <tr><td>B</td><td>0.3</td><td>Q<sub>-1,24</sub></td></tr> --! <tr><td>C</td><td>0.0049</td><td>Q<sub>-7,30</sub></td></tr> --! <tr><td>prim</td><td>25</td><td>Q<sub>5,0</sub></td></tr> --! </table> --! La rappresentazione ottimale per i segnali Sum1 e Sum2 è stata scelta in base a valori trovati empiricamente con 10M test --! preliminari. --! <table> --! <tr><th>Segnale</th><th>Valore</th><th>Rappresentazione</th></tr> --! <tr><td>Sum1</td><td>[-3; 189]</td><td>Q<sub>5,18</sub></td></tr> --! <tr><td>Sum2</td><td>[-0.09; 3]</td><td>Q<sub>2,21</sub></td></tr> --! </table> --! Come per i segnali precedenti, la rappresentazione per m e per q è stata scelta in base a valori trovati empiricamente --! con 10M test preliminari. --! <table> --! <tr><th>Segnale</th><th>Valore</th><th>Rappresentazione</th></tr> --! <tr><td>m</td><td>[-27; 606]</td><td>Q<sub>10,13</sub></td></tr> --! <tr><td>q</td><td>[-2.62; 2.59]</td><td>Q<sub>2,21</sub></td></tr> --! </table> entity LinearRegression is Port ( clk : in std_logic; --! segnale di clock, fornisce il segnale di temporizzazione ai componenti interni load : in std_logic; --! segnale di load, agisce solo sui registri di bufferizzazione dei segnali dati in ingresso; si veda la documentazione dell'architettura implementativa reset_n : in std_logic; --! segnale di reset asincrono (active-low) per i registri interni prim : in STD_LOGIC_VECTOR (5 downto 0); --! costante in input, 6 bit di parte intera e 0 decimale (m.n = 5.0) Sum2 : in STD_LOGIC_VECTOR (23 downto 0); --! segnale in input, 3 bit di parte intera e 21 decimale (m.n = 2.21) B : in STD_LOGIC_VECTOR (23 downto 0); --! segnale in input, msb di peso -1 (m.n = -1.24) Sum1 : in STD_LOGIC_VECTOR (23 downto 0); --! segnale in input, 9 bit di parte intera e 15 decimale (m.n = 8.15) C : in STD_LOGIC_VECTOR (23 downto 0); --! segnale in input, msb di peso -7 (m.n = -7.30) A : in STD_LOGIC_VECTOR (23 downto 0); --! segnale in input, 6 bit di parte intera e 18 decimale (m.n = 5.18) m : out STD_LOGIC_VECTOR (23 downto 0); --! coefficiente angolare della retta di regressione, 11 bit di parte intera e 13 decimale (m.n = 10.13) q : out STD_LOGIC_VECTOR (23 downto 0)); --! intercetta della retta di regressione, 3 bit di parte intera e 21 decimale (m.n = 2.21) end LinearRegression; --! Per il calcolo dei parametri della regressione vengono utilizzati opportunamente dei moltiplicatori --! e addizionatori/sottrattori. Per effettuare i calcoli in fixed point vengono adoperati opportuni troncamenti/ --! espansioni dei segnali. --! Il componente ha un'architettura pipelined, così come mostrato nello schema di seguito, nel quale sono indicati, --! usando la notazione standard, le rappresentazioni binarie dei segnali dato in signed fixed-point. Si noti che --! il segnale "load" agisce solo sul primo dei registri della pipe. --! @htmlonly --! <div align='center'> --! <img src="../schemes/LinearRegression.png"/> --! </div> --! @endhtmlonly --! --! <h3>Rappresentazione dei segnali intermedi</h3> --! La rappresentazione ottimale per i segnali intermedi è stata scelta in base a valori trovati empiricamente con 10M test --! preliminari, in modo da minimizzare il numero di bit usati per la loro rappresentazione ed, al contempo, minimizzare l' --! errore commesso nella loro rappresentazione. --! <table> --! <tr> --! <th>Componente</th> --! <th>Ingressi</th> --! <th>Uscita</th> --! <th>Intervallo</th> --! <th>Rappresentazione<br>Ottimale</th> --! </tr> --! <tr> --! <td>MULT1</td> --! <td>B (Q<sub>-1.24</sub>)<br>Sum1 (Q<sub>8.15</sub>)</td> --! <td>mult1_out (Q<sub>8.39</sub>)</td> --! <td>[-0.3; 56]</td> --! <td>P1 (Q<sub>7.16</sub>)*</td> --! </tr> --! <tr> --! <td>MULT2</td> --! <td>Sum2 (Q<sub>2.21</sub>)<br>B (Q<sub>-1.24</sub>)</td> --! <td>mult2_out (Q<sub>2.45</sub>)</td> --! <td>[-0.02; 0.9090]</td> --! <td>P2 (Q<sub>0.23</sub>)</td> --! </tr> --! <tr> --! <td>MULT3</td> --! <td>Sum2 (Q<sub>2.21</sub>)<br>Prim (Q<sub>5.0</sub>)</td> --! <td>mult3_out (Q<sub>8.21</sub>)</td> --! <td>[-2.37; 80]</td> --! <td>P3 (Q<sub>7.16</sub>)</td> --! </tr> --! <tr> --! <td>MULT4</td> --! <td>Sum1 (Q<sub>8.15</sub>)<br>C(Q<sub>-7.30</sub>)</td> --! <td>mult4_out (Q<sub>2.45</sub>)</td> --! <td>[-0.0049; 0.95]</td> --! <td>P4 (Q<sub>0.23</sub>)</td> --! </tr> --! <tr> --! <td>SUB5</td> --! <td>P3(Q<sub>7.16</sub>)<br>P1(Q<sub>7.16</sub>)</td> --! <td>S5 (Q<sub>7.16</sub>)</td> --! <td>[-0.13; 19.21]</td> --! <td>Q<sub>7.16</sub></td> --! </tr> --! <tr> --! <td>SUB6</td> --! <td>P4(Q<sub>0.23</sub>)<br>P2(Q<sub>0.23</sub>)</td> --! <td>S6 (Q<sub>0.23</sub>)</td> --! <td>[-0.08; 0.08]</td> --! <td>Q<sub>0.23</sub></td> --! </tr> --! <tr> --! <td>MULTM</td> --! <td>A(Q<sub>5.18</sub>)<br>S5(Q<sub>7.16</sub>)</td> --! <td>multM_out (Q<sub>13.34</sub>)</td> --! <td>[-27; 606]</td> --! <td>m (Q<sub>10.13</sub>)</td> --! </tr> --! <tr> --! <td>MULTQ</td> --! <td>A(Q<sub>5.18</sub>)<br>S6(Q<sub>0.23</sub>)</td> --! <td>multQ_out (Q<sub>6.41</sub>)</td> --! <td>[-2.62; 2.59]</td> --! <td>q (Q<sub>2.21</sub>)</td> --! </tr> --! </table> --! *N.B. La rappresentazione ottimale sarebbe Q<sub>6.17</sub>, ma il segnale va sommato con P3, la cui rappresentazione --! è Q<sub>7.16</sub>, per cui si è adottata quest'ultima. architecture Structural of LinearRegression is component GenericBuffer is Generic ( width : natural := 8; edge : std_logic := '1'); Port ( clock : in std_logic; reset_n : in std_logic; load : in std_logic; data_in : in std_logic_vector(width-1 downto 0); data_out : out std_logic_vector(width-1 downto 0)); end component; component multiplier is Generic ( nbits1 : natural := 8; nbits2 : natural := 8); Port ( factor1 : in STD_LOGIC_VECTOR (nbits1-1 downto 0); factor2 : in STD_LOGIC_VECTOR (nbits2-1 downto 0); prod : out STD_LOGIC_VECTOR (nbits1+nbits2-1 downto 0)); end component; component subtractor is generic ( nbits : natural := 32); port ( sub1 : in std_logic_vector(nbits-1 downto 0); sub2 : in std_logic_vector(nbits-1 downto 0); diff : out std_logic_vector(nbits-1 downto 0)); end component; ---------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita del pipe-stage 0, si faccia riferimento allo schema architetturale signal prim_buff0 : std_logic_vector (5 downto 0) := (others => '0'); --! segnale prim bufferizzato, uscita del pipe-stage 0 signal sum2_buff0 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale sum2 bufferizzato, uscita del pipe-stage 0 signal b_buff0 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale b bufferizzato, uscita del pipe-stage 0 signal sum1_buff0 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale sum1 bufferizzato, uscita del pipe-stage 0 signal c_buff0 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale c bufferizzato, uscita del pipe-stage 0 signal a_buff0 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale a bufferizzato, uscita del pipe-stage 0 ----------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita di MULT1, MULT2, MULT3 e MULT4 --! Uscita di MULT1 espressa su 48 bit, di cui 9 per la parte intera e 39 per quella decimale (m.n = 8.39). signal mult1_out : std_logic_vector (47 downto 0) := (others => '0'); --! Uscita di MULT2 espressa su 48 bit, di cui 3 per la parte intera e 45 per quella decimale (m.n = 2.45). signal mult2_out : std_logic_vector (47 downto 0) := (others => '0'); --! Uscita di MULT3 espressa su 30 bit, di cui 9 per la parte intera e 21 per quella decimale (m.n = 8.21). signal mult3_out : std_logic_vector (29 downto 0) := (others => '0'); --! Uscita di MULT4 espressa su 48 bit, di cui 3 per la parte intera e 45 per quella decimale (m.n = 2.45). signal mult4_out : std_logic_vector (47 downto 0) := (others => '0'); ---------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita del pipe-stage 1, si faccia riferimento allo schema architetturale --! L'uscita di MULT1 deve essere espressa su 24 bit, di cui 8 bit per la parte intera e 16 per quella decimale ( m.n = 7.16 ). signal P1_buff1 : std_logic_vector (23 downto 0) := (others => '0'); --!L'uscita di MULT2 deve essere espressa su 24 bit, di cui 1 per la parte intera e 23 per quella decimale (m.n = 0.23). signal P2_buff1 : std_logic_vector (23 downto 0) := (others => '0'); --! L'uscita di MULT3 deve essere espressa su 24 bit di cui 8 sono per la parte intera, e 16 per quella decimale (m.n = 7.16). signal P3_buff1 : std_logic_vector (23 downto 0) := (others => '0'); --! L'uscita di MULT4 deve essere espressa su 24 bit, di cui 1 per la parte intera e 23 per quella decimale ( m.n = 0.23 ). signal P4_buff1 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale A bufferizzato, uscita del pipe-stage 1 signal A_buff1 : std_logic_vector (23 downto 0) := (others => '0'); ----------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita di SUB5 e SUB6 --! L'uscita di SUB5 deve essere espressa su 24 bit, di cui 8 per la parte intera e 16 per quella decimale ( m.n = 7.16 ). signal S5 : std_logic_vector (23 downto 0) := (others => '0'); --! L'uscita di SUB6 deve essere espressa su 24 bit, di cui 1 per la parte intera e 23 per quella decimale ( m.n = 0.23 ). signal S6 : std_logic_vector (23 downto 0) := (others => '0'); ---------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita del pipe-stage 2, si faccia riferimento allo schema architetturale signal S5_buff2 : std_logic_vector (23 downto 0) := (others => '0'); signal S6_buff2 : std_logic_vector (23 downto 0) := (others => '0'); --! segnale A bufferizzato, uscita del pipe-stage 2 signal A_buff2 : std_logic_vector (23 downto 0) := (others => '0'); ----------------------------------------------------------------------------------------------------------------------------- -- Segnali di uscita di MULTM e MULTQ --! Uscita di MULTM espressa su 48 bit, di cui 14 per la parte intera e 34 per quella decimale (m.n = 13.34). signal multM_out : std_logic_vector (47 downto 0) := (others => '0'); --! Uscita di MULTQ espressa su 48 bit, di cui 7 per la parte intera e 41 per quella decimale (m.n = 6.41). signal multQ_out : std_logic_vector (47 downto 0) := (others => '0'); begin ---------------------------------------------------------------------------------------------------------------------------------- -- Istanze pipe-stage 0 ---------------------------------------------------------------------------------------------------------------------------------- --! buffer di pipe-stage 0 per l'ingresso prim, 6 bit pipestage0_buff_prim : GenericBuffer Generic map ( width => 6, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => prim, data_out => prim_buff0); --! buffer di pipe-stage 0 per l'ingresso A, 24 bit pipestage0_buff_A : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => A, data_out => A_buff0); --! buffer di pipe-stage 0 per l'ingresso B, 24 bit pipestage0_buff_B : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => B, data_out => B_buff0); --! buffer di pipe-stage 0 per l'ingresso C, 24 bit pipestage0_buff_C : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => C, data_out => C_buff0); --! buffer di pipe-stage 0 per l'ingresso Sum1, 24 bit pipestage0_buff_Sum1 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => Sum1, data_out => Sum1_buff0); --! buffer di pipe-stage 0 per l'ingresso Sum2, 24 bit pipestage0_buff_Sum2 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => Sum2, data_out => Sum2_buff0); ---------------------------------------------------------------------------------------------------------------------------------- -- Instanze MULT1, MULT2, MULT3 e MULT4 ---------------------------------------------------------------------------------------------------------------------------------- MULT3: multiplier Generic map( nbits1 => 6, nbits2 => 24) port map ( factor1 => prim_buff0, factor2 => Sum2_buff0, prod => mult3_out); MULT2: multiplier Generic map( nbits1 => 24, nbits2 => 24) port map ( factor1 => Sum2_buff0, factor2 => B_buff0, prod => mult2_out); MULT1: multiplier Generic map( nbits1 => 24, nbits2 => 24) port map ( factor1 => B_buff0, factor2 => Sum1_buff0, prod => mult1_out); MULT4: multiplier Generic map( nbits1 => 24, nbits2 => 24) port map ( factor1 => Sum1_buff0, factor2 => C_buff0, prod => mult4_out); ---------------------------------------------------------------------------------------------------------------------------------- -- Istanze pipe-stage 1 ---------------------------------------------------------------------------------------------------------------------------------- --! buffer di pipe-stage 1 per l'ingresso A, 24 bit<br> pipestage1_buff_A : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => A_buff0, data_out => A_buff1); --! buffer di pipe-stage 1 per il segnale P1, 24 bit<br> --! Viene effettuato anche il cambio di rappresentazione dell'uscita di MULT1 da 48 bit, di cui 9 per la parte intera --! (m.n = 8.39) a 24 bit, di cui 8 per la parte intera (m.n = 7.16). Viene troncato 1 bit in testa e 23 in coda. pipestage1_buff_P1 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => mult1_out(46 downto 23), data_out => P1_buff1); --! buffer di pipe-stage 1 per il segnale P2, 24 bit<br> --! Viene effettuato il cambio di rappresentazione dell'uscita di MULT2 da 48 bit, di cui 3 per la parte intera --! (m.n = 2.45) a 24 bit, di cui 1 per la parte intera (m.n = 0.23). Quindi tronchiamo 2 bit in testa e 22 in coda. pipestage1_buff_P2 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => mult2_out(45 downto 22), data_out => P2_buff1); --! buffer di pipe-stage 1 per il segnale P3, 24 bit<br> --! Viene effettuato il cambio di rappresentazione dell'uscita di MULT3 da 30 bit, di cui 9 per la parte intera --! (m.n = 8.21) a 24 bit, di cui 8 per la parte intera (m.n = 7.16). Quindi tronchiamo 1 bit in testa e 5 in coda. pipestage1_buff_P3 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => mult3_out(28 downto 5), data_out => P3_buff1); --! buffer di pipe-stage 1 per il segnale P4, 24 bit<br> --! Viene effettuato il cambio di rappresentazione dell'uscita di MULT4 da 48 bit, di cui 3 per la parte intera --! (m.n = 2.45) a 24 bit, di cui 1 per la parte intera (m.n = 0.23). Quindi tronchiamo 2 bit in testa e 22 in coda. pipestage1_buff_P4 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => mult4_out(45 downto 22), data_out => P4_buff1); ---------------------------------------------------------------------------------------------------------------------------------- -- Instanze SUB5 e SUB6 ---------------------------------------------------------------------------------------------------------------------------------- SUB5: subtractor Generic map (nbits => 24) port map( sub1 => P3_buff1, sub2 => P1_buff1, diff => S5); SUB6: subtractor Generic map (nbits => 24) port map( sub1 => P4_buff1, sub2 => P2_buff1, diff => S6); ---------------------------------------------------------------------------------------------------------------------------------- -- Istanze pipe-stage 2 ---------------------------------------------------------------------------------------------------------------------------------- --! buffer di pipe-stage 2 per il segnale A, 24 bit pipestage2_buff_A : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => load, data_in => A_buff1, data_out => A_buff2); --! buffer di pipe-stage 2 per il segnale S5, 24 bit pipestage2_buff_S5 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => S5, data_out => S5_buff2); --! buffer di pipe-stage 2 per il segnale S6, 24 bit pipestage2_buff_S6 : GenericBuffer Generic map ( width => 24, edge => '1') Port map ( clock => clk, reset_n => reset_n, load => '1', data_in => S6, data_out => S6_buff2); ---------------------------------------------------------------------------------------------------------------------------------- -- Instanze MULTM e MULTQ ---------------------------------------------------------------------------------------------------------------------------------- --! Istanziazione del moltiplicatore MULTM<br> --! Prende in ingresso i segnali S5_buff2 e A_buff2. L'uscita è espressa su 48bit. --! L'uscita di MULTM deve essere portata da una rappresentazione di 48 bit con 14 bit di parte intera e 34 --! decimale (m.n = 13.34), ad una di 24 bit con 11 bit di parte intera e 13 decimale (m.n = 10.13). --! Quindi tronca 3 bit in testa e 21 in coda. MULTM: multiplier Generic map( nbits1 => 24, nbits2 => 24) port map ( factor1 => S5_buff2, factor2 => A_buff2, prod => multM_out); m <= multM_out (44 downto 21); --! Istanziazione del moltiplicatore MULTQ<br> --! Prende in ingresso i segnali S6_buff2 e A_buff2. L'uscita è espressa su 48bit. --! L'uscita di MULTQ deve essere portata da una rappresentazione di 48 bit con 7 bit di parte intera e 41 decimale. --! (m.n = 6.41), ad una di 24 bit con 3 bit di parte intera e 21 decimale (m.n = 2.21). Qindi tronca 4 bit in testa --! e 20 in coda. MULTQ: multiplier Generic map( nbits1 => 24, nbits2 => 24) port map ( factor1 => A_buff2, factor2 => S6_buff2, prod => multQ_out); q <= multQ_out(43 downto 20); end Structural; --! @}
gpl-3.0
ee329d698fe47cabd8641e2f72d35dae
0.560237
3.052555
false
false
false
false
nkkav/color_maker-s3esk
clockdiv.vhd
1
740
library IEEE; use IEEE.std_logic_1164.all; entity clockdiv is generic ( DIVPARAM : integer := 5_000_000 ); port ( clk_i : in std_logic; rst : in std_logic; clk_o : out std_logic ); end clockdiv; architecture rtl of clockdiv is signal count : integer range 0 to DIVPARAM; signal temp_q : std_logic; begin process (clk_i, rst) begin if (rst = '1') then count <= 0; temp_q <= '0'; elsif (rising_edge(clk_i)) then if (count = DIVPARAM/2-1) then count <= 0; temp_q <= not (temp_q); else count <= count + 1; end if; end if; end process; clk_o <= temp_q; end rtl;
bsd-3-clause
6101b8c3337fdfbd130ffb4247b7b999
0.505405
3.288889
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_decoder.vhd
2
2,462
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_decoder is generic ( DECODE : string := "00000000"; PIPELINE : natural := 0; WIDTH : natural := 8 ); port ( dec : out std_logic; clock : in std_logic := '0'; sclr : in std_logic := '0'; data : in std_logic_vector(width-1 downto 0) := (others=>'0'); aclr : in std_logic := '0'; ena : in std_logic := '0' ); end entity alt_dspbuilder_decoder; architecture rtl of alt_dspbuilder_decoder is component alt_dspbuilder_decoder_GNEQGKKPXW is generic ( DECODE : string := "10"; PIPELINE : natural := 1; WIDTH : natural := 2 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; data : in std_logic_vector(2-1 downto 0) := (others=>'0'); dec : out std_logic; ena : in std_logic := '0'; sclr : in std_logic := '0' ); end component alt_dspbuilder_decoder_GNEQGKKPXW; component alt_dspbuilder_decoder_GNM4LOIHXZ is generic ( DECODE : string := "01"; PIPELINE : natural := 1; WIDTH : natural := 2 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; data : in std_logic_vector(2-1 downto 0) := (others=>'0'); dec : out std_logic; ena : in std_logic := '0'; sclr : in std_logic := '0' ); end component alt_dspbuilder_decoder_GNM4LOIHXZ; begin alt_dspbuilder_decoder_GNEQGKKPXW_0: if ((DECODE = "10") and (PIPELINE = 1) and (WIDTH = 2)) generate inst_alt_dspbuilder_decoder_GNEQGKKPXW_0: alt_dspbuilder_decoder_GNEQGKKPXW generic map(DECODE => "10", PIPELINE => 1, WIDTH => 2) port map(aclr => aclr, clock => clock, data => data, dec => dec, ena => ena, sclr => sclr); end generate; alt_dspbuilder_decoder_GNM4LOIHXZ_1: if ((DECODE = "01") and (PIPELINE = 1) and (WIDTH = 2)) generate inst_alt_dspbuilder_decoder_GNM4LOIHXZ_1: alt_dspbuilder_decoder_GNM4LOIHXZ generic map(DECODE => "01", PIPELINE => 1, WIDTH => 2) port map(aclr => aclr, clock => clock, data => data, dec => dec, ena => ena, sclr => sclr); end generate; assert not (((DECODE = "10") and (PIPELINE = 1) and (WIDTH = 2)) or ((DECODE = "01") and (PIPELINE = 1) and (WIDTH = 2))) report "Please run generate again" severity error; end architecture rtl;
mit
0cc2ed576f298ed19f0dc3d9794dc9a4
0.65394
3.120406
false
false
false
false
freecores/t48
rtl/vhdl/timer.vhd
1
8,640
------------------------------------------------------------------------------- -- -- The Timer/Counter unit. -- -- $Id: timer.vhd,v 1.7 2006-11-30 14:31:59 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_pack.mstate_t; entity t48_timer is generic ( -- state in which T1 is sampled (3 or 4) sample_t1_state_g : integer := 4 ); port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; t1_i : in std_logic; clk_mstate_i : in mstate_t; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; read_timer_i : in boolean; write_timer_i : in boolean; -- Decoder Interface ------------------------------------------------------ start_t_i : in boolean; start_cnt_i : in boolean; stop_tcnt_i : in boolean; overflow_o : out std_logic ); end t48_timer; library ieee; use ieee.numeric_std.all; use work.t48_pack.all; architecture rtl of t48_timer is -- the 8 bit counter core signal counter_q : unsigned(word_t'range); signal overflow_q : boolean; -- increment signal for the counter core type inc_type_t is (NONE, TIMER, COUNTER); signal increment_s : boolean; signal inc_sel_q : inc_type_t; -- T1 edge detector signal t1_q : std_logic; signal t1_inc_s : boolean; -- timer prescaler signal prescaler_q : unsigned(4 downto 0); signal pre_inc_s : boolean; begin ----------------------------------------------------------------------------- -- Verify the generics ----------------------------------------------------------------------------- -- pragma translate_off assert (sample_t1_state_g = 3) or (sample_t1_state_g = 4) report "sample_t1_state_g must be either 3 or 4!" severity failure; -- pragma translate_on ----------------------------------------------------------------------------- -- Process t1_edge -- -- Purpose: -- Implements the edge detector for T1. -- t1_edge: process (t1_i, t1_q, clk_mstate_i) begin t1_inc_s <= false; -- sample in state according to generic -- Old devices: sample at the beginning of state 3 -- New devices: sample in state 4 if (sample_t1_state_g = 3 and clk_mstate_i = MSTATE3) or (sample_t1_state_g = 4 and clk_mstate_i = MSTATE4) then -- detect falling edge if t1_q = '1' and t1_i = '0' then t1_inc_s <= true; end if; end if; end process t1_edge; -- ----------------------------------------------------------------------------- pre_inc_s <= clk_mstate_i = MSTATE4 and prescaler_q = 31; ----------------------------------------------------------------------------- -- Process inc_sel -- -- Purpose: -- Select increment source (timer, counter or none). -- inc_sel: process (inc_sel_q, pre_inc_s, t1_inc_s) begin -- default assignment increment_s <= false; case inc_sel_q is when NONE => increment_s <= false; when TIMER => increment_s <= pre_inc_s; when COUNTER => increment_s <= t1_inc_s; when others => null; end case; end process inc_sel; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process regs -- -- Purpose: -- Implements the counter, the prescaler and other registers. -- regs: process (res_i, clk_i) begin if res_i = res_active_c then overflow_q <= false; t1_q <= '0'; prescaler_q <= (others => '0'); inc_sel_q <= NONE; counter_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- Counter Core and overflow ------------------------------------------ overflow_q <= false; if write_timer_i then counter_q <= unsigned(data_i); elsif increment_s then counter_q <= counter_q + 1; if counter_q = 255 then overflow_q <= true; end if; end if; -- T1 edge detector --------------------------------------------------- if (sample_t1_state_g = 3 and clk_mstate_i = MSTATE3) or (sample_t1_state_g = 4 and clk_mstate_i = MSTATE4) then t1_q <= t1_i; end if; -- Prescaler ---------------------------------------------------------- if start_t_i then prescaler_q <= (others => '0'); elsif clk_mstate_i = MSTATE3 then prescaler_q <= prescaler_q + 1; end if; -- Increment Selector ------------------------------------------------- if start_t_i then inc_sel_q <= TIMER; elsif start_cnt_i then inc_sel_q <= COUNTER; elsif stop_tcnt_i then inc_sel_q <= NONE; end if; end if; end if; end process regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- data_o <= std_logic_vector(counter_q) when read_timer_i else (others => bus_idle_level_c); overflow_o <= to_stdLogic(overflow_q); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.6 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.5 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.4 2004/07/04 13:06:45 arniml -- counter_q is not cleared during reset -- this would match all different descriptions of the Counter as -- a) if the software assumes that the Counter is modified during reset, it -- will initialize the Counter anyhow -- b) the special case 'Counter not modified during reset' is covered -- -- Revision 1.3 2004/05/16 15:32:57 arniml -- fix edge detector bug for counter -- -- Revision 1.2 2004/04/15 22:05:13 arniml -- increment prescaler with MSTATE4 -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
f6090896c5fcddaf051876c6adc3e46b
0.512731
4.224939
false
false
false
false
piliguori/Linear-Regression
Src/testbench/automatic_tb.vhd
1
4,250
--! @file automatic_tb.vhd --! --! @authors Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! @date 05 07 2017 --! --! @copyright --! Copyright 2017 Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! --! This file is part of Linear-Regression. --! --! Linear-Regression is free software; you can redistribute it and/or modify it under the terms of --! the GNU General Public License as published by the Free Software Foundation; either version 3 of --! the License, or any later version. --! --! Linear-Regression is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; --! without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --! GNU General Public License for more details. --! --! You should have received a copy of the GNU General Public License along with this program; if not, --! write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, --! USA. --! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use ieee.std_logic_textio.all; -- per le operazioni su file entity automatic_tb is end automatic_tb; architecture behavioral of automatic_tb is component LinearRegression Port ( clk : in std_logic; load : in std_logic; reset_n : in std_logic; prim : in STD_LOGIC_VECTOR (5 downto 0); Sum2 : in STD_LOGIC_VECTOR (23 downto 0); B : in STD_LOGIC_VECTOR (23 downto 0); Sum1 : in STD_LOGIC_VECTOR (23 downto 0); C : in STD_LOGIC_VECTOR (23 downto 0); A : in STD_LOGIC_VECTOR (23 downto 0); m : out STD_LOGIC_VECTOR (23 downto 0); q : out STD_LOGIC_VECTOR (23 downto 0)); end component; constant clock_period : time := 10ns; signal clk : std_logic := '0'; signal load : std_logic := '0'; signal reset_n : std_logic := '0'; signal prim : std_logic_vector (5 downto 0) := b"011001"; signal a : std_logic_vector (23 downto 0) := x"7B13B1"; signal b : std_logic_vector (23 downto 0) := x"4CCCCC"; signal c : std_logic_vector (23 downto 0) := x"504816"; signal sum1 : std_logic_vector (23 downto 0) := (others=>'0'); signal sum2 : std_logic_vector (23 downto 0) := (others=>'0'); signal m : std_logic_vector (23 downto 0) := (others=>'0'); signal q : std_logic_vector (23 downto 0) := (others=>'0'); -- oggetti per lettura/scrittura su file file dataset : text; file results : text; begin clock_process : process begin clk <= not clk; wait for clock_period / 2; end process clock_process; UUT : LinearRegression port map( clk => clk, load => load, reset_n => reset_n, prim => prim, sum2 => sum2, b => b, sum1 => sum1, c => c, a => a, m => m, q => q); stim_proc : process variable rline : line; variable wline : line; variable r_sum1 : std_logic_vector (23 downto 0) := (others=>'0'); variable r_sum2 : std_logic_vector (23 downto 0) := (others=>'0'); variable r_m : std_logic_vector (23 downto 0) := (others=>'0'); variable r_q : std_logic_vector (23 downto 0) := (others=>'0'); variable w_dm : std_logic_vector (23 downto 0) := (others=>'0'); variable w_dq : std_logic_vector (23 downto 0) := (others=>'0'); variable space : character; begin wait for 10*clock_period; reset_n <= '1'; load <= '1'; file_open(dataset, "/home/ssaa/dataset.txt", read_mode); file_open(results, "/home/ssaa/outputPostSynth.txt", write_mode); while not endfile(dataset) loop readline(dataset, rline); read(rline, r_sum1); read(rline, space); read(rline, r_sum2); sum1 <= r_sum1; sum2 <= r_sum2; wait for 4*clock_period; write(wline, m, right, 24); write(wline, ' ', right, 1); write(wline, q, right, 24); writeline(results, wline); end loop; file_close(dataset); file_close(results); wait; end process; end behavioral;
gpl-3.0
0b51906713f015f2a8022efb60d29ab4
0.646118
2.972028
false
false
false
false
michel-castan/LILASHOME
doc/index_166.vhd
1
913
-------------------------------------------- -- généré par LILASV4 -- -------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.Numeric_Std.all; use IEEE.std_logic_unsigned.all; entity tst_code_logic_LocalInternal is port( a : IN std_logic := '0'; b : IN std_logic := '0'; cin : IN std_logic := '0'; cout : OUT std_logic := '0'; s : OUT std_logic := '0'); end entity tst_code_logic_LocalInternal; architecture a_tst_code_logic_LocalInternal of tst_code_logic_LocalInternal is -- déclaration des variables modules -- déclaration des signaux internes signal p : std_logic := 'U'; -- déclaration des variables locales begin process (a, b, p, cin) variable g : std_logic; begin g := (a and b); p <= (a or b); cout <= (g or (p and cin)); s <= (a xor b xor cin); end process; end architecture a_tst_code_logic_LocalInternal;
apache-2.0
4dae00dccee51da4fc22fa40975a3283
0.587652
3.160279
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/tmp/_cg/Instruct_Memory/simulation/bmg_stim_gen.vhd
2
12,278
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SDP Configuration -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); ADDRB: OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL DO_READ_R : STD_LOGIC := '0'; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0'); SIGNAL PORTA_WR : STD_LOGIC:='0'; SIGNAL COUNT : INTEGER :=0; SIGNAL INCR_WR_CNT : STD_LOGIC:='0'; SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD : STD_LOGIC:='0'; SIGNAL COUNT_RD : INTEGER :=0; SIGNAL INCR_RD_CNT : STD_LOGIC:='0'; SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTA_WR_L1 :STD_LOGIC := '0'; SIGNAL PORTA_WR_L2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R1 :STD_LOGIC := '0'; SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTB_RD_L1 : STD_LOGIC := '0'; SIGNAL PORTB_RD_L2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R1 : STD_LOGIC := '0'; CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8; CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((5 <= 5),WR_RD_DEEP_COUNT, ((16/16)*WR_RD_DEEP_COUNT)); CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((5 <= 5),WR_RD_DEEP_COUNT, ((16/16)*WR_RD_DEEP_COUNT)); BEGIN ADDRA <= WRITE_ADDR(4 DOWNTO 0) ; DINA <= DINA_INT ; ADDRB <= READ_ADDR(4 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0'); CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 32 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 32, RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16 , DATA_PART_CNT => 1, SEED => 2) PORT MAP ( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); PORTA_WR_PROCESS: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTA_WR<='1'; ELSE PORTA_WR<=PORTB_RD_COMPLETE; END IF; END IF; END PROCESS; PORTB_RD_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTB_RD<='0'; ELSE PORTB_RD<=PORTA_WR_L2; END IF; END IF; END PROCESS; PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; ELSIF(PORTB_RD_COMPLETE='1') THEN LATCH_PORTB_RD_COMPLETE <='1'; ELSIF(PORTA_WR_HAPPENED='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_L1 <='0'; PORTB_RD_L2 <='0'; ELSE PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE; PORTB_RD_L2 <= PORTB_RD_L1; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_R1 <='0'; PORTA_WR_R2 <='0'; ELSE PORTA_WR_R1 <= PORTA_WR; PORTA_WR_R2 <= PORTA_WR_R1; END IF; END IF; END PROCESS; PORTA_WR_HAPPENED <= PORTA_WR_R2; PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN LATCH_PORTA_WR_COMPLETE<='0'; ELSIF(PORTA_WR_COMPLETE='1') THEN LATCH_PORTA_WR_COMPLETE <='1'; --ELSIF(PORTB_RD_HAPPENED='1') THEN ELSE LATCH_PORTA_WR_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_L1 <='0'; PORTA_WR_L2 <='0'; ELSE PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE; PORTA_WR_L2 <= PORTA_WR_L1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_R1 <='0'; PORTB_RD_R2 <='0'; ELSE PORTB_RD_R1 <= PORTB_RD; PORTB_RD_R2 <= PORTB_RD_R1; END IF; END IF; END PROCESS; PORTB_RD_HAPPENED <= PORTB_RD_R2; PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0'; start_rd_counter: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then incr_rd_cnt <= '0'; elsif(portb_rd ='1') then incr_rd_cnt <='1'; elsif(portb_rd_complete='1') then incr_rd_cnt <='0'; end if; end if; end process; RD_COUNTER: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then count_rd <= 0; elsif(incr_rd_cnt='1') then count_rd<=count_rd+1; end if; --if(count_rd=(wr_rd_deep_count)) then if(count_rd=(RD_DEEP_COUNT)) then count_rd<=0; end if; end if; end process; DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0'; PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0'; start_counter: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then incr_wr_cnt <= '0'; elsif(porta_wr ='1') then incr_wr_cnt <='1'; elsif(porta_wr_complete='1') then incr_wr_cnt <='0'; end if; end if; end process; COUNTER: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then count <= 0; elsif(incr_wr_cnt='1') then count<=count+1; end if; if(count=(WR_DEEP_COUNT)) then count<=0; end if; end if; end process; DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0'; BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(0), CLK => CLKB, RST => TB_RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(I), CLK =>CLKB, RST =>TB_RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; REGCE_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN DO_READ_R <= '0'; ELSE DO_READ_R <= DO_READ; END IF; END IF; END PROCESS; WEA(0) <= DO_WRITE ; END ARCHITECTURE;
mit
bdd5bfa8cac877e45710601936de8974
0.542515
3.544457
false
false
false
false
cathalmccabe/PYNQ
boards/ip/audio_direct_1.1/src/pdm_des.vhd
8
3,943
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:24:14 01/31/2014 -- Design Name: -- Module Name: pdm_des - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pdm_des is generic( C_NR_OF_BITS : integer := 16; C_SYS_CLK_FREQ_MHZ : integer := 100; C_PDM_FREQ_MHZ : integer range 1 to 3 := 3 ); port( clk_i : in std_logic; rst_i : in std_logic; en_i : in std_logic; done_o : out std_logic; data_o : out std_logic_vector(15 downto 0); -- PDM pdm_m_clk_o : out std_logic; pdm_m_data_i : in std_logic; pdm_lrsel_o : out std_logic ); end pdm_des; architecture Behavioral of pdm_des is ------------------------------------------------------------------------ -- Signal Declarations ------------------------------------------------------------------------ signal cnt_clk : integer range 0 to 127 := 0; signal clk_int, clk_intt : std_logic := '0'; signal pdm_clk_rising, pdm_clk_falling : std_logic; signal pdm_tmp : std_logic_vector((C_NR_OF_BITS-1) downto 0); signal cnt_bits : integer range 0 to 31 := 0; ------------------------------------------------------------------------ -- Module Implementation ------------------------------------------------------------------------ begin -- with L/R Sel tied to GND => output = DATA1 (rising edge) pdm_lrsel_o <= '0'; ------------------------------------------------------------------------ -- Deserializer ------------------------------------------------------------------------ -- sample input serial data process SHFT_IN: process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then pdm_tmp <= pdm_tmp(C_NR_OF_BITS-2 downto 0) & pdm_m_data_i; end if; end if; end process SHFT_IN; -- counter for the number of sampled bits CNT: process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then if cnt_bits = (C_NR_OF_BITS-1) then cnt_bits <= 0; else cnt_bits <= cnt_bits + 1; end if; end if; end if; end process CNT; -- done gen process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then if cnt_bits = (C_NR_OF_BITS-1) then done_o <= '1'; data_o <= pdm_tmp; end if; else done_o <= '0'; end if; end if; end process; ------------------------------------------------------------------------ -- slave clock generator ------------------------------------------------------------------------ CLK_CNT: process(clk_i) begin if rising_edge(clk_i) then if rst_i = '1' or cnt_clk = ((C_SYS_CLK_FREQ_MHZ/(C_PDM_FREQ_MHZ*2))-1) then cnt_clk <= 0; clk_int <= not clk_int; else cnt_clk <= cnt_clk + 1; end if; clk_intt <= clk_int; end if; end process CLK_CNT; pdm_m_clk_o <= clk_int; pdm_clk_rising <= '1' when clk_int = '1' and clk_intt = '0' and en_i = '1' else '0'; --pdm_clk_falling <= '1' when cnt_clk = ((clk_div/2)-1) else '0'; end Behavioral;
bsd-3-clause
6e11a75b051fb432687be632ff8ebe8d
0.458788
3.850586
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Practica/Contador binario vhdl/contadorbinario_synthesis.vhd
1
9,710
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: H.42 -- \ \ Application: netgen -- / / Filename: contadorbinario_synthesis.vhd -- /___/ /\ Timestamp: Tue Sep 20 14:51:22 2011 -- \ \ / \ -- \___\/\___\ -- -- Command : -intstyle ise -ar Structure -w -ofmt vhdl -sim contadorbinario.ngc contadorbinario_synthesis.vhd -- Device : xc3s200-5-ft256 -- Input file : contadorbinario.ngc -- Output file : contadorbinario_synthesis.vhd -- # of Entities : 1 -- Design Name : contadorbinario -- Xilinx : C:/Xilinx -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Development System Reference Guide, Chapter 23 -- Synthesis and Verification Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity contadorbinario is port ( reset : in STD_LOGIC := 'X'; count_direction : in STD_LOGIC := 'X'; clock : in STD_LOGIC := 'X'; clock_enable : in STD_LOGIC := 'X'; count : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end contadorbinario; architecture Structure of contadorbinario is signal reset_IBUF : STD_LOGIC; signal count_direction_IBUF : STD_LOGIC; signal clock_BUFGP : STD_LOGIC; signal clock_enable_IBUF : STD_LOGIC; signal Q_n0001 : STD_LOGIC; signal count_temp_n0002 : STD_LOGIC; signal N10 : STD_LOGIC; signal N3 : STD_LOGIC; signal contadorbinario_count_temp_n0000_0_cyo : STD_LOGIC; signal N4 : STD_LOGIC; signal contadorbinario_count_temp_n0000_1_cyo : STD_LOGIC; signal N5 : STD_LOGIC; signal contadorbinario_count_temp_n0000_2_cyo : STD_LOGIC; signal N6 : STD_LOGIC; signal contadorbinario_count_temp_n0000_3_cyo : STD_LOGIC; signal N7 : STD_LOGIC; signal contadorbinario_count_temp_n0000_4_cyo : STD_LOGIC; signal N8 : STD_LOGIC; signal contadorbinario_count_temp_n0000_5_cyo : STD_LOGIC; signal N9 : STD_LOGIC; signal contadorbinario_count_temp_n0000_6_cyo : STD_LOGIC; signal count_temp : STD_LOGIC_VECTOR ( 7 downto 0 ); signal count_temp_n0000 : STD_LOGIC_VECTOR ( 7 downto 0 ); begin count_temp_6 : FDE port map ( D => count_temp_n0000(6), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(6) ); count_temp_n00021_INV_0 : INV port map ( I => count_direction_IBUF, O => count_temp_n0002 ); Q_n00011 : LUT2 generic map( INIT => X"2" ) port map ( I0 => clock_enable_IBUF, I1 => reset_IBUF, O => Q_n0001 ); count_temp_7 : FDE port map ( D => count_temp_n0000(7), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(7) ); contadorbinario_count_temp_n0000_7_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_6_cyo, LI => N10, O => count_temp_n0000(7) ); count_temp_0 : FDE port map ( D => count_temp_n0000(0), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(0) ); count_temp_1 : FDE port map ( D => count_temp_n0000(1), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(1) ); count_temp_2 : FDE port map ( D => count_temp_n0000(2), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(2) ); count_temp_3 : FDE port map ( D => count_temp_n0000(3), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(3) ); count_temp_4 : FDE port map ( D => count_temp_n0000(4), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(4) ); count_temp_5 : FDE port map ( D => count_temp_n0000(5), CE => Q_n0001, C => clock_BUFGP, Q => count_temp(5) ); contadorbinario_count_temp_n0000_7_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_temp(7), I1 => count_direction_IBUF, LO => N10 ); count_1_OBUF : OBUF port map ( I => count_temp(1), O => count(1) ); count_0_OBUF : OBUF port map ( I => count_temp(0), O => count(0) ); contadorbinario_count_temp_n0000_0_cy : MUXCY port map ( CI => count_temp_n0002, DI => count_temp(0), S => N3, O => contadorbinario_count_temp_n0000_0_cyo ); contadorbinario_count_temp_n0000_0_xor : XORCY port map ( CI => count_temp_n0002, LI => N3, O => count_temp_n0000(0) ); contadorbinario_count_temp_n0000_0_lut : LUT2_L generic map( INIT => X"6" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(0), LO => N3 ); contadorbinario_count_temp_n0000_1_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_0_cyo, DI => count_temp(1), S => N4, O => contadorbinario_count_temp_n0000_1_cyo ); contadorbinario_count_temp_n0000_1_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_0_cyo, LI => N4, O => count_temp_n0000(1) ); contadorbinario_count_temp_n0000_1_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(1), LO => N4 ); contadorbinario_count_temp_n0000_2_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_1_cyo, DI => count_temp(2), S => N5, O => contadorbinario_count_temp_n0000_2_cyo ); contadorbinario_count_temp_n0000_2_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_1_cyo, LI => N5, O => count_temp_n0000(2) ); contadorbinario_count_temp_n0000_2_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(2), LO => N5 ); contadorbinario_count_temp_n0000_3_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_2_cyo, DI => count_temp(3), S => N6, O => contadorbinario_count_temp_n0000_3_cyo ); contadorbinario_count_temp_n0000_3_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_2_cyo, LI => N6, O => count_temp_n0000(3) ); contadorbinario_count_temp_n0000_3_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(3), LO => N6 ); contadorbinario_count_temp_n0000_4_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_3_cyo, DI => count_temp(4), S => N7, O => contadorbinario_count_temp_n0000_4_cyo ); contadorbinario_count_temp_n0000_4_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_3_cyo, LI => N7, O => count_temp_n0000(4) ); contadorbinario_count_temp_n0000_4_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(4), LO => N7 ); contadorbinario_count_temp_n0000_5_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_4_cyo, DI => count_temp(5), S => N8, O => contadorbinario_count_temp_n0000_5_cyo ); contadorbinario_count_temp_n0000_5_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_4_cyo, LI => N8, O => count_temp_n0000(5) ); contadorbinario_count_temp_n0000_5_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(5), LO => N8 ); contadorbinario_count_temp_n0000_6_cy : MUXCY port map ( CI => contadorbinario_count_temp_n0000_5_cyo, DI => count_temp(6), S => N9, O => contadorbinario_count_temp_n0000_6_cyo ); contadorbinario_count_temp_n0000_6_xor : XORCY port map ( CI => contadorbinario_count_temp_n0000_5_cyo, LI => N9, O => count_temp_n0000(6) ); contadorbinario_count_temp_n0000_6_lut : LUT2_L generic map( INIT => X"9" ) port map ( I0 => count_direction_IBUF, I1 => count_temp(6), LO => N9 ); clock_BUFGP_0 : BUFGP port map ( I => clock, O => clock_BUFGP ); reset_IBUF_1 : IBUF port map ( I => reset, O => reset_IBUF ); count_direction_IBUF_2 : IBUF port map ( I => count_direction, O => count_direction_IBUF ); clock_enable_IBUF_3 : IBUF port map ( I => clock_enable, O => clock_enable_IBUF ); count_7_OBUF : OBUF port map ( I => count_temp(7), O => count(7) ); count_6_OBUF : OBUF port map ( I => count_temp(6), O => count(6) ); count_5_OBUF : OBUF port map ( I => count_temp(5), O => count(5) ); count_4_OBUF : OBUF port map ( I => count_temp(4), O => count(4) ); count_3_OBUF : OBUF port map ( I => count_temp(3), O => count(3) ); count_2_OBUF : OBUF port map ( I => count_temp(2), O => count(2) ); end Structure;
gpl-3.0
300d73577053d6b112bbb6036387e54a
0.54449
3.263866
false
false
false
false
lelongdunet/dspunit
sim/bench_div.vhd
2
4,333
-- ---------------------------------------------------------------------- -- DspUnit : Advanced So(P)C Sequential Signal Processor -- Copyright (C) 2007-2009 by Adrien LELONG (www.lelongdunet.com) -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the -- Free Software Foundation, Inc., -- 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. -- ---------------------------------------------------------------------- -- Simulation parameters -->SIMSTOPTIME=3000ns -->SIMSAVFILE=dspdiv.sav ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity bench_div is end bench_div; --=---------------------------------------------------------------------------- architecture archi_bench_div of bench_div is ----------------------------------------------------------------------------- -- @constants definition ----------------------------------------------------------------------------- constant c_sig_width : integer := 16; --=-------------------------------------------------------------------------- -- -- @component declarations -- ----------------------------------------------------------------------------- component clock_gen generic ( tpw : time; tps : time ); port ( clk : out std_logic; reset : out std_logic ); end component; component dspdiv generic ( sig_width : integer ); port ( num : in std_logic_vector((2*sig_width - 1) downto 0); den : in std_logic_vector((sig_width - 1) downto 0); clk : in std_logic; q : out std_logic_vector((sig_width - 1) downto 0); r : out std_logic_vector((2*sig_width - 3) downto 0) ); end component; --=-------------------------------------------------------------------------- -- @signals definition ----------------------------------------------------------------------------- signal s_clk : std_logic; signal s_reset : std_logic; signal s_num : std_logic_vector((2*c_sig_width - 1) downto 0); signal s_den : std_logic_vector((c_sig_width - 1) downto 0); signal s_q : std_logic_vector((c_sig_width - 1) downto 0); signal s_r : std_logic_vector((2*c_sig_width - 3) downto 0); begin -- archs_bench_div ----------------------------------------------------------------------------- -- -- @instantiations -- ----------------------------------------------------------------------------- clock_gen_1 : clock_gen generic map ( tpw => 5 ns, tps => 0 ns) port map ( clk => s_clk, reset => s_reset); dspdiv_1 : dspdiv generic map ( sig_width => c_sig_width) port map ( num => s_num, den => s_den, clk => s_clk, q => s_q, r => s_r); --=--------------------------------------------------------------------------- --=--------------------------------------------------------------------------- -- -- @concurrent signal assignments -- ----------------------------------------------------------------------------- s_num <= x"00050000", x"05000000" after 21 ns, x"00050000" after 31 ns; s_den <= x"0406", x"0400" after 11 ns, x"FBFA" after 41 ns, x"0400" after 51 ns; -- s_num <= x"00050000",x"00050000" after 11 ns, x"FFFB0000" after 21 ns; -- s_den <= x"0406",x"FC00" after 31 ns; end archi_bench_div; -------------------------------------------------------------------------------
gpl-3.0
a1348938f9b0e0d03f89ff655d69549c
0.406647
4.689394
false
false
false
false
straywarrior/MadeCPUin21days
Register_Files_Test.vhd
1
3,885
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:42:00 11/24/2015 -- Design Name: -- Module Name: Z:/Project/MadeCPUin21days/Register_Files_Test.vhd -- Project Name: MadeCPUin21days -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Register_Files -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Register_Files_Test IS END Register_Files_Test; ARCHITECTURE behavior OF Register_Files_Test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Register_Files PORT( clk : IN std_logic; reset : IN std_logic; ASel : IN std_logic_vector(3 downto 0); BSel : IN std_logic_vector(3 downto 0); WSel : IN std_logic_vector(3 downto 0); WE : IN std_logic; WVal : IN std_logic_vector(15 downto 0); AVal : OUT std_logic_vector(15 downto 0); BVal : OUT std_logic_vector(15 downto 0); RAVal : OUT std_logic_vector(15 downto 0); SPVal : OUT std_logic_vector(15 downto 0); IHVal : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal ASel : std_logic_vector(3 downto 0) := (others => '0'); signal BSel : std_logic_vector(3 downto 0) := (others => '0'); signal WSel : std_logic_vector(3 downto 0) := (others => '0'); signal WE : std_logic := '0'; signal WVal : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal AVal : std_logic_vector(15 downto 0); signal BVal : std_logic_vector(15 downto 0); signal RAVal : std_logic_vector(15 downto 0); signal SPVal : std_logic_vector(15 downto 0); signal IHVal : std_logic_vector(15 downto 0); -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Register_Files PORT MAP ( clk => clk, reset => reset, ASel => ASel, BSel => BSel, WSel => WSel, WE => WE, WVal => WVal, AVal => AVal, BVal => BVal, RAVal => RAVal, SPVal => SPVal, IHVal => IHVal ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state. reset <= '1'; wait for 10 ns; reset <= '0'; wait for 10 ns; -- insert stimulus here ASel <= "0000"; BSel <= "0001"; WSel <= "1001"; WVal <= "1010010100001000"; WE <= '1'; wait for 20 ns; ASel <= "0000"; BSel <= "0001"; WSel <= "0001"; WVal <= "1010010100001001"; WE <= '1'; wait for 20 ns; ASel <= "0001"; BSel <= "0010"; WSel <= "0001"; WVal <= "1010010100001010"; WE <= '1'; wait for 20 ns; wait; end process; END;
gpl-2.0
0997b757ebbed8c005622457fffdde1e
0.550322
3.916331
false
true
false
false
michaelmiehling/A25_VME_TB
Testbench/M25P32/ACDC_check.vhd
1
10,758
------------------------------------------------------- -- Author: Hugues CREUSY --February 2004 -- VHDL model -- project: M25P32 50 MHz, -- release: 1.0 ----------------------------------------------------- -- Unit : ACDC_check_pkg ----------------------------------------------------- ------------------------------------------------------------- -- These VHDL models are provided "as is" without warranty -- of any kind, included but not limited to, implied warranty -- of merchantability and fitness for a particular purpose. ------------------------------------------------------------- -------------------------------------------------------------------------- -- -- ACDC CHECK -- -- -------------------------------------------------------------------------- LIBRARY IEEE ; USE IEEE.std_logic_1164.ALL; LIBRARY STD; USE STD.textio.ALL; -------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------- -- This entity receives SPI port signals and one signal write operation -- from the internal logic -------------------------------------------------------------------------- Entity ACDC_check is generic ( Tc: TIME; Tr: TIME; tSLCH: TIME; tCHSL: TIME; tCH : TIME; tCL : TIME; tDVCH: TIME; tCHDX: TIME; tCHSH : TIME; tSHCH: TIME; tSHSL: TIME; tHLCH: TIME; tCHHH: TIME ; tHHCH: TIME; tCHHL: TIME; tVSL: TIME ; tPUW: TIME ; tWHSL: TIME; tSHWL: TIME; Vwi: REAL; Vccmin: REAL; Vccmax: REAL ); port (VCC: IN REAL; C, D, S, HOLD : IN std_logic; write_op,read_op: IN boolean; wrsr: IN boolean; srwd_wrsr: IN boolean; write_protect: IN boolean; Power_up: OUT boolean ); END ACDC_check; -------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------- -- Several processes test and verify AC/DC characteristics -- and timings -------------------------------------------------------------- ARCHITECTURE spy OF ACDC_check IS SIGNAL VCCmin_ok,Vwi_ok: boolean:=false; SIGNAL high_time,low_time: TIME:=100 ns; SIGNAL t_c_rise,t_c_fall: TIME:=100 ns; SIGNAL t_write_protect_fall: TIME:=0 ns; SIGNAL t_s_rise, t_s_fall: TIME:= 0 ns; BEGIN --------------------------------------------------- -- This process checks Vcc level: -- VCCmin<VCC<VCCmax -- VCC>Vwi --------------------------------------------------- VCC_watch: PROCESS BEGIN WAIT ON VCC; IF (VCC>VCCmax) THEN REPORT "VCC>VCCmax no more instructions guaranteed" severity ERROR; END IF; IF ((VCC>=Vccmin) AND (VCC'last_value<Vccmin)) THEN Vccmin_ok <= true; END IF; IF ((VCC<=Vccmin) AND (VCC'last_value>Vccmin)) THEN Vccmin_ok <= false; IF write_op THEN REPORT "VCC<VCCmin : write cycle not guaranteed" severity ERROR; ELSE REPORT "VCC<VCCmin : no more instructions guaranteed" severity WARNING; END IF; END IF; IF ((VCC>=Vwi) AND (VCC'last_value<Vwi)) THEN Vwi_ok <= true; Power_up<=true; END IF; IF ((VCC<=Vwi) AND (VCC'last_value>Vwi)) THEN Vwi_ok <= false; Power_up<=false; IF write_op THEN REPORT "VCC<Vwi and write cycle in progress: data corrupted" severity FAILURE; ELSE REPORT "VCC<Vwi: the chip is now reset" severity WARNING; END IF; END IF; END PROCESS VCC_watch; ------------------------------------------------------------------------ -- This process checks that no write instruction is sent during power up ------------------------------------------------------------------------ PUW:PROCESS BEGIN WAIT ON write_op; IF (write_op) THEN ASSERT (Vwi_ok AND (Vwi_ok'stable(tPUW))) REPORT "No write instruction is allowed until a time delay of tPUW" severity ERROR; END IF; END PROCESS PUW; ---------------------------------------------- -- This process checks pulses length on pin /S ---------------------------------------------- SHSL_watch:PROCESS VARIABLE t0,t1:TIME:= 0 ns; BEGIN WAIT ON S; IF ( S='1') THEN t0:=now; t_s_rise<=t0; WAIT UNTIL (S'event AND S='0'); t1:=now; t_s_fall<=t1; IF ((t1-t0)<tSHSL) THEN REPORT "tSHSL condition violated" severity ERROR; END IF; END IF; END PROCESS SHSL_watch; --------------------------------------------------------------- -- This process checks select setup and hold timings -- and Vccmin to select low timing --------------------------------------------------------------- S_watch1:PROCESS VARIABLE t:TIME:=0 ns; BEGIN WAIT ON S; IF (S='0' AND HOLD/='0') THEN ASSERT (Vwi_ok) REPORT "VCC<Vwi: chip is on reset mode and will not respond" severity FAILURE; IF (NOT Vccmin_ok) THEN REPORT "Vcc<Vccmin: operation not guaranteed" severity ERROR; ELSIF (Vccmin_ok AND (NOT Vccmin_ok'stable(tVSL))) THEN REPORT "Vcc must be greater than VCCmin during at least tVSL before chip is selected" severity ERROR; END IF; ASSERT (Vccmin_ok AND Vccmin_ok'stable(tVSL)) REPORT "Vcc must be greater than VCCmin during at least tVSL before chip is selected" severity ERROR; t:=now; IF ((t-t_c_rise)<tCHSL) THEN REPORT "tCHSL condition violated" severity ERROR; END IF; IF (C='1')THEN WAIT ON C FOR tSLCH; WAIT ON C FOR tSLCH; IF (C'event=true AND C='1' AND(NOW-t)<tSLCH) THEN REPORT "tSLCH condition violated" severity ERROR; END IF; ELSIF (C='0') THEN WAIT ON C FOR tSLCH; IF (C'event=true AND (NOW-t)<tSLCH) THEN REPORT "tSLCH condition violated" severity ERROR; END IF; END IF; END IF; END PROCESS S_watch1; ------------------------------------------------------ -- This process checks deselect setup timings ------------------------------------------------------ S_watch2:PROCESS VARIABLE t:TIME:=0 ns; BEGIN WAIT ON S; t:=now; IF (S='1' AND HOLD /='0') THEN IF ((t-t_c_rise)<tCHSH AND NOW/=0 ns) THEN REPORT "tCHSH condition violated" severity ERROR; END IF; IF (C='1') THEN WAIT ON C FOR tSHCH; WAIT ON C FOR tSHCH; IF (C'event=true AND C='1' AND (NOW-t)<tSHCH) THEN REPORT "tSHCH condition violated" severity ERROR; END IF; ELSIF (C='0') THEN WAIT ON C FOR tSHCH; IF (C'event=true AND (NOW-t)<tSHCH) THEN REPORT "tSHCH condition violated" severity ERROR; END IF; END IF; END IF; END PROCESS S_watch2; ----------------------------------- -- This process checks hold timings ----------------------------------- hold_watch:PROCESS VARIABLE t:TIME:=0 ns; BEGIN WAIT ON hold; IF (hold='0') THEN IF (C='1')THEN IF (NOT C'stable(tCHHL)) THEN REPORT "tCHHL condition violated" severity ERROR; END IF; t:=NOW; ELSIF (C='0') THEN WAIT ON C FOR tHLCH; IF (C'event=true AND (NOW-t)/=tHLCH) THEN REPORT "tHLCH condition violated" severity ERROR; END IF; END IF; END IF; IF (hold='1') THEN IF (C='1') THEN IF (NOT C'stable(tCHHH)) THEN REPORT "tCHHH condition violated" severity ERROR; END IF; t:=NOW; ELSIF (C='0') THEN WAIT ON C FOR tHHCH; IF (C'event=true AND (NOW-t)/=tHHCH) THEN REPORT "tHHCH condition violated" severity ERROR; END IF; END IF; END IF; END PROCESS hold_watch; ---------------------------------------------------- -- This process checks data hold and setup timings ---------------------------------------------------- D_watch: PROCESS VARIABLE t:TIME:=0 ns; BEGIN WAIT ON D; IF (C='1')THEN IF (NOT C'stable(tCHDX)) THEN IF (S='0'AND HOLD='1') THEN REPORT "tCHDX condition violated" severity ERROR; END IF; END IF; t:=NOW; ELSIF (C='0') THEN WAIT ON C FOR tDVCH; IF (C'event=true AND (NOW-t)/=tDVCH) THEN IF (S='0'AND HOLD='1') THEN REPORT "tDVCH condition violated" severity ERROR; END IF; END IF; END IF; END PROCESS D_watch; --------------------------------------- -- This process checks clock high time --------------------------------------- C_high_watch: PROCESS VARIABLE t1:TIME:=0 ns; BEGIN WAIT ON C; IF ( C='1') THEN IF (S='1') THEN high_time <= 100 ns; t_c_rise<=now; ELSE t_c_rise<=now; WAIT UNTIL (C'event AND C='0'); t1:=now; high_time<=t1-t_c_rise; IF ((t1-t_c_rise)<tCH) THEN IF (S='0'AND HOLD='1') THEN REPORT "tCH condition violated" severity ERROR; END IF; END IF; END IF; END IF; END PROCESS C_high_watch; --------------------------------------- -- This process checks clock low time --------------------------------------- C_low_watch: PROCESS VARIABLE t1:TIME:=0 ns; BEGIN WAIT ON C; IF ( C='0') THEN IF (S='1') THEN low_time <= 100 ns; ELSE t_c_fall<=now; WAIT UNTIL (C'event AND C='1'); t1:=now; low_time <= t1-t_c_fall; IF ((t1-t_c_fall)<tCL) THEN IF (S='0'AND HOLD='1') THEN REPORT "tCL condition violated" severity ERROR; END IF; END IF; ENd IF; END IF; END PROCESS C_low_watch; ------------------------------------------------- -- This process checks clock frequency ------------------------------------------------- freq_watch: PROCESS(high_time,low_time) BEGIN IF read_op THEN IF ((high_time+low_time)<Tr) THEN IF (S='0' AND HOLD='1') THEN REPORT "Clock frequency condition violated for READ instruction: fR>20MHz" severity ERROR; END IF; END IF; ELSIF ((high_time+low_time)<Tc) THEN IF (S='0' AND HOLD='1') THEN REPORT "Clock frequency condition violated: fC>25MHz" severity ERROR; END IF; END IF; END PROCESS freq_watch; -------------------------------------------------------------------------- -- This process detects the write_protect negative transitions -------------------------------------------------------------------------- write_protect_watch: PROCESS BEGIN WAIT ON write_protect; IF (NOW /= 0 ns) THEN IF (NOT write_protect) THEN t_write_protect_fall <= NOW; END IF; END IF; END PROCESS write_protect_watch; -------------------------------------------------------- -- This process checks the TWHSL parameter -------------------------------------------------------- TWHSL_watch: PROCESS BEGIN WAIT ON srwd_wrsr; IF (NOW /= 0 ns) THEN IF ((t_s_fall - t_write_protect_fall) < tWHSL) THEN REPORT "tWHSL condition violated" severity FAILURE; END IF; END IF; END PROCESS TWHSL_watch; -------------------------------------------------------- -- This process checks the TSHWL parameter -------------------------------------------------------- TSHWL_watch: PROCESS VARIABLE t0:TIME:=0 ns; BEGIN WAIT ON write_protect; IF (NOW /= 0 ns) THEN t0 := NOW; IF ( write_protect AND WRSR) THEN IF ((t0 -t_s_rise) < tSHWL) THEN REPORT "tSHWL condition violated" severity FAILURE; END IF; END IF; END IF; END PROCESS TSHWL_watch; END SPY;
gpl-3.0
3f2428b6b2558d21fddaf096e43102c1
0.51952
3.644309
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_noqueue.vhd
1
24,236
------------------------------------------------------------------------------- -- axi_sg_updt_noqueue ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_noqueue.vhd -- Description: This entity provides the descriptor update for the No Queue mode -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Seperated update queues into two seperate files, no queue and queue to -- simplify maintainance. -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33 -- 1 IOC bit + 32 Update Status Bits ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control -- updt_curdesc_wren : out std_logic ; -- updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_active : in std_logic ; -- updt_queue_empty : out std_logic ; -- updt_ioc : out std_logic ; -- updt_ioc_irq_set : in std_logic ; -- -- dma_interr : out std_logic ; -- dma_slverr : out std_logic ; -- dma_decerr : out std_logic ; -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface In **-- -- --*********************************-- -- -- Update Pointer Stream -- s_axis_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0) ; -- s_axis_updtptr_tvalid : in std_logic ; -- s_axis_updtptr_tready : out std_logic ; -- s_axis_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_updtsts_tvalid : in std_logic ; -- s_axis_updtsts_tready : out std_logic ; -- s_axis_updtsts_tlast : in std_logic ; -- -- --*********************************-- -- --** Channel Update Interface Out**-- -- --*********************************-- -- -- S2MM Stream Out To DataMover -- m_axis_updt_tdata : out std_logic_vector -- (C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); -- m_axis_updt_tlast : out std_logic ; -- m_axis_updt_tvalid : out std_logic ; -- m_axis_updt_tready : in std_logic -- ); end axi_sg_updt_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Contstants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel signals signal writing_curdesc : std_logic := '0'; signal write_curdesc_lsb : std_logic := '0'; signal write_curdesc_msb : std_logic := '0'; signal updt_active_d1 : std_logic := '0'; signal updt_active_re : std_logic := '0'; type PNTR_STATE_TYPE is (IDLE, READ_CURDESC_LSB, READ_CURDESC_MSB, WRITE_STATUS ); signal pntr_cs : PNTR_STATE_TYPE; signal pntr_ns : PNTR_STATE_TYPE; signal writing_status : std_logic := '0'; signal curdesc_tready : std_logic := '0'; signal writing_status_d1 : std_logic := '0'; signal writing_status_re : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Asset active strobe on rising edge of update active -- asertion. This kicks off the update process for -- the channel REG_ACTIVE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_active_d1 <= '0'; else updt_active_d1 <= updt_active; end if; end if; end process REG_ACTIVE; updt_active_re <= updt_active and not updt_active_d1; -- Current Descriptor Pointer Fetch. This state machine controls -- reading out the current pointer from the Queue or channel port -- and writing it to the update manager for use in command -- generation to the DataMover for Descriptor update. CURDESC_PNTR_STATE : process(pntr_cs, updt_active, s_axis_updtptr_tvalid, s_axis_updtsts_tvalid, s_axis_updtsts_tlast, m_axis_updt_tready) begin write_curdesc_lsb <= '0'; write_curdesc_msb <= '0'; writing_status <= '0'; writing_curdesc <= '0'; curdesc_tready <= '0'; pntr_ns <= pntr_cs; case pntr_cs is when IDLE => if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then writing_curdesc <= '1'; pntr_ns <= READ_CURDESC_LSB; else pntr_ns <= IDLE; end if; --------------------------------------------------------------- -- Get lower current descriptor when READ_CURDESC_LSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- on tvalid from Queue or channel port then register -- lsb curdesc and setup to register msb curdesc if(s_axis_updtptr_tvalid = '1' and updt_active = '1')then write_curdesc_lsb <= '1'; pntr_ns <= READ_CURDESC_MSB; else pntr_ns <= READ_CURDESC_LSB; end if; --------------------------------------------------------------- -- Get upper current descriptor when READ_CURDESC_MSB => curdesc_tready <= '1'; writing_curdesc <= '1'; -- On tvalid from Queue or channel port then register -- msb. This will also write curdesc out to update -- manager. if(s_axis_updtptr_tvalid = '1')then write_curdesc_msb <= '1'; pntr_ns <= WRITE_STATUS; else pntr_ns <= READ_CURDESC_MSB; end if; --------------------------------------------------------------- -- Hold in this state until remainder of descriptor is -- written out. when WRITE_STATUS => writing_status <= s_axis_updtsts_tvalid; if(s_axis_updtsts_tvalid = '1' and m_axis_updt_tready = '1' and s_axis_updtsts_tlast = '1')then pntr_ns <= IDLE; else pntr_ns <= WRITE_STATUS; end if; when others => pntr_ns <= IDLE; end case; end process CURDESC_PNTR_STATE; --------------------------------------------------------------------------- -- Register for CURDESC Pointer state machine --------------------------------------------------------------------------- REG_PNTR_STATES : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then pntr_cs <= IDLE; else pntr_cs <= pntr_ns; end if; end if; end process REG_PNTR_STATES; -- Status stream signals m_axis_updt_tdata <= s_axis_updtsts_tdata(C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0); m_axis_updt_tvalid <= s_axis_updtsts_tvalid and writing_status; m_axis_updt_tlast <= s_axis_updtsts_tlast and writing_status; s_axis_updtsts_tready <= m_axis_updt_tready and writing_status; -- Pointer stream signals s_axis_updtptr_tready <= curdesc_tready; -- Indicate need for channel service for update state machine updt_queue_empty <= not s_axis_updtsts_tvalid; --********************************************************************* --** POINTER CAPTURE LOGIC --********************************************************************* --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(31 downto 0) <= (others => '0'); -- Capture lower pointer from FIFO or channel port elsif(write_curdesc_lsb = '1')then updt_curdesc(31 downto 0) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); end if; end if; end process REG_LSB_CURPNTR; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc(63 downto 32) <= (others => '0'); updt_curdesc_wren <= '0'; -- Capture upper pointer from FIFO or channel port -- and also write curdesc out elsif(write_curdesc_msb = '1')then updt_curdesc(63 downto 32) <= s_axis_updtptr_tdata(C_S_AXIS_UPDPTR_TDATA_WIDTH - 1 downto 0); updt_curdesc_wren <= '1'; -- Assert tready/wren for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_UPPER_MSB_CURDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_CURPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then updt_curdesc_wren <= '0'; -- Throw away second word, only write curdesc out with msb -- set to zero elsif(write_curdesc_msb = '1')then updt_curdesc_wren <= '1'; -- Assert for only 1 clock else updt_curdesc_wren <= '0'; end if; end if; end process REG_MSB_CURPNTR; end generate GEN_NO_UPR_MSB_CURDESC; --********************************************************************* --** ERROR CAPTURE LOGIC --********************************************************************* ----------------------------------------------------------------------- -- Generate rising edge pulse on writing status signal. This will -- assert at the beginning of the status write. Coupled with status -- fifo set to first word fall through status will be on dout -- regardless of target ready. ----------------------------------------------------------------------- REG_WRITE_STATUS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then writing_status_d1 <= '0'; else writing_status_d1 <= writing_status; end if; end if; end process REG_WRITE_STATUS; writing_status_re <= writing_status and not writing_status_d1; --------------------------------------------------------------------------- -- Caputure IOC begin set --------------------------------------------------------------------------- REG_IOC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then updt_ioc <= '0'; elsif(writing_status_re = '1')then updt_ioc <= s_axis_updtsts_tdata(DESC_IOC_TAG_BIT); end if; end if; end process REG_IOC_PROCESS; ----------------------------------------------------------------------- -- Capture DMA Internal Errors ----------------------------------------------------------------------- CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then dma_interr <= '0'; elsif(writing_status_re = '1')then dma_interr <= s_axis_updtsts_tdata(DESC_STS_INTERR_BIT); end if; end if; end process CAPTURE_DMAINT_ERROR; ----------------------------------------------------------------------- -- Capture DMA Slave Errors ----------------------------------------------------------------------- CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then dma_slverr <= '0'; elsif(writing_status_re = '1')then dma_slverr <= s_axis_updtsts_tdata(DESC_STS_SLVERR_BIT); end if; end if; end process CAPTURE_DMASLV_ERROR; ----------------------------------------------------------------------- -- Capture DMA Decode Errors ----------------------------------------------------------------------- CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then dma_decerr <= '0'; elsif(writing_status_re = '1')then dma_decerr <= s_axis_updtsts_tdata(DESC_STS_DECERR_BIT); end if; end if; end process CAPTURE_DMADEC_ERROR; end implementation;
gpl-2.0
a1deb5525f3f342fdc01854ed7d70505
0.392887
5.136922
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/RAM_5.vhd
1
10,399
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: RAM_5.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 14.0.0 Build 200 06/17/2014 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2014 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus II License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY RAM_5 IS PORT ( aclr : IN STD_LOGIC := '0'; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END RAM_5; ARCHITECTURE SYN OF ram_5 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK0", init_file => "RAM_5.mif", intended_device_family => "Cyclone IV E", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "CLEAR0", outdata_aclr_b => "CLEAR0", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => 10, widthad_b => 10, width_a => 32, width_b => 32, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_wraddress_reg_b => "CLOCK0" ) PORT MAP ( aclr0 => aclr, address_a => address_a, address_b => address_b, clock0 => clock, data_a => data_a, data_b => data_b, wren_a => wren_a, wren_b => wren_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "1" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "RAM_5.mif" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_5.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" -- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]" -- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" -- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" -- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" -- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" -- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" -- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" -- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 -- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 -- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 -- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_5.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_5.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_5.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_5.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_5_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
00bb4770d6072c4d9805d58020e56f33
0.666314
3.290823
false
false
false
false
michaelmiehling/A25_VME_TB
16x004-01_src/Source/pcie_sim_pkg.vhd
1
46,199
-------------------------------------------------------------------------------- -- Title : simulation package for PCIe simulation model 16x004-01 -- Project : -------------------------------------------------------------------------------- -- File : pcie_sim_pkg.vhd -- Author : Susanne Reinfelder -- Email : [email protected] -- Organization: MEN Mikro Elektronik Nuremberg GmbH -- Created : 2017-05-31 -------------------------------------------------------------------------------- -- Simulator : ModelSim PE 6.6 -- Synthesis : -------------------------------------------------------------------------------- -- Description : -------------------------------------------------------------------------------- -- Hierarchy : -------------------------------------------------------------------------------- -- Copyright (C) 2017, MEN Mikro Elektronik Nuremberg GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.print_pkg.all; use work.utils_pkg.all; use work.altpcietb_bfm_constants.all; use work.altpcietb_bfm_log.all; use work.altpcietb_bfm_req_intf.all; use work.altpcietb_bfm_shmem.all; use work.altpcietb_bfm_rdwr.all; use work.altpcietb_bfm_configure.all; package pcie_sim_pkg is type dword_vector is array (integer range <>) of std_logic_vector(31 downto 0); -- +---------------------------------------------------------------------------- -- | constants -- +---------------------------------------------------------------------------- ----------------------------------------------------- -- constants to use in terminal_out.tga(1 downto 0) ----------------------------------------------------- constant IO_TRANSFER : std_logic_vector(1 downto 0) := "00"; constant MEM32_TRANSFER : std_logic_vector(1 downto 0) := "01"; constant CONFIG_TRANSFER : std_logic_vector(1 downto 0) := "10"; constant SETUP_CYCLE : std_logic_vector(1 downto 0) := "11"; ----------------------------------------------------- -- constants to use in terminal_out.tga(3 downto 2) ----------------------------------------------------- constant BFM_NBR_0 : std_logic_vector(1 downto 0) := "00"; constant BFM_NBR_1 : std_logic_vector(1 downto 0) := "01"; constant BFM_NBR_2 : std_logic_vector(1 downto 0) := "10"; constant BFM_NBR_3 : std_logic_vector(1 downto 0) := "11"; ------------------------------ -- constants for general use ------------------------------ constant BFM_BUFFER_MAX_SIZE : integer := 1024; constant DONT_CHECK32 : std_logic_vector(31 downto 0) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; constant ZERO_32BIT : std_logic_vector(31 downto 0) := (others => '0'); -- +---------------------------------------------------------------------------- -- | functions -- +---------------------------------------------------------------------------- --! function that calculates the last byte enables of a transfer --! @param first_dw first enabled bytes of this transfer --! @param byte_count amount of bytes for this transfer --! @return last_dw(3 downto 0) last enabled bytes for this transfer function calc_last_dw( first_dw : std_logic_vector(3 downto 0); byte_count : integer ) return std_logic_vector; -- returns std_logic_vector(3 downto 0) -- +---------------------------------------------------------------------------- -- | procedures -- +---------------------------------------------------------------------------- --! procedure to check a value against a reference value --! @param caller_proc string argument which is used in error messages to define the position where --! this procedure was called from --! @param ref_val 32bit reference value --! @param check_val 32bit value that is checked against ref_val --! @param byte_valid defines which byte of check_val is valid, invalid bytes are not compared --! @return check_ok boolean argument which states whether the check was ok (=true) or not procedure check_val( caller_proc : in string; ref_val : in std_logic_vector(31 downto 0); check_val : in std_logic_vector(31 downto 0); byte_valid : in std_logic_vector(3 downto 0); check_ok : out boolean ); --! procedure to initialize the BFM --! @param bfm_inst_nbr number of the BFM instance that will be initialized --! @param io_add start address for the BFM internal I/O space --! @param mem32_addr start address for the BFM internal MEM32 space --! @param mem64_addr start address for the BFM internal MEM64 space --! @param requester_id defines the requester ID that is used for every BFM transfer --! @param max_payloadsize defines the maximum payload size for every write request procedure init_bfm( bfm_inst_nbr : in integer; io_addr : in std_logic_vector(31 downto 0); mem32_addr : in std_logic_vector(31 downto 0); mem64_addr : in std_logic_vector(63 downto 0); requester_id : in std_logic_vector(15 downto 0); max_payloadsize : in integer ); procedure set_bfm_memory( nbr_of_dw : in integer; mem_addr : in std_logic_vector(31 downto 0); start_data_val : in std_logic_vector(31 downto 0); data_inc : in integer ); procedure get_bfm_memory( nbr_of_dw : in integer; mem_addr : in std_logic_vector(31 downto 0); databuf_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0) ); ----------------------------------------------- -- single memory write to 32bit address space ----------------------------------------------- procedure bfm_wr_mem32( pcie_addr : in std_logic_vector(1 downto 0); bar_num : in natural; bar_offset : in natural; byte_count : in natural range 4 downto 1; data32 : in std_logic_vector(31 downto 0); success : out boolean ); ---------------------------------------------- -- burst memory write to 32bit address space ---------------------------------------------- procedure bfm_wr_mem32( bar_num : in natural; bar_offset : in natural; byte_count : in integer; data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ); procedure bfm_rd_mem32( bar_num : in natural; bar_offset : in natural; byte_en : in std_logic_vector(3 downto 0); ref_data32 : in std_logic_vector(31 downto 0); data32_out : out std_logic_vector(31 downto 0); success : out boolean ); procedure bfm_rd_mem32( bar_num : in natural; bar_offset : in natural; byte_count : in integer; ref_data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); data32_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ); procedure bfm_wr_config( byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); success : out boolean ); procedure bfm_rd_config( byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); data32_out : out std_logic_vector(31 downto 0); success : out boolean ); procedure wait_on_irq_assert( irq_nbr : in integer range 3 downto 0 ); procedure wait_on_irq_deassert( irq_nbr : in integer range 3 downto 0 ); procedure bfm_configure_msi( constant msi_addr : in natural; -- MSI address in shared memory msi_data : in std_logic_vector(15 downto 0); -- contained in MSI message msi_allocated : out std_logic_vector(2 downto 0); -- amount of allocated MSI success : out boolean ); procedure bfm_calc_msi_expected( constant msi_allocated : in std_logic_vector(2 downto 0); -- amount of allocated MSI constant msi_data : in std_logic_vector(15 downto 0); -- MSI data value as programmed to config space constant msi_nbr : in integer range 32 downto 0; variable msi_expected : out std_logic_vector(31 downto 0) -- MSI vector as expected from EP ); procedure bfm_poll_msi( constant track_msi : in natural; constant msi_addr : in natural; constant msi_expected : in std_logic_vector(31 downto 0); constant txt_out : in integer; success : out boolean ); end package pcie_sim_pkg; package body pcie_sim_pkg is function calc_last_dw( first_dw : std_logic_vector(3 downto 0); byte_count : integer ) return std_logic_vector is variable first_bytes : integer := 0; variable last_bytes : integer := 0; variable return_int : std_logic_vector(3 downto 0); begin if first_dw(0) = '1' then first_bytes := first_bytes +1; end if; if first_dw(1) = '1' then first_bytes := first_bytes +1; end if; if first_dw(2) = '1' then first_bytes := first_bytes +1; end if; if first_dw(3) = '1' then first_bytes := first_bytes +1; end if; last_bytes := (byte_count - first_bytes) mod 4; if last_bytes = 0 then return_int := "1111"; elsif last_bytes = 1 then return_int := "0001"; elsif last_bytes = 2 then return_int := "0011"; elsif last_bytes = 3 then return_int := "0111"; else return_int := "XXXX"; assert false report "ERROR in function calc_last_dw(): illegal value for variable last_bytes" severity error; end if; return return_int; end; procedure check_val( caller_proc : in string; ref_val : in std_logic_vector(31 downto 0); check_val : in std_logic_vector(31 downto 0); byte_valid : in std_logic_vector(3 downto 0); check_ok : out boolean ) is variable pass : boolean := true; begin if byte_valid(0) = '1' then if ref_val(7 downto 0) /= check_val(7 downto 0) then print_now("BFM ERROR in " & caller_proc & "(): data read does not match given reference value - mismatch in byte0"); write_s_slvec("BFM ERROR in " & caller_proc & "(): reference value[7:0] = ",ref_val(7 downto 0)); write_s_slvec("BFM ERROR in " & caller_proc & "(): read value[7:0] = ",check_val(7 downto 0)); pass := false; end if; end if; if byte_valid(1) = '1' then if ref_val(15 downto 8) /= check_val(15 downto 8) then print_now("BFM ERROR in " & caller_proc & "(): data read does not match given reference value - mismatch in byte1"); write_s_slvec("BFM ERROR in " & caller_proc & "(): reference value[15:8] = ",ref_val(15 downto 8)); write_s_slvec("BFM ERROR in " & caller_proc & "(): read value[15:8] = ",check_val(15 downto 8)); pass := false; end if; end if; if byte_valid(2) = '1' then if ref_val(23 downto 16) /= check_val(23 downto 16) then print_now("BFM ERROR in " & caller_proc & "(): data read does not match given reference value - mismatch in byte2"); write_s_slvec("BFM ERROR in " & caller_proc & "(): reference value[23:16] = ",ref_val(23 downto 16)); write_s_slvec("BFM ERROR in " & caller_proc & "(): read value[23:16] = ",check_val(23 downto 16)); pass := false; end if; end if; if byte_valid(3) = '1' then if ref_val(31 downto 24) /= check_val(31 downto 24) then print_now("BFM ERROR in " & caller_proc & "(): data read does not match given reference value - mismatch in byte3"); write_s_slvec("BFM ERROR in " & caller_proc & "(): reference value[31:24] = ",ref_val(31 downto 24)); write_s_slvec("BFM ERROR in " & caller_proc & "(): read value[31:24] = ",check_val(31 downto 24)); pass := false; end if; end if; check_ok := pass; end procedure; procedure init_bfm( bfm_inst_nbr : in integer; io_addr : in std_logic_vector(31 downto 0); mem32_addr : in std_logic_vector(31 downto 0); mem64_addr : in std_logic_vector(63 downto 0); requester_id : in std_logic_vector(15 downto 0); max_payloadsize : in integer ) is begin print_now("BFM: initialize PCIe BFM"); ebfm_cfg_rp_ep( bar_table => BAR_TABLE_POINTER, -- defined in BFM shared memory ep_bus_num => 1, ep_dev_num => 1, rp_max_rd_req_size => max_payloadsize, display_ep_config => 1, -- display config space after endpoint config setup addr_map_4GB_limit => 0 -- limit BAR assignment to 4GB address map ); print_now("BFM: link is up"); end procedure; procedure set_bfm_memory( nbr_of_dw : in integer; mem_addr : in std_logic_vector(31 downto 0); start_data_val : in std_logic_vector(31 downto 0); data_inc : in integer ) is variable var_byte_len : integer; variable var_addr : natural; variable var_data_buf : std_logic_vector(nbr_of_dw *32 -1 downto 0); begin for i in 0 to nbr_of_dw -1 loop var_data_buf(i*32+31 downto i*32) := std_logic_vector(unsigned(start_data_val) + to_unsigned(i*data_inc,32)); end loop; var_byte_len := natural(nbr_of_dw *4); var_addr := to_integer(unsigned(mem_addr)); ------------------------------------------------------------------------------------------- -- Altera BFM doesn't distinguish between I/O and memory space concerning rd/wr functions ------------------------------------------------------------------------------------------- shmem_write( addr => var_addr, data => var_data_buf, leng => var_byte_len ); end procedure; procedure get_bfm_memory( nbr_of_dw : in integer; mem_addr : in std_logic_vector(31 downto 0); databuf_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0) ) is variable var_databuf_max : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable var_byte_len : integer; variable var_addr : natural; variable var_data_buf : std_logic_vector(nbr_of_dw *32 -1 downto 0); begin if nbr_of_dw > BFM_BUFFER_MAX_SIZE then print_now("BFM ERROR in get_bfm_memory(): nbr_of_dw exceeds BFM_BUFFER_MAX_SIZE"); else var_byte_len := natural(nbr_of_dw *4); var_addr := to_integer(unsigned(mem_addr)); var_data_buf := shmem_read(addr => var_addr, leng => var_byte_len); for i in 0 to nbr_of_dw -1 loop var_databuf_max(i) := var_data_buf(i*32+31 downto i*32); end loop; databuf_out := var_databuf_max; end if; end procedure; procedure bfm_wr_mem32( pcie_addr : in std_logic_vector(1 downto 0); bar_num : in natural; bar_offset : in natural; byte_count : in natural range 4 downto 1; data32 : in std_logic_vector(31 downto 0); success : out boolean ) is variable var_pass : boolean := true; variable var_local_addr : natural := 0; begin var_pass := true; ----------------------------------------- -- write user data to BFM shared memory ----------------------------------------- var_local_addr := 0; shmem_write( addr => var_local_addr, data => data32, leng => 4 --byte_count ); --------------------------- -- transfer data via PCIe --------------------------- var_local_addr := 0 + (to_integer(unsigned(pcie_addr))); ebfm_barwr( bar_table => BAR_TABLE_POINTER, bar_num => bar_num, pcie_offset => bar_offset, lcladdr => var_local_addr, -- shmem address byte_len => byte_count, --4, tclass => 0 ); report "WARNING (bfm_wr_mem32 - single): return value for success is always true" severity warning; success := var_pass; end procedure; procedure bfm_wr_mem32( bar_num : in natural; bar_offset : in natural; byte_count : in integer; data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ) is variable var_data_buf : std_logic_vector(8*byte_count -1 downto 0); variable var_pass : boolean := true; variable var_nbr_of_dw : integer; variable var_local_addr : natural := 0; variable var_copy_dw_cntr : natural := 0; variable var_copy_byte_cntr : natural := 0; begin var_pass := true; var_nbr_of_dw := byte_count / 4; ----------------------------------------------------------------- -- copy user data: -- use var_copy_counter to access the correct 32bit data vector -- in the dword_vector structure, use i to copy the correct -- portion of the 32bit vector ----------------------------------------------------------------- for i in 0 to byte_count -1 loop var_copy_byte_cntr := i mod 4; if (i > 0) and (i mod 4 = 0) then var_copy_dw_cntr := var_copy_dw_cntr +1; end if; wait for 0 ns; var_data_buf(i*8+7 downto i*8) := data32(var_copy_dw_cntr)(var_copy_byte_cntr*8+7 downto var_copy_byte_cntr*8); end loop; ----------------------------------------- -- write user data to BFM shared memory ----------------------------------------- var_local_addr := 0; -- + bar_offset; shmem_write( addr => var_local_addr, data => var_data_buf, leng => byte_count -- length in bytes ); --------------------------- -- transfer data via PCIe --------------------------- ebfm_barwr( bar_table => BAR_TABLE_POINTER, bar_num => bar_num, pcie_offset => bar_offset, lcladdr => var_local_addr, -- shmem address byte_len => byte_count, tclass => 0 ); report "WARNING (bfm_wr_mem32 - burst): return value for success is always true" severity warning; success := var_pass; end procedure; procedure bfm_rd_mem32( bar_num : in natural; bar_offset : in natural; byte_en : in std_logic_vector(3 downto 0); ref_data32 : in std_logic_vector(31 downto 0); data32_out : out std_logic_vector(31 downto 0); success : out boolean ) is variable var_byte_len : natural := 0; variable var_pass : boolean := true; variable var_databuf : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable var_local_addr : natural := 0; variable var_byte_offset : natural := 0; begin var_pass := true; data32_out := (others => '0'); ----------------------------------------------------- -- initialize data buffer with known default values ----------------------------------------------------- for i in 0 to BFM_BUFFER_MAX_SIZE loop var_databuf(i) := x"CAFE_AFFE"; end loop; if byte_en(0) = '1' then var_byte_len := var_byte_len +1; end if; if byte_en(1) = '1' then var_byte_len := var_byte_len +1; end if; if byte_en(2) = '1' then var_byte_len := var_byte_len +1; end if; if byte_en(3) = '1' then var_byte_len := var_byte_len +1; end if; -------------------------------------------------------------------- -- bar_offset is DW aligned thus prepared for 32bit transfers -- adapt for byte offset -------------------------------------------------------------------- case byte_en is when "0001" => var_byte_offset := 0; when "0010" => var_byte_offset := 1; when "0100" => var_byte_offset := 2; when "1000" => var_byte_offset := 3; when "0011" => var_byte_offset := 0; when "1100" => var_byte_offset := 2; when "1111" => var_byte_offset := 0; when others => var_byte_offset := 0; end case; ------------------------------------------------- -- add byte offset to PCIe read function to get -- properly formed PCIe TLP format ------------------------------------------------- var_local_addr := 0; ebfm_barrd_wait( bar_table => BAR_TABLE_POINTER, bar_num => bar_num, pcie_offset => (bar_offset + var_byte_offset), lcladdr => (var_local_addr + var_byte_offset), byte_len => var_byte_len, tclass => 0 ); get_bfm_memory( nbr_of_dw => 1, mem_addr => std_logic_vector(to_unsigned(var_local_addr,32)), databuf_out => var_databuf ); ----------------------------------- -- check if read value is correct ----------------------------------- if ref_data32 = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_mem32 - single", ref_val => ref_data32, check_val => var_databuf(0), byte_valid => byte_en, check_ok => var_pass ); end if; data32_out := var_databuf(0); success := var_pass; end procedure; procedure bfm_rd_mem32( bar_num : in natural; bar_offset : in natural; byte_count : in integer; ref_data32 : in dword_vector(BFM_BUFFER_MAX_SIZE downto 0); data32_out : out dword_vector(BFM_BUFFER_MAX_SIZE downto 0); success : out boolean ) is variable var_databuf_max : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable var_databuf : std_logic_vector(byte_count *8 -1 downto 0); variable var_pass : boolean := true; variable var_pass_temp : boolean := true; variable var_nbr_of_dw : integer; variable var_local_addr : natural := 0; variable byte_en : std_logic_vector(3 downto 0) := (others => '0'); variable first_DW_en : std_logic_vector(3 downto 0) := (others => '0'); variable last_DW_en : std_logic_vector(3 downto 0) := (others => '0'); variable var_copy_dw_cntr : natural := 0; variable var_copy_byte_cntr : natural := 0; begin var_pass := true; data32_out := (others => (others => '0')); var_nbr_of_dw := byte_count /4; wait for 0 ns; ----------------------------------------------------- -- initialize data buffer with known default values ----------------------------------------------------- for i in 0 to BFM_BUFFER_MAX_SIZE loop var_databuf_max(i) := x"CAFE_AFFE"; end loop; var_local_addr := 0; ebfm_barrd_wait( bar_table => BAR_TABLE_POINTER, bar_num => bar_num, pcie_offset => bar_offset, lcladdr => var_local_addr, byte_len => byte_count, tclass => 0 ); var_databuf := shmem_read(addr => 0, leng => byte_count); --------------------------------------------------------- -- copy read data: -- use i to iterate through bytes -- use var_copy_dw_cntr to iterate through dword vector -- use var_copy_byte_cntr to iterate through bytes --------------------------------------------------------- for i in 0 to byte_count -1 loop var_copy_byte_cntr := i mod 4; wait for 0 ns; if (i > 0) and (i mod 4 = 0) then var_copy_dw_cntr := var_copy_dw_cntr +1; wait for 0 ns; end if; var_databuf_max(var_copy_dw_cntr)(var_copy_byte_cntr*8+7 downto var_copy_byte_cntr*8) := var_databuf(i*8+7 downto i*8); end loop; ----------------------------------- -- check if read value is correct ----------------------------------- for i in 0 to var_nbr_of_dw -1 loop if ref_data32(i) = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_mem32 - burst", ref_val => ref_data32(i), check_val => var_databuf_max(i), byte_valid => x"F", check_ok => var_pass_temp ); end if; var_pass := var_pass and var_pass_temp; end loop; data32_out := var_databuf_max; success := var_pass; end procedure; procedure bfm_wr_config( byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); data32 : in std_logic_vector(31 downto 0); success : out boolean ) is variable var_pcie_addr : std_logic_vector(31 downto 0) := (others => '0'); variable var_compl_status : std_logic_vector(2 downto 0); variable var_databuf : std_logic_vector(31 downto 0); variable var_byte_len : natural := 0; variable var_cfg_space_addr : natural := 0; variable var_shmem_addr : natural := 0; variable var_pass : boolean := true; begin var_pass := true; var_pcie_addr(31 downto 2) := pcie_addr; var_databuf := (others => '0'); -------------------------------------------------------------------------- -- given PCIe address is DW aligned thus address offset for byte or word -- access must be calculated manually -- BUT there may be no hole in bytes e.g. byte_en = "1010" is illegal -- valid: -- "1111" / "0111" / "0011" / "0001" / "1100" / "0010" / "0100" / "1000" -- consider this when retrieving data from shared memory! -------------------------------------------------------------------------- case byte_en is when "1111" => var_byte_len := 4; var_pcie_addr(1 downto 0) := "00"; var_databuf := data32; when "0111" => var_byte_len := 3; var_pcie_addr(1 downto 0) := "00"; var_databuf := data32; when "0011" => var_byte_len := 2; var_pcie_addr(1 downto 0) := "00"; var_databuf := data32; when "0001" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "00"; var_databuf := data32; when "1100" => var_byte_len := 2; var_pcie_addr(1 downto 0) := "10"; var_databuf := data32; when "0010" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "01"; var_databuf := data32; when "0100" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "10"; var_databuf := data32; when "1000" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "11"; var_databuf := data32; when others => var_byte_len := 0; var_pcie_addr := x"0000_0006"; -- status register is RO or RW1C thus safe for dummy write var_databuf := (others => '0'); end case; var_cfg_space_addr := to_integer(unsigned(var_pcie_addr)); ebfm_cfgwr_imm_wait( bus_num => 1, dev_num => 1, fnc_num => 0, regb_ad => var_cfg_space_addr, regb_ln => var_byte_len, imm_data => var_databuf, compl_status => var_compl_status ); if var_compl_status = "000" then var_pass := true; -- successful completion elsif var_compl_status = "001" then print_now("ERROR(bfm_wr_config): return status for config write is unsupported request"); var_pass := false; elsif var_compl_status = "010" then print_now("ERROR(bfm_wr_config): return status for config write is configuration request retry status"); var_pass := false; elsif var_compl_status = "100" then print_now("ERROR(bfm_wr_config): return status for config write is completer abort"); var_pass := false; end if; success := var_pass; end procedure; procedure bfm_rd_config( byte_en : in std_logic_vector(3 downto 0); pcie_addr : in std_logic_vector(31 downto 2); ref_data32 : in std_logic_vector(31 downto 0); data32_out : out std_logic_vector(31 downto 0); success : out boolean ) is variable var_pcie_addr : std_logic_vector(31 downto 0) := (others => '0'); variable var_databuf : std_logic_vector(31 downto 0); variable var_compl_status : std_logic_vector(2 downto 0); variable var_byte_len : natural := 0; variable var_cfg_space_addr : natural := 0; variable var_shmem_addr : natural := 0; variable var_pass : boolean := true; begin var_pass := true; data32_out := (others => '0'); var_compl_status := (others => '1'); var_pcie_addr(31 downto 2) := pcie_addr; var_databuf := x"FADE_FADE"; var_shmem_addr := 0; -------------------------------------------------------------------------- -- given PCIe address is DW aligned thus address offset for byte or word -- access must be calculated manually -- BUT there may be no hole in bytes e.g. byte_en = "1010" is illegal -- valid: -- "1111" / "0111" / "0011" / "0001" / "1100" / "0010" / "0100" / "1000" -- consider this when retrieving data from shared memory! -------------------------------------------------------------------------- case byte_en is when "1111" => var_byte_len := 4; var_pcie_addr(1 downto 0) := "00"; when "0111" => var_byte_len := 3; var_pcie_addr(1 downto 0) := "00"; when "0011" => var_byte_len := 2; var_pcie_addr(1 downto 0) := "00"; when "0001" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "00"; when "1100" => var_byte_len := 2; var_pcie_addr(1 downto 0) := "10"; when "0010" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "01"; when "0100" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "10"; when "1000" => var_byte_len := 1; var_pcie_addr(1 downto 0) := "11"; when others => var_byte_len := 0; var_pcie_addr := (others => '0'); end case; var_cfg_space_addr := to_integer(unsigned(var_pcie_addr)); ebfm_cfgrd_wait( bus_num => 1, dev_num => 1, fnc_num => 0, regb_ad => var_cfg_space_addr, regb_ln => var_byte_len, lcladdr => var_shmem_addr, compl_status => var_compl_status ); if var_compl_status = "000" then var_pass := true; -- successful completion elsif var_compl_status = "001" then print_now("ERROR(bfm_rd_config): return status for config read is unsupported request"); var_pass := false; elsif var_compl_status = "010" then print_now("ERROR(bfm_rd_config): return status for config read is configuration request retry status"); var_pass := false; elsif var_compl_status = "100" then print_now("ERROR(bfm_rd_config): return status for config read is completer abort"); var_pass := false; end if; -------------------------------------- -- read value from BFM shared memory -------------------------------------- var_databuf := shmem_read(addr => var_shmem_addr, leng => var_byte_len); --------------------------------------------------------------------------- -- copy data read from shared memory to expected position for check_val() --------------------------------------------------------------------------- case byte_en is when "1100" => var_databuf(31 downto 16) := var_databuf(15 downto 0); when "0010" => var_databuf(15 downto 8) := var_databuf(7 downto 0); when "0100" => var_databuf(23 downto 16) := var_databuf(7 downto 0); when "1000" => var_databuf(31 downto 24) := var_databuf(7 downto 0); when others => -- byte position ok var_databuf := var_databuf; end case; ----------------------------------- -- check if read value is correct ----------------------------------- if ref_data32 = DONT_CHECK32 then print_now("BFM: checking of read value skipped on user command"); else check_val( caller_proc => "bfm_rd_config", ref_val => ref_data32, check_val => var_databuf, byte_valid => byte_en, check_ok => var_pass ); end if; data32_out := var_databuf; success := var_pass; end procedure; procedure wait_on_irq_assert( irq_nbr : in integer range 3 downto 0 ) is begin report "ERROR: NO CONTENT IN PROCEDURE WAIT_ON_IRQ_ASSERT" severity error; end procedure; procedure wait_on_irq_deassert( irq_nbr : in integer range 3 downto 0 ) is begin report "ERROR: NO CONTENT IN PROCEDURE WAIT_ON_IRQ_DEASSERT" severity error; end procedure; procedure bfm_configure_msi( constant msi_addr : in natural; -- MSI address in shared memory msi_data : in std_logic_vector(15 downto 0); -- contained in MSI message msi_allocated : out std_logic_vector(2 downto 0); -- amount of allocated MSI success : out boolean ) is function check_compl_status( compl_status : in std_logic_vector(2 downto 0) ) return boolean is variable var_pass : boolean := false; begin if compl_status = "000" then var_pass := true; -- successful completion elsif compl_status = "001" then print_now("ERROR(bfm_configure_msi): return status for config read is unsupported request"); var_pass := false; elsif compl_status = "010" then print_now("ERROR(bfm_configure_msi): return status for config read is configuration request retry status"); var_pass := false; elsif compl_status = "100" then print_now("ERROR(bfm_configure_msi): return status for config read is completer abort"); var_pass := false; end if; return var_pass; end function check_compl_status; constant MSI_CAP_ADDR : natural := 80; -- MSI capabilities register constant TRAFFIC_CLASS : std_logic_vector(2 downto 0) := "000"; constant BUS_NUM : natural := 1; constant DEV_NUM : natural := 1; constant FUNC_NUM : natural := 0; variable var_pass : boolean := true; variable var_msi_ctrl_reg : std_logic_vector(15 downto 0) := (others => '0'); variable var_msi_is_64b : std_logic_vector(0 downto 0) := (others => '0'); variable var_is_multi_mess : std_logic_vector(2 downto 0) := (others => '0'); variable var_multi_mess_en : std_logic_vector(2 downto 0) := (others => '0'); variable var_msi_en : std_logic := '0'; variable var_compl_status : std_logic_vector(2 downto 0) := (others => '0'); variable var_msi_addr : std_logic_vector(31 downto 0) := (others => '0'); begin var_pass := true; var_msi_addr := std_logic_vector(to_unsigned(msi_addr,32)); -- read EP config space ebfm_cfgrd_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => MSI_CAP_ADDR, regb_ln => 4, lcladdr => msi_addr, compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); -- check if EP has 64bit MSI and multi message enabled var_msi_ctrl_reg := shmem_read(msi_addr +2, 2); var_msi_is_64b := var_msi_ctrl_reg(7 downto 7); var_is_multi_mess := var_msi_ctrl_reg(3 downto 1); var_multi_mess_en := var_is_multi_mess; -- enable msi var_msi_en := '1'; -- write changed content back tp EP config space ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => MSI_CAP_ADDR, regb_ln => 4, imm_data => (x"00" & var_msi_is_64b & var_multi_mess_en & var_is_multi_mess & var_msi_en & x"0000"), compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); msi_allocated := var_multi_mess_en; -- program all msi capability registers (64 and 32 bit!) if var_msi_is_64b = "1" then -- 64bit addressing -- set lower address where MSI will be written ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => (MSI_CAP_ADDR +4), regb_ln => 4, imm_data => var_msi_addr, compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); -- set upper address where MSI will be written ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => (MSI_CAP_ADDR +4), regb_ln => 4, imm_data => x"0000_0000", compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); -- set which data value shall be writen when endpoint issues MSI ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => (MSI_CAP_ADDR +12), regb_ln => 4, imm_data => x"0000" & msi_data, compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); else -- 32bit addressing -- set lower address where MSI will be written ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => (MSI_CAP_ADDR +4), regb_ln => 4, imm_data => var_msi_addr, compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); -- set which data value shall be writen when endpoint issues MSI ebfm_cfgwr_imm_wait( bus_num => BUS_NUM, dev_num => DEV_NUM, fnc_num => FUNC_NUM, regb_ad => (MSI_CAP_ADDR +8), regb_ln => 4, imm_data => x"0000" & msi_data, compl_status => var_compl_status ); var_pass := check_compl_status(var_compl_status); end if; -- clear MSI location in shared memory shmem_write(msi_addr, x"FADE_FADE", 4); success := var_pass; end procedure; procedure bfm_calc_msi_expected( constant msi_allocated : in std_logic_vector(2 downto 0); -- amount of allocated MSI constant msi_data : in std_logic_vector(15 downto 0); -- MSI data value as programmed to config space constant msi_nbr : in integer range 32 downto 0; variable msi_expected : out std_logic_vector(31 downto 0) -- MSI vector as expected from EP ) is variable var_msi_expected : std_logic_vector(31 downto 0); variable var_msi_nbr : std_logic_vector(4 downto 0) := (others => '0'); variable var_max_msi_allowed : integer range 32 downto 1 := 1; begin -- calculate MSI number case msi_allocated is when "000" => var_max_msi_allowed := 1; when "001" => var_max_msi_allowed := 2; when "010" => var_max_msi_allowed := 4; when "011" => var_max_msi_allowed := 8; when "100" => var_max_msi_allowed := 16; when "101" => var_max_msi_allowed := 32; when others => var_max_msi_allowed := 1; end case; ----------------------------------------------------------------------------- -- if we use more MSI than are allocated then wrap the number automatically ----------------------------------------------------------------------------- var_msi_nbr := std_logic_vector(to_unsigned((msi_nbr mod var_max_msi_allowed),5)); if (msi_allocated = "000") then var_msi_expected := x"0000" & msi_data(15 downto 0); elsif (msi_allocated = "001") then var_msi_expected := x"0000" & msi_data(15 downto 1) & var_msi_nbr(0 downto 0); elsif (msi_allocated = "010") then var_msi_expected := x"0000" & msi_data(15 downto 2) & var_msi_nbr(1 downto 0); elsif (msi_allocated = "011") then var_msi_expected := x"0000" & msi_data(15 downto 3) & var_msi_nbr(2 downto 0); elsif (msi_allocated = "100") then var_msi_expected := x"0000" & msi_data(15 downto 4) & var_msi_nbr(3 downto 0); elsif (msi_allocated = "101") then var_msi_expected := x"0000" & msi_data(15 downto 5) & var_msi_nbr(4 downto 0); else print_now("ERROR(bfm_calc_msi_expected): illegal value for multi message enable"); var_msi_expected := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; msi_expected := var_msi_expected; end procedure; procedure bfm_poll_msi( constant track_msi : in natural; constant msi_addr : in natural; constant msi_expected : in std_logic_vector(31 downto 0); constant txt_out : in integer; success : out boolean ) is constant POLLING_TIMEOUT : natural := 5 * 2048; variable var_pass : boolean := true; variable var_loop_val : natural range 1 downto 0 := 1; variable var_poll_timer : natural := 0; variable var_msi_received : std_logic_vector(15 downto 0) := (others => '0'); begin var_pass := true; track_msi_loop : for i in 1 to track_msi loop if txt_out >=2 then print_s_i("bfm_poll_msi(): tracking MSI number: ", i); end if; var_loop_val := 1; while var_loop_val = 1 loop wait for 10 ns; --wait for 10 us; var_poll_timer := var_poll_timer +1; var_msi_received := (others => '0'); var_msi_received := shmem_read(msi_addr, 2); if var_msi_received = msi_expected(15 downto 0) then -- clear shared memory location and exit polling loop shmem_write(msi_addr, x"FADE_FADE", 4); var_loop_val := 0; end if; -- manage internal timeout if var_poll_timer >= POLLING_TIMEOUT then var_pass := false; if txt_out >= 1 then print_now("ERROR(bfm_poll_msi): no MSI captured within timeout time"); end if; success := var_pass; exit track_msi_loop; end if; end loop; end loop track_msi_loop; success := var_pass; end procedure; end package body pcie_sim_pkg;
gpl-3.0
0e9974cb13446df27b7cd912870e59f6
0.498279
4.017304
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_rgb2ycrcb_0_0/synth/tutorial_v_rgb2ycrcb_0_0.vhd
1
10,417
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_rgb2ycrcb:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_rgb2ycrcb_v7_1; USE v_rgb2ycrcb_v7_1.v_rgb2ycrcb; ENTITY tutorial_v_rgb2ycrcb_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser_sof : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser_sof : OUT STD_LOGIC ); END tutorial_v_rgb2ycrcb_0_0; ARCHITECTURE tutorial_v_rgb2ycrcb_0_0_arch OF tutorial_v_rgb2ycrcb_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_rgb2ycrcb_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_rgb2ycrcb IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; c_s_axi_addr_width : INTEGER; c_s_axi_data_width : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_DEBUG : INTEGER; C_HAS_INTC_IF : INTEGER; C_MAX_COLS : INTEGER; C_ACTIVE_COLS : INTEGER; C_ACTIVE_ROWS : INTEGER; C_HAS_CLIP : INTEGER; C_HAS_CLAMP : INTEGER; C_ACOEF : INTEGER; C_BCOEF : INTEGER; C_CCOEF : INTEGER; C_DCOEF : INTEGER; C_YOFFSET : INTEGER; C_CBOFFSET : INTEGER; C_CROFFSET : INTEGER; C_YMAX : INTEGER; C_YMIN : INTEGER; C_CBMAX : INTEGER; C_CBMIN : INTEGER; C_CRMAX : INTEGER; C_CRMIN : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_FAMILY : STRING ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser_sof : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser_sof : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_rgb2ycrcb; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_v_rgb2ycrcb_0_0_arch: ARCHITECTURE IS "v_rgb2ycrcb,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_rgb2ycrcb_0_0_arch : ARCHITECTURE IS "tutorial_v_rgb2ycrcb_0_0,v_rgb2ycrcb,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_rgb2ycrcb_0_0_arch: ARCHITECTURE IS "tutorial_v_rgb2ycrcb_0_0,v_rgb2ycrcb,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_rgb2ycrcb,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXIS_VIDEO_DATA_WIDTH=8,C_S_AXIS_VIDEO_FORMAT=2,C_S_AXIS_VIDEO_TDATA_WIDTH=24,C_M_AXIS_VIDEO_DATA_WIDTH=8,C_M_AXIS_VIDEO_FORMAT=1,C_M_AXIS_VIDEO_TDATA_WIDTH=24,c_s_axi_addr_width=9,c_s_axi_data_width=32,C_HAS_AXI4_LITE=0,C_HAS_DEBUG=0,C_HAS_INTC_IF=0,C_MAX_COLS=1920,C_ACTIVE_COLS=1920,C_ACTIVE_ROWS=1080,C_HAS_CLIP=1,C_HAS_CLAMP=1,C_ACOEF=19595,C_BCOEF=7471,C_CCOEF=46727,C_DCOEF=36962,C_YOFFSET=16,C_CBOFFSET=128,C_CROFFSET=128,C_YMAX=240,C_YMIN=16,C_CBMAX=240,C_CBMIN=16,C_CRMAX=240,C_CRMIN=16,C_S_AXI_CLK_FREQ_HZ=100000000,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser_sof: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser_sof: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; BEGIN U0 : v_rgb2ycrcb GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_FORMAT => 2, C_S_AXIS_VIDEO_TDATA_WIDTH => 24, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_FORMAT => 1, C_M_AXIS_VIDEO_TDATA_WIDTH => 24, c_s_axi_addr_width => 9, c_s_axi_data_width => 32, C_HAS_AXI4_LITE => 0, C_HAS_DEBUG => 0, C_HAS_INTC_IF => 0, C_MAX_COLS => 1920, C_ACTIVE_COLS => 1920, C_ACTIVE_ROWS => 1080, C_HAS_CLIP => 1, C_HAS_CLAMP => 1, C_ACOEF => 19595, C_BCOEF => 7471, C_CCOEF => 46727, C_DCOEF => 36962, C_YOFFSET => 16, C_CBOFFSET => 128, C_CROFFSET => 128, C_YMAX => 240, C_YMIN => 16, C_CBMAX => 240, C_CBMIN => 16, C_CRMAX => 240, C_CRMIN => 16, C_S_AXI_CLK_FREQ_HZ => 100000000, C_FAMILY => "zynq" ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tready => s_axis_video_tready, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tlast => s_axis_video_tlast, s_axis_video_tuser_sof => s_axis_video_tuser_sof, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser_sof => m_axis_video_tuser_sof, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_rgb2ycrcb_0_0_arch;
gpl-2.0
5a97b154ca26b89764752d1d4cad52a4
0.671595
3.11141
false
false
false
false
straywarrior/MadeCPUin21days
InstMemoryControl.vhd
1
3,452
--------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 21:17:00 11/15/2015 -- Design Name: -- Module Name: InstMemoryControl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity InstMemoryControl is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); RAM2Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM2Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM2EN : out STD_LOGIC; RAM2OE : out STD_LOGIC; RAM2RW : out STD_LOGIC ); end InstMemoryControl; architecture Behavioral of InstMemoryControl is type state_type is (s0, s1, s2, s3); signal state : state_type; begin RAM2Addr(17 downto 16) <= (others => '0'); RAM2Addr(15 downto 0) <= MemAddr; RAM2Data <= (others => 'Z') when MemRead = '1' else MemData when MemWrite = '1' else (others => 'Z'); MemOut <= RAM2Data; process (reset, clk) begin if (reset = '0') then state <= s0; RAM2EN <= '1'; RAM2OE <= '1'; RAM2RW <= '1'; elsif (clk'event and clk = '1') then if (state = s0) then state <= s1; RAM2EN <= '0'; RAM2OE <= '1'; RAM2RW <= '1'; elsif (state = s1) then state <= s2; -- Read Memory (LW rx ry imm) if (MemRead = '1' and MemAddr >= x"0000" and MemAddr <= x"7FFF") then RAM2EN <= '0'; RAM2OE <= '0'; RAM2RW <= '1'; -- Write Memory (SW rx ry imm) elsif (MemWrite = '1' and MemAddr >= x"0000" and MemAddr <= x"7FFF") then RAM2EN <= '0'; RAM2OE <= '1'; RAM2RW <= '0'; end if; elsif (state = s2) then state <= s3; -- Read Memory (LW rx ry imm) if (MemRead = '1' and MemAddr >= x"0000" and MemAddr <= x"7FFF") then RAM2EN <= '0'; RAM2OE <= '0'; RAM2RW <= '1'; -- Write Memory (SW rx ry imm) elsif (MemWrite = '1' and MemAddr >= x"0000" and MemAddr <= x"7FFF") then RAM2EN <= '0'; RAM2OE <= '1'; RAM2RW <= '1'; end if; elsif (state = s3) then state <= s0; end if; end if; end process; end Behavioral;
gpl-2.0
2da0838642739f506732ccba55a60470
0.462341
4.075561
false
false
false
false
dominiklohmann/mikrorechner
vhdl/sram.vhd
1
5,657
-- sram.vhd ------------------------------------------------------ ------------------------------------------------------------------------------ -- Andreas Maeder 01-feb-2007 -- -simulation model of a simple SRAM -- -no timing !! -- -- parameters addrWd -address width 2..32 [8] -- dataWd -data with 2..32 [8] -- fileID -filename [sram.dat] -- -- package sramPkg -- entity sram -- architecture simModel ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- sramPkg ------------------------------------------------------ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; package sramPkg is type fileIOty is (none, dump, load); component sram is generic ( addrWd : integer range 2 to 32 := 8; -- #address bits dataWd : integer range 2 to 32 := 8; -- #data bits fileId : string := "sram.dat"); -- filename port ( nCS : in std_logic; -- not Chip Select nWE : in std_logic; -- not Write Enable nOE : in std_logic; -- not Output Enable addr : in std_logic_vector(addrWd-1 downto 0); data : inout std_logic_vector(dataWd-1 downto 0); fileIO : in fileIOty := none); end component sram; end package sramPkg; ------------------------------------------------------------------------------ -- sram ------------------------------------------------------ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use ieee.std_logic_textio.all; use work.sramPkg.all; entity sram is generic ( addrWd : integer range 2 to 32 := 8; -- #address bits dataWd : integer range 2 to 32 := 8; -- #data bits fileId : string := "sram.dat"); -- filename port ( nCS : in std_logic; -- not Chip Select nWE : in std_logic; -- not Write Enable nOE : in std_logic; -- not Output Enable addr : in std_logic_vector(addrWd-1 downto 0); data : inout std_logic_vector(dataWd-1 downto 0); fileIO : in fileIOty := none); end entity sram; -- sram(simModel) ------------------------------------------------------ ------------------------------------------------------------------------------ architecture simModel of sram is begin -- sram simulation model ---------------------------------------------------------------------------- sramP: process (nCS, nWE, nOE, addr, data, fileIO) is constant addrHi : natural := (2**addrWd)-1; subtype sramEleTy is std_logic_vector(dataWd-1 downto 0); type sramMemTy is array (0 to addrHi) of sramEleTy; variable sramMem : sramMemTy; file ioFile : text; variable ioLine : line; variable ioStat : file_open_status; variable rdStat : boolean; variable ioAddr : integer range sramMem'range; variable ioData : std_logic_vector(dataWd-1 downto 0); begin -- fileIO dump/load the SRAM contents into/from file -------------------------------------------------------------------------- if fileIO'event then if fileIO = dump then -- dump sramData ---------------------- file_open(ioStat, ioFile, fileID, write_mode); assert ioStat = open_ok report "SRAM - dump: error opening data file" severity error; for dAddr in sramMem'range loop write(ioLine, dAddr); -- format line: write(ioLine, ' '); -- <addr> <data> write(ioLine, std_logic_vector(sramMem(dAddr))); writeline(ioFile, ioLine); -- write line end loop; file_close(ioFile); elsif fileIO = load then -- load sramData ---------------------- file_open(ioStat, ioFile, fileID, read_mode); assert ioStat = open_ok report "SRAM - load: error opening data file" severity error; while not endfile(ioFile) loop readline(ioFile, ioLine); -- read line read(ioLine, ioAddr, rdStat); -- read <addr> if rdStat then -- <data> read(ioLine, ioData, rdStat); end if; if rdStat then sramMem(ioAddr) := ioData; else report "SRAM - load: format error in data file" severity error; end if; end loop; file_close(ioFile); end if; -- fileIO = ... end if; -- fileIO'event -- consistency checks ------------------------------------------------------------------------ if nCS'event then assert not Is_X(nCS) report "SRAM: nCS - X value" severity warning; end if; if nWE'event then assert not Is_X(nWE) report "SRAM: nWE - X value" severity warning; end if; if nOE'event then assert not Is_X(nOE) report "SRAM: nOE - X value" severity warning; end if; if addr'event then assert not Is_X(addr) report "SRAM: addr - X value" severity warning; end if; -- if data'event then assert not Is_X(data) -- report "SRAM: data - X value" -- severity warning; -- end if; -- here starts the real work... ------------------------------------------------------------------------ data <= (others => 'Z'); -- output disabled if nCS = '0' then -- chip enabled if nWE = '0' then -- +write cycle sramMem(to_integer(unsigned(addr))) := data; elsif nWE = '1' and nOE ='0' then -- +read cycle data <= sramMem(to_integer(unsigned(addr))); end if; -- nWE = ... end if; -- nCS = '0' end process sramP; end architecture simModel; ------------------------------------------------------------------------------ -- sram.vhd - end ------------------------------------------------------
mit
0cbde1738f330fffe5581ce0af7ef249
0.487007
3.82747
false
false
false
false
straywarrior/MadeCPUin21days
InstDecoder_Test.vhd
1
8,562
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:33:51 11/14/2015 -- Design Name: -- Module Name: InstDecoder_Test -- Project Name: MadeCPUin21days -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: InstDecoder -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY InstDecoder_Test IS END InstDecoder_Test; ARCHITECTURE behavior OF InstDecoder_Test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT InstDecoder PORT( pc : IN std_logic_vector(15 downto 0); inst : IN std_logic_vector(15 downto 0); RegAVal : IN std_logic_vector(15 downto 0); RegBVal : IN std_logic_vector(15 downto 0); RAVal : IN std_logic_vector(15 downto 0); SPVal : IN std_logic_vector(15 downto 0); IHVal : IN std_logic_vector(15 downto 0); pc_imm : OUT std_logic_vector(15 downto 0); pc_sel : OUT std_logic_vector(1 downto 0); T_in : in STD_LOGIC; T_out : out STD_LOGIC; CReg : OUT std_logic; CRegA : OUT std_logic_vector(3 downto 0); CRegB : OUT std_logic_vector(3 downto 0); RegWE : OUT std_logic; RegDest : OUT std_logic_vector(3 downto 0); MemRd : OUT std_logic; MemDIn : OUT std_logic_vector(15 downto 0); MemWE : OUT std_logic; opcode : OUT std_logic_vector(3 downto 0); RegOpA : OUT std_logic_vector(3 downto 0); RegOpB : OUT std_logic_vector(3 downto 0); operandA : OUT std_logic_vector(15 downto 0); operandB : OUT std_logic_vector(15 downto 0) ); END COMPONENT; COMPONENT T_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; T_in : in STD_LOGIC; T_out : out STD_LOGIC ); END COMPONENT; --Inputs signal pc : std_logic_vector(15 downto 0) := (others => '0'); signal inst : std_logic_vector(15 downto 0) := (others => '0'); signal RegAVal : std_logic_vector(15 downto 0) := (others => '0'); signal RegBVal : std_logic_vector(15 downto 0) := (others => '0'); signal RAVal : std_logic_vector(15 downto 0) := (others => '0'); signal SPVal : std_logic_vector(15 downto 0) := (others => '0'); signal IHVal : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal pc_imm : std_logic_vector(15 downto 0); signal pc_sel : std_logic_vector(1 downto 0); signal RegWE : std_logic; signal RegDest : std_logic_vector(3 downto 0); signal MemRd : std_logic; signal MemDIn : std_logic_vector(15 downto 0); signal MemWE : std_logic; signal opcode : std_logic_vector(3 downto 0); signal CReg : std_logic; signal CRegA : std_logic_vector(3 downto 0); signal CRegB : std_logic_vector(3 downto 0); signal RegOpA : std_logic_vector(3 downto 0); signal RegOpB : std_logic_vector(3 downto 0); signal operandA : std_logic_vector(15 downto 0); signal operandB : std_logic_vector(15 downto 0); -- No clocks detected in port list. Replace clock below with -- appropriate port name signal clock : std_logic; constant clock_period : time := 50 ns; signal reset : std_logic; --Medium Line signal T_REG_out : std_logic; signal T_REG_in : std_logic; BEGIN -- Instantiate the Unit Under Test (UUT) uut: InstDecoder PORT MAP ( pc => pc, inst => inst, RegAVal => RegAVal, RegBVal => RegBVal, RAVal => RAVal, SPVal => SPVal, IHVal => IHVal, pc_imm => pc_imm, pc_sel => pc_sel, RegWE => RegWE, RegDest => RegDest, MemRd => MemRd, MemDIn => MemDIn, MemWE => MemWE, opcode => opcode, RegOpA => RegOpA, RegOpB => RegOpB, operandA => operandA, operandB => operandB, CReg => CReg, CRegA => CRegA, CRegB => CRegB, T_in => T_REG_out, T_out => T_REG_in ); t_reg_0 : T_REG PORT MAP( clock, reset, T_REG_in, T_REG_out ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1'; wait for 25 ns; reset <= '0'; wait for 75 ns; -- LI R0 x"FF" inst <= "0110100011111111"; wait for clock_period; -- SW R0 R1 1 inst <= "1101100000100001"; wait for clock_period; -- R Type -- ADDU inst <= "1110000101001101"; wait for clock_period; -- SUBU inst <= "1110011101100111"; wait for clock_period; -- SLT inst <= "1110100101100010"; wait for clock_period; -- SLTU inst <= "1110101101000011"; wait for clock_period; -- SLLV inst <= "1110100101000100"; wait for clock_period; -- SRLV inst <= "1110110101100110"; wait for clock_period; -- SRAV inst <= "1110110000100111"; wait for clock_period; -- CMP inst <= "1110101010101010"; wait for clock_period; -- NEG inst <= "1110101010101011"; wait for clock_period; -- AND inst <= "1110101010101100"; wait for clock_period; -- OR inst <= "1110101010101101"; wait for clock_period; -- XOR inst <= "1110101010101110"; wait for clock_period; -- NOT inst <= "1110101010101111"; wait for clock_period; -- MFPC inst <= "1110101001000000"; wait for clock_period; -- MFIH inst <= "1111001100000000"; wait for clock_period; -- MTIH inst <= "1111000100000001"; wait for clock_period; -- SLL inst <= "0011000101001000"; wait for clock_period; -- SRA inst <= "0011001011011010"; wait for clock_period; -- SRA inst <= "0011000101001011"; wait for clock_period; -- MOVE inst <= "0111101100100000"; wait for clock_period; -- MTSP inst <= "0110010000100000"; wait for clock_period; -- I Type -- ADDSP3 inst <= "0000001000100001"; wait for clock_period; -- ADDIU3 inst <= "0100001000100001"; wait for clock_period; -- ADDIU inst <= "0100100100100010"; wait for clock_period; -- SLTI inst <= "0101001000100011"; wait for clock_period; -- SLTUI inst <= "0101100100100100"; wait for clock_period; -- SW_RS inst <= "0110001000100101"; wait for clock_period; -- ADDSP inst <= "0110001100100110"; wait for clock_period; -- LI inst <= "0110100100100111"; wait for clock_period; -- CMPI inst <= "0111001000101000"; wait for clock_period; -- LW_SP inst <= "1001001100101001"; wait for clock_period; -- LW inst <= "1001110000101010"; wait for clock_period; -- SW_SP inst <= "1101010100101011"; wait for clock_period; -- SW inst <= "1101111000101100"; wait for clock_period; -- B Type -- B inst <= "0001010000101101"; wait for clock_period; -- BEQZ inst <= "0010000100101110"; wait for clock_period; -- BNEZ inst <= "0010110000101111"; wait for clock_period; -- BTEQZ inst <= "0110000000110000"; wait for clock_period; -- BTNEZ inst <= "0110000100110001"; wait for clock_period; -- J Type -- JR inst <= "1110101000000000"; wait for clock_period; -- JRRA inst <= "1110100000100000"; wait for clock_period; -- JALR inst <= "1110101111000000"; wait for clock_period; -- INT inst <= "1111100000000000"; wait for clock_period; -- NOP inst <= "0000100000000000"; wait for clock_period; -- insert stimulus here wait; end process; END;
gpl-2.0
f77d8536b5be22992d267c81e4bedd62
0.585144
3.631043
false
false
false
false
Ttl/bf_cpu
stack.vhd
1
1,251
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.bfconfig.all; -- Stack used to store PC for jumps entity stack is Port ( clk, reset : in STD_LOGIC; enable : in STD_LOGIC; push_notpop : in STD_LOGIC; pcin : in pctype; pcout : out pctype); end stack; architecture Behavioral of stack is type stacktype is array(0 to 2**STACK_SIZE-1) of pctype; signal mem : stacktype; signal async_read : pctype; signal enable_delay : std_logic; signal mem_out : pctype; begin process(clk, reset, push_notpop, enable, pcin, mem) variable pointer : unsigned(STACK_SIZE-1 downto 0); begin if reset = '1' then pointer := to_unsigned(0, STACK_SIZE); elsif rising_edge(clk) then enable_delay <= enable; if enable = '1' then if push_notpop = '1' then -- Push pointer := pointer + 1; mem(to_integer(pointer)) <= pcin; async_read <= pcin; else -- Pop pointer := pointer - 1; end if; end if; mem_out <= mem(to_integer(pointer)); end if; end process; pcout <= async_read when enable_delay = '1' else mem_out; end Behavioral;
lgpl-3.0
386de5da0fe2b430ead17a7ca6379e6e
0.585931
3.594828
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_multiplier.vhd
2
2,359
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_multiplier is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "AUTO"; SIGNED : natural := 0; OUTPUTMSB : integer := 8; AWIDTH : natural := 8; BWIDTH : natural := 8; OUTPUTLSB : integer := 0; PIPELINE : integer := 0 ); port ( user_aclr : in std_logic; result : out std_logic_vector(OutputMsb-OutputLsb+1-1 downto 0); clock : in std_logic; dataa : in std_logic_vector(aWidth-1 downto 0); datab : in std_logic_vector(bWidth-1 downto 0); aclr : in std_logic; ena : in std_logic ); end entity alt_dspbuilder_multiplier; architecture rtl of alt_dspbuilder_multiplier is component alt_dspbuilder_multiplier_GNEIWYOKUR is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "YES"; SIGNED : natural := 0; OUTPUTMSB : integer := 47; AWIDTH : natural := 24; BWIDTH : natural := 24; OUTPUTLSB : integer := 0; PIPELINE : integer := 0 ); port ( aclr : in std_logic; clock : in std_logic; dataa : in std_logic_vector(24-1 downto 0); datab : in std_logic_vector(24-1 downto 0); ena : in std_logic; result : out std_logic_vector(48-1 downto 0); user_aclr : in std_logic ); end component alt_dspbuilder_multiplier_GNEIWYOKUR; begin alt_dspbuilder_multiplier_GNEIWYOKUR_0: if ((DEDICATED_MULTIPLIER_CIRCUITRY = "YES") and (SIGNED = 0) and (OUTPUTMSB = 47) and (AWIDTH = 24) and (BWIDTH = 24) and (OUTPUTLSB = 0) and (PIPELINE = 0)) generate inst_alt_dspbuilder_multiplier_GNEIWYOKUR_0: alt_dspbuilder_multiplier_GNEIWYOKUR generic map(DEDICATED_MULTIPLIER_CIRCUITRY => "YES", SIGNED => 0, OUTPUTMSB => 47, AWIDTH => 24, BWIDTH => 24, OUTPUTLSB => 0, PIPELINE => 0) port map(aclr => aclr, clock => clock, dataa => dataa, datab => datab, ena => ena, result => result, user_aclr => user_aclr); end generate; assert not (((DEDICATED_MULTIPLIER_CIRCUITRY = "YES") and (SIGNED = 0) and (OUTPUTMSB = 47) and (AWIDTH = 24) and (BWIDTH = 24) and (OUTPUTLSB = 0) and (PIPELINE = 0))) report "Please run generate again" severity error; end architecture rtl;
mit
86ba5768205d280585622cbac7946ebc
0.694362
3.399135
false
false
false
false
freecores/t48
rtl/vhdl/t48_core.vhd
1
23,793
------------------------------------------------------------------------------- -- -- T48 Microcontroller Core -- -- $Id: t48_core.vhd,v 1.12 2006-07-14 01:12:08 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2004, 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- -- Limitations : -- ============= -- -- Compared to the original MCS-48 architecture, the following limitations -- apply: -- -- * Single-step mode not implemented. -- Not selected for future implementation. -- -- * Reading of internal Program Memory not implemented. -- Not selected for future implementation. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t48_core is generic ( -- divide XTAL1 by 3 to derive Clock States xtal_div_3_g : integer := 1; -- store mnemonic in flip-flops (registered-out) register_mnemonic_g : integer := 1; -- include the port 1 module include_port1_g : integer := 1; -- include the port 2 module include_port2_g : integer := 1; -- include the BUS module include_bus_g : integer := 1; -- include the timer module include_timer_g : integer := 1; -- state in which T1 is sampled (3 or 4) sample_t1_state_g : integer := 4 ); port ( -- T48 Interface ---------------------------------------------------------- xtal_i : in std_logic; xtal_en_i : in std_logic; reset_i : in std_logic; t0_i : in std_logic; t0_o : out std_logic; t0_dir_o : out std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_i : in std_logic_vector( 7 downto 0); db_o : out std_logic_vector( 7 downto 0); db_dir_o : out std_logic; t1_i : in std_logic; p2_i : in std_logic_vector( 7 downto 0); p2_o : out std_logic_vector( 7 downto 0); p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic; p1_i : in std_logic_vector( 7 downto 0); p1_o : out std_logic_vector( 7 downto 0); p1_low_imp_o : out std_logic; prog_n_o : out std_logic; -- Core Interface --------------------------------------------------------- clk_i : in std_logic; en_clk_i : in std_logic; xtal3_o : out std_logic; dmem_addr_o : out std_logic_vector( 7 downto 0); dmem_we_o : out std_logic; dmem_data_i : in std_logic_vector( 7 downto 0); dmem_data_o : out std_logic_vector( 7 downto 0); pmem_addr_o : out std_logic_vector(11 downto 0); pmem_data_i : in std_logic_vector( 7 downto 0) ); end t48_core; use work.t48_alu_pack.alu_op_t; use work.t48_cond_branch_pack.branch_conditions_t; use work.t48_cond_branch_pack.comp_value_t; use work.t48_dmem_ctrl_pack.dmem_addr_ident_t; use work.t48_pmem_ctrl_pack.pmem_addr_ident_t; use work.t48_comp_pack.all; use work.t48_pack.bus_idle_level_c; use work.t48_pack.word_t; use work.t48_pack.pmem_addr_t; use work.t48_pack.mstate_t; use work.t48_pack.to_stdLogic; use work.t48_pack.to_boolean; architecture struct of t48_core is signal t48_data_s : word_t; signal xtal_en_s : boolean; signal en_clk_s : boolean; -- ALU signals signal alu_data_s : word_t; signal alu_write_accu_s : boolean; signal alu_write_shadow_s : boolean; signal alu_write_temp_reg_s : boolean; signal alu_read_alu_s : boolean; signal alu_carry_s : std_logic; signal alu_aux_carry_s : std_logic; signal alu_op_s : alu_op_t; signal alu_use_carry_s : boolean; signal alu_da_high_s : boolean; signal alu_da_overflow_s : boolean; signal alu_accu_low_s : boolean; signal alu_p06_temp_reg_s : boolean; signal alu_p60_temp_reg_s : boolean; -- BUS signals signal bus_write_bus_s : boolean; signal bus_read_bus_s : boolean; signal bus_output_pcl_s : boolean; signal bus_bidir_bus_s : boolean; signal bus_data_s : word_t; -- Clock Controller signals signal clk_multi_cycle_s : boolean; signal clk_assert_psen_s : boolean; signal clk_assert_prog_s : boolean; signal clk_assert_rd_s : boolean; signal clk_assert_wr_s : boolean; signal clk_mstate_s : mstate_t; signal clk_second_cycle_s : boolean; signal psen_s : boolean; signal prog_s : boolean; signal rd_s : boolean; signal wr_s : boolean; signal ale_s : boolean; signal xtal3_s : boolean; -- Conditional Branch Logic signals signal cnd_compute_take_s : boolean; signal cnd_branch_cond_s : branch_conditions_t; signal cnd_take_branch_s : boolean; signal cnd_comp_value_s : comp_value_t; signal cnd_f1_s : std_logic; signal cnd_tf_s : std_logic; -- Data Memory Controller signals signal dm_write_dmem_addr_s : boolean; signal dm_write_dmem_s : boolean; signal dm_read_dmem_s : boolean; signal dm_addr_type_s : dmem_addr_ident_t; signal dm_data_s : word_t; -- Decoder signals signal dec_data_s : word_t; -- Port 1 signals signal p1_write_p1_s : boolean; signal p1_read_p1_s : boolean; signal p1_read_reg_s : boolean; signal p1_data_s : word_t; -- Port 2 signals signal p2_write_p2_s : boolean; signal p2_write_exp_s : boolean; signal p2_read_p2_s : boolean; signal p2_read_reg_s : boolean; signal p2_read_exp_s : boolean; signal p2_output_pch_s : boolean; signal p2_data_s : word_t; -- Program Memory Controller signals signal pm_write_pcl_s : boolean; signal pm_read_pcl_s : boolean; signal pm_write_pch_s : boolean; signal pm_read_pch_s : boolean; signal pm_read_pmem_s : boolean; signal pm_inc_pc_s : boolean; signal pm_write_pmem_addr_s : boolean; signal pm_data_s : word_t; signal pm_addr_type_s : pmem_addr_ident_t; signal pmem_addr_s : pmem_addr_t; -- PSW signals signal psw_read_psw_s : boolean; signal psw_read_sp_s : boolean; signal psw_write_psw_s : boolean; signal psw_write_sp_s : boolean; signal psw_carry_s : std_logic; signal psw_aux_carry_s : std_logic; signal psw_f0_s : std_logic; signal psw_bs_s : std_logic; signal psw_special_data_s : std_logic; signal psw_inc_stackp_s : boolean; signal psw_dec_stackp_s : boolean; signal psw_write_carry_s : boolean; signal psw_write_aux_carry_s : boolean; signal psw_write_f0_s : boolean; signal psw_write_bs_s : boolean; signal psw_data_s : word_t; -- Timer signals signal tim_overflow_s : boolean; signal tim_of_s : std_logic; signal tim_read_timer_s : boolean; signal tim_write_timer_s : boolean; signal tim_start_t_s : boolean; signal tim_start_cnt_s : boolean; signal tim_stop_tcnt_s : boolean; signal tim_data_s : word_t; begin ----------------------------------------------------------------------------- -- Check generics for valid values. ----------------------------------------------------------------------------- -- pragma translate_off assert include_timer_g = 0 or include_timer_g = 1 report "include_timer_g must be either 1 or 0!" severity failure; assert include_port1_g = 0 or include_port1_g = 1 report "include_port1_g must be either 1 or 0!" severity failure; assert include_port2_g = 0 or include_port2_g = 1 report "include_port2_g must be either 1 or 0!" severity failure; assert include_bus_g = 0 or include_bus_g = 1 report "include_bus_g must be either 1 or 0!" severity failure; -- pragma translate_on xtal_en_s <= to_boolean(xtal_en_i); en_clk_s <= to_boolean(en_clk_i); alu_b : t48_alu port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => alu_data_s, write_accu_i => alu_write_accu_s, write_shadow_i => alu_write_shadow_s, write_temp_reg_i => alu_write_temp_reg_s, read_alu_i => alu_read_alu_s, carry_i => psw_carry_s, carry_o => alu_carry_s, aux_carry_o => alu_aux_carry_s, alu_op_i => alu_op_s, use_carry_i => alu_use_carry_s, da_high_i => alu_da_high_s, da_overflow_o => alu_da_overflow_s, accu_low_i => alu_accu_low_s, p06_temp_reg_i => alu_p06_temp_reg_s, p60_temp_reg_i => alu_p60_temp_reg_s ); bus_mux_b : t48_bus_mux port map ( alu_data_i => alu_data_s, bus_data_i => bus_data_s, dec_data_i => dec_data_s, dm_data_i => dm_data_s, pm_data_i => pm_data_s, p1_data_i => p1_data_s, p2_data_i => p2_data_s, psw_data_i => psw_data_s, tim_data_i => tim_data_s, data_o => t48_data_s ); clock_ctrl_b : t48_clock_ctrl generic map ( xtal_div_3_g => xtal_div_3_g ) port map ( clk_i => clk_i, xtal_i => xtal_i, xtal_en_i => xtal_en_s, res_i => reset_i, en_clk_i => en_clk_s, xtal3_o => xtal3_s, t0_o => t0_o, multi_cycle_i => clk_multi_cycle_s, assert_psen_i => clk_assert_psen_s, assert_prog_i => clk_assert_prog_s, assert_rd_i => clk_assert_rd_s, assert_wr_i => clk_assert_wr_s, mstate_o => clk_mstate_s, second_cycle_o => clk_second_cycle_s, ale_o => ale_s, psen_o => psen_s, prog_o => prog_s, rd_o => rd_s, wr_o => wr_s ); cond_branch_b : t48_cond_branch port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, compute_take_i => cnd_compute_take_s, branch_cond_i => cnd_branch_cond_s, take_branch_o => cnd_take_branch_s, accu_i => alu_data_s, t0_i => To_X01Z(t0_i), t1_i => To_X01Z(t1_i), int_n_i => int_n_i, f0_i => psw_f0_s, f1_i => cnd_f1_s, tf_i => cnd_tf_s, carry_i => psw_carry_s, comp_value_i => cnd_comp_value_s ); use_db_bus: if include_bus_g = 1 generate db_bus_b : t48_db_bus port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, ea_i => ea_i, data_i => t48_data_s, data_o => bus_data_s, write_bus_i => bus_write_bus_s, read_bus_i => bus_read_bus_s, output_pcl_i => bus_output_pcl_s, bidir_bus_i => bus_bidir_bus_s, pcl_i => pmem_addr_s(word_t'range), db_i => db_i, db_o => db_o, db_dir_o => db_dir_o ); end generate; skip_db_bus: if include_bus_g = 0 generate bus_data_s <= (others => bus_idle_level_c); db_o <= (others => '0'); db_dir_o <= '0'; end generate; decoder_b : t48_decoder generic map ( register_mnemonic_g => register_mnemonic_g ) port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, xtal_i => xtal_i, xtal_en_i => xtal_en_s, ea_i => ea_i, ale_i => ale_s, int_n_i => int_n_i, t0_dir_o => t0_dir_o, data_i => t48_data_s, data_o => dec_data_s, alu_write_accu_o => alu_write_accu_s, alu_write_shadow_o => alu_write_shadow_s, alu_write_temp_reg_o => alu_write_temp_reg_s, alu_read_alu_o => alu_read_alu_s, bus_write_bus_o => bus_write_bus_s, bus_read_bus_o => bus_read_bus_s, dm_write_dmem_addr_o => dm_write_dmem_addr_s, dm_write_dmem_o => dm_write_dmem_s, dm_read_dmem_o => dm_read_dmem_s, p1_write_p1_o => p1_write_p1_s, p1_read_p1_o => p1_read_p1_s, pm_write_pcl_o => pm_write_pcl_s, p2_write_p2_o => p2_write_p2_s, p2_write_exp_o => p2_write_exp_s, p2_read_p2_o => p2_read_p2_s, pm_read_pcl_o => pm_read_pcl_s, pm_write_pch_o => pm_write_pch_s, pm_read_pch_o => pm_read_pch_s, pm_read_pmem_o => pm_read_pmem_s, psw_read_psw_o => psw_read_psw_s, psw_read_sp_o => psw_read_sp_s, psw_write_psw_o => psw_write_psw_s, psw_write_sp_o => psw_write_sp_s, alu_carry_i => alu_carry_s, alu_op_o => alu_op_s, alu_use_carry_o => alu_use_carry_s, alu_da_high_o => alu_da_high_s, alu_da_overflow_i => alu_da_overflow_s, alu_accu_low_o => alu_accu_low_s, alu_p06_temp_reg_o => alu_p06_temp_reg_s, alu_p60_temp_reg_o => alu_p60_temp_reg_s, bus_output_pcl_o => bus_output_pcl_s, bus_bidir_bus_o => bus_bidir_bus_s, clk_multi_cycle_o => clk_multi_cycle_s, clk_assert_psen_o => clk_assert_psen_s, clk_assert_prog_o => clk_assert_prog_s, clk_assert_rd_o => clk_assert_rd_s, clk_assert_wr_o => clk_assert_wr_s, clk_mstate_i => clk_mstate_s, clk_second_cycle_i => clk_second_cycle_s, cnd_compute_take_o => cnd_compute_take_s, cnd_branch_cond_o => cnd_branch_cond_s, cnd_take_branch_i => cnd_take_branch_s, cnd_comp_value_o => cnd_comp_value_s, cnd_f1_o => cnd_f1_s, cnd_tf_o => cnd_tf_s, dm_addr_type_o => dm_addr_type_s, tim_read_timer_o => tim_read_timer_s, tim_write_timer_o => tim_write_timer_s, tim_start_t_o => tim_start_t_s, tim_start_cnt_o => tim_start_cnt_s, tim_stop_tcnt_o => tim_stop_tcnt_s, p1_read_reg_o => p1_read_reg_s, p2_read_reg_o => p2_read_reg_s, p2_read_exp_o => p2_read_exp_s, p2_output_pch_o => p2_output_pch_s, pm_inc_pc_o => pm_inc_pc_s, pm_write_pmem_addr_o => pm_write_pmem_addr_s, pm_addr_type_o => pm_addr_type_s, psw_special_data_o => psw_special_data_s, psw_carry_i => psw_carry_s, psw_aux_carry_i => psw_aux_carry_s, psw_f0_i => psw_f0_s, psw_inc_stackp_o => psw_inc_stackp_s, psw_dec_stackp_o => psw_dec_stackp_s, psw_write_carry_o => psw_write_carry_s, psw_write_aux_carry_o => psw_write_aux_carry_s, psw_write_f0_o => psw_write_f0_s, psw_write_bs_o => psw_write_bs_s, tim_overflow_i => tim_overflow_s ); dmem_ctrl_b : t48_dmem_ctrl port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, write_dmem_addr_i => dm_write_dmem_addr_s, write_dmem_i => dm_write_dmem_s, read_dmem_i => dm_read_dmem_s, addr_type_i => dm_addr_type_s, bank_select_i => psw_bs_s, data_o => dm_data_s, dmem_data_i => dmem_data_i, dmem_addr_o => dmem_addr_o, dmem_we_o => dmem_we_o, dmem_data_o => dmem_data_o ); use_timer: if include_timer_g = 1 generate timer_b : t48_timer generic map ( sample_t1_state_g => sample_t1_state_g ) port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, t1_i => To_X01Z(t1_i), clk_mstate_i => clk_mstate_s, data_i => t48_data_s, data_o => tim_data_s, read_timer_i => tim_read_timer_s, write_timer_i => tim_write_timer_s, start_t_i => tim_start_t_s, start_cnt_i => tim_start_cnt_s, stop_tcnt_i => tim_stop_tcnt_s, overflow_o => tim_of_s ); end generate; skip_timer: if include_timer_g = 0 generate tim_data_s <= (others => bus_idle_level_c); tim_of_s <= '0'; end generate; tim_overflow_s <= to_boolean(tim_of_s); use_p1: if include_port1_g = 1 generate p1_b : t48_p1 port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => p1_data_s, write_p1_i => p1_write_p1_s, read_p1_i => p1_read_p1_s, read_reg_i => p1_read_reg_s, p1_i => p1_i, p1_o => p1_o, p1_low_imp_o => p1_low_imp_o ); end generate; skip_p1: if include_port1_g = 0 generate p1_data_s <= (others => bus_idle_level_c); p1_o <= (others => '0'); p1_low_imp_o <= '0'; end generate; use_p2: if include_port2_g = 1 generate p2_b : t48_p2 port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, xtal_i => xtal_i, xtal_en_i => xtal_en_s, data_i => t48_data_s, data_o => p2_data_s, write_p2_i => p2_write_p2_s, write_exp_i => p2_write_exp_s, read_p2_i => p2_read_p2_s, read_reg_i => p2_read_reg_s, read_exp_i => p2_read_exp_s, output_pch_i => p2_output_pch_s, pch_i => pmem_addr_s(11 downto 8), p2_i => p2_i, p2_o => p2_o, p2l_low_imp_o => p2l_low_imp_o, p2h_low_imp_o => p2h_low_imp_o ); end generate; skip_p2: if include_port2_g = 0 generate p2_data_s <= (others => bus_idle_level_c); p2_o <= (others => '0'); p2l_low_imp_o <= '0'; p2h_low_imp_o <= '0'; end generate; pmem_ctrl_b : t48_pmem_ctrl port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => pm_data_s, write_pcl_i => pm_write_pcl_s, read_pcl_i => pm_read_pcl_s, write_pch_i => pm_write_pch_s, read_pch_i => pm_read_pch_s, inc_pc_i => pm_inc_pc_s, write_pmem_addr_i => pm_write_pmem_addr_s, addr_type_i => pm_addr_type_s, read_pmem_i => pm_read_pmem_s, pmem_addr_o => pmem_addr_s, pmem_data_i => pmem_data_i ); psw_b : t48_psw port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => psw_data_s, read_psw_i => psw_read_psw_s, read_sp_i => psw_read_sp_s, write_psw_i => psw_write_psw_s, write_sp_i => psw_write_sp_s, special_data_i => psw_special_data_s, inc_stackp_i => psw_inc_stackp_s, dec_stackp_i => psw_dec_stackp_s, write_carry_i => psw_write_carry_s, write_aux_carry_i => psw_write_aux_carry_s, write_f0_i => psw_write_f0_s, write_bs_i => psw_write_bs_s, carry_o => psw_carry_s, aux_carry_i => alu_aux_carry_s, aux_carry_o => psw_aux_carry_s, f0_o => psw_f0_s, bs_o => psw_bs_s ); ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- ale_o <= to_stdLogic(ale_s); psen_n_o <= to_stdLogic(not psen_s); prog_n_o <= to_stdLogic(not prog_s); rd_n_o <= to_stdLogic(not rd_s); wr_n_o <= to_stdLogic(not wr_s); xtal3_o <= to_stdLogic(xtal3_s); pmem_addr_o <= pmem_addr_s; end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.11 2006/06/20 00:46:04 arniml -- new input xtal_en_i -- -- Revision 1.10 2005/11/01 21:32:58 arniml -- wire signals for P2 low impeddance marker issue -- -- Revision 1.9 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.8 2005/05/04 20:12:37 arniml -- Fix bug report: -- "Wrong clock applied to T0" -- t0_o is generated inside clock_ctrl with a separate flip-flop running -- with xtal_i -- -- Revision 1.7 2004/05/01 11:58:04 arniml -- update notice about expander port instructions -- -- Revision 1.6 2004/04/07 22:09:03 arniml -- remove unused signals -- -- Revision 1.5 2004/04/04 14:18:53 arniml -- add measures to implement XCHD -- -- Revision 1.4 2004/03/29 19:39:58 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.3 2004/03/28 21:27:50 arniml -- update wiring for DA support -- -- Revision 1.2 2004/03/28 13:13:20 arniml -- connect control signal for Port 2 expander -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
db9df8921422a44ccaad2aa24cb30a94
0.515362
3.022485
false
false
false
false
freecores/t48
rtl/vhdl/t8243/t8243.vhd
1
4,980
------------------------------------------------------------------------------- -- -- The T8243 asynchronous toplevel -- -- $Id: t8243.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8243 is port ( -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_b : inout std_logic_vector(3 downto 0); -- Port 4 Interface ------------------------------------------------------- p4_b : inout std_logic_vector(3 downto 0); -- Port 5 Interface ------------------------------------------------------- p5_b : inout std_logic_vector(3 downto 0); -- Port 6 Interface ------------------------------------------------------- p6_b : inout std_logic_vector(3 downto 0); -- Port 7 Interface ------------------------------------------------------- p7_b : inout std_logic_vector(3 downto 0) ); end t8243; use work.t8243_comp_pack.t8243_async_notri; architecture struct of t8243 is signal p2_s, p4_s, p5_s, p6_s, p7_s : std_logic_vector(3 downto 0); signal p2_en_s, p4_en_s, p5_en_s, p6_en_s, p7_en_s : std_logic; signal vdd_s : std_logic; begin vdd_s <= '1'; ----------------------------------------------------------------------------- -- The asynchronous T8243 ----------------------------------------------------------------------------- t8243_async_notri_b : t8243_async_notri port map ( reset_n_i => vdd_s, -- or generate power-on reset cs_n_i => cs_n_i, prog_n_i => prog_n_i, p2_i => p2_b, p2_o => p2_s, p2_en_o => p2_en_s, p4_i => p4_b, p4_o => p4_s, p4_en_o => p4_en_s, p5_i => p5_b, p5_o => p5_s, p5_en_o => p5_en_s, p6_i => p6_b, p6_o => p6_s, p6_en_o => p6_en_s, p7_i => p7_b, p7_o => p7_s, p7_en_o => p7_en_s ); ----------------------------------------------------------------------------- -- Bidirectional pad structures ----------------------------------------------------------------------------- p2_b <= p2_s when p2_en_s = '1' else (others => 'Z'); p4_b <= p4_s when p4_en_s = '1' else (others => 'Z'); p5_b <= p5_s when p5_en_s = '1' else (others => 'Z'); p6_b <= p6_s when p6_en_s = '1' else (others => 'Z'); p7_b <= p7_s when p7_en_s = '1' else (others => 'Z'); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
93310bedcb87cf93cbf5ca2b55188fc9
0.487751
3.984
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Data Path/Decode/decode_dp.vhd
1
1,430
---------------------------------------------------------------------------------- -- Company: -- Engineer: Brett Bourgeois -- -- Create Date: 11:49:41 04/24/2015 -- Design Name: -- Module Name: decode_dp - Structural -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE work.UMDRISC_pkg.ALL; use work.all; entity decode is port ( --Input clk: in std_logic; rst: in std_logic; -- ADRS_A: in std_logic_vector (3 downto 0); ADRS_B: in std_logic_vector (3 downto 0); imm: in std_logic_vector (3 downto 0); instruction: in std_logic_vector (15 downto 0); --Control Signals imm_mux_sel: in std_logic; --Output --== imm_out : out std_logic --== ); end decode; architecture Structural of decode is signal imm_mux_out: std_logic_vector(7 downto 0) := (others => '0'); begin --MUX2to1 MUX2to1: entity work.MUX2to1 generic map( vectorSize => adrs_width) port map( SEL => imm_mux_sel, IN_1 => ADRS_B, IN_2 => imm, OUTPUT => imm_mux_out ); --Register Bank -- regBank: entity work.regbank -- port map( -- ); --registers to hold data end Structural; architecture behavioral of decode is begin end behavioral;
mit
e6c5ed65c9e3f7b0d361d4b702eb0c4b
0.566434
3.191964
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_testbench_capture_GNHCRI5YMO.vhd
20
1,775
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_capture_GNHCRI5YMO is generic ( XFILE : string := "default"; DSPBTYPE : string := ""); port( clock : in std_logic; aclr : in std_logic; input : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_capture_GNHCRI5YMO is function str(sl: std_logic) return character is variable c: character; begin case sl is when '0' => c := '0'; when '1' => c := '1'; when others => c := 'X'; end case; return c; end str; function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := str(slv(i)); r := r + 1; end loop; return result; end str; procedure write_type_header(file f:text) is use STD.textio.all; variable my_line : line; begin write ( my_line, DSPBTYPE); writeline ( f, my_line ); end procedure write_type_header ; file oFile : text open write_mode is XFILE; Begin -- data capture -- write type information to output file write_type_header(oFile); -- Writing Output Signal into file Output:process(clock) variable traceline : line ; begin if (aclr ='1') then -- do not record elsif clock'event and clock='1' then write(traceline, str(input),justified=>left); writeline(oFile,traceline); end if ; end process ; end architecture;
mit
63efdf8d6e1b45d3d7c028c3a48a6256
0.629296
3.349057
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_single_pulse_GN2XGKTRR3.vhd
8
831
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_single_pulse_GN2XGKTRR3 is generic ( delay : positive := 1; signal_type : string := "Step Down"; impulse_width : positive := 1); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; result : out std_logic; sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_single_pulse_GN2XGKTRR3 is Begin SinglePulsei : alt_dspbuilder_sStepAltr Generic map ( StepDelay => 1, direction => 0 ) port map ( clock => clock, ena => ena, q => result, sclr => sclr, user_aclr => '0', aclr => aclr); end architecture;
mit
e089c3a5d103cd953c2a1bbfbc0be1ad
0.655836
2.85567
false
false
false
false
Ttl/bf_cpu
cpu.vhd
1
3,917
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.bfconfig.all; --pragma synthesis_off use IEEE.NUMERIC_STD.ALL; --pragma synthesis_on entity cpu is Generic ( INSTRUCTIONS : string := "scripts/instructions.mif" ); Port ( clk, reset : in STD_LOGIC; tx : out STD_LOGIC; rx : in STD_LOGIC); end cpu; architecture Behavioral of cpu is signal instr : std_logic_vector(7 downto 0); -- Decoder signals signal d_alutoreg : std_logic; signal d_alua, d_alub : std_logic_vector(1 downto 0); signal d_write, d_read : std_logic; signal d_jumpf, d_jumpb : std_logic; signal pc : pctype; -- RAM signals constant i_wd : std_logic_vector(7 downto 0) := (others => '0'); constant i_we : std_logic := '0'; -- Datapath signals signal readdata, writedata : std_logic_vector(7 downto 0); signal alu_z : std_logic; -- UART signals signal uart_tx_req : std_logic; signal uart_tx_end : std_logic; signal uart_rx_ready : std_logic; -- Control signals signal c_skip : std_logic; -- Execute state signals signal e_alutoreg, e_skip : std_logic; signal e_alua, e_alub : std_logic_vector(1 downto 0); --pragma synthesis_off -- Currently executing instruction signal instr_ex : std_logic_vector(7 downto 0); --pragma synthesis_on begin instr_mem : entity work.memory Generic map( CONTENTS => INSTRUCTIONS ) Port map( clk => clk, a1 => pc, wd => i_wd, d1 => instr, we => i_we); decoder1 : entity work.decoder Port map( instr => instr, d_alutoreg => d_alutoreg, d_alua => d_alua, d_alub => d_alub, d_write => d_write, d_read => d_read, d_jumpf => d_jumpf, d_jumpb => d_jumpb ); control1 : entity work.control Port map( clk => clk, reset => reset, d_jumpf => d_jumpf, d_jumpb => d_jumpb, d_write => d_write, d_read => d_read, c_skip => c_skip, alu_z => alu_z, pc_out => pc, uart_tx_end => uart_tx_end, uart_rx_ready => uart_rx_ready ); process(clk) begin if rising_edge(clk) then e_alutoreg <= d_alutoreg; e_alua <= d_alua; e_alub <= d_alub; e_skip <= c_skip; --pragma synthesis_off instr_ex <= instr; --pragma synthesis_on end if; end process; datapath1 : entity work.datapath Port map( clk => clk, reset => reset, c_skip => e_skip, d_alutoreg => e_alutoreg, d_alua => e_alua, d_alub => e_alub, readdata => readdata, writedata => writedata, alu_z => alu_z); uart_tx_req <= d_write and not e_skip; --pragma synthesis_off -- Print sent data process begin wait until uart_tx_req = '1'; wait until rising_edge(clk); wait until rising_edge(clk); if to_integer(unsigned(writedata)) > 31 and to_integer(unsigned(writedata)) < 127 then report "Sent ASCII: "&character'image(character'val(to_integer(unsigned(writedata)))); else report "Sent Dec: "&integer'image(to_integer(unsigned(writedata))); end if; wait until uart_tx_end = '1'; end process; -- Print received data process begin wait until uart_rx_ready = '1'; wait until rising_edge(clk); if to_integer(unsigned(readdata)) > 31 and to_integer(unsigned(readdata)) < 127 then report "Received ASCII: "&character'image(character'val(to_integer(unsigned(readdata)))); else report "Received Dec: "&integer'image(to_integer(unsigned(readdata))); end if; end process; --pragma synthesis_on uart1 : entity work.uart Generic map( CLK_FREQ => 72, SER_FREQ => 115200, PARITY_BIT => false ) Port map ( clk => clk, rst => reset, rx => rx, tx => tx, tx_req => uart_tx_req, tx_end => uart_tx_end, tx_data => writedata, rx_ready => uart_rx_ready, rx_data => readdata ); end Behavioral;
lgpl-3.0
48dae56b6d5e6ce6d32f5b7395d87030
0.602502
3.272348
false
false
false
false
Ttl/bf_cpu
reg_file.vhd
1
712
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.bfconfig.all; entity reg_file is Port ( clk : in STD_LOGIC; a1 : in pointertype; wd : in STD_LOGIC_VECTOR (7 downto 0); d1 : out STD_LOGIC_VECTOR (7 downto 0); we : in STD_LOGIC); end reg_file; architecture Behavioral of reg_file is type memtype is array(0 to 2**REG_SIZE-1) of std_logic_vector(7 downto 0); signal reg_mem : memtype := (others => (others => '0')); begin process(clk, we, a1, reg_mem) begin if rising_edge(clk) then if we = '1' then reg_mem(to_integer(unsigned(a1))) <= wd; end if; end if; d1 <= reg_mem(to_integer(unsigned(a1))); end process; end Behavioral;
lgpl-3.0
29c2fd9bccfac1aeaf50c939e9992279
0.641854
2.825397
false
false
false
false
cathalmccabe/PYNQ
boards/ip/audio_codec_ctrl_v1.0/src/address_decoder.vhd
4
21,808
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- -- -- This file contains confidential and proprietary information -- -- of Xilinx, Inc. and is protected under U.S. and -- -- international copyright and other intellectual property -- -- laws. -- -- -- -- DISCLAIMER -- -- This disclaimer is not a license and does not grant any -- -- rights to the materials distributed herewith. Except as -- -- otherwise provided in a valid license issued to you by -- -- Xilinx, and to the maximum extent permitted by applicable -- -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- -- (2) Xilinx shall not be liable (whether in contract or tort, -- -- including negligence, or under any other theory of -- -- liability) for any loss or damage of any kind or nature -- -- related to, arising under or in connection with these -- -- materials, including for any direct, or any indirect, -- -- special, incidental, or consequential loss or damage -- -- (including loss of data, profits, goodwill, or any type of -- -- loss or damage suffered as a result of any action brought -- -- by a third party) even if such damage or loss was -- -- reasonably foreseeable or Xilinx had been advised of the -- -- possibility of the same. -- -- -- -- CRITICAL APPLICATIONS -- -- Xilinx products are not designed or intended to be fail- -- -- safe, or for use in any application requiring fail-safe -- -- performance, such as life-support or safety devices or -- -- systems, Class III medical devices, nuclear facilities, -- -- applications related to the deployment of airbags, or any -- -- other applications that could lead to death, personal -- -- injury, or severe property or environmental damage -- -- (individually and collectively, "Critical -- -- Applications"). Customer assumes the sole risk and -- -- liability of any use of Xilinx products in Critical -- -- Applications, subject only to applicable laws and -- -- regulations governing limitations on product liability. -- -- -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: address_decoder.vhd -- Version: v1.01.a -- Description: Address decoder utilizing unconstrained arrays for Base -- Address specification and ce number. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 08/09/2010 -- -- - updated the core with optimziation. Closed CR 574507 -- - combined the CE generation logic to further optimize the code. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; use work.common_types.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_BUS_AWIDTH -- Address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Bus_clk -- Clock -- Bus_rst -- Reset -- Address_In_Erly -- Adddress in -- Address_Valid_Erly -- Address is valid -- Bus_RNW -- Read or write registered -- Bus_RNW_Erly -- Read or Write -- CS_CE_ld_enable -- chip select and chip enable registered -- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear -- RW_CE_ld_enable -- Read or Write Chip Enable -- CS_for_gaps -- CS generation for the gaps between address ranges -- CS_Out -- Chip select -- RdCE_Out -- Read Chip enable -- WrCE_Out -- Write chip enable ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity address_decoder is generic ( C_BUS_AWIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF"; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_1000_0000", -- IP user0 base address X"0000_0000_1000_01FF", -- IP user0 high address X"0000_0000_1000_0200", -- IP user1 base address X"0000_0000_1000_02FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 8, -- User0 CE Number 1 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; -- PLB Interface signals Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly : in std_logic; Bus_RNW : in std_logic; Bus_RNW_Erly : in std_logic; -- Registering control signals CS_CE_ld_enable : in std_logic; Clear_CS_CE_Reg : in std_logic; RW_CE_ld_enable : in std_logic; CS_for_gaps : out std_logic; -- Decode output signals CS_Out : out std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); RdCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); WrCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) ); end entity address_decoder; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of address_decoder is -- local type declarations ---------------------------------------------------- type decode_bit_array_type is Array(natural range 0 to ( (C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer; type short_addr_array_type is Array(natural range 0 to C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of std_logic_vector(0 to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- This function converts a 64 bit address range array to a AWIDTH bit -- address range array. ------------------------------------------------------------------------------- function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE; awidth : integer) return short_addr_array_type is variable temp_addr : std_logic_vector(0 to 63); variable slv_array : short_addr_array_type; begin for array_index in 0 to slv64_addr_array'length-1 loop temp_addr := slv64_addr_array(array_index); slv_array(array_index) := temp_addr((64-awidth) to 63); end loop; return(slv_array); end function slv64_2_slv_awidth; ------------------------------------------------------------------------------- --Function Addr_bits --function to convert an address range (base address and an upper address) --into the number of upper address bits needed for decoding a device --select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1)) return integer is variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1); begin addr_nor := x xor y; for i in 0 to C_BUS_AWIDTH-1 loop if addr_nor(i)='1' then return i; end if; end loop; --coverage off return(C_BUS_AWIDTH); --coverage on end function Addr_Bits; ------------------------------------------------------------------------------- --Function Get_Addr_Bits --function calculates the array which has the decode bits for the each address --range. ------------------------------------------------------------------------------- function Get_Addr_Bits (baseaddrs : short_addr_array_type) return decode_bit_array_type is variable num_bits : decode_bit_array_type; begin for i in 0 to ((baseaddrs'length)/2)-1 loop num_bits(i) := Addr_Bits (baseaddrs(i*2), baseaddrs(i*2+1)); end loop; return(num_bits); end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- NEEDED_ADDR_BITS -- -- Function Description: -- This function calculates the number of address bits required -- to support the CE generation logic. This is determined by -- multiplying the number of CEs for an address space by the -- data width of the address space (in bytes). Each address -- space entry is processed and the biggest of the spaces is -- used to set the number of address bits required to be latched -- and used for CE decoding. A minimum value of 1 is returned by -- this function. -- ------------------------------------------------------------------------------- function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE) return integer is constant NUM_CE_ENTRIES : integer := CE_ARRAY'length; variable biggest : integer := 2; variable req_ce_addr_size : integer := 0; variable num_addr_bits : integer := 0; begin for i in 0 to NUM_CE_ENTRIES-1 loop req_ce_addr_size := ce_array(i) * 4; if (req_ce_addr_size > biggest) Then biggest := req_ce_addr_size; end if; end loop; num_addr_bits := clog2(biggest); return(num_addr_bits); end function NEEDED_ADDR_BITS; ----------------------------------------------------------------------------- -- Function calc_high_address -- -- This function is used to calculate the high address of the each address -- range ----------------------------------------------------------------------------- function calc_high_address (high_address : short_addr_array_type; index : integer) return std_logic_vector is variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1); begin If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31); else calc_high_addr := high_address(index*2+2); end if; return(calc_high_addr); end function calc_high_address; ---------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type := slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY, C_BUS_AWIDTH); constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2; constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY); constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant NUM_S_H_ADDR_BITS : integer := needed_addr_bits(C_ARD_NUM_CE_ARRAY); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal pselect_hit_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal cs_out_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); -- signal cs_ce_clr : std_logic; signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1); signal Bus_RNW_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP -- Register clears cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg; addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- MEM_DECODE_GEN: Universal Address Decode Block ------------------------------------------------------------------------------- MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate --------------- constant CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index); constant CE_ADDR_SIZE : Integer range 0 to 15 := clog2(C_ARD_NUM_CE_ARRAY(bar_index)); constant OFFSET : integer := 2; constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1) := ARD_ADDR_RANGE_ARRAY(bar_index*2+1); constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1) := calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index); --constant DECODE_BITS_0 : integer:= DECODE_BITS(0); --------- begin --------- -- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address -- ----------------- GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate -- Instantiate the basic Base Address Decoders MEM_SELECT_I: entity work.pselect_f generic map ( C_AB => DECODE_BITS(bar_index), C_AW => C_BUS_AWIDTH, C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2), C_FAMILY => C_FAMILY ) port map ( A => Address_In_Erly, -- [in] AValid => Address_Valid_Erly, -- [in] CS => pselect_hit_i(bar_index) -- [out] ); end generate GEN_FOR_MULTI_CS; -- GEN_FOR_ONE_CS: below logic decodes the CS for single address range -- --------------- GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate pselect_hit_i(bar_index) <= Address_Valid_Erly; end generate GEN_FOR_ONE_CS; -- Instantate backend registers for the Chip Selects BKEND_CS_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then cs_out_i(bar_index) <= '0'; elsif(CS_CE_ld_enable='1')then cs_out_i(bar_index) <= pselect_hit_i(bar_index); end if; end if; end process BKEND_CS_REG; ------------------------------------------------------------------------- -- PER_CE_GEN: Now expand the individual CEs for each base address. ------------------------------------------------------------------------- PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate ----------- begin ----------- ---------------------------------------------------------------------- -- CE decoders for multiple CE's ---------------------------------------------------------------------- MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin CE_I : entity work.pselect_f generic map ( C_AB => CE_ADDR_SIZE , C_AW => CE_ADDR_SIZE , C_BAR => BAR , C_FAMILY => C_FAMILY ) port map ( A => addr_out_s_h (NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE to NUM_S_H_ADDR_BITS - OFFSET - 1) , AValid => pselect_hit_i(bar_index) , CS => ce_expnd_i(CE_INDEX_START+j) ); end generate MULTIPLE_CES_THIS_CS_GEN; -------------------------------------- ---------------------------------------------------------------------- -- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE ---------------------------------------------------------------------- SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index); end generate; ------------- end generate PER_CE_GEN; ------------------------ end generate MEM_DECODE_GEN; -- RNW_REG_P: Register the incoming RNW signal at the time of registering the -- address. This is need to generate the CE's separately. RNW_REG_P:process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(RW_CE_ld_enable='1')then Bus_RNW_reg <= Bus_RNW_Erly; end if; end if; end process RNW_REG_P; --------------------------------------------------------------------------- -- GEN_BKEND_CE_REGISTERS -- This ForGen implements the backend registering for -- the CE, RdCE, and WrCE output buses. --------------------------------------------------------------------------- GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); ------ begin ------ BKEND_RDCE_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(cs_ce_clr='1')then ce_out_i(ce_index) <= '0'; elsif(RW_CE_ld_enable='1')then ce_out_i(ce_index) <= ce_expnd_i(ce_index); end if; end if; end process BKEND_RDCE_REG; rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg; wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg; ------------------------------- end generate GEN_BKEND_CE_REGISTERS; ------------------------------------------------------------------------------- CS_for_gaps <= '0'; -- Removed the GAP adecoder logic --------------------------------- CS_Out <= cs_out_i ; RdCE_Out <= rdce_out_i ; WrCE_Out <= wrce_out_i ; end architecture IMP;
bsd-3-clause
294c1cfe7cf45f7a5d6fec39370e65cb
0.456759
4.500206
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_testbench_salt_GN6DKNTQ5M.vhd
13
1,747
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN6DKNTQ5M is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(1 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN6DKNTQ5M is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 2) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
mit
09e2612cc115922d68165a2d268c44d2
0.630223
3.006885
false
false
false
false
freecores/t48
rtl/vhdl/pmem_ctrl.vhd
1
7,836
------------------------------------------------------------------------------- -- -- The Program Memory control unit. -- All operations related to the Program Memory are managed here. -- -- $Id: pmem_ctrl.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.pmem_addr_t; use work.t48_pack.word_t; use work.t48_pmem_ctrl_pack.pmem_addr_ident_t; entity t48_pmem_ctrl is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; write_pcl_i : in boolean; read_pcl_i : in boolean; write_pch_i : in boolean; read_pch_i : in boolean; inc_pc_i : in boolean; write_pmem_addr_i : in boolean; addr_type_i : in pmem_addr_ident_t; read_pmem_i : in boolean; -- Porgram Memroy Interface ----------------------------------------------- pmem_addr_o : out pmem_addr_t; pmem_data_i : in word_t ); end t48_pmem_ctrl; library ieee; use ieee.numeric_std.all; use work.t48_pmem_ctrl_pack.all; use work.t48_pack.res_active_c; use work.t48_pack.clk_active_c; use work.t48_pack.bus_idle_level_c; use work.t48_pack.pmem_addr_width_c; use work.t48_pack.dmem_addr_width_c; use work.t48_pack.page_t; architecture rtl of t48_pmem_ctrl is -- implemented counter width of Program Counter -- the upper bit is only altered by JMP, CALL and RET(R) subtype pc_count_range_t is natural range pmem_addr_width_c-2 downto 0; -- the Program Counter signal program_counter_q : unsigned(pmem_addr_t'range); -- the Program Memory address signal pmem_addr_s, pmem_addr_q : std_logic_vector(pmem_addr_t'range); begin ----------------------------------------------------------------------------- -- Process program_counter -- -- Purpose: -- Implements the Program Counter. -- program_counter: process (res_i, clk_i) begin if res_i = res_active_c then program_counter_q <= (others => '0'); pmem_addr_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- parallel load mode if write_pcl_i then program_counter_q(data_i'range) <= UNSIGNED(data_i); elsif write_pch_i then program_counter_q(pmem_addr_width_c-1 downto data_i'high+1) <= UNSIGNED(data_i(pmem_addr_width_c - dmem_addr_width_c - 1 downto 0)); elsif inc_pc_i then -- increment mode -- the MSB is not modified by linear increments -- it can only be altered by JMP, CALL or RET(R) program_counter_q(pc_count_range_t) <= program_counter_q(pc_count_range_t) + 1; end if; -- set pmem address if write_pmem_addr_i then pmem_addr_q <= pmem_addr_s; end if; end if; end if; end process program_counter; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process pmem_addr -- -- Purpose: -- Multiplex the Program Memory address. -- pmem_addr: process (program_counter_q, addr_type_i, pmem_addr_q, data_i) begin -- default assignment pmem_addr_s <= STD_LOGIC_VECTOR(program_counter_q); case addr_type_i is when PM_PC => -- default is ok null; when PM_PAGE => pmem_addr_s(word_t'range) <= data_i; -- take page address from program counter -- => important for JMPP, MOVP! -- they must wrap to next page when at FF! when PM_PAGE3 => pmem_addr_s(word_t'range) <= data_i; -- page address is explicitely specified pmem_addr_s(page_t'range) <= "0011"; when others => null; end case; end process pmem_addr; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process data_output -- -- Purpose: -- Multiplex the data bus output. -- data_output: process (read_pmem_i, read_pcl_i, read_pch_i, pmem_data_i, program_counter_q) begin data_o <= (others => bus_idle_level_c); if read_pmem_i then data_o <= pmem_data_i; elsif read_pcl_i then data_o <= STD_LOGIC_VECTOR(program_counter_q(data_o'range)); elsif read_pch_i then data_o(3 downto 0) <= STD_LOGIC_VECTOR(program_counter_q(pmem_addr_width_c-1 downto data_o'high+1)); end if; end process data_output; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- pmem_addr_o <= pmem_addr_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2005/06/08 19:13:53 arniml -- fix bug report -- "MSB of Program Counter changed upon PC increment" -- -- Revision 1.3 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.2 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
efd88dcf7f2044e637eef672a4f85c1a
0.54173
4.035015
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_delay_GNIYBMGPQQ.vhd
4
1,062
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNIYBMGPQQ is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "000000000000000000001111"; width : positive := 24); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNIYBMGPQQ is Begin -- Delay Element Delay1i : alt_dspbuilder_SDelay generic map ( LPM_WIDTH => 24, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
mit
5a7af3c6afb9446a714ae1235d8bbeac
0.635593
3.025641
false
false
false
false
nkkav/color_maker-s3esk
color_maker_top_tb.vhd
1
3,059
library IEEE, STD; use STD.textio.all; use IEEE.std_logic_textio.all; -- needs VHDL-2008 use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity color_maker_top_tb is end color_maker_top_tb; architecture tb_arch of color_maker_top_tb is -- UUT component component color_maker_top port ( clk : in std_logic; rst : in std_logic; sldsw : in std_logic_vector(2 downto 0); red : out std_logic; green : out std_logic; blue : out std_logic; vs : out std_logic; hs : out std_logic ); end component; -- I/O signals signal clk : std_logic := '0'; signal rst : std_logic; signal sldsw : std_logic_vector(2 downto 0); signal red : std_logic; signal green : std_logic; signal blue : std_logic; signal vs : std_logic; signal hs : std_logic; -- Constant declarations constant CLK_PERIOD : time := 20 ns; -- Declare results file file ResultsFile: text open write_mode is "color_maker_top_results.txt"; begin uut : color_maker_top port map ( clk => clk, rst => rst, sldsw => sldsw, red => red, green => green, blue => blue, vs => vs, hs => hs ); CLK_GEN_PROC: process(clk) begin if (clk = '0') then clk <= '1'; else clk <= not clk after CLK_PERIOD/2; end if; end process CLK_GEN_PROC; RST_STIM: process begin rst <= '1'; wait for CLK_PERIOD; rst <= '0'; wait for 8*415000*CLK_PERIOD; end process RST_STIM; DATA_STIM: process variable line_el: line; begin sldsw <= "000"; wait for 2*CLK_PERIOD; -- cycle through all colors, one frame each for color in 1 to 8 loop wait for 415000*CLK_PERIOD; sldsw <= std_logic_vector(to_unsigned(color, 3)); -- write(line_el, 'c'); -- write(line_el, color); -- Write the hsync -- write(line_el, 's'); -- write(line_el, sldsw); -- writeline(ResultsFile, line_el); -- wait for 415000*CLK_PERIOD; end loop; end process DATA_STIM; process (clk) variable line_el: line; variable red_ext : std_logic_vector(2 downto 0); variable green_ext : std_logic_vector(2 downto 0); variable blue_ext : std_logic_vector(1 downto 0); begin if rising_edge(clk) then -- Write the time write(line_el, now); write(line_el, ':'); -- Write the hsync write(line_el, ' '); write(line_el, hs); -- Write the vsync write(line_el, ' '); write(line_el, vs); -- Write the red component red_ext := red & red & red; write(line_el, ' '); write(line_el, red_ext); -- Write the green component green_ext := green & green & green; write(line_el, ' '); write(line_el, green_ext); -- Write the blue component blue_ext := blue & blue; write(line_el, ' '); write(line_el, blue_ext); writeline(ResultsFile, line_el); end if; end process; end tb_arch;
bsd-3-clause
b99053d2dec2be2860e4db4eba771e83
0.569467
3.325
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/FLOW.vhd
2
4,398
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity FLOW is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0); ROW_A : IN STD_LOGIC_VECTOR(9 downto 0); ROW_B : IN STD_LOGIC_VECTOR(9 downto 0); ROW_C : IN STD_LOGIC_VECTOR(9 downto 0); ROW_D : IN STD_LOGIC_VECTOR(9 downto 0); ROW_E : IN STD_LOGIC_VECTOR(9 downto 0); ROW_W : IN STD_LOGIC_VECTOR(9 downto 0); HAZARD : IN STD_LOGIC; EQUALITY : OUT STD_LOGIC; ADDRESS_A : OUT STD_LOGIC_VECTOR(9 downto 0); ADDRESS_B : OUT STD_LOGIC_VECTOR(9 downto 0); SEL_VECTOR : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); WREN_A : OUT STD_LOGIC; WREN_B : OUT STD_LOGIC ); end; architecture flow of FLOW is component SELECTOR PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0); EQUALITY : OUT STD_LOGIC; sel_A_0 : OUT STD_LOGIC; sel_B_0 : OUT STD_LOGIC; sel_C_0 : OUT STD_LOGIC; sel_D_0 : OUT STD_LOGIC; sel_E_0 : OUT STD_LOGIC; sel_W_0 : OUT STD_LOGIC; sel_A_1 : OUT STD_LOGIC; sel_B_1 : OUT STD_LOGIC; sel_C_1 : OUT STD_LOGIC; sel_D_1 : OUT STD_LOGIC; sel_E_1 : OUT STD_LOGIC; sel_W_1 : OUT STD_LOGIC ); end component; component tristate PORT( my_in : in std_logic_vector(9 downto 0); sel : in std_logic; my_out : out std_logic_vector(9 downto 0) ); end component; signal sel_a0 : std_logic; signal sel_b0 : std_logic; signal sel_c0 : std_logic; signal sel_d0 : std_logic; signal sel_e0 : std_logic; signal sel_w0 : std_logic; signal sel_a1 : std_logic; signal sel_b1 : std_logic; signal sel_c1 : std_logic; signal sel_d1 : std_logic; signal sel_e1 : std_logic; signal sel_w1 : std_logic; begin select_address : SELECTOR PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE, EQUALITY => EQUALITY, SEL_A_0 => sel_a0, SEL_B_0 => sel_b0, SEL_C_0 => sel_c0, SEL_D_0 => sel_d0, SEL_E_0 => sel_e0, SEL_W_0 => sel_w0, SEL_A_1 => sel_a1, SEL_B_1 => sel_b1, SEL_C_1 => sel_c1, SEL_D_1 => sel_d1, SEL_E_1 => sel_e1, SEL_W_1 => sel_w1 ); TRI_0_PORT_A : tristate PORT MAP ( my_in => ROW_A, sel => sel_a0, my_out => ADDRESS_A ); TRI_1_PORT_A : tristate PORT MAP ( my_in => ROW_B, sel => sel_b0, my_out => ADDRESS_A ); TRI_2_PORT_A : tristate PORT MAP ( my_in => ROW_C, sel => sel_c0, my_out => ADDRESS_A ); TRI_3_PORT_A : tristate PORT MAP ( my_in => ROW_D, sel => sel_d0, my_out => ADDRESS_A ); TRI_4_PORT_A : tristate PORT MAP ( my_in => ROW_E, sel => sel_e0, my_out => ADDRESS_A ); TRI_5_PORT_A : tristate PORT MAP ( my_in => ROW_W, sel => sel_w0, my_out => ADDRESS_A ); TRI_0_PORT_B : tristate PORT MAP ( my_in => ROW_A, sel => sel_a1, my_out => ADDRESS_B ); TRI_1_PORT_B : tristate PORT MAP ( my_in => ROW_B, sel => sel_b1, my_out => ADDRESS_B ); TRI_2_PORT_B : tristate PORT MAP ( my_in => ROW_C, sel => sel_c1, my_out => ADDRESS_B ); TRI_3_PORT_B : tristate PORT MAP ( my_in => ROW_D, sel => sel_d1, my_out => ADDRESS_B ); TRI_4_PORT_B : tristate PORT MAP ( my_in => ROW_E, sel => sel_e1, my_out => ADDRESS_B ); TRI_5_PORT_B : tristate PORT MAP ( my_in => ROW_W, sel => sel_w1, my_out => ADDRESS_B ); WREN_A <= '0'; process (HAZARD, OPCODE) begin --addred this if block if (HAZARD = '1') then WREN_B <= '0'; else WREN_B <= OPCODE(0); --used to be just this line end if; end process; SEL_VECTOR <= sel_a0 & sel_a1 & sel_b0 & sel_b1 & sel_c0 & sel_c1 & sel_d0 & sel_d1 & sel_e0 & sel_e1; end;
gpl-2.0
220a58a0e68de7752c720885aca4fc3b
0.486357
2.799491
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/z126_01_altremote_update_sim_model.vhd
1
2,480
--------------------------------------------------------------- -- Title : Altera remote update controller model -- Project : - --------------------------------------------------------------- -- Author : Andreas Geissler -- Email : [email protected] -- Organization : MEN Mikro Elektronik Nuremberg GmbH -- Created : 05/02/14 --------------------------------------------------------------- -- Simulator : ModelSim-Altera PE 6.4c -- Synthesis : Quartus II 12.1 SP2 --------------------------------------------------------------- -- Description : -- --------------------------------------------------------------- -- Hierarchy: -- --------------------------------------------------------------- -- Copyright (C) 2014, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: $ -- -- $Log: $ -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY work; USE work.fpga_pkg_2.all; ENTITY z126_01_ru_cycloneiii IS PORT ( clock : IN std_logic ; data_in : IN std_logic_vector (23 DOWNTO 0); param : IN std_logic_vector (2 DOWNTO 0); read_param : IN std_logic ; read_source : IN std_logic_vector (1 DOWNTO 0); reconfig : IN std_logic ; reset : IN std_logic ; reset_timer : IN std_logic ; write_param : IN std_logic ; busy : OUT std_logic ; data_out : OUT std_logic_vector (28 DOWNTO 0) ); END z126_01_ru_cycloneiii; ARCHITECTURE z126_01_ru_cycloneiii_arch OF z126_01_ru_cycloneiii IS BEGIN busy_p: PROCESS BEGIN WAIT UNTIL rising_edge(clock) OR reset = '1'; IF reset = '1' THEN busy <= '0'; ELSIF read_param = '1' OR read_param = '1' THEN WAIT FOR 100 ns; WAIT UNTIL rising_edge(clock); busy <= '1'; WAIT FOR 600 ns; WAIT UNTIL rising_edge(clock); busy <= '0'; END IF; END PROCESS; data_out <= (OTHERS => '0'); END z126_01_ru_cycloneiii_arch;
gpl-3.0
5a5aad62b31a1fa8fab2c949980788d2
0.418548
4.661654
false
false
false
false